diff --git a/applications/lofar1/pfs/hdllib.cfg b/applications/lofar1/pfs/hdllib.cfg
new file mode 100644
index 0000000000000000000000000000000000000000..106f0dc0d5e45e9eb0e8462270d04e540f94b86c
--- /dev/null
+++ b/applications/lofar1/pfs/hdllib.cfg
@@ -0,0 +1,30 @@
+hdl_lib_name = pfs
+hdl_library_clause_name = pfs_lib
+hdl_lib_uses_synth = common common_mult
+hdl_lib_uses_sim = 
+hdl_lib_technology = 
+
+synth_files =
+    src/vhdl/pfs_ctrl.vhd
+    src/vhdl/pfs_ctrl(rtl).vhd
+    src/vhdl/pfs_tapsbuf.vhd
+    src/vhdl/pfs_coefsbuf.vhd
+    src/vhdl/pfs_filter.vhd
+    src/vhdl/pfs_tapsbuf(rtl).vhd
+    src/vhdl/pfs_coefsbuf(str).vhd
+    src/vhdl/pfs_filter(rtl).vhd
+    src/vhdl/pfs.vhd
+    src/vhdl/pfs(str).vhd
+    src/vhdl/pfs_top.vhd
+    src/vhdl/pfs_top(str).vhd
+    
+test_bench_files = 
+    tb/vhdl/tb_pfs.vhd
+
+regression_test_vhdl = 
+    
+[modelsim_project_file]
+modelsim_copy_files =
+    src/data           data
+
+[ise_project_file]
diff --git a/applications/lofar1/pfs/src/data/Coefficient_4KKaiser.dat b/applications/lofar1/pfs/src/data/Coefficient_4KKaiser.dat
new file mode 100644
index 0000000000000000000000000000000000000000..758f28c100c8e01ff968dbc229e7ea74fde69aa7
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/Coefficient_4KKaiser.dat
@@ -0,0 +1,4096 @@
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+15
+15
+15
+16
+16
+17
+17
+18
+18
+19
+19
+20
+20
+21
+22
+22
+23
+23
+24
+25
+25
+26
+27
+27
+28
+29
+29
+30
+31
+31
+32
+33
+34
+34
+35
+36
+37
+37
+38
+39
+40
+41
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+66
+67
+68
+69
+70
+72
+73
+74
+75
+76
+78
+79
+80
+82
+83
+84
+86
+87
+88
+90
+91
+93
+94
+95
+97
+98
+100
+101
+103
+104
+106
+107
+109
+110
+112
+114
+115
+117
+118
+120
+122
+123
+125
+127
+129
+130
+132
+134
+135
+137
+139
+141
+143
+144
+146
+148
+150
+152
+154
+155
+157
+159
+161
+163
+165
+167
+169
+171
+173
+175
+177
+179
+181
+183
+185
+187
+189
+191
+193
+195
+197
+199
+201
+203
+205
+207
+210
+212
+214
+216
+218
+220
+222
+225
+227
+229
+231
+233
+235
+238
+240
+242
+244
+246
+249
+251
+253
+255
+258
+260
+262
+264
+266
+269
+271
+273
+275
+278
+280
+282
+284
+287
+289
+291
+293
+295
+298
+300
+302
+304
+307
+309
+311
+313
+315
+318
+320
+322
+324
+326
+329
+331
+333
+335
+337
+339
+341
+344
+346
+348
+350
+352
+354
+356
+358
+360
+362
+364
+366
+368
+370
+372
+374
+376
+378
+380
+382
+383
+385
+387
+389
+391
+393
+394
+396
+398
+399
+401
+403
+404
+406
+408
+409
+411
+412
+414
+415
+417
+418
+420
+421
+423
+424
+425
+427
+428
+429
+430
+431
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+443
+444
+445
+446
+446
+447
+448
+448
+449
+449
+450
+450
+451
+451
+451
+452
+452
+452
+452
+453
+453
+453
+453
+453
+453
+453
+452
+452
+452
+452
+452
+451
+451
+450
+450
+449
+449
+448
+448
+447
+446
+445
+444
+444
+443
+442
+441
+440
+438
+437
+436
+435
+433
+432
+431
+429
+428
+426
+425
+423
+421
+419
+418
+416
+414
+412
+410
+408
+406
+403
+401
+399
+397
+394
+392
+389
+387
+384
+382
+379
+376
+373
+370
+367
+365
+362
+358
+355
+352
+349
+346
+342
+339
+335
+332
+328
+325
+321
+317
+314
+310
+306
+302
+298
+294
+290
+286
+282
+278
+273
+269
+264
+260
+256
+251
+246
+242
+237
+232
+228
+223
+218
+213
+208
+203
+198
+193
+187
+182
+177
+172
+166
+161
+155
+150
+144
+139
+133
+127
+122
+116
+110
+104
+98
+92
+86
+80
+74
+68
+62
+56
+50
+43
+37
+31
+24
+18
+11
+5
+-2
+-8
+-15
+-21
+-28
+-35
+-41
+-48
+-55
+-62
+-69
+-75
+-82
+-89
+-96
+-103
+-110
+-117
+-124
+-131
+-138
+-145
+-152
+-160
+-167
+-174
+-181
+-188
+-195
+-203
+-210
+-217
+-224
+-232
+-239
+-246
+-254
+-261
+-268
+-276
+-283
+-290
+-298
+-305
+-312
+-320
+-327
+-334
+-342
+-349
+-356
+-364
+-371
+-378
+-386
+-393
+-400
+-408
+-415
+-422
+-430
+-437
+-444
+-451
+-458
+-466
+-473
+-480
+-487
+-494
+-501
+-508
+-515
+-522
+-529
+-536
+-543
+-550
+-557
+-564
+-571
+-577
+-584
+-591
+-598
+-604
+-611
+-617
+-624
+-630
+-637
+-643
+-649
+-656
+-662
+-668
+-674
+-680
+-686
+-692
+-698
+-704
+-710
+-716
+-722
+-727
+-733
+-738
+-744
+-749
+-755
+-760
+-765
+-770
+-775
+-780
+-785
+-790
+-795
+-800
+-805
+-809
+-814
+-818
+-822
+-827
+-831
+-835
+-839
+-843
+-847
+-851
+-855
+-858
+-862
+-865
+-869
+-872
+-875
+-878
+-881
+-884
+-887
+-890
+-892
+-895
+-897
+-900
+-902
+-904
+-906
+-908
+-910
+-912
+-914
+-915
+-917
+-918
+-919
+-920
+-921
+-922
+-923
+-924
+-924
+-925
+-925
+-926
+-926
+-926
+-926
+-926
+-925
+-925
+-924
+-924
+-923
+-922
+-921
+-920
+-919
+-918
+-916
+-915
+-913
+-911
+-909
+-907
+-905
+-903
+-901
+-898
+-895
+-893
+-890
+-887
+-884
+-880
+-877
+-874
+-870
+-866
+-863
+-859
+-854
+-850
+-846
+-841
+-837
+-832
+-827
+-822
+-817
+-812
+-807
+-802
+-796
+-790
+-785
+-779
+-773
+-766
+-760
+-754
+-747
+-741
+-734
+-727
+-720
+-713
+-706
+-698
+-691
+-683
+-676
+-668
+-660
+-652
+-644
+-636
+-627
+-619
+-610
+-602
+-593
+-584
+-575
+-566
+-556
+-547
+-538
+-528
+-519
+-509
+-499
+-489
+-479
+-469
+-458
+-448
+-438
+-427
+-417
+-406
+-395
+-384
+-373
+-362
+-351
+-340
+-328
+-317
+-305
+-294
+-282
+-270
+-258
+-246
+-234
+-222
+-210
+-198
+-186
+-173
+-161
+-148
+-136
+-123
+-111
+-98
+-85
+-72
+-59
+-46
+-33
+-20
+-7
+6
+19
+33
+46
+59
+73
+86
+100
+113
+127
+140
+154
+168
+181
+195
+209
+222
+236
+250
+264
+278
+291
+305
+319
+333
+347
+361
+375
+389
+402
+416
+430
+444
+458
+472
+485
+499
+513
+527
+541
+554
+568
+582
+595
+609
+623
+636
+650
+663
+676
+690
+703
+717
+730
+743
+756
+769
+782
+795
+808
+821
+834
+847
+859
+872
+884
+897
+909
+921
+934
+946
+958
+970
+981
+993
+1005
+1016
+1028
+1039
+1051
+1062
+1073
+1084
+1095
+1105
+1116
+1127
+1137
+1147
+1157
+1167
+1177
+1187
+1197
+1206
+1216
+1225
+1234
+1243
+1252
+1261
+1269
+1278
+1286
+1294
+1302
+1310
+1318
+1325
+1332
+1340
+1347
+1354
+1360
+1367
+1373
+1380
+1386
+1392
+1397
+1403
+1408
+1413
+1418
+1423
+1428
+1432
+1437
+1441
+1445
+1449
+1452
+1456
+1459
+1462
+1465
+1467
+1470
+1472
+1474
+1476
+1477
+1479
+1480
+1481
+1482
+1482
+1483
+1483
+1483
+1483
+1482
+1482
+1481
+1480
+1479
+1477
+1475
+1474
+1471
+1469
+1467
+1464
+1461
+1458
+1454
+1451
+1447
+1443
+1439
+1434
+1429
+1424
+1419
+1414
+1408
+1403
+1397
+1390
+1384
+1377
+1371
+1363
+1356
+1349
+1341
+1333
+1325
+1316
+1308
+1299
+1290
+1281
+1271
+1262
+1252
+1242
+1231
+1221
+1210
+1199
+1188
+1177
+1165
+1154
+1142
+1129
+1117
+1104
+1092
+1079
+1066
+1052
+1039
+1025
+1011
+997
+983
+968
+953
+938
+923
+908
+893
+877
+861
+845
+829
+813
+796
+780
+763
+746
+729
+711
+694
+676
+658
+640
+622
+604
+585
+567
+548
+529
+510
+491
+472
+452
+433
+413
+393
+373
+353
+333
+313
+292
+272
+251
+231
+210
+189
+168
+147
+125
+104
+83
+61
+39
+18
+-4
+-26
+-48
+-70
+-92
+-114
+-136
+-158
+-180
+-203
+-225
+-247
+-270
+-292
+-315
+-337
+-360
+-383
+-405
+-428
+-451
+-473
+-496
+-519
+-541
+-564
+-587
+-609
+-632
+-655
+-678
+-700
+-723
+-745
+-768
+-790
+-813
+-835
+-858
+-880
+-902
+-925
+-947
+-969
+-991
+-1013
+-1035
+-1057
+-1079
+-1100
+-1122
+-1143
+-1165
+-1186
+-1207
+-1228
+-1250
+-1270
+-1291
+-1312
+-1332
+-1353
+-1373
+-1393
+-1413
+-1433
+-1453
+-1473
+-1492
+-1512
+-1531
+-1550
+-1569
+-1587
+-1606
+-1624
+-1642
+-1660
+-1678
+-1696
+-1713
+-1731
+-1748
+-1764
+-1781
+-1798
+-1814
+-1830
+-1846
+-1861
+-1877
+-1892
+-1907
+-1922
+-1936
+-1950
+-1964
+-1978
+-1992
+-2005
+-2018
+-2031
+-2043
+-2056
+-2068
+-2079
+-2091
+-2102
+-2113
+-2124
+-2134
+-2144
+-2154
+-2164
+-2173
+-2182
+-2191
+-2199
+-2207
+-2215
+-2222
+-2230
+-2236
+-2243
+-2249
+-2255
+-2261
+-2266
+-2271
+-2276
+-2280
+-2284
+-2288
+-2292
+-2295
+-2297
+-2300
+-2302
+-2304
+-2305
+-2306
+-2307
+-2307
+-2307
+-2307
+-2307
+-2306
+-2304
+-2303
+-2301
+-2298
+-2296
+-2293
+-2289
+-2285
+-2281
+-2277
+-2272
+-2267
+-2261
+-2255
+-2249
+-2243
+-2236
+-2228
+-2221
+-2213
+-2204
+-2195
+-2186
+-2177
+-2167
+-2157
+-2146
+-2135
+-2124
+-2113
+-2101
+-2088
+-2076
+-2063
+-2049
+-2035
+-2021
+-2007
+-1992
+-1977
+-1961
+-1946
+-1929
+-1913
+-1896
+-1879
+-1861
+-1843
+-1825
+-1806
+-1787
+-1768
+-1748
+-1729
+-1708
+-1688
+-1667
+-1646
+-1624
+-1602
+-1580
+-1557
+-1534
+-1511
+-1488
+-1464
+-1440
+-1416
+-1391
+-1366
+-1341
+-1315
+-1289
+-1263
+-1237
+-1210
+-1183
+-1156
+-1128
+-1100
+-1072
+-1044
+-1015
+-986
+-957
+-928
+-898
+-869
+-838
+-808
+-778
+-747
+-716
+-685
+-653
+-621
+-590
+-557
+-525
+-493
+-460
+-427
+-394
+-361
+-328
+-294
+-260
+-226
+-192
+-158
+-124
+-89
+-55
+-20
+15
+50
+85
+121
+156
+192
+227
+263
+299
+335
+371
+407
+443
+479
+515
+551
+588
+624
+661
+697
+734
+770
+807
+843
+880
+916
+953
+990
+1026
+1063
+1099
+1136
+1172
+1209
+1245
+1281
+1317
+1354
+1390
+1426
+1462
+1498
+1533
+1569
+1605
+1640
+1676
+1711
+1746
+1781
+1816
+1850
+1885
+1919
+1954
+1988
+2022
+2055
+2089
+2122
+2155
+2188
+2221
+2254
+2286
+2318
+2350
+2381
+2413
+2444
+2475
+2505
+2536
+2566
+2596
+2625
+2654
+2683
+2712
+2740
+2768
+2796
+2824
+2851
+2877
+2904
+2930
+2956
+2981
+3006
+3031
+3055
+3079
+3103
+3126
+3149
+3171
+3193
+3215
+3236
+3257
+3277
+3297
+3316
+3335
+3354
+3372
+3390
+3407
+3424
+3441
+3457
+3472
+3487
+3502
+3516
+3529
+3542
+3555
+3567
+3579
+3590
+3601
+3611
+3620
+3629
+3638
+3646
+3653
+3660
+3667
+3673
+3678
+3683
+3687
+3691
+3694
+3697
+3699
+3700
+3701
+3702
+3701
+3701
+3699
+3697
+3695
+3692
+3688
+3684
+3679
+3674
+3668
+3661
+3654
+3646
+3638
+3629
+3620
+3610
+3599
+3588
+3576
+3563
+3550
+3536
+3522
+3507
+3492
+3475
+3459
+3441
+3424
+3405
+3386
+3366
+3346
+3325
+3303
+3281
+3259
+3235
+3211
+3187
+3162
+3136
+3110
+3083
+3055
+3027
+2999
+2969
+2939
+2909
+2878
+2847
+2814
+2782
+2748
+2715
+2680
+2645
+2610
+2573
+2537
+2500
+2462
+2424
+2385
+2345
+2305
+2265
+2224
+2183
+2141
+2098
+2055
+2011
+1967
+1923
+1878
+1832
+1786
+1740
+1693
+1645
+1597
+1549
+1500
+1451
+1401
+1351
+1301
+1250
+1198
+1146
+1094
+1041
+988
+935
+881
+827
+772
+717
+662
+606
+550
+493
+437
+380
+322
+264
+206
+148
+90
+31
+-29
+-88
+-148
+-208
+-268
+-328
+-389
+-450
+-511
+-572
+-634
+-696
+-757
+-820
+-882
+-944
+-1007
+-1069
+-1132
+-1195
+-1258
+-1322
+-1385
+-1448
+-1512
+-1575
+-1639
+-1702
+-1766
+-1830
+-1893
+-1957
+-2021
+-2085
+-2149
+-2212
+-2276
+-2340
+-2403
+-2467
+-2530
+-2594
+-2657
+-2720
+-2783
+-2846
+-2909
+-2972
+-3034
+-3097
+-3159
+-3221
+-3283
+-3344
+-3406
+-3467
+-3528
+-3589
+-3649
+-3710
+-3770
+-3829
+-3889
+-3948
+-4007
+-4065
+-4123
+-4181
+-4239
+-4296
+-4353
+-4409
+-4465
+-4520
+-4575
+-4630
+-4684
+-4738
+-4792
+-4845
+-4897
+-4949
+-5000
+-5051
+-5102
+-5152
+-5201
+-5250
+-5298
+-5346
+-5393
+-5440
+-5486
+-5531
+-5576
+-5620
+-5663
+-5706
+-5748
+-5790
+-5830
+-5871
+-5910
+-5949
+-5987
+-6024
+-6061
+-6097
+-6132
+-6166
+-6199
+-6232
+-6264
+-6295
+-6326
+-6355
+-6384
+-6412
+-6439
+-6465
+-6491
+-6515
+-6539
+-6561
+-6583
+-6604
+-6624
+-6643
+-6661
+-6679
+-6695
+-6710
+-6725
+-6738
+-6751
+-6762
+-6773
+-6782
+-6791
+-6798
+-6804
+-6810
+-6814
+-6818
+-6820
+-6821
+-6821
+-6821
+-6819
+-6816
+-6812
+-6806
+-6800
+-6793
+-6784
+-6775
+-6764
+-6752
+-6739
+-6725
+-6710
+-6693
+-6676
+-6657
+-6637
+-6616
+-6594
+-6571
+-6546
+-6520
+-6494
+-6465
+-6436
+-6406
+-6374
+-6341
+-6307
+-6272
+-6235
+-6198
+-6159
+-6118
+-6077
+-6034
+-5991
+-5945
+-5899
+-5852
+-5803
+-5753
+-5702
+-5649
+-5595
+-5540
+-5484
+-5427
+-5368
+-5308
+-5247
+-5184
+-5121
+-5056
+-4989
+-4922
+-4853
+-4783
+-4712
+-4640
+-4566
+-4491
+-4415
+-4338
+-4259
+-4179
+-4098
+-4016
+-3932
+-3847
+-3761
+-3674
+-3586
+-3496
+-3405
+-3313
+-3220
+-3125
+-3029
+-2932
+-2834
+-2735
+-2634
+-2533
+-2430
+-2326
+-2221
+-2114
+-2007
+-1898
+-1788
+-1677
+-1565
+-1452
+-1338
+-1222
+-1105
+-988
+-869
+-749
+-628
+-506
+-383
+-258
+-133
+-7
+121
+249
+379
+509
+641
+773
+907
+1041
+1177
+1313
+1451
+1589
+1729
+1869
+2010
+2152
+2296
+2440
+2584
+2730
+2877
+3024
+3173
+3322
+3472
+3623
+3775
+3927
+4080
+4235
+4389
+4545
+4701
+4858
+5016
+5175
+5334
+5494
+5655
+5816
+5978
+6140
+6304
+6467
+6632
+6797
+6963
+7129
+7296
+7463
+7631
+7799
+7968
+8137
+8307
+8477
+8648
+8819
+8991
+9163
+9335
+9508
+9681
+9855
+10028
+10203
+10377
+10552
+10727
+10902
+11078
+11254
+11430
+11606
+11783
+11959
+12136
+12313
+12490
+12667
+12845
+13022
+13200
+13377
+13555
+13733
+13911
+14088
+14266
+14444
+14621
+14799
+14977
+15154
+15331
+15509
+15686
+15863
+16039
+16216
+16392
+16569
+16745
+16920
+17096
+17271
+17446
+17621
+17795
+17969
+18143
+18316
+18489
+18662
+18834
+19005
+19177
+19347
+19518
+19688
+19857
+20026
+20194
+20362
+20529
+20696
+20862
+21027
+21192
+21356
+21520
+21683
+21845
+22006
+22167
+22327
+22487
+22645
+22803
+22960
+23116
+23272
+23427
+23580
+23733
+23885
+24037
+24187
+24336
+24485
+24632
+24779
+24924
+25069
+25213
+25355
+25497
+25638
+25777
+25916
+26053
+26190
+26325
+26459
+26592
+26724
+26855
+26984
+27113
+27240
+27366
+27491
+27615
+27737
+27859
+27979
+28097
+28215
+28331
+28446
+28559
+28671
+28782
+28892
+29000
+29107
+29213
+29317
+29419
+29521
+29621
+29719
+29816
+29912
+30006
+30099
+30190
+30280
+30369
+30455
+30541
+30625
+30707
+30788
+30867
+30945
+31021
+31096
+31169
+31241
+31311
+31379
+31446
+31512
+31575
+31637
+31698
+31757
+31814
+31869
+31923
+31976
+32027
+32076
+32123
+32169
+32213
+32255
+32296
+32335
+32373
+32409
+32443
+32475
+32506
+32535
+32562
+32588
+32612
+32634
+32654
+32673
+32690
+32706
+32719
+32731
+32742
+32750
+32757
+32762
+32766
+32767
+32767
+32766
+32762
+32757
+32750
+32742
+32731
+32719
+32706
+32690
+32673
+32654
+32634
+32612
+32588
+32562
+32535
+32506
+32475
+32443
+32409
+32373
+32335
+32296
+32255
+32213
+32169
+32123
+32076
+32027
+31976
+31923
+31869
+31814
+31757
+31698
+31637
+31575
+31512
+31446
+31379
+31311
+31241
+31169
+31096
+31021
+30945
+30867
+30788
+30707
+30625
+30541
+30455
+30369
+30280
+30190
+30099
+30006
+29912
+29816
+29719
+29621
+29521
+29419
+29317
+29213
+29107
+29000
+28892
+28782
+28671
+28559
+28446
+28331
+28215
+28097
+27979
+27859
+27737
+27615
+27491
+27366
+27240
+27113
+26984
+26855
+26724
+26592
+26459
+26325
+26190
+26053
+25916
+25777
+25638
+25497
+25355
+25213
+25069
+24924
+24779
+24632
+24485
+24336
+24187
+24037
+23885
+23733
+23580
+23427
+23272
+23116
+22960
+22803
+22645
+22487
+22327
+22167
+22006
+21845
+21683
+21520
+21356
+21192
+21027
+20862
+20696
+20529
+20362
+20194
+20026
+19857
+19688
+19518
+19347
+19177
+19005
+18834
+18662
+18489
+18316
+18143
+17969
+17795
+17621
+17446
+17271
+17096
+16920
+16745
+16569
+16392
+16216
+16039
+15863
+15686
+15509
+15331
+15154
+14977
+14799
+14621
+14444
+14266
+14088
+13911
+13733
+13555
+13377
+13200
+13022
+12845
+12667
+12490
+12313
+12136
+11959
+11783
+11606
+11430
+11254
+11078
+10902
+10727
+10552
+10377
+10203
+10028
+9855
+9681
+9508
+9335
+9163
+8991
+8819
+8648
+8477
+8307
+8137
+7968
+7799
+7631
+7463
+7296
+7129
+6963
+6797
+6632
+6467
+6304
+6140
+5978
+5816
+5655
+5494
+5334
+5175
+5016
+4858
+4701
+4545
+4389
+4235
+4080
+3927
+3775
+3623
+3472
+3322
+3173
+3024
+2877
+2730
+2584
+2440
+2296
+2152
+2010
+1869
+1729
+1589
+1451
+1313
+1177
+1041
+907
+773
+641
+509
+379
+249
+121
+-7
+-133
+-258
+-383
+-506
+-628
+-749
+-869
+-988
+-1105
+-1222
+-1338
+-1452
+-1565
+-1677
+-1788
+-1898
+-2007
+-2114
+-2221
+-2326
+-2430
+-2533
+-2634
+-2735
+-2834
+-2932
+-3029
+-3125
+-3220
+-3313
+-3405
+-3496
+-3586
+-3674
+-3761
+-3847
+-3932
+-4016
+-4098
+-4179
+-4259
+-4338
+-4415
+-4491
+-4566
+-4640
+-4712
+-4783
+-4853
+-4922
+-4989
+-5056
+-5121
+-5184
+-5247
+-5308
+-5368
+-5427
+-5484
+-5540
+-5595
+-5649
+-5702
+-5753
+-5803
+-5852
+-5899
+-5945
+-5991
+-6034
+-6077
+-6118
+-6159
+-6198
+-6235
+-6272
+-6307
+-6341
+-6374
+-6406
+-6436
+-6465
+-6494
+-6520
+-6546
+-6571
+-6594
+-6616
+-6637
+-6657
+-6676
+-6693
+-6710
+-6725
+-6739
+-6752
+-6764
+-6775
+-6784
+-6793
+-6800
+-6806
+-6812
+-6816
+-6819
+-6821
+-6821
+-6821
+-6820
+-6818
+-6814
+-6810
+-6804
+-6798
+-6791
+-6782
+-6773
+-6762
+-6751
+-6738
+-6725
+-6710
+-6695
+-6679
+-6661
+-6643
+-6624
+-6604
+-6583
+-6561
+-6539
+-6515
+-6491
+-6465
+-6439
+-6412
+-6384
+-6355
+-6326
+-6295
+-6264
+-6232
+-6199
+-6166
+-6132
+-6097
+-6061
+-6024
+-5987
+-5949
+-5910
+-5871
+-5830
+-5790
+-5748
+-5706
+-5663
+-5620
+-5576
+-5531
+-5486
+-5440
+-5393
+-5346
+-5298
+-5250
+-5201
+-5152
+-5102
+-5051
+-5000
+-4949
+-4897
+-4845
+-4792
+-4738
+-4684
+-4630
+-4575
+-4520
+-4465
+-4409
+-4353
+-4296
+-4239
+-4181
+-4123
+-4065
+-4007
+-3948
+-3889
+-3829
+-3770
+-3710
+-3649
+-3589
+-3528
+-3467
+-3406
+-3344
+-3283
+-3221
+-3159
+-3097
+-3034
+-2972
+-2909
+-2846
+-2783
+-2720
+-2657
+-2594
+-2530
+-2467
+-2403
+-2340
+-2276
+-2212
+-2149
+-2085
+-2021
+-1957
+-1893
+-1830
+-1766
+-1702
+-1639
+-1575
+-1512
+-1448
+-1385
+-1322
+-1258
+-1195
+-1132
+-1069
+-1007
+-944
+-882
+-820
+-757
+-696
+-634
+-572
+-511
+-450
+-389
+-328
+-268
+-208
+-148
+-88
+-29
+31
+90
+148
+206
+264
+322
+380
+437
+493
+550
+606
+662
+717
+772
+827
+881
+935
+988
+1041
+1094
+1146
+1198
+1250
+1301
+1351
+1401
+1451
+1500
+1549
+1597
+1645
+1693
+1740
+1786
+1832
+1878
+1923
+1967
+2011
+2055
+2098
+2141
+2183
+2224
+2265
+2305
+2345
+2385
+2424
+2462
+2500
+2537
+2573
+2610
+2645
+2680
+2715
+2748
+2782
+2814
+2847
+2878
+2909
+2939
+2969
+2999
+3027
+3055
+3083
+3110
+3136
+3162
+3187
+3211
+3235
+3259
+3281
+3303
+3325
+3346
+3366
+3386
+3405
+3424
+3441
+3459
+3475
+3492
+3507
+3522
+3536
+3550
+3563
+3576
+3588
+3599
+3610
+3620
+3629
+3638
+3646
+3654
+3661
+3668
+3674
+3679
+3684
+3688
+3692
+3695
+3697
+3699
+3701
+3701
+3702
+3701
+3700
+3699
+3697
+3694
+3691
+3687
+3683
+3678
+3673
+3667
+3660
+3653
+3646
+3638
+3629
+3620
+3611
+3601
+3590
+3579
+3567
+3555
+3542
+3529
+3516
+3502
+3487
+3472
+3457
+3441
+3424
+3407
+3390
+3372
+3354
+3335
+3316
+3297
+3277
+3257
+3236
+3215
+3193
+3171
+3149
+3126
+3103
+3079
+3055
+3031
+3006
+2981
+2956
+2930
+2904
+2877
+2851
+2824
+2796
+2768
+2740
+2712
+2683
+2654
+2625
+2596
+2566
+2536
+2505
+2475
+2444
+2413
+2381
+2350
+2318
+2286
+2254
+2221
+2188
+2155
+2122
+2089
+2055
+2022
+1988
+1954
+1919
+1885
+1850
+1816
+1781
+1746
+1711
+1676
+1640
+1605
+1569
+1533
+1498
+1462
+1426
+1390
+1354
+1317
+1281
+1245
+1209
+1172
+1136
+1099
+1063
+1026
+990
+953
+916
+880
+843
+807
+770
+734
+697
+661
+624
+588
+551
+515
+479
+443
+407
+371
+335
+299
+263
+227
+192
+156
+121
+85
+50
+15
+-20
+-55
+-89
+-124
+-158
+-192
+-226
+-260
+-294
+-328
+-361
+-394
+-427
+-460
+-493
+-525
+-557
+-590
+-621
+-653
+-685
+-716
+-747
+-778
+-808
+-838
+-869
+-898
+-928
+-957
+-986
+-1015
+-1044
+-1072
+-1100
+-1128
+-1156
+-1183
+-1210
+-1237
+-1263
+-1289
+-1315
+-1341
+-1366
+-1391
+-1416
+-1440
+-1464
+-1488
+-1511
+-1534
+-1557
+-1580
+-1602
+-1624
+-1646
+-1667
+-1688
+-1708
+-1729
+-1748
+-1768
+-1787
+-1806
+-1825
+-1843
+-1861
+-1879
+-1896
+-1913
+-1929
+-1946
+-1961
+-1977
+-1992
+-2007
+-2021
+-2035
+-2049
+-2063
+-2076
+-2088
+-2101
+-2113
+-2124
+-2135
+-2146
+-2157
+-2167
+-2177
+-2186
+-2195
+-2204
+-2213
+-2221
+-2228
+-2236
+-2243
+-2249
+-2255
+-2261
+-2267
+-2272
+-2277
+-2281
+-2285
+-2289
+-2293
+-2296
+-2298
+-2301
+-2303
+-2304
+-2306
+-2307
+-2307
+-2307
+-2307
+-2307
+-2306
+-2305
+-2304
+-2302
+-2300
+-2297
+-2295
+-2292
+-2288
+-2284
+-2280
+-2276
+-2271
+-2266
+-2261
+-2255
+-2249
+-2243
+-2236
+-2230
+-2222
+-2215
+-2207
+-2199
+-2191
+-2182
+-2173
+-2164
+-2154
+-2144
+-2134
+-2124
+-2113
+-2102
+-2091
+-2079
+-2068
+-2056
+-2043
+-2031
+-2018
+-2005
+-1992
+-1978
+-1964
+-1950
+-1936
+-1922
+-1907
+-1892
+-1877
+-1861
+-1846
+-1830
+-1814
+-1798
+-1781
+-1764
+-1748
+-1731
+-1713
+-1696
+-1678
+-1660
+-1642
+-1624
+-1606
+-1587
+-1569
+-1550
+-1531
+-1512
+-1492
+-1473
+-1453
+-1433
+-1413
+-1393
+-1373
+-1353
+-1332
+-1312
+-1291
+-1270
+-1250
+-1228
+-1207
+-1186
+-1165
+-1143
+-1122
+-1100
+-1079
+-1057
+-1035
+-1013
+-991
+-969
+-947
+-925
+-902
+-880
+-858
+-835
+-813
+-790
+-768
+-745
+-723
+-700
+-678
+-655
+-632
+-609
+-587
+-564
+-541
+-519
+-496
+-473
+-451
+-428
+-405
+-383
+-360
+-337
+-315
+-292
+-270
+-247
+-225
+-203
+-180
+-158
+-136
+-114
+-92
+-70
+-48
+-26
+-4
+18
+39
+61
+83
+104
+125
+147
+168
+189
+210
+231
+251
+272
+292
+313
+333
+353
+373
+393
+413
+433
+452
+472
+491
+510
+529
+548
+567
+585
+604
+622
+640
+658
+676
+694
+711
+729
+746
+763
+780
+796
+813
+829
+845
+861
+877
+893
+908
+923
+938
+953
+968
+983
+997
+1011
+1025
+1039
+1052
+1066
+1079
+1092
+1104
+1117
+1129
+1142
+1154
+1165
+1177
+1188
+1199
+1210
+1221
+1231
+1242
+1252
+1262
+1271
+1281
+1290
+1299
+1308
+1316
+1325
+1333
+1341
+1349
+1356
+1363
+1371
+1377
+1384
+1390
+1397
+1403
+1408
+1414
+1419
+1424
+1429
+1434
+1439
+1443
+1447
+1451
+1454
+1458
+1461
+1464
+1467
+1469
+1471
+1474
+1475
+1477
+1479
+1480
+1481
+1482
+1482
+1483
+1483
+1483
+1483
+1482
+1482
+1481
+1480
+1479
+1477
+1476
+1474
+1472
+1470
+1467
+1465
+1462
+1459
+1456
+1452
+1449
+1445
+1441
+1437
+1432
+1428
+1423
+1418
+1413
+1408
+1403
+1397
+1392
+1386
+1380
+1373
+1367
+1360
+1354
+1347
+1340
+1332
+1325
+1318
+1310
+1302
+1294
+1286
+1278
+1269
+1261
+1252
+1243
+1234
+1225
+1216
+1206
+1197
+1187
+1177
+1167
+1157
+1147
+1137
+1127
+1116
+1105
+1095
+1084
+1073
+1062
+1051
+1039
+1028
+1016
+1005
+993
+981
+970
+958
+946
+934
+921
+909
+897
+884
+872
+859
+847
+834
+821
+808
+795
+782
+769
+756
+743
+730
+717
+703
+690
+676
+663
+650
+636
+623
+609
+595
+582
+568
+554
+541
+527
+513
+499
+485
+472
+458
+444
+430
+416
+402
+389
+375
+361
+347
+333
+319
+305
+291
+278
+264
+250
+236
+222
+209
+195
+181
+168
+154
+140
+127
+113
+100
+86
+73
+59
+46
+33
+19
+6
+-7
+-20
+-33
+-46
+-59
+-72
+-85
+-98
+-111
+-123
+-136
+-148
+-161
+-173
+-186
+-198
+-210
+-222
+-234
+-246
+-258
+-270
+-282
+-294
+-305
+-317
+-328
+-340
+-351
+-362
+-373
+-384
+-395
+-406
+-417
+-427
+-438
+-448
+-458
+-469
+-479
+-489
+-499
+-509
+-519
+-528
+-538
+-547
+-556
+-566
+-575
+-584
+-593
+-602
+-610
+-619
+-627
+-636
+-644
+-652
+-660
+-668
+-676
+-683
+-691
+-698
+-706
+-713
+-720
+-727
+-734
+-741
+-747
+-754
+-760
+-766
+-773
+-779
+-785
+-790
+-796
+-802
+-807
+-812
+-817
+-822
+-827
+-832
+-837
+-841
+-846
+-850
+-854
+-859
+-863
+-866
+-870
+-874
+-877
+-880
+-884
+-887
+-890
+-893
+-895
+-898
+-901
+-903
+-905
+-907
+-909
+-911
+-913
+-915
+-916
+-918
+-919
+-920
+-921
+-922
+-923
+-924
+-924
+-925
+-925
+-926
+-926
+-926
+-926
+-926
+-925
+-925
+-924
+-924
+-923
+-922
+-921
+-920
+-919
+-918
+-917
+-915
+-914
+-912
+-910
+-908
+-906
+-904
+-902
+-900
+-897
+-895
+-892
+-890
+-887
+-884
+-881
+-878
+-875
+-872
+-869
+-865
+-862
+-858
+-855
+-851
+-847
+-843
+-839
+-835
+-831
+-827
+-822
+-818
+-814
+-809
+-805
+-800
+-795
+-790
+-785
+-780
+-775
+-770
+-765
+-760
+-755
+-749
+-744
+-738
+-733
+-727
+-722
+-716
+-710
+-704
+-698
+-692
+-686
+-680
+-674
+-668
+-662
+-656
+-649
+-643
+-637
+-630
+-624
+-617
+-611
+-604
+-598
+-591
+-584
+-577
+-571
+-564
+-557
+-550
+-543
+-536
+-529
+-522
+-515
+-508
+-501
+-494
+-487
+-480
+-473
+-466
+-458
+-451
+-444
+-437
+-430
+-422
+-415
+-408
+-400
+-393
+-386
+-378
+-371
+-364
+-356
+-349
+-342
+-334
+-327
+-320
+-312
+-305
+-298
+-290
+-283
+-276
+-268
+-261
+-254
+-246
+-239
+-232
+-224
+-217
+-210
+-203
+-195
+-188
+-181
+-174
+-167
+-160
+-152
+-145
+-138
+-131
+-124
+-117
+-110
+-103
+-96
+-89
+-82
+-75
+-69
+-62
+-55
+-48
+-41
+-35
+-28
+-21
+-15
+-8
+-2
+5
+11
+18
+24
+31
+37
+43
+50
+56
+62
+68
+74
+80
+86
+92
+98
+104
+110
+116
+122
+127
+133
+139
+144
+150
+155
+161
+166
+172
+177
+182
+187
+193
+198
+203
+208
+213
+218
+223
+228
+232
+237
+242
+246
+251
+256
+260
+264
+269
+273
+278
+282
+286
+290
+294
+298
+302
+306
+310
+314
+317
+321
+325
+328
+332
+335
+339
+342
+346
+349
+352
+355
+358
+362
+365
+367
+370
+373
+376
+379
+382
+384
+387
+389
+392
+394
+397
+399
+401
+403
+406
+408
+410
+412
+414
+416
+418
+419
+421
+423
+425
+426
+428
+429
+431
+432
+433
+435
+436
+437
+438
+440
+441
+442
+443
+444
+444
+445
+446
+447
+448
+448
+449
+449
+450
+450
+451
+451
+452
+452
+452
+452
+452
+453
+453
+453
+453
+453
+453
+453
+452
+452
+452
+452
+451
+451
+451
+450
+450
+449
+449
+448
+448
+447
+446
+446
+445
+444
+443
+443
+442
+441
+440
+439
+438
+437
+436
+435
+434
+433
+431
+430
+429
+428
+427
+425
+424
+423
+421
+420
+418
+417
+415
+414
+412
+411
+409
+408
+406
+404
+403
+401
+399
+398
+396
+394
+393
+391
+389
+387
+385
+383
+382
+380
+378
+376
+374
+372
+370
+368
+366
+364
+362
+360
+358
+356
+354
+352
+350
+348
+346
+344
+341
+339
+337
+335
+333
+331
+329
+326
+324
+322
+320
+318
+315
+313
+311
+309
+307
+304
+302
+300
+298
+295
+293
+291
+289
+287
+284
+282
+280
+278
+275
+273
+271
+269
+266
+264
+262
+260
+258
+255
+253
+251
+249
+246
+244
+242
+240
+238
+235
+233
+231
+229
+227
+225
+222
+220
+218
+216
+214
+212
+210
+207
+205
+203
+201
+199
+197
+195
+193
+191
+189
+187
+185
+183
+181
+179
+177
+175
+173
+171
+169
+167
+165
+163
+161
+159
+157
+155
+154
+152
+150
+148
+146
+144
+143
+141
+139
+137
+135
+134
+132
+130
+129
+127
+125
+123
+122
+120
+118
+117
+115
+114
+112
+110
+109
+107
+106
+104
+103
+101
+100
+98
+97
+95
+94
+93
+91
+90
+88
+87
+86
+84
+83
+82
+80
+79
+78
+76
+75
+74
+73
+72
+70
+69
+68
+67
+66
+64
+63
+62
+61
+60
+59
+58
+57
+56
+55
+54
+53
+52
+51
+50
+49
+48
+47
+46
+45
+44
+43
+42
+41
+41
+40
+39
+38
+37
+37
+36
+35
+34
+34
+33
+32
+31
+31
+30
+29
+29
+28
+27
+27
+26
+25
+25
+24
+23
+23
+22
+22
+21
+20
+20
+19
+19
+18
+18
+17
+17
+16
+16
+15
+15
+15
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
diff --git a/applications/lofar1/pfs/src/data/Coeffs16384.dat b/applications/lofar1/pfs/src/data/Coeffs16384.dat
new file mode 100644
index 0000000000000000000000000000000000000000..6124c154ab5652ceab058bc82637a8eb330bb979
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/Coeffs16384.dat
@@ -0,0 +1,16384 @@
+-2.33e-013
+-2.328e-013
+-2.3241e-013
+-2.318e-013
+-2.3098e-013
+-2.2994e-013
+-2.2865e-013
+-2.2709e-013
+-2.2526e-013
+-2.2311e-013
+-2.2064e-013
+-2.1779e-013
+-2.1455e-013
+-2.1087e-013
+-2.0671e-013
+-2.0204e-013
+-1.968e-013
+-1.9095e-013
+-1.8444e-013
+-1.7721e-013
+-1.6921e-013
+-1.6037e-013
+-1.5064e-013
+-1.3995e-013
+-1.2823e-013
+-1.1541e-013
+-1.0142e-013
+-8.618e-014
+-6.9614e-014
+-5.1641e-014
+-3.2177e-014
+-1.1138e-014
+1.1566e-014
+3.6024e-014
+6.233e-014
+9.0579e-014
+1.2087e-013
+1.533e-013
+1.8797e-013
+2.2498e-013
+2.6445e-013
+3.0648e-013
+3.5118e-013
+3.9866e-013
+4.4903e-013
+5.0242e-013
+5.5893e-013
+6.187e-013
+6.8183e-013
+7.4845e-013
+8.187e-013
+8.9268e-013
+9.7054e-013
+1.0524e-012
+1.1384e-012
+1.2286e-012
+1.3233e-012
+1.4224e-012
+1.5263e-012
+1.6349e-012
+1.7485e-012
+1.8672e-012
+1.9911e-012
+2.1203e-012
+2.2551e-012
+2.3955e-012
+2.5417e-012
+2.6939e-012
+2.8522e-012
+3.0166e-012
+3.1875e-012
+3.365e-012
+3.5491e-012
+3.74e-012
+3.938e-012
+4.1431e-012
+4.3555e-012
+4.5753e-012
+4.8028e-012
+5.038e-012
+5.2812e-012
+5.5325e-012
+5.7919e-012
+6.0598e-012
+6.3363e-012
+6.6214e-012
+6.9155e-012
+7.2186e-012
+7.5309e-012
+7.8525e-012
+8.1837e-012
+8.5246e-012
+8.8754e-012
+9.2361e-012
+9.6071e-012
+9.9884e-012
+1.038e-011
+1.0783e-011
+1.1196e-011
+1.1621e-011
+1.2056e-011
+1.2503e-011
+1.2962e-011
+1.3432e-011
+1.3914e-011
+1.4408e-011
+1.4914e-011
+1.5433e-011
+1.5965e-011
+1.6509e-011
+1.7066e-011
+1.7636e-011
+1.8219e-011
+1.8816e-011
+1.9427e-011
+2.0051e-011
+2.0689e-011
+2.1342e-011
+2.2009e-011
+2.269e-011
+2.3386e-011
+2.4098e-011
+2.4824e-011
+2.5565e-011
+2.6322e-011
+2.7095e-011
+2.7884e-011
+2.8688e-011
+2.9509e-011
+3.0347e-011
+3.1201e-011
+3.2072e-011
+3.2959e-011
+3.3865e-011
+3.4787e-011
+3.5728e-011
+3.6686e-011
+3.7662e-011
+3.8657e-011
+3.967e-011
+4.0702e-011
+4.1752e-011
+4.2822e-011
+4.3911e-011
+4.502e-011
+4.6148e-011
+4.7297e-011
+4.8466e-011
+4.9655e-011
+5.0865e-011
+5.2096e-011
+5.3347e-011
+5.4621e-011
+5.5916e-011
+5.7232e-011
+5.8571e-011
+5.9932e-011
+6.1316e-011
+6.2723e-011
+6.4152e-011
+6.5605e-011
+6.7081e-011
+6.8582e-011
+7.0106e-011
+7.1654e-011
+7.3227e-011
+7.4825e-011
+7.6448e-011
+7.8097e-011
+7.9771e-011
+8.147e-011
+8.3196e-011
+8.4949e-011
+8.6728e-011
+8.8534e-011
+9.0367e-011
+9.2228e-011
+9.4116e-011
+9.6033e-011
+9.7978e-011
+9.9952e-011
+1.0195e-010
+1.0399e-010
+1.0605e-010
+1.0814e-010
+1.1026e-010
+1.1241e-010
+1.1459e-010
+1.1681e-010
+1.1905e-010
+1.2133e-010
+1.2364e-010
+1.2598e-010
+1.2835e-010
+1.3076e-010
+1.332e-010
+1.3567e-010
+1.3818e-010
+1.4072e-010
+1.4329e-010
+1.459e-010
+1.4855e-010
+1.5123e-010
+1.5395e-010
+1.567e-010
+1.5949e-010
+1.6232e-010
+1.6518e-010
+1.6808e-010
+1.7102e-010
+1.74e-010
+1.7702e-010
+1.8007e-010
+1.8317e-010
+1.863e-010
+1.8947e-010
+1.9269e-010
+1.9594e-010
+1.9924e-010
+2.0258e-010
+2.0596e-010
+2.0938e-010
+2.1284e-010
+2.1635e-010
+2.199e-010
+2.235e-010
+2.2713e-010
+2.3082e-010
+2.3454e-010
+2.3832e-010
+2.4214e-010
+2.46e-010
+2.4991e-010
+2.5387e-010
+2.5787e-010
+2.6193e-010
+2.6603e-010
+2.7018e-010
+2.7437e-010
+2.7862e-010
+2.8292e-010
+2.8726e-010
+2.9166e-010
+2.9611e-010
+3.0061e-010
+3.0516e-010
+3.0976e-010
+3.1441e-010
+3.1912e-010
+3.2388e-010
+3.287e-010
+3.3357e-010
+3.3849e-010
+3.4347e-010
+3.485e-010
+3.5359e-010
+3.5874e-010
+3.6394e-010
+3.692e-010
+3.7452e-010
+3.799e-010
+3.8533e-010
+3.9083e-010
+3.9638e-010
+4.0199e-010
+4.0766e-010
+4.134e-010
+4.1919e-010
+4.2505e-010
+4.3097e-010
+4.3695e-010
+4.4299e-010
+4.491e-010
+4.5528e-010
+4.6151e-010
+4.6781e-010
+4.7418e-010
+4.8061e-010
+4.8711e-010
+4.9368e-010
+5.0031e-010
+5.0701e-010
+5.1378e-010
+5.2062e-010
+5.2753e-010
+5.3451e-010
+5.4156e-010
+5.4868e-010
+5.5587e-010
+5.6313e-010
+5.7046e-010
+5.7787e-010
+5.8535e-010
+5.929e-010
+6.0053e-010
+6.0824e-010
+6.1602e-010
+6.2387e-010
+6.318e-010
+6.3981e-010
+6.479e-010
+6.5606e-010
+6.643e-010
+6.7263e-010
+6.8103e-010
+6.8951e-010
+6.9807e-010
+7.0671e-010
+7.1544e-010
+7.2425e-010
+7.3314e-010
+7.4211e-010
+7.5117e-010
+7.6031e-010
+7.6954e-010
+7.7885e-010
+7.8825e-010
+7.9774e-010
+8.0731e-010
+8.1698e-010
+8.2673e-010
+8.3657e-010
+8.465e-010
+8.5652e-010
+8.6663e-010
+8.7683e-010
+8.8712e-010
+8.9751e-010
+9.0799e-010
+9.1856e-010
+9.2923e-010
+9.4e-010
+9.5085e-010
+9.6181e-010
+9.7286e-010
+9.8401e-010
+9.9526e-010
+1.0066e-009
+1.018e-009
+1.0296e-009
+1.0412e-009
+1.053e-009
+1.0648e-009
+1.0768e-009
+1.0888e-009
+1.101e-009
+1.1133e-009
+1.1256e-009
+1.1381e-009
+1.1507e-009
+1.1634e-009
+1.1762e-009
+1.1891e-009
+1.2021e-009
+1.2152e-009
+1.2284e-009
+1.2418e-009
+1.2552e-009
+1.2688e-009
+1.2824e-009
+1.2962e-009
+1.3101e-009
+1.3242e-009
+1.3383e-009
+1.3525e-009
+1.3669e-009
+1.3814e-009
+1.396e-009
+1.4107e-009
+1.4255e-009
+1.4405e-009
+1.4556e-009
+1.4708e-009
+1.4861e-009
+1.5015e-009
+1.5171e-009
+1.5328e-009
+1.5486e-009
+1.5646e-009
+1.5806e-009
+1.5968e-009
+1.6132e-009
+1.6296e-009
+1.6462e-009
+1.6629e-009
+1.6797e-009
+1.6967e-009
+1.7138e-009
+1.7311e-009
+1.7484e-009
+1.7659e-009
+1.7836e-009
+1.8014e-009
+1.8193e-009
+1.8373e-009
+1.8555e-009
+1.8738e-009
+1.8923e-009
+1.9109e-009
+1.9296e-009
+1.9485e-009
+1.9675e-009
+1.9867e-009
+2.006e-009
+2.0255e-009
+2.0451e-009
+2.0648e-009
+2.0847e-009
+2.1048e-009
+2.125e-009
+2.1453e-009
+2.1658e-009
+2.1864e-009
+2.2072e-009
+2.2282e-009
+2.2493e-009
+2.2705e-009
+2.2919e-009
+2.3135e-009
+2.3352e-009
+2.3571e-009
+2.3791e-009
+2.4013e-009
+2.4236e-009
+2.4461e-009
+2.4688e-009
+2.4916e-009
+2.5146e-009
+2.5377e-009
+2.5611e-009
+2.5845e-009
+2.6082e-009
+2.632e-009
+2.656e-009
+2.6801e-009
+2.7044e-009
+2.7289e-009
+2.7536e-009
+2.7784e-009
+2.8034e-009
+2.8285e-009
+2.8539e-009
+2.8794e-009
+2.9051e-009
+2.9309e-009
+2.957e-009
+2.9832e-009
+3.0096e-009
+3.0362e-009
+3.0629e-009
+3.0898e-009
+3.117e-009
+3.1443e-009
+3.1717e-009
+3.1994e-009
+3.2273e-009
+3.2553e-009
+3.2835e-009
+3.3119e-009
+3.3405e-009
+3.3693e-009
+3.3983e-009
+3.4274e-009
+3.4568e-009
+3.4863e-009
+3.5161e-009
+3.546e-009
+3.5762e-009
+3.6065e-009
+3.637e-009
+3.6677e-009
+3.6986e-009
+3.7298e-009
+3.7611e-009
+3.7926e-009
+3.8243e-009
+3.8563e-009
+3.8884e-009
+3.9207e-009
+3.9532e-009
+3.986e-009
+4.0189e-009
+4.0521e-009
+4.0855e-009
+4.119e-009
+4.1528e-009
+4.1868e-009
+4.221e-009
+4.2555e-009
+4.2901e-009
+4.3249e-009
+4.36e-009
+4.3953e-009
+4.4308e-009
+4.4665e-009
+4.5025e-009
+4.5386e-009
+4.575e-009
+4.6116e-009
+4.6484e-009
+4.6855e-009
+4.7227e-009
+4.7602e-009
+4.798e-009
+4.8359e-009
+4.8741e-009
+4.9125e-009
+4.9512e-009
+4.99e-009
+5.0291e-009
+5.0685e-009
+5.108e-009
+5.1478e-009
+5.1879e-009
+5.2281e-009
+5.2687e-009
+5.3094e-009
+5.3504e-009
+5.3916e-009
+5.4331e-009
+5.4748e-009
+5.5167e-009
+5.5589e-009
+5.6014e-009
+5.6441e-009
+5.687e-009
+5.7302e-009
+5.7736e-009
+5.8173e-009
+5.8612e-009
+5.9054e-009
+5.9498e-009
+5.9945e-009
+6.0394e-009
+6.0846e-009
+6.13e-009
+6.1757e-009
+6.2217e-009
+6.2679e-009
+6.3144e-009
+6.3611e-009
+6.4081e-009
+6.4554e-009
+6.5029e-009
+6.5507e-009
+6.5987e-009
+6.647e-009
+6.6956e-009
+6.7444e-009
+6.7936e-009
+6.8429e-009
+6.8926e-009
+6.9425e-009
+6.9927e-009
+7.0432e-009
+7.0939e-009
+7.1449e-009
+7.1962e-009
+7.2478e-009
+7.2996e-009
+7.3517e-009
+7.4041e-009
+7.4568e-009
+7.5098e-009
+7.563e-009
+7.6165e-009
+7.6703e-009
+7.7244e-009
+7.7788e-009
+7.8335e-009
+7.8884e-009
+7.9436e-009
+7.9992e-009
+8.055e-009
+8.1111e-009
+8.1675e-009
+8.2242e-009
+8.2812e-009
+8.3385e-009
+8.396e-009
+8.4539e-009
+8.5121e-009
+8.5705e-009
+8.6293e-009
+8.6884e-009
+8.7477e-009
+8.8074e-009
+8.8674e-009
+8.9276e-009
+8.9882e-009
+9.0491e-009
+9.1103e-009
+9.1718e-009
+9.2336e-009
+9.2957e-009
+9.3581e-009
+9.4209e-009
+9.4839e-009
+9.5473e-009
+9.6109e-009
+9.6749e-009
+9.7392e-009
+9.8038e-009
+9.8688e-009
+9.934e-009
+9.9996e-009
+1.0065e-008
+1.0132e-008
+1.0198e-008
+1.0265e-008
+1.0332e-008
+1.04e-008
+1.0468e-008
+1.0536e-008
+1.0604e-008
+1.0673e-008
+1.0742e-008
+1.0812e-008
+1.0881e-008
+1.0951e-008
+1.1022e-008
+1.1093e-008
+1.1164e-008
+1.1235e-008
+1.1307e-008
+1.1379e-008
+1.1452e-008
+1.1524e-008
+1.1598e-008
+1.1671e-008
+1.1745e-008
+1.1819e-008
+1.1894e-008
+1.1968e-008
+1.2044e-008
+1.2119e-008
+1.2195e-008
+1.2271e-008
+1.2348e-008
+1.2425e-008
+1.2502e-008
+1.258e-008
+1.2657e-008
+1.2736e-008
+1.2814e-008
+1.2893e-008
+1.2973e-008
+1.3053e-008
+1.3133e-008
+1.3213e-008
+1.3294e-008
+1.3375e-008
+1.3457e-008
+1.3538e-008
+1.3621e-008
+1.3703e-008
+1.3786e-008
+1.3869e-008
+1.3953e-008
+1.4037e-008
+1.4122e-008
+1.4206e-008
+1.4291e-008
+1.4377e-008
+1.4463e-008
+1.4549e-008
+1.4636e-008
+1.4723e-008
+1.481e-008
+1.4898e-008
+1.4986e-008
+1.5074e-008
+1.5163e-008
+1.5252e-008
+1.5342e-008
+1.5432e-008
+1.5522e-008
+1.5613e-008
+1.5704e-008
+1.5795e-008
+1.5887e-008
+1.5979e-008
+1.6072e-008
+1.6165e-008
+1.6258e-008
+1.6352e-008
+1.6446e-008
+1.6541e-008
+1.6636e-008
+1.6731e-008
+1.6827e-008
+1.6923e-008
+1.7019e-008
+1.7116e-008
+1.7213e-008
+1.7311e-008
+1.7409e-008
+1.7507e-008
+1.7606e-008
+1.7705e-008
+1.7805e-008
+1.7905e-008
+1.8005e-008
+1.8106e-008
+1.8207e-008
+1.8309e-008
+1.841e-008
+1.8513e-008
+1.8616e-008
+1.8719e-008
+1.8822e-008
+1.8926e-008
+1.903e-008
+1.9135e-008
+1.924e-008
+1.9346e-008
+1.9452e-008
+1.9558e-008
+1.9665e-008
+1.9772e-008
+1.9879e-008
+1.9987e-008
+2.0096e-008
+2.0205e-008
+2.0314e-008
+2.0423e-008
+2.0533e-008
+2.0644e-008
+2.0754e-008
+2.0866e-008
+2.0977e-008
+2.1089e-008
+2.1202e-008
+2.1314e-008
+2.1428e-008
+2.1541e-008
+2.1655e-008
+2.177e-008
+2.1885e-008
+2.2e-008
+2.2116e-008
+2.2232e-008
+2.2349e-008
+2.2465e-008
+2.2583e-008
+2.2701e-008
+2.2819e-008
+2.2937e-008
+2.3057e-008
+2.3176e-008
+2.3296e-008
+2.3416e-008
+2.3537e-008
+2.3658e-008
+2.378e-008
+2.3902e-008
+2.4024e-008
+2.4147e-008
+2.427e-008
+2.4394e-008
+2.4518e-008
+2.4642e-008
+2.4767e-008
+2.4892e-008
+2.5018e-008
+2.5144e-008
+2.5271e-008
+2.5398e-008
+2.5525e-008
+2.5653e-008
+2.5782e-008
+2.591e-008
+2.6039e-008
+2.6169e-008
+2.6299e-008
+2.6429e-008
+2.656e-008
+2.6691e-008
+2.6823e-008
+2.6955e-008
+2.7088e-008
+2.7221e-008
+2.7354e-008
+2.7488e-008
+2.7622e-008
+2.7757e-008
+2.7892e-008
+2.8027e-008
+2.8163e-008
+2.83e-008
+2.8436e-008
+2.8574e-008
+2.8711e-008
+2.8849e-008
+2.8988e-008
+2.9127e-008
+2.9266e-008
+2.9406e-008
+2.9546e-008
+2.9687e-008
+2.9828e-008
+2.9969e-008
+3.0111e-008
+3.0254e-008
+3.0396e-008
+3.054e-008
+3.0683e-008
+3.0827e-008
+3.0972e-008
+3.1117e-008
+3.1262e-008
+3.1408e-008
+3.1554e-008
+3.17e-008
+3.1847e-008
+3.1995e-008
+3.2143e-008
+3.2291e-008
+3.244e-008
+3.2589e-008
+3.2738e-008
+3.2888e-008
+3.3039e-008
+3.319e-008
+3.3341e-008
+3.3493e-008
+3.3645e-008
+3.3797e-008
+3.395e-008
+3.4104e-008
+3.4257e-008
+3.4412e-008
+3.4566e-008
+3.4721e-008
+3.4877e-008
+3.5033e-008
+3.5189e-008
+3.5346e-008
+3.5503e-008
+3.566e-008
+3.5818e-008
+3.5977e-008
+3.6136e-008
+3.6295e-008
+3.6455e-008
+3.6615e-008
+3.6775e-008
+3.6936e-008
+3.7097e-008
+3.7259e-008
+3.7421e-008
+3.7584e-008
+3.7747e-008
+3.791e-008
+3.8074e-008
+3.8238e-008
+3.8403e-008
+3.8568e-008
+3.8734e-008
+3.8899e-008
+3.9066e-008
+3.9232e-008
+3.9399e-008
+3.9567e-008
+3.9735e-008
+3.9903e-008
+4.0072e-008
+4.0241e-008
+4.041e-008
+4.058e-008
+4.0751e-008
+4.0921e-008
+4.1093e-008
+4.1264e-008
+4.1436e-008
+4.1608e-008
+4.1781e-008
+4.1954e-008
+4.2128e-008
+4.2302e-008
+4.2476e-008
+4.2651e-008
+4.2826e-008
+4.3001e-008
+4.3177e-008
+4.3353e-008
+4.353e-008
+4.3707e-008
+4.3884e-008
+4.4062e-008
+4.424e-008
+4.4419e-008
+4.4598e-008
+4.4777e-008
+4.4957e-008
+4.5137e-008
+4.5317e-008
+4.5498e-008
+4.5679e-008
+4.5861e-008
+4.6043e-008
+4.6225e-008
+4.6408e-008
+4.6591e-008
+4.6775e-008
+4.6958e-008
+4.7143e-008
+4.7327e-008
+4.7512e-008
+4.7697e-008
+4.7883e-008
+4.8069e-008
+4.8255e-008
+4.8442e-008
+4.8629e-008
+4.8817e-008
+4.9005e-008
+4.9193e-008
+4.9381e-008
+4.957e-008
+4.9759e-008
+4.9949e-008
+5.0139e-008
+5.0329e-008
+5.0519e-008
+5.071e-008
+5.0902e-008
+5.1093e-008
+5.1285e-008
+5.1477e-008
+5.167e-008
+5.1863e-008
+5.2056e-008
+5.225e-008
+5.2444e-008
+5.2638e-008
+5.2833e-008
+5.3028e-008
+5.3223e-008
+5.3418e-008
+5.3614e-008
+5.381e-008
+5.4007e-008
+5.4204e-008
+5.4401e-008
+5.4598e-008
+5.4796e-008
+5.4994e-008
+5.5192e-008
+5.5391e-008
+5.559e-008
+5.5789e-008
+5.5989e-008
+5.6189e-008
+5.6389e-008
+5.6589e-008
+5.679e-008
+5.6991e-008
+5.7192e-008
+5.7394e-008
+5.7595e-008
+5.7798e-008
+5.8e-008
+5.8203e-008
+5.8406e-008
+5.8609e-008
+5.8812e-008
+5.9016e-008
+5.922e-008
+5.9424e-008
+5.9629e-008
+5.9834e-008
+6.0039e-008
+6.0244e-008
+6.045e-008
+6.0655e-008
+6.0861e-008
+6.1068e-008
+6.1274e-008
+6.1481e-008
+6.1688e-008
+6.1895e-008
+6.2103e-008
+6.231e-008
+6.2518e-008
+6.2726e-008
+6.2935e-008
+6.3143e-008
+6.3352e-008
+6.3561e-008
+6.377e-008
+6.398e-008
+6.419e-008
+6.4399e-008
+6.4609e-008
+6.482e-008
+6.503e-008
+6.5241e-008
+6.5452e-008
+6.5663e-008
+6.5874e-008
+6.6085e-008
+6.6297e-008
+6.6509e-008
+6.6721e-008
+6.6933e-008
+6.7145e-008
+6.7357e-008
+6.757e-008
+6.7783e-008
+6.7996e-008
+6.8209e-008
+6.8422e-008
+6.8635e-008
+6.8849e-008
+6.9062e-008
+6.9276e-008
+6.949e-008
+6.9704e-008
+6.9918e-008
+7.0133e-008
+7.0347e-008
+7.0562e-008
+7.0776e-008
+7.0991e-008
+7.1206e-008
+7.1421e-008
+7.1636e-008
+7.1852e-008
+7.2067e-008
+7.2282e-008
+7.2498e-008
+7.2713e-008
+7.2929e-008
+7.3145e-008
+7.3361e-008
+7.3577e-008
+7.3793e-008
+7.4009e-008
+7.4225e-008
+7.4441e-008
+7.4657e-008
+7.4873e-008
+7.509e-008
+7.5306e-008
+7.5523e-008
+7.5739e-008
+7.5956e-008
+7.6172e-008
+7.6389e-008
+7.6605e-008
+7.6822e-008
+7.7039e-008
+7.7255e-008
+7.7472e-008
+7.7689e-008
+7.7905e-008
+7.8122e-008
+7.8339e-008
+7.8555e-008
+7.8772e-008
+7.8988e-008
+7.9205e-008
+7.9422e-008
+7.9638e-008
+7.9855e-008
+8.0071e-008
+8.0287e-008
+8.0504e-008
+8.072e-008
+8.0936e-008
+8.1153e-008
+8.1369e-008
+8.1585e-008
+8.1801e-008
+8.2017e-008
+8.2233e-008
+8.2448e-008
+8.2664e-008
+8.288e-008
+8.3095e-008
+8.3311e-008
+8.3526e-008
+8.3741e-008
+8.3956e-008
+8.4171e-008
+8.4386e-008
+8.4601e-008
+8.4815e-008
+8.503e-008
+8.5244e-008
+8.5458e-008
+8.5672e-008
+8.5886e-008
+8.61e-008
+8.6313e-008
+8.6526e-008
+8.6739e-008
+8.6952e-008
+8.7165e-008
+8.7378e-008
+8.759e-008
+8.7803e-008
+8.8015e-008
+8.8226e-008
+8.8438e-008
+8.8649e-008
+8.886e-008
+8.9071e-008
+8.9282e-008
+8.9492e-008
+8.9703e-008
+8.9913e-008
+9.0122e-008
+9.0332e-008
+9.0541e-008
+9.075e-008
+9.0958e-008
+9.1167e-008
+9.1375e-008
+9.1583e-008
+9.179e-008
+9.1997e-008
+9.2204e-008
+9.2411e-008
+9.2617e-008
+9.2823e-008
+9.3029e-008
+9.3234e-008
+9.3439e-008
+9.3643e-008
+9.3848e-008
+9.4051e-008
+9.4255e-008
+9.4458e-008
+9.4661e-008
+9.4863e-008
+9.5065e-008
+9.5267e-008
+9.5468e-008
+9.5669e-008
+9.587e-008
+9.607e-008
+9.6269e-008
+9.6468e-008
+9.6667e-008
+9.6865e-008
+9.7063e-008
+9.7261e-008
+9.7458e-008
+9.7654e-008
+9.785e-008
+9.8046e-008
+9.8241e-008
+9.8435e-008
+9.863e-008
+9.8823e-008
+9.9016e-008
+9.9209e-008
+9.9401e-008
+9.9593e-008
+9.9784e-008
+9.9974e-008
+1.0016e-007
+1.0035e-007
+1.0054e-007
+1.0073e-007
+1.0092e-007
+1.0111e-007
+1.0129e-007
+1.0148e-007
+1.0166e-007
+1.0185e-007
+1.0203e-007
+1.0222e-007
+1.024e-007
+1.0258e-007
+1.0276e-007
+1.0294e-007
+1.0313e-007
+1.033e-007
+1.0348e-007
+1.0366e-007
+1.0384e-007
+1.0402e-007
+1.0419e-007
+1.0437e-007
+1.0454e-007
+1.0472e-007
+1.0489e-007
+1.0507e-007
+1.0524e-007
+1.0541e-007
+1.0558e-007
+1.0575e-007
+1.0592e-007
+1.0609e-007
+1.0626e-007
+1.0642e-007
+1.0659e-007
+1.0675e-007
+1.0692e-007
+1.0708e-007
+1.0725e-007
+1.0741e-007
+1.0757e-007
+1.0773e-007
+1.0789e-007
+1.0805e-007
+1.0821e-007
+1.0836e-007
+1.0852e-007
+1.0867e-007
+1.0883e-007
+1.0898e-007
+1.0913e-007
+1.0929e-007
+1.0944e-007
+1.0959e-007
+1.0974e-007
+1.0988e-007
+1.1003e-007
+1.1018e-007
+1.1032e-007
+1.1047e-007
+1.1061e-007
+1.1075e-007
+1.1089e-007
+1.1103e-007
+1.1117e-007
+1.1131e-007
+1.1145e-007
+1.1158e-007
+1.1172e-007
+1.1185e-007
+1.1199e-007
+1.1212e-007
+1.1225e-007
+1.1238e-007
+1.1251e-007
+1.1263e-007
+1.1276e-007
+1.1289e-007
+1.1301e-007
+1.1313e-007
+1.1325e-007
+1.1338e-007
+1.1349e-007
+1.1361e-007
+1.1373e-007
+1.1385e-007
+1.1396e-007
+1.1408e-007
+1.1419e-007
+1.143e-007
+1.1441e-007
+1.1452e-007
+1.1463e-007
+1.1473e-007
+1.1484e-007
+1.1494e-007
+1.1504e-007
+1.1514e-007
+1.1524e-007
+1.1534e-007
+1.1544e-007
+1.1554e-007
+1.1563e-007
+1.1572e-007
+1.1582e-007
+1.1591e-007
+1.16e-007
+1.1608e-007
+1.1617e-007
+1.1625e-007
+1.1634e-007
+1.1642e-007
+1.165e-007
+1.1658e-007
+1.1666e-007
+1.1674e-007
+1.1681e-007
+1.1688e-007
+1.1696e-007
+1.1703e-007
+1.171e-007
+1.1716e-007
+1.1723e-007
+1.1729e-007
+1.1736e-007
+1.1742e-007
+1.1748e-007
+1.1754e-007
+1.1759e-007
+1.1765e-007
+1.177e-007
+1.1775e-007
+1.178e-007
+1.1785e-007
+1.179e-007
+1.1795e-007
+1.1799e-007
+1.1803e-007
+1.1807e-007
+1.1811e-007
+1.1815e-007
+1.1818e-007
+1.1822e-007
+1.1825e-007
+1.1828e-007
+1.1831e-007
+1.1834e-007
+1.1836e-007
+1.1839e-007
+1.1841e-007
+1.1843e-007
+1.1844e-007
+1.1846e-007
+1.1848e-007
+1.1849e-007
+1.185e-007
+1.1851e-007
+1.1851e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1851e-007
+1.185e-007
+1.1849e-007
+1.1848e-007
+1.1847e-007
+1.1845e-007
+1.1843e-007
+1.1841e-007
+1.1839e-007
+1.1837e-007
+1.1834e-007
+1.1831e-007
+1.1828e-007
+1.1825e-007
+1.1821e-007
+1.1818e-007
+1.1814e-007
+1.181e-007
+1.1806e-007
+1.1801e-007
+1.1796e-007
+1.1792e-007
+1.1786e-007
+1.1781e-007
+1.1775e-007
+1.177e-007
+1.1764e-007
+1.1757e-007
+1.1751e-007
+1.1744e-007
+1.1737e-007
+1.173e-007
+1.1723e-007
+1.1715e-007
+1.1708e-007
+1.17e-007
+1.1691e-007
+1.1683e-007
+1.1674e-007
+1.1665e-007
+1.1656e-007
+1.1646e-007
+1.1637e-007
+1.1627e-007
+1.1617e-007
+1.1606e-007
+1.1596e-007
+1.1585e-007
+1.1574e-007
+1.1562e-007
+1.155e-007
+1.1539e-007
+1.1526e-007
+1.1514e-007
+1.1501e-007
+1.1489e-007
+1.1475e-007
+1.1462e-007
+1.1448e-007
+1.1435e-007
+1.142e-007
+1.1406e-007
+1.1391e-007
+1.1376e-007
+1.1361e-007
+1.1346e-007
+1.133e-007
+1.1314e-007
+1.1298e-007
+1.1281e-007
+1.1264e-007
+1.1247e-007
+1.123e-007
+1.1212e-007
+1.1194e-007
+1.1176e-007
+1.1158e-007
+1.1139e-007
+1.112e-007
+1.1101e-007
+1.1081e-007
+1.1061e-007
+1.1041e-007
+1.1021e-007
+1.1e-007
+1.0979e-007
+1.0958e-007
+1.0936e-007
+1.0914e-007
+1.0892e-007
+1.0869e-007
+1.0847e-007
+1.0824e-007
+1.08e-007
+1.0777e-007
+1.0753e-007
+1.0728e-007
+1.0704e-007
+1.0679e-007
+1.0654e-007
+1.0628e-007
+1.0603e-007
+1.0576e-007
+1.055e-007
+1.0523e-007
+1.0496e-007
+1.0469e-007
+1.0441e-007
+1.0413e-007
+1.0385e-007
+1.0357e-007
+1.0328e-007
+1.0298e-007
+1.0269e-007
+1.0239e-007
+1.0209e-007
+1.0178e-007
+1.0147e-007
+1.0116e-007
+1.0085e-007
+1.0053e-007
+1.0021e-007
+9.9881e-008
+9.9552e-008
+9.922e-008
+9.8885e-008
+9.8547e-008
+9.8205e-008
+9.7859e-008
+9.751e-008
+9.7158e-008
+9.6803e-008
+9.6443e-008
+9.6081e-008
+9.5715e-008
+9.5345e-008
+9.4972e-008
+9.4596e-008
+9.4215e-008
+9.3832e-008
+9.3445e-008
+9.3054e-008
+9.2659e-008
+9.2261e-008
+9.186e-008
+9.1455e-008
+9.1046e-008
+9.0633e-008
+9.0217e-008
+8.9798e-008
+8.9374e-008
+8.8947e-008
+8.8516e-008
+8.8081e-008
+8.7643e-008
+8.7201e-008
+8.6755e-008
+8.6306e-008
+8.5852e-008
+8.5395e-008
+8.4934e-008
+8.4469e-008
+8.4e-008
+8.3528e-008
+8.3052e-008
+8.2571e-008
+8.2087e-008
+8.1599e-008
+8.1107e-008
+8.0611e-008
+8.0112e-008
+7.9608e-008
+7.91e-008
+7.8589e-008
+7.8073e-008
+7.7553e-008
+7.703e-008
+7.6502e-008
+7.597e-008
+7.5434e-008
+7.4894e-008
+7.435e-008
+7.3802e-008
+7.325e-008
+7.2694e-008
+7.2134e-008
+7.1569e-008
+7.1e-008
+7.0428e-008
+6.985e-008
+6.9269e-008
+6.8684e-008
+6.8094e-008
+6.75e-008
+6.6902e-008
+6.63e-008
+6.5693e-008
+6.5082e-008
+6.4467e-008
+6.3847e-008
+6.3223e-008
+6.2595e-008
+6.1963e-008
+6.1326e-008
+6.0685e-008
+6.0039e-008
+5.9389e-008
+5.8734e-008
+5.8075e-008
+5.7412e-008
+5.6744e-008
+5.6072e-008
+5.5395e-008
+5.4714e-008
+5.4028e-008
+5.3338e-008
+5.2643e-008
+5.1944e-008
+5.124e-008
+5.0532e-008
+4.9819e-008
+4.9101e-008
+4.8379e-008
+4.7652e-008
+4.6921e-008
+4.6185e-008
+4.5444e-008
+4.4698e-008
+4.3948e-008
+4.3194e-008
+4.2434e-008
+4.167e-008
+4.0901e-008
+4.0127e-008
+3.9349e-008
+3.8566e-008
+3.7778e-008
+3.6985e-008
+3.6187e-008
+3.5385e-008
+3.4578e-008
+3.3766e-008
+3.2949e-008
+3.2127e-008
+3.13e-008
+3.0468e-008
+2.9632e-008
+2.8791e-008
+2.7944e-008
+2.7093e-008
+2.6237e-008
+2.5375e-008
+2.4509e-008
+2.3638e-008
+2.2762e-008
+2.1881e-008
+2.0994e-008
+2.0103e-008
+1.9207e-008
+1.8305e-008
+1.7399e-008
+1.6487e-008
+1.557e-008
+1.4648e-008
+1.3721e-008
+1.2789e-008
+1.1852e-008
+1.091e-008
+9.9619e-009
+9.0091e-009
+8.0511e-009
+7.0878e-009
+6.1193e-009
+5.1456e-009
+4.1666e-009
+3.1823e-009
+2.1928e-009
+1.198e-009
+1.9786e-010
+-8.0759e-010
+-1.8184e-009
+-2.8345e-009
+-3.856e-009
+-4.8828e-009
+-5.915e-009
+-6.9526e-009
+-7.9956e-009
+-9.044e-009
+-1.0098e-008
+-1.1157e-008
+-1.2222e-008
+-1.3292e-008
+-1.4368e-008
+-1.5449e-008
+-1.6535e-008
+-1.7628e-008
+-1.8725e-008
+-1.9828e-008
+-2.0937e-008
+-2.2051e-008
+-2.3171e-008
+-2.4297e-008
+-2.5428e-008
+-2.6564e-008
+-2.7707e-008
+-2.8855e-008
+-3.0008e-008
+-3.1167e-008
+-3.2332e-008
+-3.3503e-008
+-3.4679e-008
+-3.5861e-008
+-3.7048e-008
+-3.8241e-008
+-3.944e-008
+-4.0645e-008
+-4.1856e-008
+-4.3072e-008
+-4.4294e-008
+-4.5522e-008
+-4.6755e-008
+-4.7995e-008
+-4.924e-008
+-5.0491e-008
+-5.1748e-008
+-5.3011e-008
+-5.428e-008
+-5.5554e-008
+-5.6834e-008
+-5.8121e-008
+-5.9413e-008
+-6.0711e-008
+-6.2015e-008
+-6.3325e-008
+-6.4641e-008
+-6.5963e-008
+-6.7291e-008
+-6.8625e-008
+-6.9964e-008
+-7.131e-008
+-7.2662e-008
+-7.402e-008
+-7.5384e-008
+-7.6754e-008
+-7.813e-008
+-7.9512e-008
+-8.09e-008
+-8.2294e-008
+-8.3695e-008
+-8.5101e-008
+-8.6514e-008
+-8.7933e-008
+-8.9357e-008
+-9.0788e-008
+-9.2226e-008
+-9.3669e-008
+-9.5118e-008
+-9.6574e-008
+-9.8036e-008
+-9.9504e-008
+-1.0098e-007
+-1.0246e-007
+-1.0395e-007
+-1.0544e-007
+-1.0694e-007
+-1.0844e-007
+-1.0996e-007
+-1.1147e-007
+-1.13e-007
+-1.1453e-007
+-1.1607e-007
+-1.1761e-007
+-1.1916e-007
+-1.2072e-007
+-1.2228e-007
+-1.2385e-007
+-1.2542e-007
+-1.27e-007
+-1.2859e-007
+-1.3019e-007
+-1.3179e-007
+-1.3339e-007
+-1.3501e-007
+-1.3663e-007
+-1.3825e-007
+-1.3989e-007
+-1.4153e-007
+-1.4317e-007
+-1.4482e-007
+-1.4648e-007
+-1.4815e-007
+-1.4982e-007
+-1.515e-007
+-1.5318e-007
+-1.5487e-007
+-1.5657e-007
+-1.5828e-007
+-1.5999e-007
+-1.6171e-007
+-1.6343e-007
+-1.6516e-007
+-1.669e-007
+-1.6864e-007
+-1.7039e-007
+-1.7215e-007
+-1.7391e-007
+-1.7568e-007
+-1.7746e-007
+-1.7925e-007
+-1.8104e-007
+-1.8283e-007
+-1.8464e-007
+-1.8645e-007
+-1.8826e-007
+-1.9009e-007
+-1.9192e-007
+-1.9376e-007
+-1.956e-007
+-1.9745e-007
+-1.9931e-007
+-2.0117e-007
+-2.0304e-007
+-2.0492e-007
+-2.068e-007
+-2.087e-007
+-2.1059e-007
+-2.125e-007
+-2.1441e-007
+-2.1633e-007
+-2.1825e-007
+-2.2018e-007
+-2.2212e-007
+-2.2407e-007
+-2.2602e-007
+-2.2798e-007
+-2.2995e-007
+-2.3192e-007
+-2.339e-007
+-2.3588e-007
+-2.3788e-007
+-2.3988e-007
+-2.4189e-007
+-2.439e-007
+-2.4592e-007
+-2.4795e-007
+-2.4998e-007
+-2.5202e-007
+-2.5407e-007
+-2.5613e-007
+-2.5819e-007
+-2.6026e-007
+-2.6234e-007
+-2.6442e-007
+-2.6651e-007
+-2.6861e-007
+-2.7071e-007
+-2.7282e-007
+-2.7494e-007
+-2.7706e-007
+-2.7919e-007
+-2.8133e-007
+-2.8348e-007
+-2.8563e-007
+-2.8779e-007
+-2.8996e-007
+-2.9213e-007
+-2.9431e-007
+-2.965e-007
+-2.9869e-007
+-3.009e-007
+-3.031e-007
+-3.0532e-007
+-3.0754e-007
+-3.0977e-007
+-3.1201e-007
+-3.1425e-007
+-3.165e-007
+-3.1876e-007
+-3.2103e-007
+-3.233e-007
+-3.2558e-007
+-3.2786e-007
+-3.3016e-007
+-3.3246e-007
+-3.3476e-007
+-3.3708e-007
+-3.394e-007
+-3.4173e-007
+-3.4406e-007
+-3.4641e-007
+-3.4876e-007
+-3.5111e-007
+-3.5348e-007
+-3.5585e-007
+-3.5823e-007
+-3.6061e-007
+-3.63e-007
+-3.654e-007
+-3.6781e-007
+-3.7022e-007
+-3.7264e-007
+-3.7507e-007
+-3.7751e-007
+-3.7995e-007
+-3.824e-007
+-3.8485e-007
+-3.8732e-007
+-3.8979e-007
+-3.9226e-007
+-3.9475e-007
+-3.9724e-007
+-3.9974e-007
+-4.0225e-007
+-4.0476e-007
+-4.0728e-007
+-4.0981e-007
+-4.1234e-007
+-4.1488e-007
+-4.1743e-007
+-4.1999e-007
+-4.2255e-007
+-4.2512e-007
+-4.277e-007
+-4.3028e-007
+-4.3287e-007
+-4.3547e-007
+-4.3808e-007
+-4.4069e-007
+-4.4331e-007
+-4.4594e-007
+-4.4857e-007
+-4.5121e-007
+-4.5386e-007
+-4.5652e-007
+-4.5918e-007
+-4.6185e-007
+-4.6453e-007
+-4.6721e-007
+-4.699e-007
+-4.726e-007
+-4.753e-007
+-4.7802e-007
+-4.8074e-007
+-4.8346e-007
+-4.862e-007
+-4.8894e-007
+-4.9168e-007
+-4.9444e-007
+-4.972e-007
+-4.9997e-007
+-5.0275e-007
+-5.0553e-007
+-5.0832e-007
+-5.1112e-007
+-5.1392e-007
+-5.1673e-007
+-5.1955e-007
+-5.2238e-007
+-5.2521e-007
+-5.2805e-007
+-5.309e-007
+-5.3375e-007
+-5.3661e-007
+-5.3948e-007
+-5.4235e-007
+-5.4524e-007
+-5.4812e-007
+-5.5102e-007
+-5.5392e-007
+-5.5683e-007
+-5.5975e-007
+-5.6268e-007
+-5.6561e-007
+-5.6854e-007
+-5.7149e-007
+-5.7444e-007
+-5.774e-007
+-5.8037e-007
+-5.8334e-007
+-5.8632e-007
+-5.8931e-007
+-5.923e-007
+-5.953e-007
+-5.9831e-007
+-6.0132e-007
+-6.0434e-007
+-6.0737e-007
+-6.1041e-007
+-6.1345e-007
+-6.165e-007
+-6.1956e-007
+-6.2262e-007
+-6.2569e-007
+-6.2877e-007
+-6.3185e-007
+-6.3494e-007
+-6.3804e-007
+-6.4114e-007
+-6.4425e-007
+-6.4737e-007
+-6.505e-007
+-6.5363e-007
+-6.5677e-007
+-6.5991e-007
+-6.6306e-007
+-6.6622e-007
+-6.6939e-007
+-6.7256e-007
+-6.7574e-007
+-6.7892e-007
+-6.8212e-007
+-6.8531e-007
+-6.8852e-007
+-6.9173e-007
+-6.9495e-007
+-6.9818e-007
+-7.0141e-007
+-7.0465e-007
+-7.079e-007
+-7.1115e-007
+-7.1441e-007
+-7.1767e-007
+-7.2095e-007
+-7.2423e-007
+-7.2751e-007
+-7.3081e-007
+-7.341e-007
+-7.3741e-007
+-7.4072e-007
+-7.4404e-007
+-7.4737e-007
+-7.507e-007
+-7.5404e-007
+-7.5738e-007
+-7.6073e-007
+-7.6409e-007
+-7.6745e-007
+-7.7082e-007
+-7.742e-007
+-7.7758e-007
+-7.8097e-007
+-7.8437e-007
+-7.8777e-007
+-7.9118e-007
+-7.946e-007
+-7.9802e-007
+-8.0145e-007
+-8.0488e-007
+-8.0832e-007
+-8.1177e-007
+-8.1522e-007
+-8.1868e-007
+-8.2215e-007
+-8.2562e-007
+-8.291e-007
+-8.3259e-007
+-8.3608e-007
+-8.3957e-007
+-8.4308e-007
+-8.4659e-007
+-8.501e-007
+-8.5362e-007
+-8.5715e-007
+-8.6068e-007
+-8.6422e-007
+-8.6777e-007
+-8.7132e-007
+-8.7488e-007
+-8.7844e-007
+-8.8202e-007
+-8.8559e-007
+-8.8917e-007
+-8.9276e-007
+-8.9636e-007
+-8.9996e-007
+-9.0356e-007
+-9.0717e-007
+-9.1079e-007
+-9.1441e-007
+-9.1804e-007
+-9.2168e-007
+-9.2532e-007
+-9.2897e-007
+-9.3262e-007
+-9.3628e-007
+-9.3994e-007
+-9.4361e-007
+-9.4729e-007
+-9.5097e-007
+-9.5465e-007
+-9.5835e-007
+-9.6204e-007
+-9.6575e-007
+-9.6946e-007
+-9.7317e-007
+-9.7689e-007
+-9.8062e-007
+-9.8435e-007
+-9.8809e-007
+-9.9183e-007
+-9.9558e-007
+-9.9933e-007
+-1.0031e-006
+-1.0069e-006
+-1.0106e-006
+-1.0144e-006
+-1.0182e-006
+-1.022e-006
+-1.0258e-006
+-1.0295e-006
+-1.0333e-006
+-1.0372e-006
+-1.041e-006
+-1.0448e-006
+-1.0486e-006
+-1.0524e-006
+-1.0563e-006
+-1.0601e-006
+-1.0639e-006
+-1.0678e-006
+-1.0716e-006
+-1.0755e-006
+-1.0794e-006
+-1.0832e-006
+-1.0871e-006
+-1.091e-006
+-1.0949e-006
+-1.0987e-006
+-1.1026e-006
+-1.1065e-006
+-1.1104e-006
+-1.1143e-006
+-1.1182e-006
+-1.1222e-006
+-1.1261e-006
+-1.13e-006
+-1.1339e-006
+-1.1379e-006
+-1.1418e-006
+-1.1458e-006
+-1.1497e-006
+-1.1537e-006
+-1.1576e-006
+-1.1616e-006
+-1.1655e-006
+-1.1695e-006
+-1.1735e-006
+-1.1775e-006
+-1.1814e-006
+-1.1854e-006
+-1.1894e-006
+-1.1934e-006
+-1.1974e-006
+-1.2014e-006
+-1.2054e-006
+-1.2094e-006
+-1.2135e-006
+-1.2175e-006
+-1.2215e-006
+-1.2255e-006
+-1.2296e-006
+-1.2336e-006
+-1.2376e-006
+-1.2417e-006
+-1.2457e-006
+-1.2498e-006
+-1.2538e-006
+-1.2579e-006
+-1.262e-006
+-1.266e-006
+-1.2701e-006
+-1.2742e-006
+-1.2782e-006
+-1.2823e-006
+-1.2864e-006
+-1.2905e-006
+-1.2946e-006
+-1.2987e-006
+-1.3028e-006
+-1.3069e-006
+-1.311e-006
+-1.3151e-006
+-1.3192e-006
+-1.3233e-006
+-1.3275e-006
+-1.3316e-006
+-1.3357e-006
+-1.3398e-006
+-1.344e-006
+-1.3481e-006
+-1.3522e-006
+-1.3564e-006
+-1.3605e-006
+-1.3647e-006
+-1.3688e-006
+-1.373e-006
+-1.3771e-006
+-1.3813e-006
+-1.3854e-006
+-1.3896e-006
+-1.3938e-006
+-1.3979e-006
+-1.4021e-006
+-1.4063e-006
+-1.4105e-006
+-1.4146e-006
+-1.4188e-006
+-1.423e-006
+-1.4272e-006
+-1.4314e-006
+-1.4356e-006
+-1.4398e-006
+-1.444e-006
+-1.4482e-006
+-1.4524e-006
+-1.4566e-006
+-1.4608e-006
+-1.465e-006
+-1.4692e-006
+-1.4734e-006
+-1.4776e-006
+-1.4818e-006
+-1.486e-006
+-1.4903e-006
+-1.4945e-006
+-1.4987e-006
+-1.5029e-006
+-1.5072e-006
+-1.5114e-006
+-1.5156e-006
+-1.5199e-006
+-1.5241e-006
+-1.5283e-006
+-1.5326e-006
+-1.5368e-006
+-1.541e-006
+-1.5453e-006
+-1.5495e-006
+-1.5538e-006
+-1.558e-006
+-1.5622e-006
+-1.5665e-006
+-1.5707e-006
+-1.575e-006
+-1.5792e-006
+-1.5835e-006
+-1.5877e-006
+-1.592e-006
+-1.5962e-006
+-1.6005e-006
+-1.6048e-006
+-1.609e-006
+-1.6133e-006
+-1.6175e-006
+-1.6218e-006
+-1.626e-006
+-1.6303e-006
+-1.6346e-006
+-1.6388e-006
+-1.6431e-006
+-1.6473e-006
+-1.6516e-006
+-1.6559e-006
+-1.6601e-006
+-1.6644e-006
+-1.6686e-006
+-1.6729e-006
+-1.6772e-006
+-1.6814e-006
+-1.6857e-006
+-1.69e-006
+-1.6942e-006
+-1.6985e-006
+-1.7027e-006
+-1.707e-006
+-1.7113e-006
+-1.7155e-006
+-1.7198e-006
+-1.724e-006
+-1.7283e-006
+-1.7326e-006
+-1.7368e-006
+-1.7411e-006
+-1.7453e-006
+-1.7496e-006
+-1.7538e-006
+-1.7581e-006
+-1.7624e-006
+-1.7666e-006
+-1.7709e-006
+-1.7751e-006
+-1.7794e-006
+-1.7836e-006
+-1.7879e-006
+-1.7921e-006
+-1.7963e-006
+-1.8006e-006
+-1.8048e-006
+-1.8091e-006
+-1.8133e-006
+-1.8175e-006
+-1.8218e-006
+-1.826e-006
+-1.8303e-006
+-1.8345e-006
+-1.8387e-006
+-1.8429e-006
+-1.8472e-006
+-1.8514e-006
+-1.8556e-006
+-1.8598e-006
+-1.8641e-006
+-1.8683e-006
+-1.8725e-006
+-1.8767e-006
+-1.8809e-006
+-1.8851e-006
+-1.8893e-006
+-1.8935e-006
+-1.8977e-006
+-1.9019e-006
+-1.9061e-006
+-1.9103e-006
+-1.9145e-006
+-1.9187e-006
+-1.9229e-006
+-1.927e-006
+-1.9312e-006
+-1.9354e-006
+-1.9396e-006
+-1.9437e-006
+-1.9479e-006
+-1.9521e-006
+-1.9562e-006
+-1.9604e-006
+-1.9645e-006
+-1.9687e-006
+-1.9728e-006
+-1.977e-006
+-1.9811e-006
+-1.9853e-006
+-1.9894e-006
+-1.9935e-006
+-1.9976e-006
+-2.0018e-006
+-2.0059e-006
+-2.01e-006
+-2.0141e-006
+-2.0182e-006
+-2.0223e-006
+-2.0264e-006
+-2.0305e-006
+-2.0346e-006
+-2.0387e-006
+-2.0427e-006
+-2.0468e-006
+-2.0509e-006
+-2.055e-006
+-2.059e-006
+-2.0631e-006
+-2.0671e-006
+-2.0712e-006
+-2.0752e-006
+-2.0793e-006
+-2.0833e-006
+-2.0873e-006
+-2.0913e-006
+-2.0953e-006
+-2.0994e-006
+-2.1034e-006
+-2.1074e-006
+-2.1114e-006
+-2.1154e-006
+-2.1193e-006
+-2.1233e-006
+-2.1273e-006
+-2.1313e-006
+-2.1352e-006
+-2.1392e-006
+-2.1431e-006
+-2.1471e-006
+-2.151e-006
+-2.1549e-006
+-2.1589e-006
+-2.1628e-006
+-2.1667e-006
+-2.1706e-006
+-2.1745e-006
+-2.1784e-006
+-2.1823e-006
+-2.1862e-006
+-2.1901e-006
+-2.1939e-006
+-2.1978e-006
+-2.2016e-006
+-2.2055e-006
+-2.2093e-006
+-2.2132e-006
+-2.217e-006
+-2.2208e-006
+-2.2246e-006
+-2.2284e-006
+-2.2322e-006
+-2.236e-006
+-2.2398e-006
+-2.2436e-006
+-2.2474e-006
+-2.2511e-006
+-2.2549e-006
+-2.2586e-006
+-2.2623e-006
+-2.2661e-006
+-2.2698e-006
+-2.2735e-006
+-2.2772e-006
+-2.2809e-006
+-2.2846e-006
+-2.2883e-006
+-2.292e-006
+-2.2956e-006
+-2.2993e-006
+-2.3029e-006
+-2.3066e-006
+-2.3102e-006
+-2.3138e-006
+-2.3174e-006
+-2.321e-006
+-2.3246e-006
+-2.3282e-006
+-2.3318e-006
+-2.3354e-006
+-2.3389e-006
+-2.3425e-006
+-2.346e-006
+-2.3495e-006
+-2.3531e-006
+-2.3566e-006
+-2.3601e-006
+-2.3636e-006
+-2.3671e-006
+-2.3705e-006
+-2.374e-006
+-2.3774e-006
+-2.3809e-006
+-2.3843e-006
+-2.3877e-006
+-2.3911e-006
+-2.3946e-006
+-2.3979e-006
+-2.4013e-006
+-2.4047e-006
+-2.4081e-006
+-2.4114e-006
+-2.4147e-006
+-2.4181e-006
+-2.4214e-006
+-2.4247e-006
+-2.428e-006
+-2.4313e-006
+-2.4346e-006
+-2.4378e-006
+-2.4411e-006
+-2.4443e-006
+-2.4475e-006
+-2.4507e-006
+-2.454e-006
+-2.4571e-006
+-2.4603e-006
+-2.4635e-006
+-2.4667e-006
+-2.4698e-006
+-2.4729e-006
+-2.4761e-006
+-2.4792e-006
+-2.4823e-006
+-2.4854e-006
+-2.4884e-006
+-2.4915e-006
+-2.4945e-006
+-2.4976e-006
+-2.5006e-006
+-2.5036e-006
+-2.5066e-006
+-2.5096e-006
+-2.5126e-006
+-2.5155e-006
+-2.5185e-006
+-2.5214e-006
+-2.5243e-006
+-2.5272e-006
+-2.5301e-006
+-2.533e-006
+-2.5359e-006
+-2.5387e-006
+-2.5416e-006
+-2.5444e-006
+-2.5472e-006
+-2.55e-006
+-2.5528e-006
+-2.5556e-006
+-2.5583e-006
+-2.5611e-006
+-2.5638e-006
+-2.5665e-006
+-2.5692e-006
+-2.5719e-006
+-2.5746e-006
+-2.5772e-006
+-2.5799e-006
+-2.5825e-006
+-2.5851e-006
+-2.5877e-006
+-2.5903e-006
+-2.5928e-006
+-2.5954e-006
+-2.5979e-006
+-2.6005e-006
+-2.603e-006
+-2.6054e-006
+-2.6079e-006
+-2.6104e-006
+-2.6128e-006
+-2.6153e-006
+-2.6177e-006
+-2.6201e-006
+-2.6224e-006
+-2.6248e-006
+-2.6272e-006
+-2.6295e-006
+-2.6318e-006
+-2.6341e-006
+-2.6364e-006
+-2.6387e-006
+-2.6409e-006
+-2.6432e-006
+-2.6454e-006
+-2.6476e-006
+-2.6498e-006
+-2.6519e-006
+-2.6541e-006
+-2.6562e-006
+-2.6583e-006
+-2.6604e-006
+-2.6625e-006
+-2.6646e-006
+-2.6666e-006
+-2.6686e-006
+-2.6707e-006
+-2.6727e-006
+-2.6746e-006
+-2.6766e-006
+-2.6785e-006
+-2.6805e-006
+-2.6824e-006
+-2.6842e-006
+-2.6861e-006
+-2.688e-006
+-2.6898e-006
+-2.6916e-006
+-2.6934e-006
+-2.6952e-006
+-2.6969e-006
+-2.6987e-006
+-2.7004e-006
+-2.7021e-006
+-2.7038e-006
+-2.7054e-006
+-2.7071e-006
+-2.7087e-006
+-2.7103e-006
+-2.7119e-006
+-2.7135e-006
+-2.715e-006
+-2.7166e-006
+-2.7181e-006
+-2.7196e-006
+-2.721e-006
+-2.7225e-006
+-2.7239e-006
+-2.7253e-006
+-2.7267e-006
+-2.7281e-006
+-2.7294e-006
+-2.7308e-006
+-2.7321e-006
+-2.7334e-006
+-2.7346e-006
+-2.7359e-006
+-2.7371e-006
+-2.7383e-006
+-2.7395e-006
+-2.7406e-006
+-2.7418e-006
+-2.7429e-006
+-2.744e-006
+-2.7451e-006
+-2.7461e-006
+-2.7472e-006
+-2.7482e-006
+-2.7492e-006
+-2.7502e-006
+-2.7511e-006
+-2.752e-006
+-2.753e-006
+-2.7538e-006
+-2.7547e-006
+-2.7555e-006
+-2.7564e-006
+-2.7572e-006
+-2.7579e-006
+-2.7587e-006
+-2.7594e-006
+-2.7601e-006
+-2.7608e-006
+-2.7615e-006
+-2.7621e-006
+-2.7627e-006
+-2.7633e-006
+-2.7639e-006
+-2.7644e-006
+-2.7649e-006
+-2.7654e-006
+-2.7659e-006
+-2.7664e-006
+-2.7668e-006
+-2.7672e-006
+-2.7676e-006
+-2.768e-006
+-2.7683e-006
+-2.7686e-006
+-2.7689e-006
+-2.7692e-006
+-2.7694e-006
+-2.7696e-006
+-2.7698e-006
+-2.77e-006
+-2.7701e-006
+-2.7702e-006
+-2.7703e-006
+-2.7704e-006
+-2.7704e-006
+-2.7705e-006
+-2.7705e-006
+-2.7704e-006
+-2.7704e-006
+-2.7703e-006
+-2.7702e-006
+-2.7701e-006
+-2.7699e-006
+-2.7697e-006
+-2.7695e-006
+-2.7693e-006
+-2.769e-006
+-2.7687e-006
+-2.7684e-006
+-2.7681e-006
+-2.7677e-006
+-2.7674e-006
+-2.7669e-006
+-2.7665e-006
+-2.766e-006
+-2.7656e-006
+-2.765e-006
+-2.7645e-006
+-2.7639e-006
+-2.7633e-006
+-2.7627e-006
+-2.7621e-006
+-2.7614e-006
+-2.7607e-006
+-2.7599e-006
+-2.7592e-006
+-2.7584e-006
+-2.7576e-006
+-2.7568e-006
+-2.7559e-006
+-2.755e-006
+-2.7541e-006
+-2.7531e-006
+-2.7522e-006
+-2.7512e-006
+-2.7501e-006
+-2.7491e-006
+-2.748e-006
+-2.7469e-006
+-2.7457e-006
+-2.7445e-006
+-2.7434e-006
+-2.7421e-006
+-2.7409e-006
+-2.7396e-006
+-2.7383e-006
+-2.7369e-006
+-2.7356e-006
+-2.7342e-006
+-2.7327e-006
+-2.7313e-006
+-2.7298e-006
+-2.7283e-006
+-2.7268e-006
+-2.7252e-006
+-2.7236e-006
+-2.722e-006
+-2.7203e-006
+-2.7186e-006
+-2.7169e-006
+-2.7151e-006
+-2.7134e-006
+-2.7116e-006
+-2.7097e-006
+-2.7079e-006
+-2.706e-006
+-2.704e-006
+-2.7021e-006
+-2.7001e-006
+-2.6981e-006
+-2.696e-006
+-2.694e-006
+-2.6919e-006
+-2.6897e-006
+-2.6876e-006
+-2.6854e-006
+-2.6831e-006
+-2.6809e-006
+-2.6786e-006
+-2.6763e-006
+-2.6739e-006
+-2.6715e-006
+-2.6691e-006
+-2.6667e-006
+-2.6642e-006
+-2.6617e-006
+-2.6592e-006
+-2.6566e-006
+-2.654e-006
+-2.6514e-006
+-2.6487e-006
+-2.646e-006
+-2.6433e-006
+-2.6405e-006
+-2.6377e-006
+-2.6349e-006
+-2.6321e-006
+-2.6292e-006
+-2.6262e-006
+-2.6233e-006
+-2.6203e-006
+-2.6173e-006
+-2.6143e-006
+-2.6112e-006
+-2.6081e-006
+-2.6049e-006
+-2.6017e-006
+-2.5985e-006
+-2.5953e-006
+-2.592e-006
+-2.5887e-006
+-2.5854e-006
+-2.582e-006
+-2.5786e-006
+-2.5752e-006
+-2.5717e-006
+-2.5682e-006
+-2.5646e-006
+-2.5611e-006
+-2.5575e-006
+-2.5538e-006
+-2.5502e-006
+-2.5465e-006
+-2.5427e-006
+-2.5389e-006
+-2.5351e-006
+-2.5313e-006
+-2.5274e-006
+-2.5235e-006
+-2.5196e-006
+-2.5156e-006
+-2.5116e-006
+-2.5075e-006
+-2.5035e-006
+-2.4994e-006
+-2.4952e-006
+-2.491e-006
+-2.4868e-006
+-2.4826e-006
+-2.4783e-006
+-2.474e-006
+-2.4696e-006
+-2.4652e-006
+-2.4608e-006
+-2.4563e-006
+-2.4518e-006
+-2.4473e-006
+-2.4428e-006
+-2.4382e-006
+-2.4335e-006
+-2.4289e-006
+-2.4241e-006
+-2.4194e-006
+-2.4146e-006
+-2.4098e-006
+-2.405e-006
+-2.4001e-006
+-2.3952e-006
+-2.3902e-006
+-2.3853e-006
+-2.3802e-006
+-2.3752e-006
+-2.3701e-006
+-2.3649e-006
+-2.3598e-006
+-2.3546e-006
+-2.3493e-006
+-2.3441e-006
+-2.3388e-006
+-2.3334e-006
+-2.328e-006
+-2.3226e-006
+-2.3172e-006
+-2.3117e-006
+-2.3061e-006
+-2.3006e-006
+-2.295e-006
+-2.2893e-006
+-2.2837e-006
+-2.278e-006
+-2.2722e-006
+-2.2664e-006
+-2.2606e-006
+-2.2548e-006
+-2.2489e-006
+-2.2429e-006
+-2.237e-006
+-2.231e-006
+-2.2249e-006
+-2.2189e-006
+-2.2127e-006
+-2.2066e-006
+-2.2004e-006
+-2.1942e-006
+-2.1879e-006
+-2.1816e-006
+-2.1753e-006
+-2.1689e-006
+-2.1625e-006
+-2.156e-006
+-2.1495e-006
+-2.143e-006
+-2.1365e-006
+-2.1299e-006
+-2.1232e-006
+-2.1165e-006
+-2.1098e-006
+-2.1031e-006
+-2.0963e-006
+-2.0895e-006
+-2.0826e-006
+-2.0757e-006
+-2.0687e-006
+-2.0618e-006
+-2.0547e-006
+-2.0477e-006
+-2.0406e-006
+-2.0335e-006
+-2.0263e-006
+-2.0191e-006
+-2.0118e-006
+-2.0046e-006
+-1.9972e-006
+-1.9899e-006
+-1.9825e-006
+-1.975e-006
+-1.9676e-006
+-1.96e-006
+-1.9525e-006
+-1.9449e-006
+-1.9373e-006
+-1.9296e-006
+-1.9219e-006
+-1.9141e-006
+-1.9064e-006
+-1.8985e-006
+-1.8907e-006
+-1.8828e-006
+-1.8748e-006
+-1.8668e-006
+-1.8588e-006
+-1.8508e-006
+-1.8427e-006
+-1.8345e-006
+-1.8264e-006
+-1.8182e-006
+-1.8099e-006
+-1.8016e-006
+-1.7933e-006
+-1.7849e-006
+-1.7765e-006
+-1.768e-006
+-1.7596e-006
+-1.751e-006
+-1.7425e-006
+-1.7339e-006
+-1.7252e-006
+-1.7165e-006
+-1.7078e-006
+-1.6991e-006
+-1.6903e-006
+-1.6814e-006
+-1.6725e-006
+-1.6636e-006
+-1.6546e-006
+-1.6457e-006
+-1.6366e-006
+-1.6275e-006
+-1.6184e-006
+-1.6093e-006
+-1.6001e-006
+-1.5908e-006
+-1.5816e-006
+-1.5722e-006
+-1.5629e-006
+-1.5535e-006
+-1.5441e-006
+-1.5346e-006
+-1.5251e-006
+-1.5155e-006
+-1.5059e-006
+-1.4963e-006
+-1.4866e-006
+-1.4769e-006
+-1.4671e-006
+-1.4574e-006
+-1.4475e-006
+-1.4377e-006
+-1.4277e-006
+-1.4178e-006
+-1.4078e-006
+-1.3978e-006
+-1.3877e-006
+-1.3776e-006
+-1.3674e-006
+-1.3572e-006
+-1.347e-006
+-1.3368e-006
+-1.3264e-006
+-1.3161e-006
+-1.3057e-006
+-1.2953e-006
+-1.2848e-006
+-1.2743e-006
+-1.2637e-006
+-1.2532e-006
+-1.2425e-006
+-1.2319e-006
+-1.2212e-006
+-1.2104e-006
+-1.1996e-006
+-1.1888e-006
+-1.1779e-006
+-1.167e-006
+-1.1561e-006
+-1.1451e-006
+-1.134e-006
+-1.123e-006
+-1.1119e-006
+-1.1007e-006
+-1.0895e-006
+-1.0783e-006
+-1.067e-006
+-1.0557e-006
+-1.0444e-006
+-1.033e-006
+-1.0216e-006
+-1.0101e-006
+-9.986e-007
+-9.8705e-007
+-9.7547e-007
+-9.6384e-007
+-9.5218e-007
+-9.4047e-007
+-9.2873e-007
+-9.1694e-007
+-9.0512e-007
+-8.9325e-007
+-8.8135e-007
+-8.694e-007
+-8.5742e-007
+-8.454e-007
+-8.3333e-007
+-8.2123e-007
+-8.0909e-007
+-7.9691e-007
+-7.8468e-007
+-7.7242e-007
+-7.6012e-007
+-7.4778e-007
+-7.354e-007
+-7.2298e-007
+-7.1052e-007
+-6.9802e-007
+-6.8549e-007
+-6.7291e-007
+-6.6029e-007
+-6.4764e-007
+-6.3494e-007
+-6.222e-007
+-6.0943e-007
+-5.9662e-007
+-5.8376e-007
+-5.7087e-007
+-5.5794e-007
+-5.4497e-007
+-5.3196e-007
+-5.1891e-007
+-5.0582e-007
+-4.9269e-007
+-4.7952e-007
+-4.6632e-007
+-4.5307e-007
+-4.3979e-007
+-4.2647e-007
+-4.1311e-007
+-3.997e-007
+-3.8626e-007
+-3.7279e-007
+-3.5927e-007
+-3.4571e-007
+-3.3212e-007
+-3.1848e-007
+-3.0481e-007
+-2.911e-007
+-2.7735e-007
+-2.6356e-007
+-2.4974e-007
+-2.3587e-007
+-2.2197e-007
+-2.0802e-007
+-1.9404e-007
+-1.8003e-007
+-1.6597e-007
+-1.5187e-007
+-1.3774e-007
+-1.2357e-007
+-1.0936e-007
+-9.5108e-008
+-8.0821e-008
+-6.6497e-008
+-5.2134e-008
+-3.7734e-008
+-2.3296e-008
+-8.8197e-009
+5.6943e-009
+2.0246e-008
+3.4835e-008
+4.9463e-008
+6.4127e-008
+7.883e-008
+9.3569e-008
+1.0835e-007
+1.2316e-007
+1.3801e-007
+1.529e-007
+1.6783e-007
+1.8279e-007
+1.9779e-007
+2.1283e-007
+2.2791e-007
+2.4302e-007
+2.5817e-007
+2.7336e-007
+2.8858e-007
+3.0384e-007
+3.1913e-007
+3.3447e-007
+3.4984e-007
+3.6524e-007
+3.8069e-007
+3.9617e-007
+4.1168e-007
+4.2723e-007
+4.4282e-007
+4.5845e-007
+4.7411e-007
+4.898e-007
+5.0554e-007
+5.213e-007
+5.3711e-007
+5.5295e-007
+5.6882e-007
+5.8474e-007
+6.0068e-007
+6.1666e-007
+6.3268e-007
+6.4874e-007
+6.6483e-007
+6.8095e-007
+6.9711e-007
+7.133e-007
+7.2953e-007
+7.458e-007
+7.621e-007
+7.7843e-007
+7.948e-007
+8.112e-007
+8.2764e-007
+8.4411e-007
+8.6062e-007
+8.7716e-007
+8.9374e-007
+9.1035e-007
+9.2699e-007
+9.4367e-007
+9.6038e-007
+9.7713e-007
+9.9391e-007
+1.0107e-006
+1.0276e-006
+1.0444e-006
+1.0614e-006
+1.0783e-006
+1.0953e-006
+1.1123e-006
+1.1294e-006
+1.1464e-006
+1.1635e-006
+1.1807e-006
+1.1979e-006
+1.2151e-006
+1.2323e-006
+1.2496e-006
+1.2669e-006
+1.2843e-006
+1.3016e-006
+1.319e-006
+1.3365e-006
+1.3539e-006
+1.3714e-006
+1.389e-006
+1.4065e-006
+1.4241e-006
+1.4418e-006
+1.4594e-006
+1.4771e-006
+1.4948e-006
+1.5126e-006
+1.5304e-006
+1.5482e-006
+1.566e-006
+1.5839e-006
+1.6018e-006
+1.6198e-006
+1.6377e-006
+1.6557e-006
+1.6738e-006
+1.6918e-006
+1.7099e-006
+1.728e-006
+1.7462e-006
+1.7644e-006
+1.7826e-006
+1.8008e-006
+1.8191e-006
+1.8374e-006
+1.8557e-006
+1.8741e-006
+1.8924e-006
+1.9108e-006
+1.9293e-006
+1.9478e-006
+1.9663e-006
+1.9848e-006
+2.0033e-006
+2.0219e-006
+2.0405e-006
+2.0592e-006
+2.0778e-006
+2.0965e-006
+2.1152e-006
+2.134e-006
+2.1528e-006
+2.1716e-006
+2.1904e-006
+2.2093e-006
+2.2281e-006
+2.2471e-006
+2.266e-006
+2.285e-006
+2.3039e-006
+2.323e-006
+2.342e-006
+2.3611e-006
+2.3802e-006
+2.3993e-006
+2.4184e-006
+2.4376e-006
+2.4568e-006
+2.476e-006
+2.4953e-006
+2.5145e-006
+2.5338e-006
+2.5531e-006
+2.5725e-006
+2.5919e-006
+2.6113e-006
+2.6307e-006
+2.6501e-006
+2.6696e-006
+2.6891e-006
+2.7086e-006
+2.7281e-006
+2.7477e-006
+2.7673e-006
+2.7869e-006
+2.8065e-006
+2.8261e-006
+2.8458e-006
+2.8655e-006
+2.8852e-006
+2.905e-006
+2.9247e-006
+2.9445e-006
+2.9643e-006
+2.9841e-006
+3.004e-006
+3.0239e-006
+3.0438e-006
+3.0637e-006
+3.0836e-006
+3.1036e-006
+3.1235e-006
+3.1435e-006
+3.1635e-006
+3.1836e-006
+3.2036e-006
+3.2237e-006
+3.2438e-006
+3.2639e-006
+3.284e-006
+3.3042e-006
+3.3244e-006
+3.3446e-006
+3.3648e-006
+3.385e-006
+3.4052e-006
+3.4255e-006
+3.4458e-006
+3.4661e-006
+3.4864e-006
+3.5067e-006
+3.5271e-006
+3.5475e-006
+3.5678e-006
+3.5882e-006
+3.6087e-006
+3.6291e-006
+3.6496e-006
+3.67e-006
+3.6905e-006
+3.711e-006
+3.7315e-006
+3.7521e-006
+3.7726e-006
+3.7932e-006
+3.8137e-006
+3.8343e-006
+3.8549e-006
+3.8756e-006
+3.8962e-006
+3.9169e-006
+3.9375e-006
+3.9582e-006
+3.9789e-006
+3.9996e-006
+4.0203e-006
+4.0411e-006
+4.0618e-006
+4.0826e-006
+4.1033e-006
+4.1241e-006
+4.1449e-006
+4.1657e-006
+4.1865e-006
+4.2074e-006
+4.2282e-006
+4.2491e-006
+4.2699e-006
+4.2908e-006
+4.3117e-006
+4.3326e-006
+4.3535e-006
+4.3744e-006
+4.3953e-006
+4.4163e-006
+4.4372e-006
+4.4582e-006
+4.4792e-006
+4.5001e-006
+4.5211e-006
+4.5421e-006
+4.5631e-006
+4.5841e-006
+4.6051e-006
+4.6262e-006
+4.6472e-006
+4.6682e-006
+4.6893e-006
+4.7103e-006
+4.7314e-006
+4.7525e-006
+4.7736e-006
+4.7946e-006
+4.8157e-006
+4.8368e-006
+4.8579e-006
+4.879e-006
+4.9001e-006
+4.9213e-006
+4.9424e-006
+4.9635e-006
+4.9846e-006
+5.0058e-006
+5.0269e-006
+5.0481e-006
+5.0692e-006
+5.0904e-006
+5.1115e-006
+5.1327e-006
+5.1538e-006
+5.175e-006
+5.1962e-006
+5.2173e-006
+5.2385e-006
+5.2597e-006
+5.2809e-006
+5.302e-006
+5.3232e-006
+5.3444e-006
+5.3656e-006
+5.3867e-006
+5.4079e-006
+5.4291e-006
+5.4503e-006
+5.4715e-006
+5.4926e-006
+5.5138e-006
+5.535e-006
+5.5562e-006
+5.5774e-006
+5.5985e-006
+5.6197e-006
+5.6409e-006
+5.662e-006
+5.6832e-006
+5.7044e-006
+5.7255e-006
+5.7467e-006
+5.7678e-006
+5.789e-006
+5.8101e-006
+5.8313e-006
+5.8524e-006
+5.8735e-006
+5.8947e-006
+5.9158e-006
+5.9369e-006
+5.958e-006
+5.9791e-006
+6.0002e-006
+6.0213e-006
+6.0424e-006
+6.0635e-006
+6.0846e-006
+6.1057e-006
+6.1267e-006
+6.1478e-006
+6.1688e-006
+6.1899e-006
+6.2109e-006
+6.2319e-006
+6.2529e-006
+6.274e-006
+6.295e-006
+6.3159e-006
+6.3369e-006
+6.3579e-006
+6.3788e-006
+6.3998e-006
+6.4207e-006
+6.4417e-006
+6.4626e-006
+6.4835e-006
+6.5044e-006
+6.5253e-006
+6.5461e-006
+6.567e-006
+6.5878e-006
+6.6087e-006
+6.6295e-006
+6.6503e-006
+6.6711e-006
+6.6919e-006
+6.7126e-006
+6.7334e-006
+6.7541e-006
+6.7749e-006
+6.7956e-006
+6.8163e-006
+6.8369e-006
+6.8576e-006
+6.8782e-006
+6.8989e-006
+6.9195e-006
+6.9401e-006
+6.9607e-006
+6.9812e-006
+7.0018e-006
+7.0223e-006
+7.0428e-006
+7.0633e-006
+7.0838e-006
+7.1043e-006
+7.1247e-006
+7.1451e-006
+7.1655e-006
+7.1859e-006
+7.2063e-006
+7.2266e-006
+7.2469e-006
+7.2672e-006
+7.2875e-006
+7.3078e-006
+7.328e-006
+7.3482e-006
+7.3684e-006
+7.3886e-006
+7.4087e-006
+7.4288e-006
+7.4489e-006
+7.469e-006
+7.4891e-006
+7.5091e-006
+7.5291e-006
+7.5491e-006
+7.5691e-006
+7.589e-006
+7.6089e-006
+7.6288e-006
+7.6486e-006
+7.6685e-006
+7.6883e-006
+7.7081e-006
+7.7278e-006
+7.7476e-006
+7.7673e-006
+7.7869e-006
+7.8066e-006
+7.8262e-006
+7.8458e-006
+7.8653e-006
+7.8849e-006
+7.9044e-006
+7.9238e-006
+7.9433e-006
+7.9627e-006
+7.9821e-006
+8.0014e-006
+8.0208e-006
+8.0401e-006
+8.0593e-006
+8.0786e-006
+8.0978e-006
+8.1169e-006
+8.1361e-006
+8.1552e-006
+8.1742e-006
+8.1933e-006
+8.2123e-006
+8.2312e-006
+8.2502e-006
+8.2691e-006
+8.2879e-006
+8.3068e-006
+8.3256e-006
+8.3443e-006
+8.363e-006
+8.3817e-006
+8.4004e-006
+8.419e-006
+8.4376e-006
+8.4561e-006
+8.4746e-006
+8.4931e-006
+8.5115e-006
+8.5299e-006
+8.5483e-006
+8.5666e-006
+8.5849e-006
+8.6031e-006
+8.6213e-006
+8.6395e-006
+8.6576e-006
+8.6757e-006
+8.6937e-006
+8.7117e-006
+8.7297e-006
+8.7476e-006
+8.7655e-006
+8.7833e-006
+8.8011e-006
+8.8189e-006
+8.8366e-006
+8.8542e-006
+8.8718e-006
+8.8894e-006
+8.907e-006
+8.9244e-006
+8.9419e-006
+8.9593e-006
+8.9766e-006
+8.9939e-006
+9.0112e-006
+9.0284e-006
+9.0456e-006
+9.0627e-006
+9.0798e-006
+9.0968e-006
+9.1138e-006
+9.1307e-006
+9.1476e-006
+9.1645e-006
+9.1813e-006
+9.198e-006
+9.2147e-006
+9.2314e-006
+9.2479e-006
+9.2645e-006
+9.281e-006
+9.2974e-006
+9.3138e-006
+9.3302e-006
+9.3465e-006
+9.3627e-006
+9.3789e-006
+9.395e-006
+9.4111e-006
+9.4271e-006
+9.4431e-006
+9.459e-006
+9.4749e-006
+9.4907e-006
+9.5065e-006
+9.5222e-006
+9.5379e-006
+9.5535e-006
+9.569e-006
+9.5845e-006
+9.5999e-006
+9.6153e-006
+9.6306e-006
+9.6459e-006
+9.6611e-006
+9.6762e-006
+9.6913e-006
+9.7064e-006
+9.7213e-006
+9.7362e-006
+9.7511e-006
+9.7659e-006
+9.7806e-006
+9.7953e-006
+9.8099e-006
+9.8245e-006
+9.839e-006
+9.8534e-006
+9.8678e-006
+9.8821e-006
+9.8964e-006
+9.9106e-006
+9.9247e-006
+9.9388e-006
+9.9528e-006
+9.9667e-006
+9.9806e-006
+9.9944e-006
+1.0008e-005
+1.0022e-005
+1.0035e-005
+1.0049e-005
+1.0063e-005
+1.0076e-005
+1.0089e-005
+1.0103e-005
+1.0116e-005
+1.0129e-005
+1.0142e-005
+1.0155e-005
+1.0168e-005
+1.0181e-005
+1.0194e-005
+1.0207e-005
+1.0219e-005
+1.0232e-005
+1.0244e-005
+1.0257e-005
+1.0269e-005
+1.0282e-005
+1.0294e-005
+1.0306e-005
+1.0318e-005
+1.033e-005
+1.0342e-005
+1.0354e-005
+1.0366e-005
+1.0378e-005
+1.0389e-005
+1.0401e-005
+1.0413e-005
+1.0424e-005
+1.0436e-005
+1.0447e-005
+1.0458e-005
+1.0469e-005
+1.048e-005
+1.0491e-005
+1.0502e-005
+1.0513e-005
+1.0524e-005
+1.0535e-005
+1.0545e-005
+1.0556e-005
+1.0567e-005
+1.0577e-005
+1.0587e-005
+1.0598e-005
+1.0608e-005
+1.0618e-005
+1.0628e-005
+1.0638e-005
+1.0648e-005
+1.0657e-005
+1.0667e-005
+1.0677e-005
+1.0686e-005
+1.0696e-005
+1.0705e-005
+1.0715e-005
+1.0724e-005
+1.0733e-005
+1.0742e-005
+1.0751e-005
+1.076e-005
+1.0769e-005
+1.0777e-005
+1.0786e-005
+1.0795e-005
+1.0803e-005
+1.0811e-005
+1.082e-005
+1.0828e-005
+1.0836e-005
+1.0844e-005
+1.0852e-005
+1.086e-005
+1.0868e-005
+1.0875e-005
+1.0883e-005
+1.0891e-005
+1.0898e-005
+1.0905e-005
+1.0913e-005
+1.092e-005
+1.0927e-005
+1.0934e-005
+1.0941e-005
+1.0948e-005
+1.0954e-005
+1.0961e-005
+1.0968e-005
+1.0974e-005
+1.098e-005
+1.0987e-005
+1.0993e-005
+1.0999e-005
+1.1005e-005
+1.1011e-005
+1.1017e-005
+1.1022e-005
+1.1028e-005
+1.1034e-005
+1.1039e-005
+1.1044e-005
+1.105e-005
+1.1055e-005
+1.106e-005
+1.1065e-005
+1.107e-005
+1.1074e-005
+1.1079e-005
+1.1084e-005
+1.1088e-005
+1.1093e-005
+1.1097e-005
+1.1101e-005
+1.1105e-005
+1.1109e-005
+1.1113e-005
+1.1117e-005
+1.1121e-005
+1.1124e-005
+1.1128e-005
+1.1131e-005
+1.1135e-005
+1.1138e-005
+1.1141e-005
+1.1144e-005
+1.1147e-005
+1.115e-005
+1.1152e-005
+1.1155e-005
+1.1157e-005
+1.116e-005
+1.1162e-005
+1.1164e-005
+1.1166e-005
+1.1168e-005
+1.117e-005
+1.1172e-005
+1.1174e-005
+1.1175e-005
+1.1177e-005
+1.1178e-005
+1.118e-005
+1.1181e-005
+1.1182e-005
+1.1183e-005
+1.1184e-005
+1.1184e-005
+1.1185e-005
+1.1186e-005
+1.1186e-005
+1.1186e-005
+1.1187e-005
+1.1187e-005
+1.1187e-005
+1.1187e-005
+1.1186e-005
+1.1186e-005
+1.1186e-005
+1.1185e-005
+1.1184e-005
+1.1184e-005
+1.1183e-005
+1.1182e-005
+1.1181e-005
+1.118e-005
+1.1178e-005
+1.1177e-005
+1.1175e-005
+1.1174e-005
+1.1172e-005
+1.117e-005
+1.1168e-005
+1.1166e-005
+1.1164e-005
+1.1161e-005
+1.1159e-005
+1.1156e-005
+1.1154e-005
+1.1151e-005
+1.1148e-005
+1.1145e-005
+1.1142e-005
+1.1139e-005
+1.1136e-005
+1.1132e-005
+1.1129e-005
+1.1125e-005
+1.1121e-005
+1.1117e-005
+1.1113e-005
+1.1109e-005
+1.1105e-005
+1.11e-005
+1.1096e-005
+1.1091e-005
+1.1087e-005
+1.1082e-005
+1.1077e-005
+1.1072e-005
+1.1066e-005
+1.1061e-005
+1.1056e-005
+1.105e-005
+1.1045e-005
+1.1039e-005
+1.1033e-005
+1.1027e-005
+1.1021e-005
+1.1014e-005
+1.1008e-005
+1.1002e-005
+1.0995e-005
+1.0988e-005
+1.0981e-005
+1.0974e-005
+1.0967e-005
+1.096e-005
+1.0953e-005
+1.0945e-005
+1.0938e-005
+1.093e-005
+1.0922e-005
+1.0914e-005
+1.0906e-005
+1.0898e-005
+1.0889e-005
+1.0881e-005
+1.0872e-005
+1.0864e-005
+1.0855e-005
+1.0846e-005
+1.0837e-005
+1.0828e-005
+1.0818e-005
+1.0809e-005
+1.0799e-005
+1.079e-005
+1.078e-005
+1.077e-005
+1.076e-005
+1.075e-005
+1.0739e-005
+1.0729e-005
+1.0718e-005
+1.0708e-005
+1.0697e-005
+1.0686e-005
+1.0675e-005
+1.0663e-005
+1.0652e-005
+1.0641e-005
+1.0629e-005
+1.0617e-005
+1.0605e-005
+1.0593e-005
+1.0581e-005
+1.0569e-005
+1.0557e-005
+1.0544e-005
+1.0532e-005
+1.0519e-005
+1.0506e-005
+1.0493e-005
+1.048e-005
+1.0467e-005
+1.0453e-005
+1.044e-005
+1.0426e-005
+1.0412e-005
+1.0398e-005
+1.0384e-005
+1.037e-005
+1.0356e-005
+1.0341e-005
+1.0327e-005
+1.0312e-005
+1.0297e-005
+1.0282e-005
+1.0267e-005
+1.0252e-005
+1.0237e-005
+1.0221e-005
+1.0205e-005
+1.019e-005
+1.0174e-005
+1.0158e-005
+1.0142e-005
+1.0125e-005
+1.0109e-005
+1.0092e-005
+1.0076e-005
+1.0059e-005
+1.0042e-005
+1.0025e-005
+1.0008e-005
+9.9903e-006
+9.9728e-006
+9.9552e-006
+9.9374e-006
+9.9195e-006
+9.9015e-006
+9.8833e-006
+9.865e-006
+9.8466e-006
+9.828e-006
+9.8093e-006
+9.7905e-006
+9.7715e-006
+9.7524e-006
+9.7332e-006
+9.7138e-006
+9.6943e-006
+9.6746e-006
+9.6549e-006
+9.6349e-006
+9.6149e-006
+9.5947e-006
+9.5744e-006
+9.5539e-006
+9.5333e-006
+9.5126e-006
+9.4917e-006
+9.4707e-006
+9.4495e-006
+9.4283e-006
+9.4068e-006
+9.3853e-006
+9.3636e-006
+9.3418e-006
+9.3198e-006
+9.2977e-006
+9.2755e-006
+9.2531e-006
+9.2306e-006
+9.2079e-006
+9.1851e-006
+9.1622e-006
+9.1391e-006
+9.1159e-006
+9.0926e-006
+9.0691e-006
+9.0455e-006
+9.0217e-006
+8.9979e-006
+8.9738e-006
+8.9497e-006
+8.9254e-006
+8.9009e-006
+8.8763e-006
+8.8516e-006
+8.8267e-006
+8.8018e-006
+8.7766e-006
+8.7513e-006
+8.7259e-006
+8.7004e-006
+8.6747e-006
+8.6489e-006
+8.6229e-006
+8.5968e-006
+8.5706e-006
+8.5442e-006
+8.5177e-006
+8.491e-006
+8.4642e-006
+8.4373e-006
+8.4102e-006
+8.383e-006
+8.3556e-006
+8.3281e-006
+8.3005e-006
+8.2727e-006
+8.2448e-006
+8.2168e-006
+8.1886e-006
+8.1603e-006
+8.1318e-006
+8.1032e-006
+8.0744e-006
+8.0456e-006
+8.0165e-006
+7.9874e-006
+7.9581e-006
+7.9286e-006
+7.8991e-006
+7.8694e-006
+7.8395e-006
+7.8095e-006
+7.7794e-006
+7.7491e-006
+7.7187e-006
+7.6881e-006
+7.6575e-006
+7.6266e-006
+7.5957e-006
+7.5646e-006
+7.5333e-006
+7.5019e-006
+7.4704e-006
+7.4388e-006
+7.407e-006
+7.375e-006
+7.3429e-006
+7.3107e-006
+7.2784e-006
+7.2459e-006
+7.2132e-006
+7.1805e-006
+7.1476e-006
+7.1145e-006
+7.0813e-006
+7.048e-006
+7.0146e-006
+6.981e-006
+6.9472e-006
+6.9134e-006
+6.8793e-006
+6.8452e-006
+6.8109e-006
+6.7765e-006
+6.7419e-006
+6.7072e-006
+6.6724e-006
+6.6374e-006
+6.6023e-006
+6.567e-006
+6.5316e-006
+6.4961e-006
+6.4604e-006
+6.4246e-006
+6.3887e-006
+6.3526e-006
+6.3164e-006
+6.28e-006
+6.2436e-006
+6.2069e-006
+6.1702e-006
+6.1333e-006
+6.0962e-006
+6.0591e-006
+6.0218e-006
+5.9843e-006
+5.9467e-006
+5.909e-006
+5.8712e-006
+5.8332e-006
+5.7951e-006
+5.7568e-006
+5.7184e-006
+5.6799e-006
+5.6412e-006
+5.6024e-006
+5.5635e-006
+5.5244e-006
+5.4852e-006
+5.4459e-006
+5.4064e-006
+5.3668e-006
+5.327e-006
+5.2872e-006
+5.2471e-006
+5.207e-006
+5.1667e-006
+5.1263e-006
+5.0858e-006
+5.0451e-006
+5.0043e-006
+4.9633e-006
+4.9222e-006
+4.881e-006
+4.8397e-006
+4.7982e-006
+4.7566e-006
+4.7148e-006
+4.673e-006
+4.6309e-006
+4.5888e-006
+4.5465e-006
+4.5041e-006
+4.4616e-006
+4.4189e-006
+4.3761e-006
+4.3332e-006
+4.2901e-006
+4.2469e-006
+4.2036e-006
+4.1602e-006
+4.1166e-006
+4.0729e-006
+4.029e-006
+3.9851e-006
+3.941e-006
+3.8967e-006
+3.8524e-006
+3.8079e-006
+3.7633e-006
+3.7185e-006
+3.6737e-006
+3.6287e-006
+3.5835e-006
+3.5383e-006
+3.4929e-006
+3.4474e-006
+3.4017e-006
+3.356e-006
+3.3101e-006
+3.264e-006
+3.2179e-006
+3.1716e-006
+3.1252e-006
+3.0787e-006
+3.0321e-006
+2.9853e-006
+2.9384e-006
+2.8914e-006
+2.8442e-006
+2.7969e-006
+2.7495e-006
+2.702e-006
+2.6544e-006
+2.6066e-006
+2.5587e-006
+2.5107e-006
+2.4625e-006
+2.4143e-006
+2.3659e-006
+2.3174e-006
+2.2688e-006
+2.22e-006
+2.1711e-006
+2.1222e-006
+2.073e-006
+2.0238e-006
+1.9744e-006
+1.925e-006
+1.8754e-006
+1.8257e-006
+1.7758e-006
+1.7259e-006
+1.6758e-006
+1.6256e-006
+1.5753e-006
+1.5249e-006
+1.4743e-006
+1.4237e-006
+1.3729e-006
+1.322e-006
+1.271e-006
+1.2199e-006
+1.1686e-006
+1.1173e-006
+1.0658e-006
+1.0142e-006
+9.6249e-007
+9.1067e-007
+8.5873e-007
+8.0669e-007
+7.5452e-007
+7.0225e-007
+6.4986e-007
+5.9735e-007
+5.4474e-007
+4.9201e-007
+4.3917e-007
+3.8622e-007
+3.3316e-007
+2.7999e-007
+2.267e-007
+1.7331e-007
+1.198e-007
+6.619e-008
+1.2466e-008
+-4.1367e-008
+-9.5308e-008
+-1.4936e-007
+-2.0352e-007
+-2.5778e-007
+-3.1216e-007
+-3.6664e-007
+-4.2122e-007
+-4.7592e-007
+-5.3072e-007
+-5.8562e-007
+-6.4063e-007
+-6.9575e-007
+-7.5097e-007
+-8.063e-007
+-8.6173e-007
+-9.1726e-007
+-9.7289e-007
+-1.0286e-006
+-1.0845e-006
+-1.1404e-006
+-1.1965e-006
+-1.2526e-006
+-1.3089e-006
+-1.3652e-006
+-1.4217e-006
+-1.4782e-006
+-1.5349e-006
+-1.5916e-006
+-1.6485e-006
+-1.7054e-006
+-1.7624e-006
+-1.8196e-006
+-1.8768e-006
+-1.9342e-006
+-1.9916e-006
+-2.0491e-006
+-2.1068e-006
+-2.1645e-006
+-2.2223e-006
+-2.2802e-006
+-2.3382e-006
+-2.3963e-006
+-2.4545e-006
+-2.5128e-006
+-2.5712e-006
+-2.6296e-006
+-2.6882e-006
+-2.7468e-006
+-2.8056e-006
+-2.8644e-006
+-2.9234e-006
+-2.9824e-006
+-3.0415e-006
+-3.1007e-006
+-3.1599e-006
+-3.2193e-006
+-3.2788e-006
+-3.3383e-006
+-3.3979e-006
+-3.4577e-006
+-3.5175e-006
+-3.5774e-006
+-3.6373e-006
+-3.6974e-006
+-3.7575e-006
+-3.8178e-006
+-3.8781e-006
+-3.9385e-006
+-3.9989e-006
+-4.0595e-006
+-4.1201e-006
+-4.1808e-006
+-4.2416e-006
+-4.3025e-006
+-4.3635e-006
+-4.4245e-006
+-4.4856e-006
+-4.5468e-006
+-4.6081e-006
+-4.6695e-006
+-4.7309e-006
+-4.7924e-006
+-4.854e-006
+-4.9156e-006
+-4.9773e-006
+-5.0392e-006
+-5.101e-006
+-5.163e-006
+-5.225e-006
+-5.2871e-006
+-5.3493e-006
+-5.4115e-006
+-5.4738e-006
+-5.5362e-006
+-5.5987e-006
+-5.6612e-006
+-5.7238e-006
+-5.7864e-006
+-5.8492e-006
+-5.9119e-006
+-5.9748e-006
+-6.0377e-006
+-6.1007e-006
+-6.1638e-006
+-6.2269e-006
+-6.2901e-006
+-6.3534e-006
+-6.4167e-006
+-6.4801e-006
+-6.5435e-006
+-6.607e-006
+-6.6706e-006
+-6.7342e-006
+-6.7979e-006
+-6.8616e-006
+-6.9254e-006
+-6.9893e-006
+-7.0532e-006
+-7.1172e-006
+-7.1812e-006
+-7.2453e-006
+-7.3095e-006
+-7.3737e-006
+-7.4379e-006
+-7.5022e-006
+-7.5666e-006
+-7.631e-006
+-7.6955e-006
+-7.76e-006
+-7.8246e-006
+-7.8892e-006
+-7.9539e-006
+-8.0186e-006
+-8.0834e-006
+-8.1483e-006
+-8.2131e-006
+-8.2781e-006
+-8.343e-006
+-8.408e-006
+-8.4731e-006
+-8.5382e-006
+-8.6034e-006
+-8.6686e-006
+-8.7338e-006
+-8.7991e-006
+-8.8644e-006
+-8.9298e-006
+-8.9952e-006
+-9.0606e-006
+-9.1261e-006
+-9.1916e-006
+-9.2572e-006
+-9.3228e-006
+-9.3885e-006
+-9.4542e-006
+-9.5199e-006
+-9.5856e-006
+-9.6514e-006
+-9.7172e-006
+-9.7831e-006
+-9.849e-006
+-9.9149e-006
+-9.9809e-006
+-1.0047e-005
+-1.0113e-005
+-1.0179e-005
+-1.0245e-005
+-1.0311e-005
+-1.0377e-005
+-1.0443e-005
+-1.051e-005
+-1.0576e-005
+-1.0642e-005
+-1.0708e-005
+-1.0775e-005
+-1.0841e-005
+-1.0907e-005
+-1.0974e-005
+-1.104e-005
+-1.1106e-005
+-1.1173e-005
+-1.1239e-005
+-1.1306e-005
+-1.1372e-005
+-1.1439e-005
+-1.1505e-005
+-1.1572e-005
+-1.1638e-005
+-1.1705e-005
+-1.1772e-005
+-1.1838e-005
+-1.1905e-005
+-1.1972e-005
+-1.2038e-005
+-1.2105e-005
+-1.2172e-005
+-1.2238e-005
+-1.2305e-005
+-1.2372e-005
+-1.2438e-005
+-1.2505e-005
+-1.2572e-005
+-1.2638e-005
+-1.2705e-005
+-1.2772e-005
+-1.2839e-005
+-1.2905e-005
+-1.2972e-005
+-1.3039e-005
+-1.3106e-005
+-1.3173e-005
+-1.3239e-005
+-1.3306e-005
+-1.3373e-005
+-1.344e-005
+-1.3506e-005
+-1.3573e-005
+-1.364e-005
+-1.3707e-005
+-1.3773e-005
+-1.384e-005
+-1.3907e-005
+-1.3974e-005
+-1.404e-005
+-1.4107e-005
+-1.4174e-005
+-1.424e-005
+-1.4307e-005
+-1.4374e-005
+-1.444e-005
+-1.4507e-005
+-1.4574e-005
+-1.464e-005
+-1.4707e-005
+-1.4773e-005
+-1.484e-005
+-1.4907e-005
+-1.4973e-005
+-1.504e-005
+-1.5106e-005
+-1.5173e-005
+-1.5239e-005
+-1.5305e-005
+-1.5372e-005
+-1.5438e-005
+-1.5505e-005
+-1.5571e-005
+-1.5637e-005
+-1.5704e-005
+-1.577e-005
+-1.5836e-005
+-1.5902e-005
+-1.5969e-005
+-1.6035e-005
+-1.6101e-005
+-1.6167e-005
+-1.6233e-005
+-1.6299e-005
+-1.6365e-005
+-1.6431e-005
+-1.6497e-005
+-1.6563e-005
+-1.6629e-005
+-1.6695e-005
+-1.676e-005
+-1.6826e-005
+-1.6892e-005
+-1.6957e-005
+-1.7023e-005
+-1.7089e-005
+-1.7154e-005
+-1.722e-005
+-1.7285e-005
+-1.7351e-005
+-1.7416e-005
+-1.7481e-005
+-1.7547e-005
+-1.7612e-005
+-1.7677e-005
+-1.7742e-005
+-1.7807e-005
+-1.7872e-005
+-1.7937e-005
+-1.8002e-005
+-1.8067e-005
+-1.8132e-005
+-1.8197e-005
+-1.8261e-005
+-1.8326e-005
+-1.8391e-005
+-1.8455e-005
+-1.852e-005
+-1.8584e-005
+-1.8649e-005
+-1.8713e-005
+-1.8777e-005
+-1.8841e-005
+-1.8905e-005
+-1.897e-005
+-1.9034e-005
+-1.9098e-005
+-1.9161e-005
+-1.9225e-005
+-1.9289e-005
+-1.9353e-005
+-1.9416e-005
+-1.948e-005
+-1.9543e-005
+-1.9607e-005
+-1.967e-005
+-1.9733e-005
+-1.9796e-005
+-1.9859e-005
+-1.9922e-005
+-1.9985e-005
+-2.0048e-005
+-2.0111e-005
+-2.0174e-005
+-2.0236e-005
+-2.0299e-005
+-2.0362e-005
+-2.0424e-005
+-2.0486e-005
+-2.0548e-005
+-2.0611e-005
+-2.0673e-005
+-2.0735e-005
+-2.0797e-005
+-2.0858e-005
+-2.092e-005
+-2.0982e-005
+-2.1043e-005
+-2.1105e-005
+-2.1166e-005
+-2.1227e-005
+-2.1289e-005
+-2.135e-005
+-2.1411e-005
+-2.1471e-005
+-2.1532e-005
+-2.1593e-005
+-2.1654e-005
+-2.1714e-005
+-2.1774e-005
+-2.1835e-005
+-2.1895e-005
+-2.1955e-005
+-2.2015e-005
+-2.2075e-005
+-2.2135e-005
+-2.2194e-005
+-2.2254e-005
+-2.2314e-005
+-2.2373e-005
+-2.2432e-005
+-2.2491e-005
+-2.255e-005
+-2.2609e-005
+-2.2668e-005
+-2.2727e-005
+-2.2785e-005
+-2.2844e-005
+-2.2902e-005
+-2.2961e-005
+-2.3019e-005
+-2.3077e-005
+-2.3135e-005
+-2.3192e-005
+-2.325e-005
+-2.3308e-005
+-2.3365e-005
+-2.3422e-005
+-2.3479e-005
+-2.3537e-005
+-2.3593e-005
+-2.365e-005
+-2.3707e-005
+-2.3763e-005
+-2.382e-005
+-2.3876e-005
+-2.3932e-005
+-2.3988e-005
+-2.4044e-005
+-2.41e-005
+-2.4156e-005
+-2.4211e-005
+-2.4267e-005
+-2.4322e-005
+-2.4377e-005
+-2.4432e-005
+-2.4487e-005
+-2.4541e-005
+-2.4596e-005
+-2.465e-005
+-2.4705e-005
+-2.4759e-005
+-2.4813e-005
+-2.4867e-005
+-2.492e-005
+-2.4974e-005
+-2.5027e-005
+-2.508e-005
+-2.5134e-005
+-2.5187e-005
+-2.5239e-005
+-2.5292e-005
+-2.5345e-005
+-2.5397e-005
+-2.5449e-005
+-2.5501e-005
+-2.5553e-005
+-2.5605e-005
+-2.5656e-005
+-2.5708e-005
+-2.5759e-005
+-2.581e-005
+-2.5861e-005
+-2.5912e-005
+-2.5963e-005
+-2.6013e-005
+-2.6063e-005
+-2.6114e-005
+-2.6164e-005
+-2.6213e-005
+-2.6263e-005
+-2.6313e-005
+-2.6362e-005
+-2.6411e-005
+-2.646e-005
+-2.6509e-005
+-2.6557e-005
+-2.6606e-005
+-2.6654e-005
+-2.6702e-005
+-2.675e-005
+-2.6798e-005
+-2.6846e-005
+-2.6893e-005
+-2.694e-005
+-2.6987e-005
+-2.7034e-005
+-2.7081e-005
+-2.7128e-005
+-2.7174e-005
+-2.722e-005
+-2.7266e-005
+-2.7312e-005
+-2.7358e-005
+-2.7403e-005
+-2.7448e-005
+-2.7493e-005
+-2.7538e-005
+-2.7583e-005
+-2.7627e-005
+-2.7672e-005
+-2.7716e-005
+-2.776e-005
+-2.7803e-005
+-2.7847e-005
+-2.789e-005
+-2.7933e-005
+-2.7976e-005
+-2.8019e-005
+-2.8062e-005
+-2.8104e-005
+-2.8146e-005
+-2.8188e-005
+-2.823e-005
+-2.8271e-005
+-2.8313e-005
+-2.8354e-005
+-2.8395e-005
+-2.8436e-005
+-2.8476e-005
+-2.8516e-005
+-2.8557e-005
+-2.8596e-005
+-2.8636e-005
+-2.8676e-005
+-2.8715e-005
+-2.8754e-005
+-2.8793e-005
+-2.8831e-005
+-2.887e-005
+-2.8908e-005
+-2.8946e-005
+-2.8984e-005
+-2.9021e-005
+-2.9059e-005
+-2.9096e-005
+-2.9133e-005
+-2.917e-005
+-2.9206e-005
+-2.9242e-005
+-2.9278e-005
+-2.9314e-005
+-2.935e-005
+-2.9385e-005
+-2.942e-005
+-2.9455e-005
+-2.949e-005
+-2.9524e-005
+-2.9558e-005
+-2.9592e-005
+-2.9626e-005
+-2.966e-005
+-2.9693e-005
+-2.9726e-005
+-2.9759e-005
+-2.9791e-005
+-2.9824e-005
+-2.9856e-005
+-2.9888e-005
+-2.9919e-005
+-2.9951e-005
+-2.9982e-005
+-3.0013e-005
+-3.0044e-005
+-3.0074e-005
+-3.0104e-005
+-3.0134e-005
+-3.0164e-005
+-3.0193e-005
+-3.0223e-005
+-3.0252e-005
+-3.028e-005
+-3.0309e-005
+-3.0337e-005
+-3.0365e-005
+-3.0393e-005
+-3.042e-005
+-3.0448e-005
+-3.0475e-005
+-3.0501e-005
+-3.0528e-005
+-3.0554e-005
+-3.058e-005
+-3.0606e-005
+-3.0631e-005
+-3.0656e-005
+-3.0681e-005
+-3.0706e-005
+-3.0731e-005
+-3.0755e-005
+-3.0779e-005
+-3.0802e-005
+-3.0826e-005
+-3.0849e-005
+-3.0872e-005
+-3.0894e-005
+-3.0917e-005
+-3.0939e-005
+-3.096e-005
+-3.0982e-005
+-3.1003e-005
+-3.1024e-005
+-3.1045e-005
+-3.1065e-005
+-3.1086e-005
+-3.1106e-005
+-3.1125e-005
+-3.1145e-005
+-3.1164e-005
+-3.1182e-005
+-3.1201e-005
+-3.1219e-005
+-3.1237e-005
+-3.1255e-005
+-3.1272e-005
+-3.129e-005
+-3.1306e-005
+-3.1323e-005
+-3.1339e-005
+-3.1355e-005
+-3.1371e-005
+-3.1387e-005
+-3.1402e-005
+-3.1417e-005
+-3.1431e-005
+-3.1446e-005
+-3.146e-005
+-3.1474e-005
+-3.1487e-005
+-3.15e-005
+-3.1513e-005
+-3.1526e-005
+-3.1538e-005
+-3.155e-005
+-3.1562e-005
+-3.1573e-005
+-3.1584e-005
+-3.1595e-005
+-3.1606e-005
+-3.1616e-005
+-3.1626e-005
+-3.1636e-005
+-3.1645e-005
+-3.1654e-005
+-3.1663e-005
+-3.1671e-005
+-3.168e-005
+-3.1688e-005
+-3.1695e-005
+-3.1702e-005
+-3.1709e-005
+-3.1716e-005
+-3.1722e-005
+-3.1728e-005
+-3.1734e-005
+-3.174e-005
+-3.1745e-005
+-3.175e-005
+-3.1754e-005
+-3.1759e-005
+-3.1762e-005
+-3.1766e-005
+-3.1769e-005
+-3.1772e-005
+-3.1775e-005
+-3.1777e-005
+-3.178e-005
+-3.1781e-005
+-3.1783e-005
+-3.1784e-005
+-3.1785e-005
+-3.1785e-005
+-3.1785e-005
+-3.1785e-005
+-3.1785e-005
+-3.1784e-005
+-3.1783e-005
+-3.1782e-005
+-3.178e-005
+-3.1778e-005
+-3.1775e-005
+-3.1773e-005
+-3.177e-005
+-3.1766e-005
+-3.1763e-005
+-3.1759e-005
+-3.1755e-005
+-3.175e-005
+-3.1745e-005
+-3.174e-005
+-3.1734e-005
+-3.1728e-005
+-3.1722e-005
+-3.1715e-005
+-3.1709e-005
+-3.1701e-005
+-3.1694e-005
+-3.1686e-005
+-3.1678e-005
+-3.1669e-005
+-3.166e-005
+-3.1651e-005
+-3.1642e-005
+-3.1632e-005
+-3.1621e-005
+-3.1611e-005
+-3.16e-005
+-3.1589e-005
+-3.1577e-005
+-3.1565e-005
+-3.1553e-005
+-3.1541e-005
+-3.1528e-005
+-3.1515e-005
+-3.1501e-005
+-3.1487e-005
+-3.1473e-005
+-3.1458e-005
+-3.1443e-005
+-3.1428e-005
+-3.1413e-005
+-3.1397e-005
+-3.138e-005
+-3.1364e-005
+-3.1347e-005
+-3.1329e-005
+-3.1312e-005
+-3.1294e-005
+-3.1276e-005
+-3.1257e-005
+-3.1238e-005
+-3.1218e-005
+-3.1199e-005
+-3.1179e-005
+-3.1158e-005
+-3.1137e-005
+-3.1116e-005
+-3.1095e-005
+-3.1073e-005
+-3.1051e-005
+-3.1028e-005
+-3.1006e-005
+-3.0982e-005
+-3.0959e-005
+-3.0935e-005
+-3.0911e-005
+-3.0886e-005
+-3.0861e-005
+-3.0836e-005
+-3.081e-005
+-3.0784e-005
+-3.0758e-005
+-3.0731e-005
+-3.0704e-005
+-3.0677e-005
+-3.0649e-005
+-3.0621e-005
+-3.0592e-005
+-3.0563e-005
+-3.0534e-005
+-3.0504e-005
+-3.0475e-005
+-3.0444e-005
+-3.0414e-005
+-3.0383e-005
+-3.0351e-005
+-3.032e-005
+-3.0287e-005
+-3.0255e-005
+-3.0222e-005
+-3.0189e-005
+-3.0156e-005
+-3.0122e-005
+-3.0087e-005
+-3.0053e-005
+-3.0018e-005
+-2.9983e-005
+-2.9947e-005
+-2.9911e-005
+-2.9874e-005
+-2.9838e-005
+-2.98e-005
+-2.9763e-005
+-2.9725e-005
+-2.9687e-005
+-2.9648e-005
+-2.9609e-005
+-2.957e-005
+-2.953e-005
+-2.949e-005
+-2.945e-005
+-2.9409e-005
+-2.9368e-005
+-2.9326e-005
+-2.9284e-005
+-2.9242e-005
+-2.92e-005
+-2.9157e-005
+-2.9113e-005
+-2.9069e-005
+-2.9025e-005
+-2.8981e-005
+-2.8936e-005
+-2.8891e-005
+-2.8845e-005
+-2.8799e-005
+-2.8753e-005
+-2.8706e-005
+-2.8659e-005
+-2.8612e-005
+-2.8564e-005
+-2.8516e-005
+-2.8467e-005
+-2.8418e-005
+-2.8369e-005
+-2.8319e-005
+-2.8269e-005
+-2.8219e-005
+-2.8168e-005
+-2.8117e-005
+-2.8066e-005
+-2.8014e-005
+-2.7961e-005
+-2.7909e-005
+-2.7856e-005
+-2.7802e-005
+-2.7749e-005
+-2.7695e-005
+-2.764e-005
+-2.7585e-005
+-2.753e-005
+-2.7474e-005
+-2.7418e-005
+-2.7362e-005
+-2.7305e-005
+-2.7248e-005
+-2.7191e-005
+-2.7133e-005
+-2.7075e-005
+-2.7016e-005
+-2.6957e-005
+-2.6898e-005
+-2.6838e-005
+-2.6778e-005
+-2.6717e-005
+-2.6657e-005
+-2.6595e-005
+-2.6534e-005
+-2.6472e-005
+-2.6409e-005
+-2.6347e-005
+-2.6284e-005
+-2.622e-005
+-2.6156e-005
+-2.6092e-005
+-2.6027e-005
+-2.5963e-005
+-2.5897e-005
+-2.5831e-005
+-2.5765e-005
+-2.5699e-005
+-2.5632e-005
+-2.5565e-005
+-2.5497e-005
+-2.5429e-005
+-2.5361e-005
+-2.5292e-005
+-2.5223e-005
+-2.5154e-005
+-2.5084e-005
+-2.5014e-005
+-2.4943e-005
+-2.4872e-005
+-2.4801e-005
+-2.4729e-005
+-2.4657e-005
+-2.4584e-005
+-2.4512e-005
+-2.4438e-005
+-2.4365e-005
+-2.4291e-005
+-2.4216e-005
+-2.4142e-005
+-2.4067e-005
+-2.3991e-005
+-2.3915e-005
+-2.3839e-005
+-2.3763e-005
+-2.3686e-005
+-2.3608e-005
+-2.3531e-005
+-2.3452e-005
+-2.3374e-005
+-2.3295e-005
+-2.3216e-005
+-2.3136e-005
+-2.3057e-005
+-2.2976e-005
+-2.2896e-005
+-2.2815e-005
+-2.2733e-005
+-2.2651e-005
+-2.2569e-005
+-2.2487e-005
+-2.2404e-005
+-2.232e-005
+-2.2237e-005
+-2.2153e-005
+-2.2068e-005
+-2.1984e-005
+-2.1899e-005
+-2.1813e-005
+-2.1727e-005
+-2.1641e-005
+-2.1554e-005
+-2.1467e-005
+-2.138e-005
+-2.1292e-005
+-2.1204e-005
+-2.1116e-005
+-2.1027e-005
+-2.0938e-005
+-2.0848e-005
+-2.0758e-005
+-2.0668e-005
+-2.0577e-005
+-2.0486e-005
+-2.0395e-005
+-2.0303e-005
+-2.0211e-005
+-2.0119e-005
+-2.0026e-005
+-1.9933e-005
+-1.9839e-005
+-1.9745e-005
+-1.9651e-005
+-1.9556e-005
+-1.9461e-005
+-1.9366e-005
+-1.927e-005
+-1.9174e-005
+-1.9078e-005
+-1.8981e-005
+-1.8884e-005
+-1.8786e-005
+-1.8688e-005
+-1.859e-005
+-1.8491e-005
+-1.8392e-005
+-1.8293e-005
+-1.8193e-005
+-1.8093e-005
+-1.7993e-005
+-1.7892e-005
+-1.7791e-005
+-1.769e-005
+-1.7588e-005
+-1.7486e-005
+-1.7383e-005
+-1.728e-005
+-1.7177e-005
+-1.7073e-005
+-1.6969e-005
+-1.6865e-005
+-1.676e-005
+-1.6655e-005
+-1.655e-005
+-1.6444e-005
+-1.6338e-005
+-1.6232e-005
+-1.6125e-005
+-1.6018e-005
+-1.5911e-005
+-1.5803e-005
+-1.5695e-005
+-1.5586e-005
+-1.5477e-005
+-1.5368e-005
+-1.5259e-005
+-1.5149e-005
+-1.5039e-005
+-1.4928e-005
+-1.4817e-005
+-1.4706e-005
+-1.4594e-005
+-1.4482e-005
+-1.437e-005
+-1.4257e-005
+-1.4144e-005
+-1.4031e-005
+-1.3918e-005
+-1.3804e-005
+-1.3689e-005
+-1.3575e-005
+-1.346e-005
+-1.3344e-005
+-1.3229e-005
+-1.3113e-005
+-1.2996e-005
+-1.288e-005
+-1.2763e-005
+-1.2645e-005
+-1.2528e-005
+-1.241e-005
+-1.2291e-005
+-1.2173e-005
+-1.2054e-005
+-1.1935e-005
+-1.1815e-005
+-1.1695e-005
+-1.1575e-005
+-1.1454e-005
+-1.1333e-005
+-1.1212e-005
+-1.109e-005
+-1.0968e-005
+-1.0846e-005
+-1.0724e-005
+-1.0601e-005
+-1.0478e-005
+-1.0354e-005
+-1.023e-005
+-1.0106e-005
+-9.9816e-006
+-9.8568e-006
+-9.7317e-006
+-9.6063e-006
+-9.4806e-006
+-9.3545e-006
+-9.2282e-006
+-9.1015e-006
+-8.9745e-006
+-8.8472e-006
+-8.7196e-006
+-8.5917e-006
+-8.4635e-006
+-8.3349e-006
+-8.2061e-006
+-8.077e-006
+-7.9475e-006
+-7.8177e-006
+-7.6877e-006
+-7.5573e-006
+-7.4267e-006
+-7.2957e-006
+-7.1644e-006
+-7.0329e-006
+-6.901e-006
+-6.7688e-006
+-6.6364e-006
+-6.5036e-006
+-6.3705e-006
+-6.2372e-006
+-6.1036e-006
+-5.9696e-006
+-5.8354e-006
+-5.7009e-006
+-5.566e-006
+-5.4309e-006
+-5.2956e-006
+-5.1599e-006
+-5.0239e-006
+-4.8876e-006
+-4.7511e-006
+-4.6143e-006
+-4.4772e-006
+-4.3398e-006
+-4.2021e-006
+-4.0642e-006
+-3.9259e-006
+-3.7874e-006
+-3.6486e-006
+-3.5096e-006
+-3.3702e-006
+-3.2306e-006
+-3.0907e-006
+-2.9505e-006
+-2.8101e-006
+-2.6694e-006
+-2.5284e-006
+-2.3871e-006
+-2.2456e-006
+-2.1038e-006
+-1.9618e-006
+-1.8195e-006
+-1.6769e-006
+-1.534e-006
+-1.3909e-006
+-1.2475e-006
+-1.1039e-006
+-9.6e-007
+-8.1585e-007
+-6.7144e-007
+-5.2677e-007
+-3.8185e-007
+-2.3667e-007
+-9.1244e-008
+5.4439e-008
+2.0037e-007
+3.4656e-007
+4.9299e-007
+6.3967e-007
+7.866e-007
+9.3378e-007
+1.0812e-006
+1.2289e-006
+1.3768e-006
+1.5249e-006
+1.6733e-006
+1.8219e-006
+1.9708e-006
+2.1199e-006
+2.2692e-006
+2.4188e-006
+2.5686e-006
+2.7187e-006
+2.8689e-006
+3.0195e-006
+3.1702e-006
+3.3211e-006
+3.4723e-006
+3.6238e-006
+3.7754e-006
+3.9273e-006
+4.0793e-006
+4.2317e-006
+4.3842e-006
+4.5369e-006
+4.6899e-006
+4.8431e-006
+4.9965e-006
+5.1501e-006
+5.3039e-006
+5.4579e-006
+5.6122e-006
+5.7666e-006
+5.9213e-006
+6.0762e-006
+6.2312e-006
+6.3865e-006
+6.542e-006
+6.6977e-006
+6.8536e-006
+7.0097e-006
+7.166e-006
+7.3224e-006
+7.4791e-006
+7.636e-006
+7.793e-006
+7.9503e-006
+8.1078e-006
+8.2654e-006
+8.4232e-006
+8.5812e-006
+8.7394e-006
+8.8978e-006
+9.0564e-006
+9.2151e-006
+9.374e-006
+9.5331e-006
+9.6924e-006
+9.8519e-006
+1.0012e-005
+1.0171e-005
+1.0331e-005
+1.0491e-005
+1.0652e-005
+1.0812e-005
+1.0973e-005
+1.1134e-005
+1.1295e-005
+1.1456e-005
+1.1617e-005
+1.1779e-005
+1.194e-005
+1.2102e-005
+1.2264e-005
+1.2426e-005
+1.2588e-005
+1.2751e-005
+1.2913e-005
+1.3076e-005
+1.3239e-005
+1.3402e-005
+1.3565e-005
+1.3728e-005
+1.3892e-005
+1.4055e-005
+1.4219e-005
+1.4383e-005
+1.4547e-005
+1.4711e-005
+1.4875e-005
+1.504e-005
+1.5204e-005
+1.5369e-005
+1.5533e-005
+1.5698e-005
+1.5863e-005
+1.6028e-005
+1.6194e-005
+1.6359e-005
+1.6525e-005
+1.669e-005
+1.6856e-005
+1.7022e-005
+1.7188e-005
+1.7354e-005
+1.752e-005
+1.7686e-005
+1.7853e-005
+1.8019e-005
+1.8186e-005
+1.8353e-005
+1.8519e-005
+1.8686e-005
+1.8853e-005
+1.902e-005
+1.9188e-005
+1.9355e-005
+1.9522e-005
+1.969e-005
+1.9857e-005
+2.0025e-005
+2.0193e-005
+2.036e-005
+2.0528e-005
+2.0696e-005
+2.0864e-005
+2.1032e-005
+2.1201e-005
+2.1369e-005
+2.1537e-005
+2.1706e-005
+2.1874e-005
+2.2043e-005
+2.2211e-005
+2.238e-005
+2.2549e-005
+2.2718e-005
+2.2886e-005
+2.3055e-005
+2.3224e-005
+2.3393e-005
+2.3562e-005
+2.3732e-005
+2.3901e-005
+2.407e-005
+2.4239e-005
+2.4409e-005
+2.4578e-005
+2.4747e-005
+2.4917e-005
+2.5086e-005
+2.5256e-005
+2.5426e-005
+2.5595e-005
+2.5765e-005
+2.5934e-005
+2.6104e-005
+2.6274e-005
+2.6444e-005
+2.6613e-005
+2.6783e-005
+2.6953e-005
+2.7123e-005
+2.7293e-005
+2.7462e-005
+2.7632e-005
+2.7802e-005
+2.7972e-005
+2.8142e-005
+2.8312e-005
+2.8482e-005
+2.8652e-005
+2.8821e-005
+2.8991e-005
+2.9161e-005
+2.9331e-005
+2.9501e-005
+2.9671e-005
+2.9841e-005
+3.0011e-005
+3.0181e-005
+3.035e-005
+3.052e-005
+3.069e-005
+3.086e-005
+3.1029e-005
+3.1199e-005
+3.1369e-005
+3.1539e-005
+3.1708e-005
+3.1878e-005
+3.2047e-005
+3.2217e-005
+3.2386e-005
+3.2556e-005
+3.2725e-005
+3.2895e-005
+3.3064e-005
+3.3233e-005
+3.3403e-005
+3.3572e-005
+3.3741e-005
+3.391e-005
+3.4079e-005
+3.4248e-005
+3.4417e-005
+3.4586e-005
+3.4755e-005
+3.4924e-005
+3.5092e-005
+3.5261e-005
+3.5429e-005
+3.5598e-005
+3.5766e-005
+3.5935e-005
+3.6103e-005
+3.6271e-005
+3.6439e-005
+3.6607e-005
+3.6775e-005
+3.6943e-005
+3.7111e-005
+3.7278e-005
+3.7446e-005
+3.7613e-005
+3.7781e-005
+3.7948e-005
+3.8115e-005
+3.8282e-005
+3.8449e-005
+3.8616e-005
+3.8783e-005
+3.895e-005
+3.9116e-005
+3.9283e-005
+3.9449e-005
+3.9615e-005
+3.9781e-005
+3.9947e-005
+4.0113e-005
+4.0279e-005
+4.0444e-005
+4.061e-005
+4.0775e-005
+4.094e-005
+4.1105e-005
+4.127e-005
+4.1435e-005
+4.16e-005
+4.1764e-005
+4.1929e-005
+4.2093e-005
+4.2257e-005
+4.2421e-005
+4.2585e-005
+4.2748e-005
+4.2912e-005
+4.3075e-005
+4.3238e-005
+4.3401e-005
+4.3564e-005
+4.3727e-005
+4.389e-005
+4.4052e-005
+4.4214e-005
+4.4376e-005
+4.4538e-005
+4.47e-005
+4.4861e-005
+4.5022e-005
+4.5184e-005
+4.5345e-005
+4.5505e-005
+4.5666e-005
+4.5826e-005
+4.5986e-005
+4.6146e-005
+4.6306e-005
+4.6466e-005
+4.6625e-005
+4.6784e-005
+4.6943e-005
+4.7102e-005
+4.7261e-005
+4.7419e-005
+4.7577e-005
+4.7735e-005
+4.7893e-005
+4.8051e-005
+4.8208e-005
+4.8365e-005
+4.8522e-005
+4.8679e-005
+4.8835e-005
+4.8991e-005
+4.9147e-005
+4.9303e-005
+4.9458e-005
+4.9614e-005
+4.9769e-005
+4.9923e-005
+5.0078e-005
+5.0232e-005
+5.0386e-005
+5.054e-005
+5.0694e-005
+5.0847e-005
+5.1e-005
+5.1153e-005
+5.1305e-005
+5.1457e-005
+5.1609e-005
+5.1761e-005
+5.1913e-005
+5.2064e-005
+5.2215e-005
+5.2365e-005
+5.2516e-005
+5.2666e-005
+5.2816e-005
+5.2965e-005
+5.3114e-005
+5.3263e-005
+5.3412e-005
+5.356e-005
+5.3709e-005
+5.3856e-005
+5.4004e-005
+5.4151e-005
+5.4298e-005
+5.4445e-005
+5.4591e-005
+5.4737e-005
+5.4883e-005
+5.5028e-005
+5.5173e-005
+5.5318e-005
+5.5463e-005
+5.5607e-005
+5.5751e-005
+5.5894e-005
+5.6037e-005
+5.618e-005
+5.6323e-005
+5.6465e-005
+5.6607e-005
+5.6748e-005
+5.6889e-005
+5.703e-005
+5.7171e-005
+5.7311e-005
+5.7451e-005
+5.759e-005
+5.773e-005
+5.7868e-005
+5.8007e-005
+5.8145e-005
+5.8283e-005
+5.842e-005
+5.8557e-005
+5.8694e-005
+5.883e-005
+5.8966e-005
+5.9102e-005
+5.9237e-005
+5.9372e-005
+5.9506e-005
+5.964e-005
+5.9774e-005
+5.9907e-005
+6.004e-005
+6.0173e-005
+6.0305e-005
+6.0437e-005
+6.0568e-005
+6.0699e-005
+6.083e-005
+6.096e-005
+6.109e-005
+6.1219e-005
+6.1349e-005
+6.1477e-005
+6.1605e-005
+6.1733e-005
+6.1861e-005
+6.1988e-005
+6.2114e-005
+6.224e-005
+6.2366e-005
+6.2492e-005
+6.2616e-005
+6.2741e-005
+6.2865e-005
+6.2989e-005
+6.3112e-005
+6.3235e-005
+6.3357e-005
+6.3479e-005
+6.3601e-005
+6.3722e-005
+6.3842e-005
+6.3962e-005
+6.4082e-005
+6.4201e-005
+6.432e-005
+6.4439e-005
+6.4557e-005
+6.4674e-005
+6.4791e-005
+6.4908e-005
+6.5024e-005
+6.5139e-005
+6.5254e-005
+6.5369e-005
+6.5483e-005
+6.5597e-005
+6.571e-005
+6.5823e-005
+6.5936e-005
+6.6048e-005
+6.6159e-005
+6.627e-005
+6.638e-005
+6.649e-005
+6.66e-005
+6.6709e-005
+6.6817e-005
+6.6925e-005
+6.7033e-005
+6.714e-005
+6.7246e-005
+6.7352e-005
+6.7458e-005
+6.7563e-005
+6.7667e-005
+6.7771e-005
+6.7874e-005
+6.7977e-005
+6.808e-005
+6.8182e-005
+6.8283e-005
+6.8384e-005
+6.8484e-005
+6.8584e-005
+6.8683e-005
+6.8782e-005
+6.888e-005
+6.8978e-005
+6.9075e-005
+6.9172e-005
+6.9268e-005
+6.9364e-005
+6.9459e-005
+6.9553e-005
+6.9647e-005
+6.9741e-005
+6.9833e-005
+6.9926e-005
+7.0017e-005
+7.0109e-005
+7.0199e-005
+7.0289e-005
+7.0379e-005
+7.0468e-005
+7.0556e-005
+7.0644e-005
+7.0731e-005
+7.0818e-005
+7.0904e-005
+7.099e-005
+7.1075e-005
+7.1159e-005
+7.1243e-005
+7.1326e-005
+7.1409e-005
+7.1491e-005
+7.1572e-005
+7.1653e-005
+7.1734e-005
+7.1813e-005
+7.1893e-005
+7.1971e-005
+7.2049e-005
+7.2126e-005
+7.2203e-005
+7.2279e-005
+7.2355e-005
+7.243e-005
+7.2504e-005
+7.2578e-005
+7.2651e-005
+7.2724e-005
+7.2795e-005
+7.2867e-005
+7.2937e-005
+7.3007e-005
+7.3077e-005
+7.3146e-005
+7.3214e-005
+7.3281e-005
+7.3348e-005
+7.3415e-005
+7.348e-005
+7.3545e-005
+7.361e-005
+7.3673e-005
+7.3737e-005
+7.3799e-005
+7.3861e-005
+7.3922e-005
+7.3983e-005
+7.4042e-005
+7.4102e-005
+7.416e-005
+7.4218e-005
+7.4276e-005
+7.4332e-005
+7.4388e-005
+7.4444e-005
+7.4498e-005
+7.4552e-005
+7.4606e-005
+7.4658e-005
+7.471e-005
+7.4762e-005
+7.4812e-005
+7.4862e-005
+7.4912e-005
+7.496e-005
+7.5008e-005
+7.5056e-005
+7.5102e-005
+7.5148e-005
+7.5193e-005
+7.5238e-005
+7.5282e-005
+7.5325e-005
+7.5368e-005
+7.5409e-005
+7.5451e-005
+7.5491e-005
+7.5531e-005
+7.557e-005
+7.5608e-005
+7.5646e-005
+7.5683e-005
+7.5719e-005
+7.5755e-005
+7.5789e-005
+7.5823e-005
+7.5857e-005
+7.589e-005
+7.5922e-005
+7.5953e-005
+7.5983e-005
+7.6013e-005
+7.6042e-005
+7.6071e-005
+7.6098e-005
+7.6125e-005
+7.6152e-005
+7.6177e-005
+7.6202e-005
+7.6226e-005
+7.6249e-005
+7.6272e-005
+7.6294e-005
+7.6315e-005
+7.6335e-005
+7.6355e-005
+7.6374e-005
+7.6392e-005
+7.6409e-005
+7.6426e-005
+7.6442e-005
+7.6457e-005
+7.6472e-005
+7.6485e-005
+7.6498e-005
+7.6511e-005
+7.6522e-005
+7.6533e-005
+7.6543e-005
+7.6552e-005
+7.656e-005
+7.6568e-005
+7.6575e-005
+7.6581e-005
+7.6586e-005
+7.6591e-005
+7.6595e-005
+7.6598e-005
+7.66e-005
+7.6602e-005
+7.6602e-005
+7.6602e-005
+7.6602e-005
+7.66e-005
+7.6598e-005
+7.6595e-005
+7.6591e-005
+7.6586e-005
+7.6581e-005
+7.6574e-005
+7.6567e-005
+7.6559e-005
+7.6551e-005
+7.6541e-005
+7.6531e-005
+7.652e-005
+7.6509e-005
+7.6496e-005
+7.6483e-005
+7.6468e-005
+7.6454e-005
+7.6438e-005
+7.6421e-005
+7.6404e-005
+7.6386e-005
+7.6367e-005
+7.6347e-005
+7.6327e-005
+7.6305e-005
+7.6283e-005
+7.626e-005
+7.6236e-005
+7.6212e-005
+7.6186e-005
+7.616e-005
+7.6133e-005
+7.6105e-005
+7.6077e-005
+7.6047e-005
+7.6017e-005
+7.5986e-005
+7.5954e-005
+7.5921e-005
+7.5887e-005
+7.5853e-005
+7.5818e-005
+7.5782e-005
+7.5745e-005
+7.5707e-005
+7.5669e-005
+7.5629e-005
+7.5589e-005
+7.5548e-005
+7.5506e-005
+7.5464e-005
+7.542e-005
+7.5376e-005
+7.5331e-005
+7.5285e-005
+7.5238e-005
+7.519e-005
+7.5141e-005
+7.5092e-005
+7.5042e-005
+7.4991e-005
+7.4939e-005
+7.4886e-005
+7.4833e-005
+7.4778e-005
+7.4723e-005
+7.4667e-005
+7.461e-005
+7.4552e-005
+7.4493e-005
+7.4434e-005
+7.4373e-005
+7.4312e-005
+7.425e-005
+7.4187e-005
+7.4123e-005
+7.4059e-005
+7.3993e-005
+7.3927e-005
+7.386e-005
+7.3791e-005
+7.3722e-005
+7.3653e-005
+7.3582e-005
+7.3511e-005
+7.3438e-005
+7.3365e-005
+7.3291e-005
+7.3216e-005
+7.314e-005
+7.3063e-005
+7.2986e-005
+7.2907e-005
+7.2828e-005
+7.2748e-005
+7.2667e-005
+7.2585e-005
+7.2502e-005
+7.2418e-005
+7.2334e-005
+7.2248e-005
+7.2162e-005
+7.2075e-005
+7.1987e-005
+7.1898e-005
+7.1808e-005
+7.1718e-005
+7.1626e-005
+7.1534e-005
+7.1441e-005
+7.1346e-005
+7.1251e-005
+7.1155e-005
+7.1059e-005
+7.0961e-005
+7.0863e-005
+7.0763e-005
+7.0663e-005
+7.0562e-005
+7.046e-005
+7.0357e-005
+7.0253e-005
+7.0148e-005
+7.0042e-005
+6.9936e-005
+6.9829e-005
+6.972e-005
+6.9611e-005
+6.9501e-005
+6.939e-005
+6.9279e-005
+6.9166e-005
+6.9052e-005
+6.8938e-005
+6.8823e-005
+6.8706e-005
+6.8589e-005
+6.8471e-005
+6.8352e-005
+6.8233e-005
+6.8112e-005
+6.799e-005
+6.7868e-005
+6.7745e-005
+6.762e-005
+6.7495e-005
+6.7369e-005
+6.7242e-005
+6.7115e-005
+6.6986e-005
+6.6856e-005
+6.6726e-005
+6.6594e-005
+6.6462e-005
+6.6329e-005
+6.6195e-005
+6.606e-005
+6.5924e-005
+6.5788e-005
+6.565e-005
+6.5512e-005
+6.5372e-005
+6.5232e-005
+6.5091e-005
+6.4949e-005
+6.4806e-005
+6.4662e-005
+6.4517e-005
+6.4371e-005
+6.4225e-005
+6.4078e-005
+6.3929e-005
+6.378e-005
+6.363e-005
+6.3479e-005
+6.3327e-005
+6.3174e-005
+6.3021e-005
+6.2866e-005
+6.2711e-005
+6.2554e-005
+6.2397e-005
+6.2239e-005
+6.208e-005
+6.192e-005
+6.1759e-005
+6.1597e-005
+6.1435e-005
+6.1271e-005
+6.1107e-005
+6.0942e-005
+6.0776e-005
+6.0609e-005
+6.0441e-005
+6.0272e-005
+6.0102e-005
+5.9932e-005
+5.976e-005
+5.9588e-005
+5.9414e-005
+5.924e-005
+5.9065e-005
+5.8889e-005
+5.8712e-005
+5.8535e-005
+5.8356e-005
+5.8177e-005
+5.7996e-005
+5.7815e-005
+5.7633e-005
+5.745e-005
+5.7266e-005
+5.7081e-005
+5.6895e-005
+5.6709e-005
+5.6521e-005
+5.6333e-005
+5.6144e-005
+5.5954e-005
+5.5763e-005
+5.5571e-005
+5.5378e-005
+5.5184e-005
+5.499e-005
+5.4795e-005
+5.4598e-005
+5.4401e-005
+5.4203e-005
+5.4004e-005
+5.3804e-005
+5.3604e-005
+5.3402e-005
+5.32e-005
+5.2997e-005
+5.2792e-005
+5.2587e-005
+5.2381e-005
+5.2175e-005
+5.1967e-005
+5.1759e-005
+5.1549e-005
+5.1339e-005
+5.1128e-005
+5.0916e-005
+5.0703e-005
+5.0489e-005
+5.0275e-005
+5.0059e-005
+4.9843e-005
+4.9626e-005
+4.9408e-005
+4.9189e-005
+4.8969e-005
+4.8748e-005
+4.8527e-005
+4.8304e-005
+4.8081e-005
+4.7857e-005
+4.7632e-005
+4.7406e-005
+4.718e-005
+4.6952e-005
+4.6724e-005
+4.6495e-005
+4.6265e-005
+4.6034e-005
+4.5802e-005
+4.557e-005
+4.5336e-005
+4.5102e-005
+4.4867e-005
+4.4631e-005
+4.4394e-005
+4.4156e-005
+4.3918e-005
+4.3678e-005
+4.3438e-005
+4.3197e-005
+4.2955e-005
+4.2713e-005
+4.2469e-005
+4.2225e-005
+4.1979e-005
+4.1733e-005
+4.1486e-005
+4.1239e-005
+4.099e-005
+4.0741e-005
+4.0491e-005
+4.024e-005
+3.9988e-005
+3.9735e-005
+3.9482e-005
+3.9227e-005
+3.8972e-005
+3.8716e-005
+3.8459e-005
+3.8202e-005
+3.7944e-005
+3.7684e-005
+3.7424e-005
+3.7163e-005
+3.6902e-005
+3.6639e-005
+3.6376e-005
+3.6112e-005
+3.5847e-005
+3.5581e-005
+3.5315e-005
+3.5048e-005
+3.478e-005
+3.4511e-005
+3.4241e-005
+3.3971e-005
+3.3699e-005
+3.3427e-005
+3.3154e-005
+3.2881e-005
+3.2606e-005
+3.2331e-005
+3.2055e-005
+3.1778e-005
+3.1501e-005
+3.1222e-005
+3.0943e-005
+3.0663e-005
+3.0383e-005
+3.0101e-005
+2.9819e-005
+2.9536e-005
+2.9252e-005
+2.8968e-005
+2.8682e-005
+2.8396e-005
+2.8109e-005
+2.7822e-005
+2.7533e-005
+2.7244e-005
+2.6954e-005
+2.6664e-005
+2.6372e-005
+2.608e-005
+2.5787e-005
+2.5494e-005
+2.5199e-005
+2.4904e-005
+2.4608e-005
+2.4312e-005
+2.4014e-005
+2.3716e-005
+2.3417e-005
+2.3118e-005
+2.2817e-005
+2.2516e-005
+2.2215e-005
+2.1912e-005
+2.1609e-005
+2.1305e-005
+2.1e-005
+2.0695e-005
+2.0389e-005
+2.0082e-005
+1.9774e-005
+1.9466e-005
+1.9157e-005
+1.8847e-005
+1.8537e-005
+1.8226e-005
+1.7914e-005
+1.7602e-005
+1.7288e-005
+1.6974e-005
+1.666e-005
+1.6345e-005
+1.6029e-005
+1.5712e-005
+1.5395e-005
+1.5077e-005
+1.4758e-005
+1.4438e-005
+1.4118e-005
+1.3798e-005
+1.3476e-005
+1.3154e-005
+1.2831e-005
+1.2508e-005
+1.2184e-005
+1.1859e-005
+1.1533e-005
+1.1207e-005
+1.088e-005
+1.0553e-005
+1.0225e-005
+9.8962e-006
+9.5668e-006
+9.2368e-006
+8.9061e-006
+8.5748e-006
+8.2429e-006
+7.9103e-006
+7.577e-006
+7.2432e-006
+6.9087e-006
+6.5735e-006
+6.2378e-006
+5.9014e-006
+5.5644e-006
+5.2268e-006
+4.8885e-006
+4.5497e-006
+4.2102e-006
+3.8701e-006
+3.5294e-006
+3.1881e-006
+2.8462e-006
+2.5037e-006
+2.1606e-006
+1.817e-006
+1.4727e-006
+1.1278e-006
+7.8232e-007
+4.3627e-007
+8.9629e-008
+-2.5759e-007
+-6.0539e-007
+-9.5376e-007
+-1.3027e-006
+-1.6522e-006
+-2.0023e-006
+-2.353e-006
+-2.7042e-006
+-3.056e-006
+-3.4083e-006
+-3.7612e-006
+-4.1146e-006
+-4.4686e-006
+-4.8232e-006
+-5.1783e-006
+-5.5339e-006
+-5.8901e-006
+-6.2468e-006
+-6.604e-006
+-6.9618e-006
+-7.3201e-006
+-7.6789e-006
+-8.0383e-006
+-8.3982e-006
+-8.7586e-006
+-9.1195e-006
+-9.4809e-006
+-9.8428e-006
+-1.0205e-005
+-1.0568e-005
+-1.0932e-005
+-1.1296e-005
+-1.166e-005
+-1.2025e-005
+-1.239e-005
+-1.2756e-005
+-1.3123e-005
+-1.349e-005
+-1.3857e-005
+-1.4225e-005
+-1.4593e-005
+-1.4962e-005
+-1.5331e-005
+-1.5701e-005
+-1.6071e-005
+-1.6442e-005
+-1.6813e-005
+-1.7184e-005
+-1.7556e-005
+-1.7929e-005
+-1.8302e-005
+-1.8675e-005
+-1.9049e-005
+-1.9423e-005
+-1.9798e-005
+-2.0173e-005
+-2.0548e-005
+-2.0924e-005
+-2.13e-005
+-2.1677e-005
+-2.2054e-005
+-2.2432e-005
+-2.281e-005
+-2.3188e-005
+-2.3567e-005
+-2.3946e-005
+-2.4326e-005
+-2.4706e-005
+-2.5086e-005
+-2.5467e-005
+-2.5848e-005
+-2.623e-005
+-2.6611e-005
+-2.6994e-005
+-2.7376e-005
+-2.7759e-005
+-2.8143e-005
+-2.8526e-005
+-2.8911e-005
+-2.9295e-005
+-2.968e-005
+-3.0065e-005
+-3.045e-005
+-3.0836e-005
+-3.1222e-005
+-3.1609e-005
+-3.1996e-005
+-3.2383e-005
+-3.277e-005
+-3.3158e-005
+-3.3546e-005
+-3.3935e-005
+-3.4323e-005
+-3.4713e-005
+-3.5102e-005
+-3.5492e-005
+-3.5881e-005
+-3.6272e-005
+-3.6662e-005
+-3.7053e-005
+-3.7444e-005
+-3.7836e-005
+-3.8227e-005
+-3.8619e-005
+-3.9011e-005
+-3.9404e-005
+-3.9797e-005
+-4.019e-005
+-4.0583e-005
+-4.0976e-005
+-4.137e-005
+-4.1764e-005
+-4.2158e-005
+-4.2553e-005
+-4.2948e-005
+-4.3343e-005
+-4.3738e-005
+-4.4133e-005
+-4.4529e-005
+-4.4925e-005
+-4.5321e-005
+-4.5717e-005
+-4.6114e-005
+-4.651e-005
+-4.6907e-005
+-4.7304e-005
+-4.7702e-005
+-4.8099e-005
+-4.8497e-005
+-4.8895e-005
+-4.9293e-005
+-4.9691e-005
+-5.0089e-005
+-5.0488e-005
+-5.0886e-005
+-5.1285e-005
+-5.1684e-005
+-5.2083e-005
+-5.2483e-005
+-5.2882e-005
+-5.3282e-005
+-5.3681e-005
+-5.4081e-005
+-5.4481e-005
+-5.4881e-005
+-5.5282e-005
+-5.5682e-005
+-5.6082e-005
+-5.6483e-005
+-5.6884e-005
+-5.7285e-005
+-5.7685e-005
+-5.8086e-005
+-5.8487e-005
+-5.8889e-005
+-5.929e-005
+-5.9691e-005
+-6.0093e-005
+-6.0494e-005
+-6.0896e-005
+-6.1297e-005
+-6.1699e-005
+-6.2101e-005
+-6.2502e-005
+-6.2904e-005
+-6.3306e-005
+-6.3708e-005
+-6.411e-005
+-6.4512e-005
+-6.4914e-005
+-6.5316e-005
+-6.5718e-005
+-6.612e-005
+-6.6522e-005
+-6.6925e-005
+-6.7327e-005
+-6.7729e-005
+-6.8131e-005
+-6.8533e-005
+-6.8935e-005
+-6.9337e-005
+-6.9739e-005
+-7.0141e-005
+-7.0543e-005
+-7.0945e-005
+-7.1347e-005
+-7.1749e-005
+-7.2151e-005
+-7.2553e-005
+-7.2955e-005
+-7.3356e-005
+-7.3758e-005
+-7.416e-005
+-7.4561e-005
+-7.4963e-005
+-7.5364e-005
+-7.5765e-005
+-7.6167e-005
+-7.6568e-005
+-7.6969e-005
+-7.737e-005
+-7.7771e-005
+-7.8171e-005
+-7.8572e-005
+-7.8973e-005
+-7.9373e-005
+-7.9773e-005
+-8.0174e-005
+-8.0574e-005
+-8.0973e-005
+-8.1373e-005
+-8.1773e-005
+-8.2172e-005
+-8.2572e-005
+-8.2971e-005
+-8.337e-005
+-8.3769e-005
+-8.4167e-005
+-8.4566e-005
+-8.4964e-005
+-8.5362e-005
+-8.576e-005
+-8.6158e-005
+-8.6556e-005
+-8.6953e-005
+-8.735e-005
+-8.7747e-005
+-8.8144e-005
+-8.8541e-005
+-8.8937e-005
+-8.9333e-005
+-8.9729e-005
+-9.0125e-005
+-9.052e-005
+-9.0916e-005
+-9.131e-005
+-9.1705e-005
+-9.21e-005
+-9.2494e-005
+-9.2888e-005
+-9.3281e-005
+-9.3675e-005
+-9.4068e-005
+-9.4461e-005
+-9.4853e-005
+-9.5246e-005
+-9.5638e-005
+-9.6029e-005
+-9.6421e-005
+-9.6812e-005
+-9.7203e-005
+-9.7593e-005
+-9.7983e-005
+-9.8373e-005
+-9.8762e-005
+-9.9152e-005
+-9.954e-005
+-9.9929e-005
+-0.00010032
+-0.0001007
+-0.00010109
+-0.00010148
+-0.00010187
+-0.00010225
+-0.00010264
+-0.00010302
+-0.00010341
+-0.00010379
+-0.00010418
+-0.00010456
+-0.00010495
+-0.00010533
+-0.00010571
+-0.00010609
+-0.00010648
+-0.00010686
+-0.00010724
+-0.00010762
+-0.000108
+-0.00010838
+-0.00010876
+-0.00010914
+-0.00010952
+-0.0001099
+-0.00011027
+-0.00011065
+-0.00011103
+-0.0001114
+-0.00011178
+-0.00011215
+-0.00011253
+-0.0001129
+-0.00011328
+-0.00011365
+-0.00011402
+-0.00011439
+-0.00011477
+-0.00011514
+-0.00011551
+-0.00011588
+-0.00011625
+-0.00011662
+-0.00011699
+-0.00011735
+-0.00011772
+-0.00011809
+-0.00011845
+-0.00011882
+-0.00011919
+-0.00011955
+-0.00011991
+-0.00012028
+-0.00012064
+-0.000121
+-0.00012136
+-0.00012173
+-0.00012209
+-0.00012245
+-0.0001228
+-0.00012316
+-0.00012352
+-0.00012388
+-0.00012423
+-0.00012459
+-0.00012495
+-0.0001253
+-0.00012566
+-0.00012601
+-0.00012636
+-0.00012671
+-0.00012707
+-0.00012742
+-0.00012777
+-0.00012812
+-0.00012846
+-0.00012881
+-0.00012916
+-0.00012951
+-0.00012985
+-0.0001302
+-0.00013054
+-0.00013089
+-0.00013123
+-0.00013157
+-0.00013191
+-0.00013225
+-0.00013259
+-0.00013293
+-0.00013327
+-0.00013361
+-0.00013395
+-0.00013428
+-0.00013462
+-0.00013495
+-0.00013529
+-0.00013562
+-0.00013595
+-0.00013628
+-0.00013661
+-0.00013694
+-0.00013727
+-0.0001376
+-0.00013793
+-0.00013825
+-0.00013858
+-0.00013891
+-0.00013923
+-0.00013955
+-0.00013988
+-0.0001402
+-0.00014052
+-0.00014084
+-0.00014116
+-0.00014147
+-0.00014179
+-0.00014211
+-0.00014242
+-0.00014274
+-0.00014305
+-0.00014336
+-0.00014368
+-0.00014399
+-0.0001443
+-0.00014461
+-0.00014491
+-0.00014522
+-0.00014553
+-0.00014583
+-0.00014614
+-0.00014644
+-0.00014674
+-0.00014705
+-0.00014735
+-0.00014765
+-0.00014795
+-0.00014824
+-0.00014854
+-0.00014884
+-0.00014913
+-0.00014942
+-0.00014972
+-0.00015001
+-0.0001503
+-0.00015059
+-0.00015088
+-0.00015117
+-0.00015145
+-0.00015174
+-0.00015202
+-0.00015231
+-0.00015259
+-0.00015287
+-0.00015315
+-0.00015343
+-0.00015371
+-0.00015399
+-0.00015426
+-0.00015454
+-0.00015481
+-0.00015508
+-0.00015536
+-0.00015563
+-0.0001559
+-0.00015616
+-0.00015643
+-0.0001567
+-0.00015696
+-0.00015723
+-0.00015749
+-0.00015775
+-0.00015801
+-0.00015827
+-0.00015853
+-0.00015879
+-0.00015904
+-0.0001593
+-0.00015955
+-0.00015981
+-0.00016006
+-0.00016031
+-0.00016056
+-0.0001608
+-0.00016105
+-0.0001613
+-0.00016154
+-0.00016178
+-0.00016203
+-0.00016227
+-0.00016251
+-0.00016274
+-0.00016298
+-0.00016322
+-0.00016345
+-0.00016368
+-0.00016392
+-0.00016415
+-0.00016438
+-0.00016461
+-0.00016483
+-0.00016506
+-0.00016528
+-0.00016551
+-0.00016573
+-0.00016595
+-0.00016617
+-0.00016639
+-0.0001666
+-0.00016682
+-0.00016703
+-0.00016724
+-0.00016746
+-0.00016767
+-0.00016788
+-0.00016808
+-0.00016829
+-0.00016849
+-0.0001687
+-0.0001689
+-0.0001691
+-0.0001693
+-0.0001695
+-0.00016969
+-0.00016989
+-0.00017008
+-0.00017028
+-0.00017047
+-0.00017066
+-0.00017085
+-0.00017103
+-0.00017122
+-0.0001714
+-0.00017158
+-0.00017177
+-0.00017195
+-0.00017212
+-0.0001723
+-0.00017248
+-0.00017265
+-0.00017282
+-0.000173
+-0.00017317
+-0.00017333
+-0.0001735
+-0.00017367
+-0.00017383
+-0.00017399
+-0.00017415
+-0.00017431
+-0.00017447
+-0.00017463
+-0.00017478
+-0.00017494
+-0.00017509
+-0.00017524
+-0.00017539
+-0.00017554
+-0.00017568
+-0.00017583
+-0.00017597
+-0.00017611
+-0.00017625
+-0.00017639
+-0.00017653
+-0.00017666
+-0.0001768
+-0.00017693
+-0.00017706
+-0.00017719
+-0.00017732
+-0.00017744
+-0.00017757
+-0.00017769
+-0.00017781
+-0.00017793
+-0.00017805
+-0.00017817
+-0.00017828
+-0.00017839
+-0.00017851
+-0.00017862
+-0.00017872
+-0.00017883
+-0.00017894
+-0.00017904
+-0.00017914
+-0.00017924
+-0.00017934
+-0.00017944
+-0.00017953
+-0.00017963
+-0.00017972
+-0.00017981
+-0.0001799
+-0.00017999
+-0.00018007
+-0.00018016
+-0.00018024
+-0.00018032
+-0.0001804
+-0.00018047
+-0.00018055
+-0.00018062
+-0.00018069
+-0.00018076
+-0.00018083
+-0.0001809
+-0.00018096
+-0.00018103
+-0.00018109
+-0.00018115
+-0.00018121
+-0.00018126
+-0.00018132
+-0.00018137
+-0.00018142
+-0.00018147
+-0.00018152
+-0.00018157
+-0.00018161
+-0.00018165
+-0.00018169
+-0.00018173
+-0.00018177
+-0.0001818
+-0.00018184
+-0.00018187
+-0.0001819
+-0.00018193
+-0.00018195
+-0.00018198
+-0.000182
+-0.00018202
+-0.00018204
+-0.00018206
+-0.00018207
+-0.00018209
+-0.0001821
+-0.00018211
+-0.00018212
+-0.00018212
+-0.00018213
+-0.00018213
+-0.00018213
+-0.00018213
+-0.00018213
+-0.00018212
+-0.00018212
+-0.00018211
+-0.0001821
+-0.00018208
+-0.00018207
+-0.00018205
+-0.00018204
+-0.00018202
+-0.00018199
+-0.00018197
+-0.00018194
+-0.00018192
+-0.00018189
+-0.00018186
+-0.00018182
+-0.00018179
+-0.00018175
+-0.00018171
+-0.00018167
+-0.00018163
+-0.00018158
+-0.00018154
+-0.00018149
+-0.00018144
+-0.00018139
+-0.00018133
+-0.00018127
+-0.00018122
+-0.00018116
+-0.00018109
+-0.00018103
+-0.00018096
+-0.0001809
+-0.00018083
+-0.00018075
+-0.00018068
+-0.0001806
+-0.00018052
+-0.00018044
+-0.00018036
+-0.00018028
+-0.00018019
+-0.0001801
+-0.00018001
+-0.00017992
+-0.00017983
+-0.00017973
+-0.00017963
+-0.00017953
+-0.00017943
+-0.00017933
+-0.00017922
+-0.00017911
+-0.000179
+-0.00017889
+-0.00017878
+-0.00017866
+-0.00017854
+-0.00017842
+-0.0001783
+-0.00017817
+-0.00017805
+-0.00017792
+-0.00017779
+-0.00017766
+-0.00017752
+-0.00017738
+-0.00017724
+-0.0001771
+-0.00017696
+-0.00017682
+-0.00017667
+-0.00017652
+-0.00017637
+-0.00017621
+-0.00017606
+-0.0001759
+-0.00017574
+-0.00017558
+-0.00017541
+-0.00017525
+-0.00017508
+-0.00017491
+-0.00017474
+-0.00017456
+-0.00017438
+-0.00017421
+-0.00017402
+-0.00017384
+-0.00017366
+-0.00017347
+-0.00017328
+-0.00017309
+-0.00017289
+-0.0001727
+-0.0001725
+-0.0001723
+-0.0001721
+-0.00017189
+-0.00017169
+-0.00017148
+-0.00017127
+-0.00017105
+-0.00017084
+-0.00017062
+-0.0001704
+-0.00017018
+-0.00016995
+-0.00016973
+-0.0001695
+-0.00016927
+-0.00016904
+-0.0001688
+-0.00016856
+-0.00016833
+-0.00016808
+-0.00016784
+-0.00016759
+-0.00016735
+-0.0001671
+-0.00016684
+-0.00016659
+-0.00016633
+-0.00016607
+-0.00016581
+-0.00016555
+-0.00016528
+-0.00016502
+-0.00016475
+-0.00016447
+-0.0001642
+-0.00016392
+-0.00016364
+-0.00016336
+-0.00016308
+-0.00016279
+-0.0001625
+-0.00016221
+-0.00016192
+-0.00016163
+-0.00016133
+-0.00016103
+-0.00016073
+-0.00016043
+-0.00016012
+-0.00015981
+-0.0001595
+-0.00015919
+-0.00015888
+-0.00015856
+-0.00015824
+-0.00015792
+-0.00015759
+-0.00015727
+-0.00015694
+-0.00015661
+-0.00015628
+-0.00015594
+-0.0001556
+-0.00015527
+-0.00015492
+-0.00015458
+-0.00015423
+-0.00015388
+-0.00015353
+-0.00015318
+-0.00015283
+-0.00015247
+-0.00015211
+-0.00015175
+-0.00015138
+-0.00015101
+-0.00015064
+-0.00015027
+-0.0001499
+-0.00014952
+-0.00014915
+-0.00014876
+-0.00014838
+-0.000148
+-0.00014761
+-0.00014722
+-0.00014683
+-0.00014643
+-0.00014604
+-0.00014564
+-0.00014524
+-0.00014483
+-0.00014443
+-0.00014402
+-0.00014361
+-0.0001432
+-0.00014278
+-0.00014236
+-0.00014194
+-0.00014152
+-0.0001411
+-0.00014067
+-0.00014024
+-0.00013981
+-0.00013938
+-0.00013894
+-0.0001385
+-0.00013806
+-0.00013762
+-0.00013718
+-0.00013673
+-0.00013628
+-0.00013583
+-0.00013537
+-0.00013492
+-0.00013446
+-0.000134
+-0.00013353
+-0.00013307
+-0.0001326
+-0.00013213
+-0.00013166
+-0.00013118
+-0.0001307
+-0.00013022
+-0.00012974
+-0.00012926
+-0.00012877
+-0.00012828
+-0.00012779
+-0.0001273
+-0.0001268
+-0.0001263
+-0.0001258
+-0.0001253
+-0.00012479
+-0.00012428
+-0.00012377
+-0.00012326
+-0.00012275
+-0.00012223
+-0.00012171
+-0.00012119
+-0.00012067
+-0.00012014
+-0.00011961
+-0.00011908
+-0.00011855
+-0.00011801
+-0.00011747
+-0.00011693
+-0.00011639
+-0.00011585
+-0.0001153
+-0.00011475
+-0.0001142
+-0.00011364
+-0.00011309
+-0.00011253
+-0.00011197
+-0.0001114
+-0.00011084
+-0.00011027
+-0.0001097
+-0.00010912
+-0.00010855
+-0.00010797
+-0.00010739
+-0.00010681
+-0.00010623
+-0.00010564
+-0.00010505
+-0.00010446
+-0.00010386
+-0.00010327
+-0.00010267
+-0.00010207
+-0.00010147
+-0.00010086
+-0.00010025
+-9.9643e-005
+-9.9031e-005
+-9.8416e-005
+-9.7799e-005
+-9.718e-005
+-9.6558e-005
+-9.5934e-005
+-9.5308e-005
+-9.468e-005
+-9.4049e-005
+-9.3416e-005
+-9.278e-005
+-9.2142e-005
+-9.1502e-005
+-9.086e-005
+-9.0215e-005
+-8.9568e-005
+-8.8919e-005
+-8.8267e-005
+-8.7613e-005
+-8.6957e-005
+-8.6298e-005
+-8.5637e-005
+-8.4974e-005
+-8.4309e-005
+-8.3641e-005
+-8.2971e-005
+-8.2298e-005
+-8.1624e-005
+-8.0947e-005
+-8.0267e-005
+-7.9586e-005
+-7.8902e-005
+-7.8216e-005
+-7.7527e-005
+-7.6837e-005
+-7.6144e-005
+-7.5448e-005
+-7.4751e-005
+-7.4051e-005
+-7.3349e-005
+-7.2644e-005
+-7.1937e-005
+-7.1228e-005
+-7.0517e-005
+-6.9804e-005
+-6.9088e-005
+-6.837e-005
+-6.7649e-005
+-6.6926e-005
+-6.6201e-005
+-6.5474e-005
+-6.4745e-005
+-6.4013e-005
+-6.3279e-005
+-6.2543e-005
+-6.1804e-005
+-6.1063e-005
+-6.032e-005
+-5.9575e-005
+-5.8827e-005
+-5.8078e-005
+-5.7325e-005
+-5.6571e-005
+-5.5814e-005
+-5.5056e-005
+-5.4295e-005
+-5.3531e-005
+-5.2766e-005
+-5.1998e-005
+-5.1228e-005
+-5.0455e-005
+-4.9681e-005
+-4.8904e-005
+-4.8125e-005
+-4.7344e-005
+-4.6561e-005
+-4.5775e-005
+-4.4987e-005
+-4.4197e-005
+-4.3404e-005
+-4.261e-005
+-4.1813e-005
+-4.1014e-005
+-4.0213e-005
+-3.9409e-005
+-3.8604e-005
+-3.7796e-005
+-3.6986e-005
+-3.6174e-005
+-3.5359e-005
+-3.4543e-005
+-3.3724e-005
+-3.2903e-005
+-3.2079e-005
+-3.1254e-005
+-3.0426e-005
+-2.9597e-005
+-2.8765e-005
+-2.7931e-005
+-2.7094e-005
+-2.6256e-005
+-2.5415e-005
+-2.4572e-005
+-2.3727e-005
+-2.288e-005
+-2.2031e-005
+-2.1179e-005
+-2.0325e-005
+-1.947e-005
+-1.8612e-005
+-1.7751e-005
+-1.6889e-005
+-1.6025e-005
+-1.5158e-005
+-1.4289e-005
+-1.3419e-005
+-1.2546e-005
+-1.1671e-005
+-1.0793e-005
+-9.9139e-006
+-9.0324e-006
+-8.1488e-006
+-7.263e-006
+-6.3751e-006
+-5.4852e-006
+-4.5931e-006
+-3.6989e-006
+-2.8025e-006
+-1.9041e-006
+-1.0036e-006
+-1.0101e-007
+8.037e-007
+1.7105e-006
+2.6194e-006
+3.5304e-006
+4.4434e-006
+5.3586e-006
+6.2758e-006
+7.1951e-006
+8.1164e-006
+9.0399e-006
+9.9653e-006
+1.0893e-005
+1.1823e-005
+1.2754e-005
+1.3688e-005
+1.4624e-005
+1.5562e-005
+1.6501e-005
+1.7443e-005
+1.8387e-005
+1.9333e-005
+2.0281e-005
+2.1231e-005
+2.2183e-005
+2.3137e-005
+2.4093e-005
+2.5052e-005
+2.6012e-005
+2.6974e-005
+2.7938e-005
+2.8904e-005
+2.9872e-005
+3.0842e-005
+3.1814e-005
+3.2789e-005
+3.3765e-005
+3.4743e-005
+3.5723e-005
+3.6705e-005
+3.7689e-005
+3.8675e-005
+3.9663e-005
+4.0652e-005
+4.1644e-005
+4.2638e-005
+4.3634e-005
+4.4631e-005
+4.5631e-005
+4.6632e-005
+4.7636e-005
+4.8641e-005
+4.9649e-005
+5.0658e-005
+5.1669e-005
+5.2682e-005
+5.3697e-005
+5.4714e-005
+5.5733e-005
+5.6753e-005
+5.7776e-005
+5.8801e-005
+5.9827e-005
+6.0855e-005
+6.1885e-005
+6.2917e-005
+6.3951e-005
+6.4987e-005
+6.6024e-005
+6.7064e-005
+6.8105e-005
+6.9148e-005
+7.0193e-005
+7.124e-005
+7.2289e-005
+7.3339e-005
+7.4392e-005
+7.5446e-005
+7.6502e-005
+7.756e-005
+7.8619e-005
+7.9681e-005
+8.0744e-005
+8.1809e-005
+8.2876e-005
+8.3944e-005
+8.5015e-005
+8.6087e-005
+8.7161e-005
+8.8237e-005
+8.9314e-005
+9.0393e-005
+9.1474e-005
+9.2557e-005
+9.3642e-005
+9.4728e-005
+9.5816e-005
+9.6906e-005
+9.7997e-005
+9.9091e-005
+0.00010019
+0.00010128
+0.00010238
+0.00010348
+0.00010458
+0.00010569
+0.00010679
+0.0001079
+0.00010901
+0.00011012
+0.00011123
+0.00011234
+0.00011346
+0.00011457
+0.00011569
+0.00011681
+0.00011794
+0.00011906
+0.00012018
+0.00012131
+0.00012244
+0.00012357
+0.0001247
+0.00012583
+0.00012697
+0.00012811
+0.00012924
+0.00013038
+0.00013153
+0.00013267
+0.00013381
+0.00013496
+0.00013611
+0.00013726
+0.00013841
+0.00013956
+0.00014071
+0.00014187
+0.00014302
+0.00014418
+0.00014534
+0.0001465
+0.00014767
+0.00014883
+0.00015
+0.00015117
+0.00015234
+0.00015351
+0.00015468
+0.00015585
+0.00015703
+0.0001582
+0.00015938
+0.00016056
+0.00016174
+0.00016292
+0.00016411
+0.00016529
+0.00016648
+0.00016767
+0.00016886
+0.00017005
+0.00017124
+0.00017243
+0.00017363
+0.00017482
+0.00017602
+0.00017722
+0.00017842
+0.00017962
+0.00018083
+0.00018203
+0.00018324
+0.00018445
+0.00018565
+0.00018687
+0.00018808
+0.00018929
+0.0001905
+0.00019172
+0.00019294
+0.00019415
+0.00019537
+0.00019659
+0.00019782
+0.00019904
+0.00020026
+0.00020149
+0.00020272
+0.00020394
+0.00020517
+0.0002064
+0.00020764
+0.00020887
+0.0002101
+0.00021134
+0.00021258
+0.00021381
+0.00021505
+0.00021629
+0.00021754
+0.00021878
+0.00022002
+0.00022127
+0.00022251
+0.00022376
+0.00022501
+0.00022626
+0.00022751
+0.00022876
+0.00023002
+0.00023127
+0.00023253
+0.00023378
+0.00023504
+0.0002363
+0.00023756
+0.00023882
+0.00024008
+0.00024135
+0.00024261
+0.00024388
+0.00024514
+0.00024641
+0.00024768
+0.00024895
+0.00025022
+0.00025149
+0.00025276
+0.00025404
+0.00025531
+0.00025659
+0.00025786
+0.00025914
+0.00026042
+0.0002617
+0.00026298
+0.00026426
+0.00026554
+0.00026683
+0.00026811
+0.0002694
+0.00027068
+0.00027197
+0.00027326
+0.00027455
+0.00027584
+0.00027713
+0.00027842
+0.00027971
+0.000281
+0.0002823
+0.00028359
+0.00028489
+0.00028618
+0.00028748
+0.00028878
+0.00029008
+0.00029138
+0.00029268
+0.00029398
+0.00029529
+0.00029659
+0.00029789
+0.0002992
+0.0003005
+0.00030181
+0.00030312
+0.00030442
+0.00030573
+0.00030704
+0.00030835
+0.00030966
+0.00031097
+0.00031229
+0.0003136
+0.00031491
+0.00031623
+0.00031754
+0.00031886
+0.00032018
+0.00032149
+0.00032281
+0.00032413
+0.00032545
+0.00032677
+0.00032809
+0.00032941
+0.00033073
+0.00033205
+0.00033338
+0.0003347
+0.00033602
+0.00033735
+0.00033867
+0.00034
+0.00034132
+0.00034265
+0.00034398
+0.00034531
+0.00034663
+0.00034796
+0.00034929
+0.00035062
+0.00035195
+0.00035328
+0.00035461
+0.00035595
+0.00035728
+0.00035861
+0.00035995
+0.00036128
+0.00036261
+0.00036395
+0.00036528
+0.00036662
+0.00036795
+0.00036929
+0.00037063
+0.00037196
+0.0003733
+0.00037464
+0.00037598
+0.00037732
+0.00037866
+0.00037999
+0.00038133
+0.00038267
+0.00038401
+0.00038536
+0.0003867
+0.00038804
+0.00038938
+0.00039072
+0.00039206
+0.00039341
+0.00039475
+0.00039609
+0.00039743
+0.00039878
+0.00040012
+0.00040147
+0.00040281
+0.00040415
+0.0004055
+0.00040684
+0.00040819
+0.00040953
+0.00041088
+0.00041222
+0.00041357
+0.00041492
+0.00041626
+0.00041761
+0.00041895
+0.0004203
+0.00042165
+0.00042299
+0.00042434
+0.00042569
+0.00042703
+0.00042838
+0.00042973
+0.00043108
+0.00043242
+0.00043377
+0.00043512
+0.00043646
+0.00043781
+0.00043916
+0.00044051
+0.00044185
+0.0004432
+0.00044455
+0.0004459
+0.00044724
+0.00044859
+0.00044994
+0.00045129
+0.00045263
+0.00045398
+0.00045533
+0.00045667
+0.00045802
+0.00045937
+0.00046071
+0.00046206
+0.00046341
+0.00046475
+0.0004661
+0.00046745
+0.00046879
+0.00047014
+0.00047148
+0.00047283
+0.00047418
+0.00047552
+0.00047687
+0.00047821
+0.00047955
+0.0004809
+0.00048224
+0.00048359
+0.00048493
+0.00048627
+0.00048762
+0.00048896
+0.0004903
+0.00049165
+0.00049299
+0.00049433
+0.00049567
+0.00049701
+0.00049835
+0.00049969
+0.00050103
+0.00050237
+0.00050371
+0.00050505
+0.00050639
+0.00050773
+0.00050907
+0.00051041
+0.00051174
+0.00051308
+0.00051442
+0.00051575
+0.00051709
+0.00051842
+0.00051976
+0.00052109
+0.00052243
+0.00052376
+0.00052509
+0.00052643
+0.00052776
+0.00052909
+0.00053042
+0.00053175
+0.00053308
+0.00053441
+0.00053574
+0.00053707
+0.0005384
+0.00053972
+0.00054105
+0.00054238
+0.0005437
+0.00054503
+0.00054635
+0.00054767
+0.000549
+0.00055032
+0.00055164
+0.00055296
+0.00055429
+0.00055561
+0.00055692
+0.00055824
+0.00055956
+0.00056088
+0.0005622
+0.00056351
+0.00056483
+0.00056614
+0.00056746
+0.00056877
+0.00057008
+0.00057139
+0.0005727
+0.00057401
+0.00057532
+0.00057663
+0.00057794
+0.00057925
+0.00058055
+0.00058186
+0.00058316
+0.00058447
+0.00058577
+0.00058707
+0.00058837
+0.00058968
+0.00059098
+0.00059227
+0.00059357
+0.00059487
+0.00059617
+0.00059746
+0.00059875
+0.00060005
+0.00060134
+0.00060263
+0.00060392
+0.00060521
+0.0006065
+0.00060779
+0.00060908
+0.00061036
+0.00061165
+0.00061293
+0.00061421
+0.0006155
+0.00061678
+0.00061806
+0.00061934
+0.00062061
+0.00062189
+0.00062317
+0.00062444
+0.00062572
+0.00062699
+0.00062826
+0.00062953
+0.0006308
+0.00063207
+0.00063334
+0.0006346
+0.00063587
+0.00063713
+0.00063839
+0.00063965
+0.00064091
+0.00064217
+0.00064343
+0.00064469
+0.00064594
+0.0006472
+0.00064845
+0.0006497
+0.00065095
+0.0006522
+0.00065345
+0.0006547
+0.00065595
+0.00065719
+0.00065843
+0.00065968
+0.00066092
+0.00066216
+0.00066339
+0.00066463
+0.00066587
+0.0006671
+0.00066833
+0.00066957
+0.0006708
+0.00067202
+0.00067325
+0.00067448
+0.0006757
+0.00067693
+0.00067815
+0.00067937
+0.00068059
+0.00068181
+0.00068302
+0.00068424
+0.00068545
+0.00068667
+0.00068788
+0.00068909
+0.00069029
+0.0006915
+0.00069271
+0.00069391
+0.00069511
+0.00069631
+0.00069751
+0.00069871
+0.0006999
+0.0007011
+0.00070229
+0.00070348
+0.00070467
+0.00070586
+0.00070705
+0.00070823
+0.00070942
+0.0007106
+0.00071178
+0.00071296
+0.00071414
+0.00071531
+0.00071649
+0.00071766
+0.00071883
+0.00072
+0.00072116
+0.00072233
+0.00072349
+0.00072466
+0.00072582
+0.00072698
+0.00072814
+0.00072929
+0.00073044
+0.0007316
+0.00073275
+0.0007339
+0.00073504
+0.00073619
+0.00073733
+0.00073848
+0.00073962
+0.00074075
+0.00074189
+0.00074303
+0.00074416
+0.00074529
+0.00074642
+0.00074755
+0.00074867
+0.0007498
+0.00075092
+0.00075204
+0.00075316
+0.00075427
+0.00075539
+0.0007565
+0.00075761
+0.00075872
+0.00075983
+0.00076094
+0.00076204
+0.00076314
+0.00076424
+0.00076534
+0.00076643
+0.00076753
+0.00076862
+0.00076971
+0.0007708
+0.00077188
+0.00077297
+0.00077405
+0.00077513
+0.00077621
+0.00077729
+0.00077836
+0.00077943
+0.0007805
+0.00078157
+0.00078264
+0.0007837
+0.00078476
+0.00078582
+0.00078688
+0.00078794
+0.00078899
+0.00079004
+0.00079109
+0.00079214
+0.00079318
+0.00079423
+0.00079527
+0.00079631
+0.00079735
+0.00079838
+0.00079941
+0.00080044
+0.00080147
+0.0008025
+0.00080352
+0.00080454
+0.00080556
+0.00080658
+0.0008076
+0.00080861
+0.00080962
+0.00081063
+0.00081164
+0.00081264
+0.00081364
+0.00081464
+0.00081564
+0.00081664
+0.00081763
+0.00081862
+0.00081961
+0.0008206
+0.00082158
+0.00082256
+0.00082354
+0.00082452
+0.0008255
+0.00082647
+0.00082744
+0.00082841
+0.00082937
+0.00083034
+0.0008313
+0.00083226
+0.00083321
+0.00083417
+0.00083512
+0.00083607
+0.00083701
+0.00083796
+0.0008389
+0.00083984
+0.00084078
+0.00084171
+0.00084265
+0.00084358
+0.0008445
+0.00084543
+0.00084635
+0.00084727
+0.00084819
+0.00084911
+0.00085002
+0.00085093
+0.00085184
+0.00085274
+0.00085365
+0.00085455
+0.00085545
+0.00085634
+0.00085724
+0.00085813
+0.00085902
+0.0008599
+0.00086078
+0.00086167
+0.00086254
+0.00086342
+0.00086429
+0.00086516
+0.00086603
+0.0008669
+0.00086776
+0.00086862
+0.00086948
+0.00087033
+0.00087118
+0.00087203
+0.00087288
+0.00087373
+0.00087457
+0.00087541
+0.00087625
+0.00087708
+0.00087791
+0.00087874
+0.00087957
+0.00088039
+0.00088121
+0.00088203
+0.00088285
+0.00088366
+0.00088447
+0.00088528
+0.00088608
+0.00088688
+0.00088768
+0.00088848
+0.00088927
+0.00089007
+0.00089085
+0.00089164
+0.00089242
+0.0008932
+0.00089398
+0.00089476
+0.00089553
+0.0008963
+0.00089707
+0.00089783
+0.00089859
+0.00089935
+0.00090011
+0.00090086
+0.00090161
+0.00090236
+0.0009031
+0.00090384
+0.00090458
+0.00090532
+0.00090605
+0.00090678
+0.00090751
+0.00090824
+0.00090896
+0.00090968
+0.00091039
+0.00091111
+0.00091182
+0.00091253
+0.00091323
+0.00091393
+0.00091463
+0.00091533
+0.00091602
+0.00091671
+0.0009174
+0.00091809
+0.00091877
+0.00091945
+0.00092012
+0.0009208
+0.00092147
+0.00092213
+0.0009228
+0.00092346
+0.00092412
+0.00092477
+0.00092543
+0.00092608
+0.00092672
+0.00092737
+0.00092801
+0.00092865
+0.00092928
+0.00092991
+0.00093054
+0.00093117
+0.00093179
+0.00093241
+0.00093303
+0.00093364
+0.00093425
+0.00093486
+0.00093547
+0.00093607
+0.00093667
+0.00093727
+0.00093786
+0.00093845
+0.00093904
+0.00093962
+0.0009402
+0.00094078
+0.00094135
+0.00094193
+0.00094249
+0.00094306
+0.00094362
+0.00094418
+0.00094474
+0.00094529
+0.00094584
+0.00094639
+0.00094693
+0.00094748
+0.00094801
+0.00094855
+0.00094908
+0.00094961
+0.00095014
+0.00095066
+0.00095118
+0.00095169
+0.00095221
+0.00095272
+0.00095322
+0.00095373
+0.00095423
+0.00095473
+0.00095522
+0.00095571
+0.0009562
+0.00095669
+0.00095717
+0.00095765
+0.00095812
+0.0009586
+0.00095907
+0.00095953
+0.00095999
+0.00096045
+0.00096091
+0.00096136
+0.00096181
+0.00096226
+0.00096271
+0.00096315
+0.00096358
+0.00096402
+0.00096445
+0.00096488
+0.0009653
+0.00096572
+0.00096614
+0.00096656
+0.00096697
+0.00096738
+0.00096779
+0.00096819
+0.00096859
+0.00096898
+0.00096938
+0.00096976
+0.00097015
+0.00097053
+0.00097091
+0.00097129
+0.00097166
+0.00097203
+0.0009724
+0.00097276
+0.00097312
+0.00097348
+0.00097384
+0.00097419
+0.00097453
+0.00097488
+0.00097522
+0.00097556
+0.00097589
+0.00097622
+0.00097655
+0.00097687
+0.00097719
+0.00097751
+0.00097783
+0.00097814
+0.00097845
+0.00097875
+0.00097905
+0.00097935
+0.00097965
+0.00097994
+0.00098023
+0.00098051
+0.00098079
+0.00098107
+0.00098135
+0.00098162
+0.00098189
+0.00098215
+0.00098241
+0.00098267
+0.00098293
+0.00098318
+0.00098343
+0.00098367
+0.00098391
+0.00098415
+0.00098439
+0.00098462
+0.00098485
+0.00098507
+0.0009853
+0.00098551
+0.00098573
+0.00098594
+0.00098615
+0.00098636
+0.00098656
+0.00098676
+0.00098695
+0.00098714
+0.00098733
+0.00098752
+0.0009877
+0.00098788
+0.00098805
+0.00098822
+0.00098839
+0.00098856
+0.00098872
+0.00098888
+0.00098903
+0.00098918
+0.00098933
+0.00098948
+0.00098962
+0.00098976
+0.00098989
+0.00099002
+0.00099015
+0.00099028
+0.0009904
+0.00099052
+0.00099063
+0.00099074
+0.00099085
+0.00099096
+0.00099106
+0.00099116
+0.00099125
+0.00099134
+0.00099143
+0.00099151
+0.00099159
+0.00099167
+0.00099175
+0.00099182
+0.00099188
+0.00099195
+0.00099201
+0.00099207
+0.00099212
+0.00099217
+0.00099222
+0.00099226
+0.0009923
+0.00099234
+0.00099237
+0.0009924
+0.00099243
+0.00099246
+0.00099248
+0.00099249
+0.00099251
+0.00099252
+0.00099252
+0.00099253
+0.00099253
+0.00099252
+0.00099252
+0.00099251
+0.00099249
+0.00099248
+0.00099246
+0.00099243
+0.0009924
+0.00099237
+0.00099234
+0.0009923
+0.00099226
+0.00099222
+0.00099217
+0.00099212
+0.00099207
+0.00099201
+0.00099195
+0.00099188
+0.00099182
+0.00099175
+0.00099167
+0.00099159
+0.00099151
+0.00099143
+0.00099134
+0.00099125
+0.00099116
+0.00099106
+0.00099096
+0.00099085
+0.00099074
+0.00099063
+0.00099052
+0.0009904
+0.00099028
+0.00099015
+0.00099002
+0.00098989
+0.00098976
+0.00098962
+0.00098948
+0.00098933
+0.00098918
+0.00098903
+0.00098888
+0.00098872
+0.00098856
+0.00098839
+0.00098822
+0.00098805
+0.00098788
+0.0009877
+0.00098752
+0.00098733
+0.00098714
+0.00098695
+0.00098676
+0.00098656
+0.00098636
+0.00098615
+0.00098594
+0.00098573
+0.00098551
+0.0009853
+0.00098507
+0.00098485
+0.00098462
+0.00098439
+0.00098415
+0.00098391
+0.00098367
+0.00098343
+0.00098318
+0.00098293
+0.00098267
+0.00098241
+0.00098215
+0.00098189
+0.00098162
+0.00098135
+0.00098107
+0.00098079
+0.00098051
+0.00098023
+0.00097994
+0.00097965
+0.00097935
+0.00097905
+0.00097875
+0.00097845
+0.00097814
+0.00097783
+0.00097751
+0.00097719
+0.00097687
+0.00097655
+0.00097622
+0.00097589
+0.00097556
+0.00097522
+0.00097488
+0.00097453
+0.00097419
+0.00097384
+0.00097348
+0.00097312
+0.00097276
+0.0009724
+0.00097203
+0.00097166
+0.00097129
+0.00097091
+0.00097053
+0.00097015
+0.00096976
+0.00096938
+0.00096898
+0.00096859
+0.00096819
+0.00096779
+0.00096738
+0.00096697
+0.00096656
+0.00096614
+0.00096572
+0.0009653
+0.00096488
+0.00096445
+0.00096402
+0.00096358
+0.00096315
+0.00096271
+0.00096226
+0.00096181
+0.00096136
+0.00096091
+0.00096045
+0.00095999
+0.00095953
+0.00095907
+0.0009586
+0.00095812
+0.00095765
+0.00095717
+0.00095669
+0.0009562
+0.00095571
+0.00095522
+0.00095473
+0.00095423
+0.00095373
+0.00095322
+0.00095272
+0.00095221
+0.00095169
+0.00095118
+0.00095066
+0.00095014
+0.00094961
+0.00094908
+0.00094855
+0.00094801
+0.00094748
+0.00094693
+0.00094639
+0.00094584
+0.00094529
+0.00094474
+0.00094418
+0.00094362
+0.00094306
+0.00094249
+0.00094193
+0.00094135
+0.00094078
+0.0009402
+0.00093962
+0.00093904
+0.00093845
+0.00093786
+0.00093727
+0.00093667
+0.00093607
+0.00093547
+0.00093486
+0.00093425
+0.00093364
+0.00093303
+0.00093241
+0.00093179
+0.00093117
+0.00093054
+0.00092991
+0.00092928
+0.00092865
+0.00092801
+0.00092737
+0.00092672
+0.00092608
+0.00092543
+0.00092477
+0.00092412
+0.00092346
+0.0009228
+0.00092213
+0.00092147
+0.0009208
+0.00092012
+0.00091945
+0.00091877
+0.00091809
+0.0009174
+0.00091671
+0.00091602
+0.00091533
+0.00091463
+0.00091393
+0.00091323
+0.00091253
+0.00091182
+0.00091111
+0.00091039
+0.00090968
+0.00090896
+0.00090824
+0.00090751
+0.00090678
+0.00090605
+0.00090532
+0.00090458
+0.00090384
+0.0009031
+0.00090236
+0.00090161
+0.00090086
+0.00090011
+0.00089935
+0.00089859
+0.00089783
+0.00089707
+0.0008963
+0.00089553
+0.00089476
+0.00089398
+0.0008932
+0.00089242
+0.00089164
+0.00089085
+0.00089007
+0.00088927
+0.00088848
+0.00088768
+0.00088688
+0.00088608
+0.00088528
+0.00088447
+0.00088366
+0.00088285
+0.00088203
+0.00088121
+0.00088039
+0.00087957
+0.00087874
+0.00087791
+0.00087708
+0.00087625
+0.00087541
+0.00087457
+0.00087373
+0.00087288
+0.00087203
+0.00087118
+0.00087033
+0.00086948
+0.00086862
+0.00086776
+0.0008669
+0.00086603
+0.00086516
+0.00086429
+0.00086342
+0.00086254
+0.00086167
+0.00086078
+0.0008599
+0.00085902
+0.00085813
+0.00085724
+0.00085634
+0.00085545
+0.00085455
+0.00085365
+0.00085274
+0.00085184
+0.00085093
+0.00085002
+0.00084911
+0.00084819
+0.00084727
+0.00084635
+0.00084543
+0.0008445
+0.00084358
+0.00084265
+0.00084171
+0.00084078
+0.00083984
+0.0008389
+0.00083796
+0.00083701
+0.00083607
+0.00083512
+0.00083417
+0.00083321
+0.00083226
+0.0008313
+0.00083034
+0.00082937
+0.00082841
+0.00082744
+0.00082647
+0.0008255
+0.00082452
+0.00082354
+0.00082256
+0.00082158
+0.0008206
+0.00081961
+0.00081862
+0.00081763
+0.00081664
+0.00081564
+0.00081464
+0.00081364
+0.00081264
+0.00081164
+0.00081063
+0.00080962
+0.00080861
+0.0008076
+0.00080658
+0.00080556
+0.00080454
+0.00080352
+0.0008025
+0.00080147
+0.00080044
+0.00079941
+0.00079838
+0.00079735
+0.00079631
+0.00079527
+0.00079423
+0.00079318
+0.00079214
+0.00079109
+0.00079004
+0.00078899
+0.00078794
+0.00078688
+0.00078582
+0.00078476
+0.0007837
+0.00078264
+0.00078157
+0.0007805
+0.00077943
+0.00077836
+0.00077729
+0.00077621
+0.00077513
+0.00077405
+0.00077297
+0.00077188
+0.0007708
+0.00076971
+0.00076862
+0.00076753
+0.00076643
+0.00076534
+0.00076424
+0.00076314
+0.00076204
+0.00076094
+0.00075983
+0.00075872
+0.00075761
+0.0007565
+0.00075539
+0.00075427
+0.00075316
+0.00075204
+0.00075092
+0.0007498
+0.00074867
+0.00074755
+0.00074642
+0.00074529
+0.00074416
+0.00074303
+0.00074189
+0.00074075
+0.00073962
+0.00073848
+0.00073733
+0.00073619
+0.00073504
+0.0007339
+0.00073275
+0.0007316
+0.00073044
+0.00072929
+0.00072814
+0.00072698
+0.00072582
+0.00072466
+0.00072349
+0.00072233
+0.00072116
+0.00072
+0.00071883
+0.00071766
+0.00071649
+0.00071531
+0.00071414
+0.00071296
+0.00071178
+0.0007106
+0.00070942
+0.00070823
+0.00070705
+0.00070586
+0.00070467
+0.00070348
+0.00070229
+0.0007011
+0.0006999
+0.00069871
+0.00069751
+0.00069631
+0.00069511
+0.00069391
+0.00069271
+0.0006915
+0.00069029
+0.00068909
+0.00068788
+0.00068667
+0.00068545
+0.00068424
+0.00068302
+0.00068181
+0.00068059
+0.00067937
+0.00067815
+0.00067693
+0.0006757
+0.00067448
+0.00067325
+0.00067202
+0.0006708
+0.00066957
+0.00066833
+0.0006671
+0.00066587
+0.00066463
+0.00066339
+0.00066216
+0.00066092
+0.00065968
+0.00065843
+0.00065719
+0.00065595
+0.0006547
+0.00065345
+0.0006522
+0.00065095
+0.0006497
+0.00064845
+0.0006472
+0.00064594
+0.00064469
+0.00064343
+0.00064217
+0.00064091
+0.00063965
+0.00063839
+0.00063713
+0.00063587
+0.0006346
+0.00063334
+0.00063207
+0.0006308
+0.00062953
+0.00062826
+0.00062699
+0.00062572
+0.00062444
+0.00062317
+0.00062189
+0.00062061
+0.00061934
+0.00061806
+0.00061678
+0.0006155
+0.00061421
+0.00061293
+0.00061165
+0.00061036
+0.00060908
+0.00060779
+0.0006065
+0.00060521
+0.00060392
+0.00060263
+0.00060134
+0.00060005
+0.00059875
+0.00059746
+0.00059617
+0.00059487
+0.00059357
+0.00059227
+0.00059098
+0.00058968
+0.00058837
+0.00058707
+0.00058577
+0.00058447
+0.00058316
+0.00058186
+0.00058055
+0.00057925
+0.00057794
+0.00057663
+0.00057532
+0.00057401
+0.0005727
+0.00057139
+0.00057008
+0.00056877
+0.00056746
+0.00056614
+0.00056483
+0.00056351
+0.0005622
+0.00056088
+0.00055956
+0.00055824
+0.00055692
+0.00055561
+0.00055429
+0.00055296
+0.00055164
+0.00055032
+0.000549
+0.00054767
+0.00054635
+0.00054503
+0.0005437
+0.00054238
+0.00054105
+0.00053972
+0.0005384
+0.00053707
+0.00053574
+0.00053441
+0.00053308
+0.00053175
+0.00053042
+0.00052909
+0.00052776
+0.00052643
+0.00052509
+0.00052376
+0.00052243
+0.00052109
+0.00051976
+0.00051842
+0.00051709
+0.00051575
+0.00051442
+0.00051308
+0.00051174
+0.00051041
+0.00050907
+0.00050773
+0.00050639
+0.00050505
+0.00050371
+0.00050237
+0.00050103
+0.00049969
+0.00049835
+0.00049701
+0.00049567
+0.00049433
+0.00049299
+0.00049165
+0.0004903
+0.00048896
+0.00048762
+0.00048627
+0.00048493
+0.00048359
+0.00048224
+0.0004809
+0.00047955
+0.00047821
+0.00047687
+0.00047552
+0.00047418
+0.00047283
+0.00047148
+0.00047014
+0.00046879
+0.00046745
+0.0004661
+0.00046475
+0.00046341
+0.00046206
+0.00046071
+0.00045937
+0.00045802
+0.00045667
+0.00045533
+0.00045398
+0.00045263
+0.00045129
+0.00044994
+0.00044859
+0.00044724
+0.0004459
+0.00044455
+0.0004432
+0.00044185
+0.00044051
+0.00043916
+0.00043781
+0.00043646
+0.00043512
+0.00043377
+0.00043242
+0.00043108
+0.00042973
+0.00042838
+0.00042703
+0.00042569
+0.00042434
+0.00042299
+0.00042165
+0.0004203
+0.00041895
+0.00041761
+0.00041626
+0.00041492
+0.00041357
+0.00041222
+0.00041088
+0.00040953
+0.00040819
+0.00040684
+0.0004055
+0.00040415
+0.00040281
+0.00040147
+0.00040012
+0.00039878
+0.00039743
+0.00039609
+0.00039475
+0.00039341
+0.00039206
+0.00039072
+0.00038938
+0.00038804
+0.0003867
+0.00038536
+0.00038401
+0.00038267
+0.00038133
+0.00037999
+0.00037866
+0.00037732
+0.00037598
+0.00037464
+0.0003733
+0.00037196
+0.00037063
+0.00036929
+0.00036795
+0.00036662
+0.00036528
+0.00036395
+0.00036261
+0.00036128
+0.00035995
+0.00035861
+0.00035728
+0.00035595
+0.00035461
+0.00035328
+0.00035195
+0.00035062
+0.00034929
+0.00034796
+0.00034663
+0.00034531
+0.00034398
+0.00034265
+0.00034132
+0.00034
+0.00033867
+0.00033735
+0.00033602
+0.0003347
+0.00033338
+0.00033205
+0.00033073
+0.00032941
+0.00032809
+0.00032677
+0.00032545
+0.00032413
+0.00032281
+0.00032149
+0.00032018
+0.00031886
+0.00031754
+0.00031623
+0.00031491
+0.0003136
+0.00031229
+0.00031097
+0.00030966
+0.00030835
+0.00030704
+0.00030573
+0.00030442
+0.00030312
+0.00030181
+0.0003005
+0.0002992
+0.00029789
+0.00029659
+0.00029529
+0.00029398
+0.00029268
+0.00029138
+0.00029008
+0.00028878
+0.00028748
+0.00028618
+0.00028489
+0.00028359
+0.0002823
+0.000281
+0.00027971
+0.00027842
+0.00027713
+0.00027584
+0.00027455
+0.00027326
+0.00027197
+0.00027068
+0.0002694
+0.00026811
+0.00026683
+0.00026554
+0.00026426
+0.00026298
+0.0002617
+0.00026042
+0.00025914
+0.00025786
+0.00025659
+0.00025531
+0.00025404
+0.00025276
+0.00025149
+0.00025022
+0.00024895
+0.00024768
+0.00024641
+0.00024514
+0.00024388
+0.00024261
+0.00024135
+0.00024008
+0.00023882
+0.00023756
+0.0002363
+0.00023504
+0.00023378
+0.00023253
+0.00023127
+0.00023002
+0.00022876
+0.00022751
+0.00022626
+0.00022501
+0.00022376
+0.00022251
+0.00022127
+0.00022002
+0.00021878
+0.00021754
+0.00021629
+0.00021505
+0.00021381
+0.00021258
+0.00021134
+0.0002101
+0.00020887
+0.00020764
+0.0002064
+0.00020517
+0.00020394
+0.00020272
+0.00020149
+0.00020026
+0.00019904
+0.00019782
+0.00019659
+0.00019537
+0.00019415
+0.00019294
+0.00019172
+0.0001905
+0.00018929
+0.00018808
+0.00018687
+0.00018565
+0.00018445
+0.00018324
+0.00018203
+0.00018083
+0.00017962
+0.00017842
+0.00017722
+0.00017602
+0.00017482
+0.00017363
+0.00017243
+0.00017124
+0.00017005
+0.00016886
+0.00016767
+0.00016648
+0.00016529
+0.00016411
+0.00016292
+0.00016174
+0.00016056
+0.00015938
+0.0001582
+0.00015703
+0.00015585
+0.00015468
+0.00015351
+0.00015234
+0.00015117
+0.00015
+0.00014883
+0.00014767
+0.0001465
+0.00014534
+0.00014418
+0.00014302
+0.00014187
+0.00014071
+0.00013956
+0.00013841
+0.00013726
+0.00013611
+0.00013496
+0.00013381
+0.00013267
+0.00013153
+0.00013038
+0.00012924
+0.00012811
+0.00012697
+0.00012583
+0.0001247
+0.00012357
+0.00012244
+0.00012131
+0.00012018
+0.00011906
+0.00011794
+0.00011681
+0.00011569
+0.00011457
+0.00011346
+0.00011234
+0.00011123
+0.00011012
+0.00010901
+0.0001079
+0.00010679
+0.00010569
+0.00010458
+0.00010348
+0.00010238
+0.00010128
+0.00010019
+9.9091e-005
+9.7997e-005
+9.6906e-005
+9.5816e-005
+9.4728e-005
+9.3642e-005
+9.2557e-005
+9.1474e-005
+9.0393e-005
+8.9314e-005
+8.8237e-005
+8.7161e-005
+8.6087e-005
+8.5015e-005
+8.3944e-005
+8.2876e-005
+8.1809e-005
+8.0744e-005
+7.9681e-005
+7.8619e-005
+7.756e-005
+7.6502e-005
+7.5446e-005
+7.4392e-005
+7.3339e-005
+7.2289e-005
+7.124e-005
+7.0193e-005
+6.9148e-005
+6.8105e-005
+6.7064e-005
+6.6024e-005
+6.4987e-005
+6.3951e-005
+6.2917e-005
+6.1885e-005
+6.0855e-005
+5.9827e-005
+5.8801e-005
+5.7776e-005
+5.6753e-005
+5.5733e-005
+5.4714e-005
+5.3697e-005
+5.2682e-005
+5.1669e-005
+5.0658e-005
+4.9649e-005
+4.8641e-005
+4.7636e-005
+4.6632e-005
+4.5631e-005
+4.4631e-005
+4.3634e-005
+4.2638e-005
+4.1644e-005
+4.0652e-005
+3.9663e-005
+3.8675e-005
+3.7689e-005
+3.6705e-005
+3.5723e-005
+3.4743e-005
+3.3765e-005
+3.2789e-005
+3.1814e-005
+3.0842e-005
+2.9872e-005
+2.8904e-005
+2.7938e-005
+2.6974e-005
+2.6012e-005
+2.5052e-005
+2.4093e-005
+2.3137e-005
+2.2183e-005
+2.1231e-005
+2.0281e-005
+1.9333e-005
+1.8387e-005
+1.7443e-005
+1.6501e-005
+1.5562e-005
+1.4624e-005
+1.3688e-005
+1.2754e-005
+1.1823e-005
+1.0893e-005
+9.9653e-006
+9.0399e-006
+8.1164e-006
+7.1951e-006
+6.2758e-006
+5.3586e-006
+4.4434e-006
+3.5304e-006
+2.6194e-006
+1.7105e-006
+8.037e-007
+-1.0101e-007
+-1.0036e-006
+-1.9041e-006
+-2.8025e-006
+-3.6989e-006
+-4.5931e-006
+-5.4852e-006
+-6.3751e-006
+-7.263e-006
+-8.1488e-006
+-9.0324e-006
+-9.9139e-006
+-1.0793e-005
+-1.1671e-005
+-1.2546e-005
+-1.3419e-005
+-1.4289e-005
+-1.5158e-005
+-1.6025e-005
+-1.6889e-005
+-1.7751e-005
+-1.8612e-005
+-1.947e-005
+-2.0325e-005
+-2.1179e-005
+-2.2031e-005
+-2.288e-005
+-2.3727e-005
+-2.4572e-005
+-2.5415e-005
+-2.6256e-005
+-2.7094e-005
+-2.7931e-005
+-2.8765e-005
+-2.9597e-005
+-3.0426e-005
+-3.1254e-005
+-3.2079e-005
+-3.2903e-005
+-3.3724e-005
+-3.4543e-005
+-3.5359e-005
+-3.6174e-005
+-3.6986e-005
+-3.7796e-005
+-3.8604e-005
+-3.9409e-005
+-4.0213e-005
+-4.1014e-005
+-4.1813e-005
+-4.261e-005
+-4.3404e-005
+-4.4197e-005
+-4.4987e-005
+-4.5775e-005
+-4.6561e-005
+-4.7344e-005
+-4.8125e-005
+-4.8904e-005
+-4.9681e-005
+-5.0455e-005
+-5.1228e-005
+-5.1998e-005
+-5.2766e-005
+-5.3531e-005
+-5.4295e-005
+-5.5056e-005
+-5.5814e-005
+-5.6571e-005
+-5.7325e-005
+-5.8078e-005
+-5.8827e-005
+-5.9575e-005
+-6.032e-005
+-6.1063e-005
+-6.1804e-005
+-6.2543e-005
+-6.3279e-005
+-6.4013e-005
+-6.4745e-005
+-6.5474e-005
+-6.6201e-005
+-6.6926e-005
+-6.7649e-005
+-6.837e-005
+-6.9088e-005
+-6.9804e-005
+-7.0517e-005
+-7.1228e-005
+-7.1937e-005
+-7.2644e-005
+-7.3349e-005
+-7.4051e-005
+-7.4751e-005
+-7.5448e-005
+-7.6144e-005
+-7.6837e-005
+-7.7527e-005
+-7.8216e-005
+-7.8902e-005
+-7.9586e-005
+-8.0267e-005
+-8.0947e-005
+-8.1624e-005
+-8.2298e-005
+-8.2971e-005
+-8.3641e-005
+-8.4309e-005
+-8.4974e-005
+-8.5637e-005
+-8.6298e-005
+-8.6957e-005
+-8.7613e-005
+-8.8267e-005
+-8.8919e-005
+-8.9568e-005
+-9.0215e-005
+-9.086e-005
+-9.1502e-005
+-9.2142e-005
+-9.278e-005
+-9.3416e-005
+-9.4049e-005
+-9.468e-005
+-9.5308e-005
+-9.5934e-005
+-9.6558e-005
+-9.718e-005
+-9.7799e-005
+-9.8416e-005
+-9.9031e-005
+-9.9643e-005
+-0.00010025
+-0.00010086
+-0.00010147
+-0.00010207
+-0.00010267
+-0.00010327
+-0.00010386
+-0.00010446
+-0.00010505
+-0.00010564
+-0.00010623
+-0.00010681
+-0.00010739
+-0.00010797
+-0.00010855
+-0.00010912
+-0.0001097
+-0.00011027
+-0.00011084
+-0.0001114
+-0.00011197
+-0.00011253
+-0.00011309
+-0.00011364
+-0.0001142
+-0.00011475
+-0.0001153
+-0.00011585
+-0.00011639
+-0.00011693
+-0.00011747
+-0.00011801
+-0.00011855
+-0.00011908
+-0.00011961
+-0.00012014
+-0.00012067
+-0.00012119
+-0.00012171
+-0.00012223
+-0.00012275
+-0.00012326
+-0.00012377
+-0.00012428
+-0.00012479
+-0.0001253
+-0.0001258
+-0.0001263
+-0.0001268
+-0.0001273
+-0.00012779
+-0.00012828
+-0.00012877
+-0.00012926
+-0.00012974
+-0.00013022
+-0.0001307
+-0.00013118
+-0.00013166
+-0.00013213
+-0.0001326
+-0.00013307
+-0.00013353
+-0.000134
+-0.00013446
+-0.00013492
+-0.00013537
+-0.00013583
+-0.00013628
+-0.00013673
+-0.00013718
+-0.00013762
+-0.00013806
+-0.0001385
+-0.00013894
+-0.00013938
+-0.00013981
+-0.00014024
+-0.00014067
+-0.0001411
+-0.00014152
+-0.00014194
+-0.00014236
+-0.00014278
+-0.0001432
+-0.00014361
+-0.00014402
+-0.00014443
+-0.00014483
+-0.00014524
+-0.00014564
+-0.00014604
+-0.00014643
+-0.00014683
+-0.00014722
+-0.00014761
+-0.000148
+-0.00014838
+-0.00014876
+-0.00014915
+-0.00014952
+-0.0001499
+-0.00015027
+-0.00015064
+-0.00015101
+-0.00015138
+-0.00015175
+-0.00015211
+-0.00015247
+-0.00015283
+-0.00015318
+-0.00015353
+-0.00015388
+-0.00015423
+-0.00015458
+-0.00015492
+-0.00015527
+-0.0001556
+-0.00015594
+-0.00015628
+-0.00015661
+-0.00015694
+-0.00015727
+-0.00015759
+-0.00015792
+-0.00015824
+-0.00015856
+-0.00015888
+-0.00015919
+-0.0001595
+-0.00015981
+-0.00016012
+-0.00016043
+-0.00016073
+-0.00016103
+-0.00016133
+-0.00016163
+-0.00016192
+-0.00016221
+-0.0001625
+-0.00016279
+-0.00016308
+-0.00016336
+-0.00016364
+-0.00016392
+-0.0001642
+-0.00016447
+-0.00016475
+-0.00016502
+-0.00016528
+-0.00016555
+-0.00016581
+-0.00016607
+-0.00016633
+-0.00016659
+-0.00016684
+-0.0001671
+-0.00016735
+-0.00016759
+-0.00016784
+-0.00016808
+-0.00016833
+-0.00016856
+-0.0001688
+-0.00016904
+-0.00016927
+-0.0001695
+-0.00016973
+-0.00016995
+-0.00017018
+-0.0001704
+-0.00017062
+-0.00017084
+-0.00017105
+-0.00017127
+-0.00017148
+-0.00017169
+-0.00017189
+-0.0001721
+-0.0001723
+-0.0001725
+-0.0001727
+-0.00017289
+-0.00017309
+-0.00017328
+-0.00017347
+-0.00017366
+-0.00017384
+-0.00017402
+-0.00017421
+-0.00017438
+-0.00017456
+-0.00017474
+-0.00017491
+-0.00017508
+-0.00017525
+-0.00017541
+-0.00017558
+-0.00017574
+-0.0001759
+-0.00017606
+-0.00017621
+-0.00017637
+-0.00017652
+-0.00017667
+-0.00017682
+-0.00017696
+-0.0001771
+-0.00017724
+-0.00017738
+-0.00017752
+-0.00017766
+-0.00017779
+-0.00017792
+-0.00017805
+-0.00017817
+-0.0001783
+-0.00017842
+-0.00017854
+-0.00017866
+-0.00017878
+-0.00017889
+-0.000179
+-0.00017911
+-0.00017922
+-0.00017933
+-0.00017943
+-0.00017953
+-0.00017963
+-0.00017973
+-0.00017983
+-0.00017992
+-0.00018001
+-0.0001801
+-0.00018019
+-0.00018028
+-0.00018036
+-0.00018044
+-0.00018052
+-0.0001806
+-0.00018068
+-0.00018075
+-0.00018083
+-0.0001809
+-0.00018096
+-0.00018103
+-0.00018109
+-0.00018116
+-0.00018122
+-0.00018127
+-0.00018133
+-0.00018139
+-0.00018144
+-0.00018149
+-0.00018154
+-0.00018158
+-0.00018163
+-0.00018167
+-0.00018171
+-0.00018175
+-0.00018179
+-0.00018182
+-0.00018186
+-0.00018189
+-0.00018192
+-0.00018194
+-0.00018197
+-0.00018199
+-0.00018202
+-0.00018204
+-0.00018205
+-0.00018207
+-0.00018208
+-0.0001821
+-0.00018211
+-0.00018212
+-0.00018212
+-0.00018213
+-0.00018213
+-0.00018213
+-0.00018213
+-0.00018213
+-0.00018212
+-0.00018212
+-0.00018211
+-0.0001821
+-0.00018209
+-0.00018207
+-0.00018206
+-0.00018204
+-0.00018202
+-0.000182
+-0.00018198
+-0.00018195
+-0.00018193
+-0.0001819
+-0.00018187
+-0.00018184
+-0.0001818
+-0.00018177
+-0.00018173
+-0.00018169
+-0.00018165
+-0.00018161
+-0.00018157
+-0.00018152
+-0.00018147
+-0.00018142
+-0.00018137
+-0.00018132
+-0.00018126
+-0.00018121
+-0.00018115
+-0.00018109
+-0.00018103
+-0.00018096
+-0.0001809
+-0.00018083
+-0.00018076
+-0.00018069
+-0.00018062
+-0.00018055
+-0.00018047
+-0.0001804
+-0.00018032
+-0.00018024
+-0.00018016
+-0.00018007
+-0.00017999
+-0.0001799
+-0.00017981
+-0.00017972
+-0.00017963
+-0.00017953
+-0.00017944
+-0.00017934
+-0.00017924
+-0.00017914
+-0.00017904
+-0.00017894
+-0.00017883
+-0.00017872
+-0.00017862
+-0.00017851
+-0.00017839
+-0.00017828
+-0.00017817
+-0.00017805
+-0.00017793
+-0.00017781
+-0.00017769
+-0.00017757
+-0.00017744
+-0.00017732
+-0.00017719
+-0.00017706
+-0.00017693
+-0.0001768
+-0.00017666
+-0.00017653
+-0.00017639
+-0.00017625
+-0.00017611
+-0.00017597
+-0.00017583
+-0.00017568
+-0.00017554
+-0.00017539
+-0.00017524
+-0.00017509
+-0.00017494
+-0.00017478
+-0.00017463
+-0.00017447
+-0.00017431
+-0.00017415
+-0.00017399
+-0.00017383
+-0.00017367
+-0.0001735
+-0.00017333
+-0.00017317
+-0.000173
+-0.00017282
+-0.00017265
+-0.00017248
+-0.0001723
+-0.00017212
+-0.00017195
+-0.00017177
+-0.00017158
+-0.0001714
+-0.00017122
+-0.00017103
+-0.00017085
+-0.00017066
+-0.00017047
+-0.00017028
+-0.00017008
+-0.00016989
+-0.00016969
+-0.0001695
+-0.0001693
+-0.0001691
+-0.0001689
+-0.0001687
+-0.00016849
+-0.00016829
+-0.00016808
+-0.00016788
+-0.00016767
+-0.00016746
+-0.00016724
+-0.00016703
+-0.00016682
+-0.0001666
+-0.00016639
+-0.00016617
+-0.00016595
+-0.00016573
+-0.00016551
+-0.00016528
+-0.00016506
+-0.00016483
+-0.00016461
+-0.00016438
+-0.00016415
+-0.00016392
+-0.00016368
+-0.00016345
+-0.00016322
+-0.00016298
+-0.00016274
+-0.00016251
+-0.00016227
+-0.00016203
+-0.00016178
+-0.00016154
+-0.0001613
+-0.00016105
+-0.0001608
+-0.00016056
+-0.00016031
+-0.00016006
+-0.00015981
+-0.00015955
+-0.0001593
+-0.00015904
+-0.00015879
+-0.00015853
+-0.00015827
+-0.00015801
+-0.00015775
+-0.00015749
+-0.00015723
+-0.00015696
+-0.0001567
+-0.00015643
+-0.00015616
+-0.0001559
+-0.00015563
+-0.00015536
+-0.00015508
+-0.00015481
+-0.00015454
+-0.00015426
+-0.00015399
+-0.00015371
+-0.00015343
+-0.00015315
+-0.00015287
+-0.00015259
+-0.00015231
+-0.00015202
+-0.00015174
+-0.00015145
+-0.00015117
+-0.00015088
+-0.00015059
+-0.0001503
+-0.00015001
+-0.00014972
+-0.00014942
+-0.00014913
+-0.00014884
+-0.00014854
+-0.00014824
+-0.00014795
+-0.00014765
+-0.00014735
+-0.00014705
+-0.00014674
+-0.00014644
+-0.00014614
+-0.00014583
+-0.00014553
+-0.00014522
+-0.00014491
+-0.00014461
+-0.0001443
+-0.00014399
+-0.00014368
+-0.00014336
+-0.00014305
+-0.00014274
+-0.00014242
+-0.00014211
+-0.00014179
+-0.00014147
+-0.00014116
+-0.00014084
+-0.00014052
+-0.0001402
+-0.00013988
+-0.00013955
+-0.00013923
+-0.00013891
+-0.00013858
+-0.00013825
+-0.00013793
+-0.0001376
+-0.00013727
+-0.00013694
+-0.00013661
+-0.00013628
+-0.00013595
+-0.00013562
+-0.00013529
+-0.00013495
+-0.00013462
+-0.00013428
+-0.00013395
+-0.00013361
+-0.00013327
+-0.00013293
+-0.00013259
+-0.00013225
+-0.00013191
+-0.00013157
+-0.00013123
+-0.00013089
+-0.00013054
+-0.0001302
+-0.00012985
+-0.00012951
+-0.00012916
+-0.00012881
+-0.00012846
+-0.00012812
+-0.00012777
+-0.00012742
+-0.00012707
+-0.00012671
+-0.00012636
+-0.00012601
+-0.00012566
+-0.0001253
+-0.00012495
+-0.00012459
+-0.00012423
+-0.00012388
+-0.00012352
+-0.00012316
+-0.0001228
+-0.00012245
+-0.00012209
+-0.00012173
+-0.00012136
+-0.000121
+-0.00012064
+-0.00012028
+-0.00011991
+-0.00011955
+-0.00011919
+-0.00011882
+-0.00011845
+-0.00011809
+-0.00011772
+-0.00011735
+-0.00011699
+-0.00011662
+-0.00011625
+-0.00011588
+-0.00011551
+-0.00011514
+-0.00011477
+-0.00011439
+-0.00011402
+-0.00011365
+-0.00011328
+-0.0001129
+-0.00011253
+-0.00011215
+-0.00011178
+-0.0001114
+-0.00011103
+-0.00011065
+-0.00011027
+-0.0001099
+-0.00010952
+-0.00010914
+-0.00010876
+-0.00010838
+-0.000108
+-0.00010762
+-0.00010724
+-0.00010686
+-0.00010648
+-0.00010609
+-0.00010571
+-0.00010533
+-0.00010495
+-0.00010456
+-0.00010418
+-0.00010379
+-0.00010341
+-0.00010302
+-0.00010264
+-0.00010225
+-0.00010187
+-0.00010148
+-0.00010109
+-0.0001007
+-0.00010032
+-9.9929e-005
+-9.954e-005
+-9.9152e-005
+-9.8762e-005
+-9.8373e-005
+-9.7983e-005
+-9.7593e-005
+-9.7203e-005
+-9.6812e-005
+-9.6421e-005
+-9.6029e-005
+-9.5638e-005
+-9.5246e-005
+-9.4853e-005
+-9.4461e-005
+-9.4068e-005
+-9.3675e-005
+-9.3281e-005
+-9.2888e-005
+-9.2494e-005
+-9.21e-005
+-9.1705e-005
+-9.131e-005
+-9.0916e-005
+-9.052e-005
+-9.0125e-005
+-8.9729e-005
+-8.9333e-005
+-8.8937e-005
+-8.8541e-005
+-8.8144e-005
+-8.7747e-005
+-8.735e-005
+-8.6953e-005
+-8.6556e-005
+-8.6158e-005
+-8.576e-005
+-8.5362e-005
+-8.4964e-005
+-8.4566e-005
+-8.4167e-005
+-8.3769e-005
+-8.337e-005
+-8.2971e-005
+-8.2572e-005
+-8.2172e-005
+-8.1773e-005
+-8.1373e-005
+-8.0973e-005
+-8.0574e-005
+-8.0174e-005
+-7.9773e-005
+-7.9373e-005
+-7.8973e-005
+-7.8572e-005
+-7.8171e-005
+-7.7771e-005
+-7.737e-005
+-7.6969e-005
+-7.6568e-005
+-7.6167e-005
+-7.5765e-005
+-7.5364e-005
+-7.4963e-005
+-7.4561e-005
+-7.416e-005
+-7.3758e-005
+-7.3356e-005
+-7.2955e-005
+-7.2553e-005
+-7.2151e-005
+-7.1749e-005
+-7.1347e-005
+-7.0945e-005
+-7.0543e-005
+-7.0141e-005
+-6.9739e-005
+-6.9337e-005
+-6.8935e-005
+-6.8533e-005
+-6.8131e-005
+-6.7729e-005
+-6.7327e-005
+-6.6925e-005
+-6.6522e-005
+-6.612e-005
+-6.5718e-005
+-6.5316e-005
+-6.4914e-005
+-6.4512e-005
+-6.411e-005
+-6.3708e-005
+-6.3306e-005
+-6.2904e-005
+-6.2502e-005
+-6.2101e-005
+-6.1699e-005
+-6.1297e-005
+-6.0896e-005
+-6.0494e-005
+-6.0093e-005
+-5.9691e-005
+-5.929e-005
+-5.8889e-005
+-5.8487e-005
+-5.8086e-005
+-5.7685e-005
+-5.7285e-005
+-5.6884e-005
+-5.6483e-005
+-5.6082e-005
+-5.5682e-005
+-5.5282e-005
+-5.4881e-005
+-5.4481e-005
+-5.4081e-005
+-5.3681e-005
+-5.3282e-005
+-5.2882e-005
+-5.2483e-005
+-5.2083e-005
+-5.1684e-005
+-5.1285e-005
+-5.0886e-005
+-5.0488e-005
+-5.0089e-005
+-4.9691e-005
+-4.9293e-005
+-4.8895e-005
+-4.8497e-005
+-4.8099e-005
+-4.7702e-005
+-4.7304e-005
+-4.6907e-005
+-4.651e-005
+-4.6114e-005
+-4.5717e-005
+-4.5321e-005
+-4.4925e-005
+-4.4529e-005
+-4.4133e-005
+-4.3738e-005
+-4.3343e-005
+-4.2948e-005
+-4.2553e-005
+-4.2158e-005
+-4.1764e-005
+-4.137e-005
+-4.0976e-005
+-4.0583e-005
+-4.019e-005
+-3.9797e-005
+-3.9404e-005
+-3.9011e-005
+-3.8619e-005
+-3.8227e-005
+-3.7836e-005
+-3.7444e-005
+-3.7053e-005
+-3.6662e-005
+-3.6272e-005
+-3.5881e-005
+-3.5492e-005
+-3.5102e-005
+-3.4713e-005
+-3.4323e-005
+-3.3935e-005
+-3.3546e-005
+-3.3158e-005
+-3.277e-005
+-3.2383e-005
+-3.1996e-005
+-3.1609e-005
+-3.1222e-005
+-3.0836e-005
+-3.045e-005
+-3.0065e-005
+-2.968e-005
+-2.9295e-005
+-2.8911e-005
+-2.8526e-005
+-2.8143e-005
+-2.7759e-005
+-2.7376e-005
+-2.6994e-005
+-2.6611e-005
+-2.623e-005
+-2.5848e-005
+-2.5467e-005
+-2.5086e-005
+-2.4706e-005
+-2.4326e-005
+-2.3946e-005
+-2.3567e-005
+-2.3188e-005
+-2.281e-005
+-2.2432e-005
+-2.2054e-005
+-2.1677e-005
+-2.13e-005
+-2.0924e-005
+-2.0548e-005
+-2.0173e-005
+-1.9798e-005
+-1.9423e-005
+-1.9049e-005
+-1.8675e-005
+-1.8302e-005
+-1.7929e-005
+-1.7556e-005
+-1.7184e-005
+-1.6813e-005
+-1.6442e-005
+-1.6071e-005
+-1.5701e-005
+-1.5331e-005
+-1.4962e-005
+-1.4593e-005
+-1.4225e-005
+-1.3857e-005
+-1.349e-005
+-1.3123e-005
+-1.2756e-005
+-1.239e-005
+-1.2025e-005
+-1.166e-005
+-1.1296e-005
+-1.0932e-005
+-1.0568e-005
+-1.0205e-005
+-9.8428e-006
+-9.4809e-006
+-9.1195e-006
+-8.7586e-006
+-8.3982e-006
+-8.0383e-006
+-7.6789e-006
+-7.3201e-006
+-6.9618e-006
+-6.604e-006
+-6.2468e-006
+-5.8901e-006
+-5.5339e-006
+-5.1783e-006
+-4.8232e-006
+-4.4686e-006
+-4.1146e-006
+-3.7612e-006
+-3.4083e-006
+-3.056e-006
+-2.7042e-006
+-2.353e-006
+-2.0023e-006
+-1.6522e-006
+-1.3027e-006
+-9.5376e-007
+-6.0539e-007
+-2.5759e-007
+8.9629e-008
+4.3627e-007
+7.8232e-007
+1.1278e-006
+1.4727e-006
+1.817e-006
+2.1606e-006
+2.5037e-006
+2.8462e-006
+3.1881e-006
+3.5294e-006
+3.8701e-006
+4.2102e-006
+4.5497e-006
+4.8885e-006
+5.2268e-006
+5.5644e-006
+5.9014e-006
+6.2378e-006
+6.5735e-006
+6.9087e-006
+7.2432e-006
+7.577e-006
+7.9103e-006
+8.2429e-006
+8.5748e-006
+8.9061e-006
+9.2368e-006
+9.5668e-006
+9.8962e-006
+1.0225e-005
+1.0553e-005
+1.088e-005
+1.1207e-005
+1.1533e-005
+1.1859e-005
+1.2184e-005
+1.2508e-005
+1.2831e-005
+1.3154e-005
+1.3476e-005
+1.3798e-005
+1.4118e-005
+1.4438e-005
+1.4758e-005
+1.5077e-005
+1.5395e-005
+1.5712e-005
+1.6029e-005
+1.6345e-005
+1.666e-005
+1.6974e-005
+1.7288e-005
+1.7602e-005
+1.7914e-005
+1.8226e-005
+1.8537e-005
+1.8847e-005
+1.9157e-005
+1.9466e-005
+1.9774e-005
+2.0082e-005
+2.0389e-005
+2.0695e-005
+2.1e-005
+2.1305e-005
+2.1609e-005
+2.1912e-005
+2.2215e-005
+2.2516e-005
+2.2817e-005
+2.3118e-005
+2.3417e-005
+2.3716e-005
+2.4014e-005
+2.4312e-005
+2.4608e-005
+2.4904e-005
+2.5199e-005
+2.5494e-005
+2.5787e-005
+2.608e-005
+2.6372e-005
+2.6664e-005
+2.6954e-005
+2.7244e-005
+2.7533e-005
+2.7822e-005
+2.8109e-005
+2.8396e-005
+2.8682e-005
+2.8968e-005
+2.9252e-005
+2.9536e-005
+2.9819e-005
+3.0101e-005
+3.0383e-005
+3.0663e-005
+3.0943e-005
+3.1222e-005
+3.1501e-005
+3.1778e-005
+3.2055e-005
+3.2331e-005
+3.2606e-005
+3.2881e-005
+3.3154e-005
+3.3427e-005
+3.3699e-005
+3.3971e-005
+3.4241e-005
+3.4511e-005
+3.478e-005
+3.5048e-005
+3.5315e-005
+3.5581e-005
+3.5847e-005
+3.6112e-005
+3.6376e-005
+3.6639e-005
+3.6902e-005
+3.7163e-005
+3.7424e-005
+3.7684e-005
+3.7944e-005
+3.8202e-005
+3.8459e-005
+3.8716e-005
+3.8972e-005
+3.9227e-005
+3.9482e-005
+3.9735e-005
+3.9988e-005
+4.024e-005
+4.0491e-005
+4.0741e-005
+4.099e-005
+4.1239e-005
+4.1486e-005
+4.1733e-005
+4.1979e-005
+4.2225e-005
+4.2469e-005
+4.2713e-005
+4.2955e-005
+4.3197e-005
+4.3438e-005
+4.3678e-005
+4.3918e-005
+4.4156e-005
+4.4394e-005
+4.4631e-005
+4.4867e-005
+4.5102e-005
+4.5336e-005
+4.557e-005
+4.5802e-005
+4.6034e-005
+4.6265e-005
+4.6495e-005
+4.6724e-005
+4.6952e-005
+4.718e-005
+4.7406e-005
+4.7632e-005
+4.7857e-005
+4.8081e-005
+4.8304e-005
+4.8527e-005
+4.8748e-005
+4.8969e-005
+4.9189e-005
+4.9408e-005
+4.9626e-005
+4.9843e-005
+5.0059e-005
+5.0275e-005
+5.0489e-005
+5.0703e-005
+5.0916e-005
+5.1128e-005
+5.1339e-005
+5.1549e-005
+5.1759e-005
+5.1967e-005
+5.2175e-005
+5.2381e-005
+5.2587e-005
+5.2792e-005
+5.2997e-005
+5.32e-005
+5.3402e-005
+5.3604e-005
+5.3804e-005
+5.4004e-005
+5.4203e-005
+5.4401e-005
+5.4598e-005
+5.4795e-005
+5.499e-005
+5.5184e-005
+5.5378e-005
+5.5571e-005
+5.5763e-005
+5.5954e-005
+5.6144e-005
+5.6333e-005
+5.6521e-005
+5.6709e-005
+5.6895e-005
+5.7081e-005
+5.7266e-005
+5.745e-005
+5.7633e-005
+5.7815e-005
+5.7996e-005
+5.8177e-005
+5.8356e-005
+5.8535e-005
+5.8712e-005
+5.8889e-005
+5.9065e-005
+5.924e-005
+5.9414e-005
+5.9588e-005
+5.976e-005
+5.9932e-005
+6.0102e-005
+6.0272e-005
+6.0441e-005
+6.0609e-005
+6.0776e-005
+6.0942e-005
+6.1107e-005
+6.1271e-005
+6.1435e-005
+6.1597e-005
+6.1759e-005
+6.192e-005
+6.208e-005
+6.2239e-005
+6.2397e-005
+6.2554e-005
+6.2711e-005
+6.2866e-005
+6.3021e-005
+6.3174e-005
+6.3327e-005
+6.3479e-005
+6.363e-005
+6.378e-005
+6.3929e-005
+6.4078e-005
+6.4225e-005
+6.4371e-005
+6.4517e-005
+6.4662e-005
+6.4806e-005
+6.4949e-005
+6.5091e-005
+6.5232e-005
+6.5372e-005
+6.5512e-005
+6.565e-005
+6.5788e-005
+6.5924e-005
+6.606e-005
+6.6195e-005
+6.6329e-005
+6.6462e-005
+6.6594e-005
+6.6726e-005
+6.6856e-005
+6.6986e-005
+6.7115e-005
+6.7242e-005
+6.7369e-005
+6.7495e-005
+6.762e-005
+6.7745e-005
+6.7868e-005
+6.799e-005
+6.8112e-005
+6.8233e-005
+6.8352e-005
+6.8471e-005
+6.8589e-005
+6.8706e-005
+6.8823e-005
+6.8938e-005
+6.9052e-005
+6.9166e-005
+6.9279e-005
+6.939e-005
+6.9501e-005
+6.9611e-005
+6.972e-005
+6.9829e-005
+6.9936e-005
+7.0042e-005
+7.0148e-005
+7.0253e-005
+7.0357e-005
+7.046e-005
+7.0562e-005
+7.0663e-005
+7.0763e-005
+7.0863e-005
+7.0961e-005
+7.1059e-005
+7.1155e-005
+7.1251e-005
+7.1346e-005
+7.1441e-005
+7.1534e-005
+7.1626e-005
+7.1718e-005
+7.1808e-005
+7.1898e-005
+7.1987e-005
+7.2075e-005
+7.2162e-005
+7.2248e-005
+7.2334e-005
+7.2418e-005
+7.2502e-005
+7.2585e-005
+7.2667e-005
+7.2748e-005
+7.2828e-005
+7.2907e-005
+7.2986e-005
+7.3063e-005
+7.314e-005
+7.3216e-005
+7.3291e-005
+7.3365e-005
+7.3438e-005
+7.3511e-005
+7.3582e-005
+7.3653e-005
+7.3722e-005
+7.3791e-005
+7.386e-005
+7.3927e-005
+7.3993e-005
+7.4059e-005
+7.4123e-005
+7.4187e-005
+7.425e-005
+7.4312e-005
+7.4373e-005
+7.4434e-005
+7.4493e-005
+7.4552e-005
+7.461e-005
+7.4667e-005
+7.4723e-005
+7.4778e-005
+7.4833e-005
+7.4886e-005
+7.4939e-005
+7.4991e-005
+7.5042e-005
+7.5092e-005
+7.5141e-005
+7.519e-005
+7.5238e-005
+7.5285e-005
+7.5331e-005
+7.5376e-005
+7.542e-005
+7.5464e-005
+7.5506e-005
+7.5548e-005
+7.5589e-005
+7.5629e-005
+7.5669e-005
+7.5707e-005
+7.5745e-005
+7.5782e-005
+7.5818e-005
+7.5853e-005
+7.5887e-005
+7.5921e-005
+7.5954e-005
+7.5986e-005
+7.6017e-005
+7.6047e-005
+7.6077e-005
+7.6105e-005
+7.6133e-005
+7.616e-005
+7.6186e-005
+7.6212e-005
+7.6236e-005
+7.626e-005
+7.6283e-005
+7.6305e-005
+7.6327e-005
+7.6347e-005
+7.6367e-005
+7.6386e-005
+7.6404e-005
+7.6421e-005
+7.6438e-005
+7.6454e-005
+7.6468e-005
+7.6483e-005
+7.6496e-005
+7.6509e-005
+7.652e-005
+7.6531e-005
+7.6541e-005
+7.6551e-005
+7.6559e-005
+7.6567e-005
+7.6574e-005
+7.6581e-005
+7.6586e-005
+7.6591e-005
+7.6595e-005
+7.6598e-005
+7.66e-005
+7.6602e-005
+7.6602e-005
+7.6602e-005
+7.6602e-005
+7.66e-005
+7.6598e-005
+7.6595e-005
+7.6591e-005
+7.6586e-005
+7.6581e-005
+7.6575e-005
+7.6568e-005
+7.656e-005
+7.6552e-005
+7.6543e-005
+7.6533e-005
+7.6522e-005
+7.6511e-005
+7.6498e-005
+7.6485e-005
+7.6472e-005
+7.6457e-005
+7.6442e-005
+7.6426e-005
+7.6409e-005
+7.6392e-005
+7.6374e-005
+7.6355e-005
+7.6335e-005
+7.6315e-005
+7.6294e-005
+7.6272e-005
+7.6249e-005
+7.6226e-005
+7.6202e-005
+7.6177e-005
+7.6152e-005
+7.6125e-005
+7.6098e-005
+7.6071e-005
+7.6042e-005
+7.6013e-005
+7.5983e-005
+7.5953e-005
+7.5922e-005
+7.589e-005
+7.5857e-005
+7.5823e-005
+7.5789e-005
+7.5755e-005
+7.5719e-005
+7.5683e-005
+7.5646e-005
+7.5608e-005
+7.557e-005
+7.5531e-005
+7.5491e-005
+7.5451e-005
+7.5409e-005
+7.5368e-005
+7.5325e-005
+7.5282e-005
+7.5238e-005
+7.5193e-005
+7.5148e-005
+7.5102e-005
+7.5056e-005
+7.5008e-005
+7.496e-005
+7.4912e-005
+7.4862e-005
+7.4812e-005
+7.4762e-005
+7.471e-005
+7.4658e-005
+7.4606e-005
+7.4552e-005
+7.4498e-005
+7.4444e-005
+7.4388e-005
+7.4332e-005
+7.4276e-005
+7.4218e-005
+7.416e-005
+7.4102e-005
+7.4042e-005
+7.3983e-005
+7.3922e-005
+7.3861e-005
+7.3799e-005
+7.3737e-005
+7.3673e-005
+7.361e-005
+7.3545e-005
+7.348e-005
+7.3415e-005
+7.3348e-005
+7.3281e-005
+7.3214e-005
+7.3146e-005
+7.3077e-005
+7.3007e-005
+7.2937e-005
+7.2867e-005
+7.2795e-005
+7.2724e-005
+7.2651e-005
+7.2578e-005
+7.2504e-005
+7.243e-005
+7.2355e-005
+7.2279e-005
+7.2203e-005
+7.2126e-005
+7.2049e-005
+7.1971e-005
+7.1893e-005
+7.1813e-005
+7.1734e-005
+7.1653e-005
+7.1572e-005
+7.1491e-005
+7.1409e-005
+7.1326e-005
+7.1243e-005
+7.1159e-005
+7.1075e-005
+7.099e-005
+7.0904e-005
+7.0818e-005
+7.0731e-005
+7.0644e-005
+7.0556e-005
+7.0468e-005
+7.0379e-005
+7.0289e-005
+7.0199e-005
+7.0109e-005
+7.0017e-005
+6.9926e-005
+6.9833e-005
+6.9741e-005
+6.9647e-005
+6.9553e-005
+6.9459e-005
+6.9364e-005
+6.9268e-005
+6.9172e-005
+6.9075e-005
+6.8978e-005
+6.888e-005
+6.8782e-005
+6.8683e-005
+6.8584e-005
+6.8484e-005
+6.8384e-005
+6.8283e-005
+6.8182e-005
+6.808e-005
+6.7977e-005
+6.7874e-005
+6.7771e-005
+6.7667e-005
+6.7563e-005
+6.7458e-005
+6.7352e-005
+6.7246e-005
+6.714e-005
+6.7033e-005
+6.6925e-005
+6.6817e-005
+6.6709e-005
+6.66e-005
+6.649e-005
+6.638e-005
+6.627e-005
+6.6159e-005
+6.6048e-005
+6.5936e-005
+6.5823e-005
+6.571e-005
+6.5597e-005
+6.5483e-005
+6.5369e-005
+6.5254e-005
+6.5139e-005
+6.5024e-005
+6.4908e-005
+6.4791e-005
+6.4674e-005
+6.4557e-005
+6.4439e-005
+6.432e-005
+6.4201e-005
+6.4082e-005
+6.3962e-005
+6.3842e-005
+6.3722e-005
+6.3601e-005
+6.3479e-005
+6.3357e-005
+6.3235e-005
+6.3112e-005
+6.2989e-005
+6.2865e-005
+6.2741e-005
+6.2616e-005
+6.2492e-005
+6.2366e-005
+6.224e-005
+6.2114e-005
+6.1988e-005
+6.1861e-005
+6.1733e-005
+6.1605e-005
+6.1477e-005
+6.1349e-005
+6.1219e-005
+6.109e-005
+6.096e-005
+6.083e-005
+6.0699e-005
+6.0568e-005
+6.0437e-005
+6.0305e-005
+6.0173e-005
+6.004e-005
+5.9907e-005
+5.9774e-005
+5.964e-005
+5.9506e-005
+5.9372e-005
+5.9237e-005
+5.9102e-005
+5.8966e-005
+5.883e-005
+5.8694e-005
+5.8557e-005
+5.842e-005
+5.8283e-005
+5.8145e-005
+5.8007e-005
+5.7868e-005
+5.773e-005
+5.759e-005
+5.7451e-005
+5.7311e-005
+5.7171e-005
+5.703e-005
+5.6889e-005
+5.6748e-005
+5.6607e-005
+5.6465e-005
+5.6323e-005
+5.618e-005
+5.6037e-005
+5.5894e-005
+5.5751e-005
+5.5607e-005
+5.5463e-005
+5.5318e-005
+5.5173e-005
+5.5028e-005
+5.4883e-005
+5.4737e-005
+5.4591e-005
+5.4445e-005
+5.4298e-005
+5.4151e-005
+5.4004e-005
+5.3856e-005
+5.3709e-005
+5.356e-005
+5.3412e-005
+5.3263e-005
+5.3114e-005
+5.2965e-005
+5.2816e-005
+5.2666e-005
+5.2516e-005
+5.2365e-005
+5.2215e-005
+5.2064e-005
+5.1913e-005
+5.1761e-005
+5.1609e-005
+5.1457e-005
+5.1305e-005
+5.1153e-005
+5.1e-005
+5.0847e-005
+5.0694e-005
+5.054e-005
+5.0386e-005
+5.0232e-005
+5.0078e-005
+4.9923e-005
+4.9769e-005
+4.9614e-005
+4.9458e-005
+4.9303e-005
+4.9147e-005
+4.8991e-005
+4.8835e-005
+4.8679e-005
+4.8522e-005
+4.8365e-005
+4.8208e-005
+4.8051e-005
+4.7893e-005
+4.7735e-005
+4.7577e-005
+4.7419e-005
+4.7261e-005
+4.7102e-005
+4.6943e-005
+4.6784e-005
+4.6625e-005
+4.6466e-005
+4.6306e-005
+4.6146e-005
+4.5986e-005
+4.5826e-005
+4.5666e-005
+4.5505e-005
+4.5345e-005
+4.5184e-005
+4.5022e-005
+4.4861e-005
+4.47e-005
+4.4538e-005
+4.4376e-005
+4.4214e-005
+4.4052e-005
+4.389e-005
+4.3727e-005
+4.3564e-005
+4.3401e-005
+4.3238e-005
+4.3075e-005
+4.2912e-005
+4.2748e-005
+4.2585e-005
+4.2421e-005
+4.2257e-005
+4.2093e-005
+4.1929e-005
+4.1764e-005
+4.16e-005
+4.1435e-005
+4.127e-005
+4.1105e-005
+4.094e-005
+4.0775e-005
+4.061e-005
+4.0444e-005
+4.0279e-005
+4.0113e-005
+3.9947e-005
+3.9781e-005
+3.9615e-005
+3.9449e-005
+3.9283e-005
+3.9116e-005
+3.895e-005
+3.8783e-005
+3.8616e-005
+3.8449e-005
+3.8282e-005
+3.8115e-005
+3.7948e-005
+3.7781e-005
+3.7613e-005
+3.7446e-005
+3.7278e-005
+3.7111e-005
+3.6943e-005
+3.6775e-005
+3.6607e-005
+3.6439e-005
+3.6271e-005
+3.6103e-005
+3.5935e-005
+3.5766e-005
+3.5598e-005
+3.5429e-005
+3.5261e-005
+3.5092e-005
+3.4924e-005
+3.4755e-005
+3.4586e-005
+3.4417e-005
+3.4248e-005
+3.4079e-005
+3.391e-005
+3.3741e-005
+3.3572e-005
+3.3403e-005
+3.3233e-005
+3.3064e-005
+3.2895e-005
+3.2725e-005
+3.2556e-005
+3.2386e-005
+3.2217e-005
+3.2047e-005
+3.1878e-005
+3.1708e-005
+3.1539e-005
+3.1369e-005
+3.1199e-005
+3.1029e-005
+3.086e-005
+3.069e-005
+3.052e-005
+3.035e-005
+3.0181e-005
+3.0011e-005
+2.9841e-005
+2.9671e-005
+2.9501e-005
+2.9331e-005
+2.9161e-005
+2.8991e-005
+2.8821e-005
+2.8652e-005
+2.8482e-005
+2.8312e-005
+2.8142e-005
+2.7972e-005
+2.7802e-005
+2.7632e-005
+2.7462e-005
+2.7293e-005
+2.7123e-005
+2.6953e-005
+2.6783e-005
+2.6613e-005
+2.6444e-005
+2.6274e-005
+2.6104e-005
+2.5934e-005
+2.5765e-005
+2.5595e-005
+2.5426e-005
+2.5256e-005
+2.5086e-005
+2.4917e-005
+2.4747e-005
+2.4578e-005
+2.4409e-005
+2.4239e-005
+2.407e-005
+2.3901e-005
+2.3732e-005
+2.3562e-005
+2.3393e-005
+2.3224e-005
+2.3055e-005
+2.2886e-005
+2.2718e-005
+2.2549e-005
+2.238e-005
+2.2211e-005
+2.2043e-005
+2.1874e-005
+2.1706e-005
+2.1537e-005
+2.1369e-005
+2.1201e-005
+2.1032e-005
+2.0864e-005
+2.0696e-005
+2.0528e-005
+2.036e-005
+2.0193e-005
+2.0025e-005
+1.9857e-005
+1.969e-005
+1.9522e-005
+1.9355e-005
+1.9188e-005
+1.902e-005
+1.8853e-005
+1.8686e-005
+1.8519e-005
+1.8353e-005
+1.8186e-005
+1.8019e-005
+1.7853e-005
+1.7686e-005
+1.752e-005
+1.7354e-005
+1.7188e-005
+1.7022e-005
+1.6856e-005
+1.669e-005
+1.6525e-005
+1.6359e-005
+1.6194e-005
+1.6028e-005
+1.5863e-005
+1.5698e-005
+1.5533e-005
+1.5369e-005
+1.5204e-005
+1.504e-005
+1.4875e-005
+1.4711e-005
+1.4547e-005
+1.4383e-005
+1.4219e-005
+1.4055e-005
+1.3892e-005
+1.3728e-005
+1.3565e-005
+1.3402e-005
+1.3239e-005
+1.3076e-005
+1.2913e-005
+1.2751e-005
+1.2588e-005
+1.2426e-005
+1.2264e-005
+1.2102e-005
+1.194e-005
+1.1779e-005
+1.1617e-005
+1.1456e-005
+1.1295e-005
+1.1134e-005
+1.0973e-005
+1.0812e-005
+1.0652e-005
+1.0491e-005
+1.0331e-005
+1.0171e-005
+1.0012e-005
+9.8519e-006
+9.6924e-006
+9.5331e-006
+9.374e-006
+9.2151e-006
+9.0564e-006
+8.8978e-006
+8.7394e-006
+8.5812e-006
+8.4232e-006
+8.2654e-006
+8.1078e-006
+7.9503e-006
+7.793e-006
+7.636e-006
+7.4791e-006
+7.3224e-006
+7.166e-006
+7.0097e-006
+6.8536e-006
+6.6977e-006
+6.542e-006
+6.3865e-006
+6.2312e-006
+6.0762e-006
+5.9213e-006
+5.7666e-006
+5.6122e-006
+5.4579e-006
+5.3039e-006
+5.1501e-006
+4.9965e-006
+4.8431e-006
+4.6899e-006
+4.5369e-006
+4.3842e-006
+4.2317e-006
+4.0793e-006
+3.9273e-006
+3.7754e-006
+3.6238e-006
+3.4723e-006
+3.3211e-006
+3.1702e-006
+3.0195e-006
+2.8689e-006
+2.7187e-006
+2.5686e-006
+2.4188e-006
+2.2692e-006
+2.1199e-006
+1.9708e-006
+1.8219e-006
+1.6733e-006
+1.5249e-006
+1.3768e-006
+1.2289e-006
+1.0812e-006
+9.3378e-007
+7.866e-007
+6.3967e-007
+4.9299e-007
+3.4656e-007
+2.0037e-007
+5.4439e-008
+-9.1244e-008
+-2.3667e-007
+-3.8185e-007
+-5.2677e-007
+-6.7144e-007
+-8.1585e-007
+-9.6e-007
+-1.1039e-006
+-1.2475e-006
+-1.3909e-006
+-1.534e-006
+-1.6769e-006
+-1.8195e-006
+-1.9618e-006
+-2.1038e-006
+-2.2456e-006
+-2.3871e-006
+-2.5284e-006
+-2.6694e-006
+-2.8101e-006
+-2.9505e-006
+-3.0907e-006
+-3.2306e-006
+-3.3702e-006
+-3.5096e-006
+-3.6486e-006
+-3.7874e-006
+-3.9259e-006
+-4.0642e-006
+-4.2021e-006
+-4.3398e-006
+-4.4772e-006
+-4.6143e-006
+-4.7511e-006
+-4.8876e-006
+-5.0239e-006
+-5.1599e-006
+-5.2956e-006
+-5.4309e-006
+-5.566e-006
+-5.7009e-006
+-5.8354e-006
+-5.9696e-006
+-6.1036e-006
+-6.2372e-006
+-6.3705e-006
+-6.5036e-006
+-6.6364e-006
+-6.7688e-006
+-6.901e-006
+-7.0329e-006
+-7.1644e-006
+-7.2957e-006
+-7.4267e-006
+-7.5573e-006
+-7.6877e-006
+-7.8177e-006
+-7.9475e-006
+-8.077e-006
+-8.2061e-006
+-8.3349e-006
+-8.4635e-006
+-8.5917e-006
+-8.7196e-006
+-8.8472e-006
+-8.9745e-006
+-9.1015e-006
+-9.2282e-006
+-9.3545e-006
+-9.4806e-006
+-9.6063e-006
+-9.7317e-006
+-9.8568e-006
+-9.9816e-006
+-1.0106e-005
+-1.023e-005
+-1.0354e-005
+-1.0478e-005
+-1.0601e-005
+-1.0724e-005
+-1.0846e-005
+-1.0968e-005
+-1.109e-005
+-1.1212e-005
+-1.1333e-005
+-1.1454e-005
+-1.1575e-005
+-1.1695e-005
+-1.1815e-005
+-1.1935e-005
+-1.2054e-005
+-1.2173e-005
+-1.2291e-005
+-1.241e-005
+-1.2528e-005
+-1.2645e-005
+-1.2763e-005
+-1.288e-005
+-1.2996e-005
+-1.3113e-005
+-1.3229e-005
+-1.3344e-005
+-1.346e-005
+-1.3575e-005
+-1.3689e-005
+-1.3804e-005
+-1.3918e-005
+-1.4031e-005
+-1.4144e-005
+-1.4257e-005
+-1.437e-005
+-1.4482e-005
+-1.4594e-005
+-1.4706e-005
+-1.4817e-005
+-1.4928e-005
+-1.5039e-005
+-1.5149e-005
+-1.5259e-005
+-1.5368e-005
+-1.5477e-005
+-1.5586e-005
+-1.5695e-005
+-1.5803e-005
+-1.5911e-005
+-1.6018e-005
+-1.6125e-005
+-1.6232e-005
+-1.6338e-005
+-1.6444e-005
+-1.655e-005
+-1.6655e-005
+-1.676e-005
+-1.6865e-005
+-1.6969e-005
+-1.7073e-005
+-1.7177e-005
+-1.728e-005
+-1.7383e-005
+-1.7486e-005
+-1.7588e-005
+-1.769e-005
+-1.7791e-005
+-1.7892e-005
+-1.7993e-005
+-1.8093e-005
+-1.8193e-005
+-1.8293e-005
+-1.8392e-005
+-1.8491e-005
+-1.859e-005
+-1.8688e-005
+-1.8786e-005
+-1.8884e-005
+-1.8981e-005
+-1.9078e-005
+-1.9174e-005
+-1.927e-005
+-1.9366e-005
+-1.9461e-005
+-1.9556e-005
+-1.9651e-005
+-1.9745e-005
+-1.9839e-005
+-1.9933e-005
+-2.0026e-005
+-2.0119e-005
+-2.0211e-005
+-2.0303e-005
+-2.0395e-005
+-2.0486e-005
+-2.0577e-005
+-2.0668e-005
+-2.0758e-005
+-2.0848e-005
+-2.0938e-005
+-2.1027e-005
+-2.1116e-005
+-2.1204e-005
+-2.1292e-005
+-2.138e-005
+-2.1467e-005
+-2.1554e-005
+-2.1641e-005
+-2.1727e-005
+-2.1813e-005
+-2.1899e-005
+-2.1984e-005
+-2.2068e-005
+-2.2153e-005
+-2.2237e-005
+-2.232e-005
+-2.2404e-005
+-2.2487e-005
+-2.2569e-005
+-2.2651e-005
+-2.2733e-005
+-2.2815e-005
+-2.2896e-005
+-2.2976e-005
+-2.3057e-005
+-2.3136e-005
+-2.3216e-005
+-2.3295e-005
+-2.3374e-005
+-2.3452e-005
+-2.3531e-005
+-2.3608e-005
+-2.3686e-005
+-2.3763e-005
+-2.3839e-005
+-2.3915e-005
+-2.3991e-005
+-2.4067e-005
+-2.4142e-005
+-2.4216e-005
+-2.4291e-005
+-2.4365e-005
+-2.4438e-005
+-2.4512e-005
+-2.4584e-005
+-2.4657e-005
+-2.4729e-005
+-2.4801e-005
+-2.4872e-005
+-2.4943e-005
+-2.5014e-005
+-2.5084e-005
+-2.5154e-005
+-2.5223e-005
+-2.5292e-005
+-2.5361e-005
+-2.5429e-005
+-2.5497e-005
+-2.5565e-005
+-2.5632e-005
+-2.5699e-005
+-2.5765e-005
+-2.5831e-005
+-2.5897e-005
+-2.5963e-005
+-2.6027e-005
+-2.6092e-005
+-2.6156e-005
+-2.622e-005
+-2.6284e-005
+-2.6347e-005
+-2.6409e-005
+-2.6472e-005
+-2.6534e-005
+-2.6595e-005
+-2.6657e-005
+-2.6717e-005
+-2.6778e-005
+-2.6838e-005
+-2.6898e-005
+-2.6957e-005
+-2.7016e-005
+-2.7075e-005
+-2.7133e-005
+-2.7191e-005
+-2.7248e-005
+-2.7305e-005
+-2.7362e-005
+-2.7418e-005
+-2.7474e-005
+-2.753e-005
+-2.7585e-005
+-2.764e-005
+-2.7695e-005
+-2.7749e-005
+-2.7802e-005
+-2.7856e-005
+-2.7909e-005
+-2.7961e-005
+-2.8014e-005
+-2.8066e-005
+-2.8117e-005
+-2.8168e-005
+-2.8219e-005
+-2.8269e-005
+-2.8319e-005
+-2.8369e-005
+-2.8418e-005
+-2.8467e-005
+-2.8516e-005
+-2.8564e-005
+-2.8612e-005
+-2.8659e-005
+-2.8706e-005
+-2.8753e-005
+-2.8799e-005
+-2.8845e-005
+-2.8891e-005
+-2.8936e-005
+-2.8981e-005
+-2.9025e-005
+-2.9069e-005
+-2.9113e-005
+-2.9157e-005
+-2.92e-005
+-2.9242e-005
+-2.9284e-005
+-2.9326e-005
+-2.9368e-005
+-2.9409e-005
+-2.945e-005
+-2.949e-005
+-2.953e-005
+-2.957e-005
+-2.9609e-005
+-2.9648e-005
+-2.9687e-005
+-2.9725e-005
+-2.9763e-005
+-2.98e-005
+-2.9838e-005
+-2.9874e-005
+-2.9911e-005
+-2.9947e-005
+-2.9983e-005
+-3.0018e-005
+-3.0053e-005
+-3.0087e-005
+-3.0122e-005
+-3.0156e-005
+-3.0189e-005
+-3.0222e-005
+-3.0255e-005
+-3.0287e-005
+-3.032e-005
+-3.0351e-005
+-3.0383e-005
+-3.0414e-005
+-3.0444e-005
+-3.0475e-005
+-3.0504e-005
+-3.0534e-005
+-3.0563e-005
+-3.0592e-005
+-3.0621e-005
+-3.0649e-005
+-3.0677e-005
+-3.0704e-005
+-3.0731e-005
+-3.0758e-005
+-3.0784e-005
+-3.081e-005
+-3.0836e-005
+-3.0861e-005
+-3.0886e-005
+-3.0911e-005
+-3.0935e-005
+-3.0959e-005
+-3.0982e-005
+-3.1006e-005
+-3.1028e-005
+-3.1051e-005
+-3.1073e-005
+-3.1095e-005
+-3.1116e-005
+-3.1137e-005
+-3.1158e-005
+-3.1179e-005
+-3.1199e-005
+-3.1218e-005
+-3.1238e-005
+-3.1257e-005
+-3.1276e-005
+-3.1294e-005
+-3.1312e-005
+-3.1329e-005
+-3.1347e-005
+-3.1364e-005
+-3.138e-005
+-3.1397e-005
+-3.1413e-005
+-3.1428e-005
+-3.1443e-005
+-3.1458e-005
+-3.1473e-005
+-3.1487e-005
+-3.1501e-005
+-3.1515e-005
+-3.1528e-005
+-3.1541e-005
+-3.1553e-005
+-3.1565e-005
+-3.1577e-005
+-3.1589e-005
+-3.16e-005
+-3.1611e-005
+-3.1621e-005
+-3.1632e-005
+-3.1642e-005
+-3.1651e-005
+-3.166e-005
+-3.1669e-005
+-3.1678e-005
+-3.1686e-005
+-3.1694e-005
+-3.1701e-005
+-3.1709e-005
+-3.1715e-005
+-3.1722e-005
+-3.1728e-005
+-3.1734e-005
+-3.174e-005
+-3.1745e-005
+-3.175e-005
+-3.1755e-005
+-3.1759e-005
+-3.1763e-005
+-3.1766e-005
+-3.177e-005
+-3.1773e-005
+-3.1775e-005
+-3.1778e-005
+-3.178e-005
+-3.1782e-005
+-3.1783e-005
+-3.1784e-005
+-3.1785e-005
+-3.1785e-005
+-3.1785e-005
+-3.1785e-005
+-3.1785e-005
+-3.1784e-005
+-3.1783e-005
+-3.1781e-005
+-3.178e-005
+-3.1777e-005
+-3.1775e-005
+-3.1772e-005
+-3.1769e-005
+-3.1766e-005
+-3.1762e-005
+-3.1759e-005
+-3.1754e-005
+-3.175e-005
+-3.1745e-005
+-3.174e-005
+-3.1734e-005
+-3.1728e-005
+-3.1722e-005
+-3.1716e-005
+-3.1709e-005
+-3.1702e-005
+-3.1695e-005
+-3.1688e-005
+-3.168e-005
+-3.1671e-005
+-3.1663e-005
+-3.1654e-005
+-3.1645e-005
+-3.1636e-005
+-3.1626e-005
+-3.1616e-005
+-3.1606e-005
+-3.1595e-005
+-3.1584e-005
+-3.1573e-005
+-3.1562e-005
+-3.155e-005
+-3.1538e-005
+-3.1526e-005
+-3.1513e-005
+-3.15e-005
+-3.1487e-005
+-3.1474e-005
+-3.146e-005
+-3.1446e-005
+-3.1431e-005
+-3.1417e-005
+-3.1402e-005
+-3.1387e-005
+-3.1371e-005
+-3.1355e-005
+-3.1339e-005
+-3.1323e-005
+-3.1306e-005
+-3.129e-005
+-3.1272e-005
+-3.1255e-005
+-3.1237e-005
+-3.1219e-005
+-3.1201e-005
+-3.1182e-005
+-3.1164e-005
+-3.1145e-005
+-3.1125e-005
+-3.1106e-005
+-3.1086e-005
+-3.1065e-005
+-3.1045e-005
+-3.1024e-005
+-3.1003e-005
+-3.0982e-005
+-3.096e-005
+-3.0939e-005
+-3.0917e-005
+-3.0894e-005
+-3.0872e-005
+-3.0849e-005
+-3.0826e-005
+-3.0802e-005
+-3.0779e-005
+-3.0755e-005
+-3.0731e-005
+-3.0706e-005
+-3.0681e-005
+-3.0656e-005
+-3.0631e-005
+-3.0606e-005
+-3.058e-005
+-3.0554e-005
+-3.0528e-005
+-3.0501e-005
+-3.0475e-005
+-3.0448e-005
+-3.042e-005
+-3.0393e-005
+-3.0365e-005
+-3.0337e-005
+-3.0309e-005
+-3.028e-005
+-3.0252e-005
+-3.0223e-005
+-3.0193e-005
+-3.0164e-005
+-3.0134e-005
+-3.0104e-005
+-3.0074e-005
+-3.0044e-005
+-3.0013e-005
+-2.9982e-005
+-2.9951e-005
+-2.9919e-005
+-2.9888e-005
+-2.9856e-005
+-2.9824e-005
+-2.9791e-005
+-2.9759e-005
+-2.9726e-005
+-2.9693e-005
+-2.966e-005
+-2.9626e-005
+-2.9592e-005
+-2.9558e-005
+-2.9524e-005
+-2.949e-005
+-2.9455e-005
+-2.942e-005
+-2.9385e-005
+-2.935e-005
+-2.9314e-005
+-2.9278e-005
+-2.9242e-005
+-2.9206e-005
+-2.917e-005
+-2.9133e-005
+-2.9096e-005
+-2.9059e-005
+-2.9021e-005
+-2.8984e-005
+-2.8946e-005
+-2.8908e-005
+-2.887e-005
+-2.8831e-005
+-2.8793e-005
+-2.8754e-005
+-2.8715e-005
+-2.8676e-005
+-2.8636e-005
+-2.8596e-005
+-2.8557e-005
+-2.8516e-005
+-2.8476e-005
+-2.8436e-005
+-2.8395e-005
+-2.8354e-005
+-2.8313e-005
+-2.8271e-005
+-2.823e-005
+-2.8188e-005
+-2.8146e-005
+-2.8104e-005
+-2.8062e-005
+-2.8019e-005
+-2.7976e-005
+-2.7933e-005
+-2.789e-005
+-2.7847e-005
+-2.7803e-005
+-2.776e-005
+-2.7716e-005
+-2.7672e-005
+-2.7627e-005
+-2.7583e-005
+-2.7538e-005
+-2.7493e-005
+-2.7448e-005
+-2.7403e-005
+-2.7358e-005
+-2.7312e-005
+-2.7266e-005
+-2.722e-005
+-2.7174e-005
+-2.7128e-005
+-2.7081e-005
+-2.7034e-005
+-2.6987e-005
+-2.694e-005
+-2.6893e-005
+-2.6846e-005
+-2.6798e-005
+-2.675e-005
+-2.6702e-005
+-2.6654e-005
+-2.6606e-005
+-2.6557e-005
+-2.6509e-005
+-2.646e-005
+-2.6411e-005
+-2.6362e-005
+-2.6313e-005
+-2.6263e-005
+-2.6213e-005
+-2.6164e-005
+-2.6114e-005
+-2.6063e-005
+-2.6013e-005
+-2.5963e-005
+-2.5912e-005
+-2.5861e-005
+-2.581e-005
+-2.5759e-005
+-2.5708e-005
+-2.5656e-005
+-2.5605e-005
+-2.5553e-005
+-2.5501e-005
+-2.5449e-005
+-2.5397e-005
+-2.5345e-005
+-2.5292e-005
+-2.5239e-005
+-2.5187e-005
+-2.5134e-005
+-2.508e-005
+-2.5027e-005
+-2.4974e-005
+-2.492e-005
+-2.4867e-005
+-2.4813e-005
+-2.4759e-005
+-2.4705e-005
+-2.465e-005
+-2.4596e-005
+-2.4541e-005
+-2.4487e-005
+-2.4432e-005
+-2.4377e-005
+-2.4322e-005
+-2.4267e-005
+-2.4211e-005
+-2.4156e-005
+-2.41e-005
+-2.4044e-005
+-2.3988e-005
+-2.3932e-005
+-2.3876e-005
+-2.382e-005
+-2.3763e-005
+-2.3707e-005
+-2.365e-005
+-2.3593e-005
+-2.3537e-005
+-2.3479e-005
+-2.3422e-005
+-2.3365e-005
+-2.3308e-005
+-2.325e-005
+-2.3192e-005
+-2.3135e-005
+-2.3077e-005
+-2.3019e-005
+-2.2961e-005
+-2.2902e-005
+-2.2844e-005
+-2.2785e-005
+-2.2727e-005
+-2.2668e-005
+-2.2609e-005
+-2.255e-005
+-2.2491e-005
+-2.2432e-005
+-2.2373e-005
+-2.2314e-005
+-2.2254e-005
+-2.2194e-005
+-2.2135e-005
+-2.2075e-005
+-2.2015e-005
+-2.1955e-005
+-2.1895e-005
+-2.1835e-005
+-2.1774e-005
+-2.1714e-005
+-2.1654e-005
+-2.1593e-005
+-2.1532e-005
+-2.1471e-005
+-2.1411e-005
+-2.135e-005
+-2.1289e-005
+-2.1227e-005
+-2.1166e-005
+-2.1105e-005
+-2.1043e-005
+-2.0982e-005
+-2.092e-005
+-2.0858e-005
+-2.0797e-005
+-2.0735e-005
+-2.0673e-005
+-2.0611e-005
+-2.0548e-005
+-2.0486e-005
+-2.0424e-005
+-2.0362e-005
+-2.0299e-005
+-2.0236e-005
+-2.0174e-005
+-2.0111e-005
+-2.0048e-005
+-1.9985e-005
+-1.9922e-005
+-1.9859e-005
+-1.9796e-005
+-1.9733e-005
+-1.967e-005
+-1.9607e-005
+-1.9543e-005
+-1.948e-005
+-1.9416e-005
+-1.9353e-005
+-1.9289e-005
+-1.9225e-005
+-1.9161e-005
+-1.9098e-005
+-1.9034e-005
+-1.897e-005
+-1.8905e-005
+-1.8841e-005
+-1.8777e-005
+-1.8713e-005
+-1.8649e-005
+-1.8584e-005
+-1.852e-005
+-1.8455e-005
+-1.8391e-005
+-1.8326e-005
+-1.8261e-005
+-1.8197e-005
+-1.8132e-005
+-1.8067e-005
+-1.8002e-005
+-1.7937e-005
+-1.7872e-005
+-1.7807e-005
+-1.7742e-005
+-1.7677e-005
+-1.7612e-005
+-1.7547e-005
+-1.7481e-005
+-1.7416e-005
+-1.7351e-005
+-1.7285e-005
+-1.722e-005
+-1.7154e-005
+-1.7089e-005
+-1.7023e-005
+-1.6957e-005
+-1.6892e-005
+-1.6826e-005
+-1.676e-005
+-1.6695e-005
+-1.6629e-005
+-1.6563e-005
+-1.6497e-005
+-1.6431e-005
+-1.6365e-005
+-1.6299e-005
+-1.6233e-005
+-1.6167e-005
+-1.6101e-005
+-1.6035e-005
+-1.5969e-005
+-1.5902e-005
+-1.5836e-005
+-1.577e-005
+-1.5704e-005
+-1.5637e-005
+-1.5571e-005
+-1.5505e-005
+-1.5438e-005
+-1.5372e-005
+-1.5305e-005
+-1.5239e-005
+-1.5173e-005
+-1.5106e-005
+-1.504e-005
+-1.4973e-005
+-1.4907e-005
+-1.484e-005
+-1.4773e-005
+-1.4707e-005
+-1.464e-005
+-1.4574e-005
+-1.4507e-005
+-1.444e-005
+-1.4374e-005
+-1.4307e-005
+-1.424e-005
+-1.4174e-005
+-1.4107e-005
+-1.404e-005
+-1.3974e-005
+-1.3907e-005
+-1.384e-005
+-1.3773e-005
+-1.3707e-005
+-1.364e-005
+-1.3573e-005
+-1.3506e-005
+-1.344e-005
+-1.3373e-005
+-1.3306e-005
+-1.3239e-005
+-1.3173e-005
+-1.3106e-005
+-1.3039e-005
+-1.2972e-005
+-1.2905e-005
+-1.2839e-005
+-1.2772e-005
+-1.2705e-005
+-1.2638e-005
+-1.2572e-005
+-1.2505e-005
+-1.2438e-005
+-1.2372e-005
+-1.2305e-005
+-1.2238e-005
+-1.2172e-005
+-1.2105e-005
+-1.2038e-005
+-1.1972e-005
+-1.1905e-005
+-1.1838e-005
+-1.1772e-005
+-1.1705e-005
+-1.1638e-005
+-1.1572e-005
+-1.1505e-005
+-1.1439e-005
+-1.1372e-005
+-1.1306e-005
+-1.1239e-005
+-1.1173e-005
+-1.1106e-005
+-1.104e-005
+-1.0974e-005
+-1.0907e-005
+-1.0841e-005
+-1.0775e-005
+-1.0708e-005
+-1.0642e-005
+-1.0576e-005
+-1.051e-005
+-1.0443e-005
+-1.0377e-005
+-1.0311e-005
+-1.0245e-005
+-1.0179e-005
+-1.0113e-005
+-1.0047e-005
+-9.9809e-006
+-9.9149e-006
+-9.849e-006
+-9.7831e-006
+-9.7172e-006
+-9.6514e-006
+-9.5856e-006
+-9.5199e-006
+-9.4542e-006
+-9.3885e-006
+-9.3228e-006
+-9.2572e-006
+-9.1916e-006
+-9.1261e-006
+-9.0606e-006
+-8.9952e-006
+-8.9298e-006
+-8.8644e-006
+-8.7991e-006
+-8.7338e-006
+-8.6686e-006
+-8.6034e-006
+-8.5382e-006
+-8.4731e-006
+-8.408e-006
+-8.343e-006
+-8.2781e-006
+-8.2131e-006
+-8.1483e-006
+-8.0834e-006
+-8.0186e-006
+-7.9539e-006
+-7.8892e-006
+-7.8246e-006
+-7.76e-006
+-7.6955e-006
+-7.631e-006
+-7.5666e-006
+-7.5022e-006
+-7.4379e-006
+-7.3737e-006
+-7.3095e-006
+-7.2453e-006
+-7.1812e-006
+-7.1172e-006
+-7.0532e-006
+-6.9893e-006
+-6.9254e-006
+-6.8616e-006
+-6.7979e-006
+-6.7342e-006
+-6.6706e-006
+-6.607e-006
+-6.5435e-006
+-6.4801e-006
+-6.4167e-006
+-6.3534e-006
+-6.2901e-006
+-6.2269e-006
+-6.1638e-006
+-6.1007e-006
+-6.0377e-006
+-5.9748e-006
+-5.9119e-006
+-5.8492e-006
+-5.7864e-006
+-5.7238e-006
+-5.6612e-006
+-5.5987e-006
+-5.5362e-006
+-5.4738e-006
+-5.4115e-006
+-5.3493e-006
+-5.2871e-006
+-5.225e-006
+-5.163e-006
+-5.101e-006
+-5.0392e-006
+-4.9773e-006
+-4.9156e-006
+-4.854e-006
+-4.7924e-006
+-4.7309e-006
+-4.6695e-006
+-4.6081e-006
+-4.5468e-006
+-4.4856e-006
+-4.4245e-006
+-4.3635e-006
+-4.3025e-006
+-4.2416e-006
+-4.1808e-006
+-4.1201e-006
+-4.0595e-006
+-3.9989e-006
+-3.9385e-006
+-3.8781e-006
+-3.8178e-006
+-3.7575e-006
+-3.6974e-006
+-3.6373e-006
+-3.5774e-006
+-3.5175e-006
+-3.4577e-006
+-3.3979e-006
+-3.3383e-006
+-3.2788e-006
+-3.2193e-006
+-3.1599e-006
+-3.1007e-006
+-3.0415e-006
+-2.9824e-006
+-2.9234e-006
+-2.8644e-006
+-2.8056e-006
+-2.7468e-006
+-2.6882e-006
+-2.6296e-006
+-2.5712e-006
+-2.5128e-006
+-2.4545e-006
+-2.3963e-006
+-2.3382e-006
+-2.2802e-006
+-2.2223e-006
+-2.1645e-006
+-2.1068e-006
+-2.0491e-006
+-1.9916e-006
+-1.9342e-006
+-1.8768e-006
+-1.8196e-006
+-1.7624e-006
+-1.7054e-006
+-1.6485e-006
+-1.5916e-006
+-1.5349e-006
+-1.4782e-006
+-1.4217e-006
+-1.3652e-006
+-1.3089e-006
+-1.2526e-006
+-1.1965e-006
+-1.1404e-006
+-1.0845e-006
+-1.0286e-006
+-9.7289e-007
+-9.1726e-007
+-8.6173e-007
+-8.063e-007
+-7.5097e-007
+-6.9575e-007
+-6.4063e-007
+-5.8562e-007
+-5.3072e-007
+-4.7592e-007
+-4.2122e-007
+-3.6664e-007
+-3.1216e-007
+-2.5778e-007
+-2.0352e-007
+-1.4936e-007
+-9.5308e-008
+-4.1367e-008
+1.2466e-008
+6.619e-008
+1.198e-007
+1.7331e-007
+2.267e-007
+2.7999e-007
+3.3316e-007
+3.8622e-007
+4.3917e-007
+4.9201e-007
+5.4474e-007
+5.9735e-007
+6.4986e-007
+7.0225e-007
+7.5452e-007
+8.0669e-007
+8.5873e-007
+9.1067e-007
+9.6249e-007
+1.0142e-006
+1.0658e-006
+1.1173e-006
+1.1686e-006
+1.2199e-006
+1.271e-006
+1.322e-006
+1.3729e-006
+1.4237e-006
+1.4743e-006
+1.5249e-006
+1.5753e-006
+1.6256e-006
+1.6758e-006
+1.7259e-006
+1.7758e-006
+1.8257e-006
+1.8754e-006
+1.925e-006
+1.9744e-006
+2.0238e-006
+2.073e-006
+2.1222e-006
+2.1711e-006
+2.22e-006
+2.2688e-006
+2.3174e-006
+2.3659e-006
+2.4143e-006
+2.4625e-006
+2.5107e-006
+2.5587e-006
+2.6066e-006
+2.6544e-006
+2.702e-006
+2.7495e-006
+2.7969e-006
+2.8442e-006
+2.8914e-006
+2.9384e-006
+2.9853e-006
+3.0321e-006
+3.0787e-006
+3.1252e-006
+3.1716e-006
+3.2179e-006
+3.264e-006
+3.3101e-006
+3.356e-006
+3.4017e-006
+3.4474e-006
+3.4929e-006
+3.5383e-006
+3.5835e-006
+3.6287e-006
+3.6737e-006
+3.7185e-006
+3.7633e-006
+3.8079e-006
+3.8524e-006
+3.8967e-006
+3.941e-006
+3.9851e-006
+4.029e-006
+4.0729e-006
+4.1166e-006
+4.1602e-006
+4.2036e-006
+4.2469e-006
+4.2901e-006
+4.3332e-006
+4.3761e-006
+4.4189e-006
+4.4616e-006
+4.5041e-006
+4.5465e-006
+4.5888e-006
+4.6309e-006
+4.673e-006
+4.7148e-006
+4.7566e-006
+4.7982e-006
+4.8397e-006
+4.881e-006
+4.9222e-006
+4.9633e-006
+5.0043e-006
+5.0451e-006
+5.0858e-006
+5.1263e-006
+5.1667e-006
+5.207e-006
+5.2471e-006
+5.2872e-006
+5.327e-006
+5.3668e-006
+5.4064e-006
+5.4459e-006
+5.4852e-006
+5.5244e-006
+5.5635e-006
+5.6024e-006
+5.6412e-006
+5.6799e-006
+5.7184e-006
+5.7568e-006
+5.7951e-006
+5.8332e-006
+5.8712e-006
+5.909e-006
+5.9467e-006
+5.9843e-006
+6.0218e-006
+6.0591e-006
+6.0962e-006
+6.1333e-006
+6.1702e-006
+6.2069e-006
+6.2436e-006
+6.28e-006
+6.3164e-006
+6.3526e-006
+6.3887e-006
+6.4246e-006
+6.4604e-006
+6.4961e-006
+6.5316e-006
+6.567e-006
+6.6023e-006
+6.6374e-006
+6.6724e-006
+6.7072e-006
+6.7419e-006
+6.7765e-006
+6.8109e-006
+6.8452e-006
+6.8793e-006
+6.9134e-006
+6.9472e-006
+6.981e-006
+7.0146e-006
+7.048e-006
+7.0813e-006
+7.1145e-006
+7.1476e-006
+7.1805e-006
+7.2132e-006
+7.2459e-006
+7.2784e-006
+7.3107e-006
+7.3429e-006
+7.375e-006
+7.407e-006
+7.4388e-006
+7.4704e-006
+7.5019e-006
+7.5333e-006
+7.5646e-006
+7.5957e-006
+7.6266e-006
+7.6575e-006
+7.6881e-006
+7.7187e-006
+7.7491e-006
+7.7794e-006
+7.8095e-006
+7.8395e-006
+7.8694e-006
+7.8991e-006
+7.9286e-006
+7.9581e-006
+7.9874e-006
+8.0165e-006
+8.0456e-006
+8.0744e-006
+8.1032e-006
+8.1318e-006
+8.1603e-006
+8.1886e-006
+8.2168e-006
+8.2448e-006
+8.2727e-006
+8.3005e-006
+8.3281e-006
+8.3556e-006
+8.383e-006
+8.4102e-006
+8.4373e-006
+8.4642e-006
+8.491e-006
+8.5177e-006
+8.5442e-006
+8.5706e-006
+8.5968e-006
+8.6229e-006
+8.6489e-006
+8.6747e-006
+8.7004e-006
+8.7259e-006
+8.7513e-006
+8.7766e-006
+8.8018e-006
+8.8267e-006
+8.8516e-006
+8.8763e-006
+8.9009e-006
+8.9254e-006
+8.9497e-006
+8.9738e-006
+8.9979e-006
+9.0217e-006
+9.0455e-006
+9.0691e-006
+9.0926e-006
+9.1159e-006
+9.1391e-006
+9.1622e-006
+9.1851e-006
+9.2079e-006
+9.2306e-006
+9.2531e-006
+9.2755e-006
+9.2977e-006
+9.3198e-006
+9.3418e-006
+9.3636e-006
+9.3853e-006
+9.4068e-006
+9.4283e-006
+9.4495e-006
+9.4707e-006
+9.4917e-006
+9.5126e-006
+9.5333e-006
+9.5539e-006
+9.5744e-006
+9.5947e-006
+9.6149e-006
+9.6349e-006
+9.6549e-006
+9.6746e-006
+9.6943e-006
+9.7138e-006
+9.7332e-006
+9.7524e-006
+9.7715e-006
+9.7905e-006
+9.8093e-006
+9.828e-006
+9.8466e-006
+9.865e-006
+9.8833e-006
+9.9015e-006
+9.9195e-006
+9.9374e-006
+9.9552e-006
+9.9728e-006
+9.9903e-006
+1.0008e-005
+1.0025e-005
+1.0042e-005
+1.0059e-005
+1.0076e-005
+1.0092e-005
+1.0109e-005
+1.0125e-005
+1.0142e-005
+1.0158e-005
+1.0174e-005
+1.019e-005
+1.0205e-005
+1.0221e-005
+1.0237e-005
+1.0252e-005
+1.0267e-005
+1.0282e-005
+1.0297e-005
+1.0312e-005
+1.0327e-005
+1.0341e-005
+1.0356e-005
+1.037e-005
+1.0384e-005
+1.0398e-005
+1.0412e-005
+1.0426e-005
+1.044e-005
+1.0453e-005
+1.0467e-005
+1.048e-005
+1.0493e-005
+1.0506e-005
+1.0519e-005
+1.0532e-005
+1.0544e-005
+1.0557e-005
+1.0569e-005
+1.0581e-005
+1.0593e-005
+1.0605e-005
+1.0617e-005
+1.0629e-005
+1.0641e-005
+1.0652e-005
+1.0663e-005
+1.0675e-005
+1.0686e-005
+1.0697e-005
+1.0708e-005
+1.0718e-005
+1.0729e-005
+1.0739e-005
+1.075e-005
+1.076e-005
+1.077e-005
+1.078e-005
+1.079e-005
+1.0799e-005
+1.0809e-005
+1.0818e-005
+1.0828e-005
+1.0837e-005
+1.0846e-005
+1.0855e-005
+1.0864e-005
+1.0872e-005
+1.0881e-005
+1.0889e-005
+1.0898e-005
+1.0906e-005
+1.0914e-005
+1.0922e-005
+1.093e-005
+1.0938e-005
+1.0945e-005
+1.0953e-005
+1.096e-005
+1.0967e-005
+1.0974e-005
+1.0981e-005
+1.0988e-005
+1.0995e-005
+1.1002e-005
+1.1008e-005
+1.1014e-005
+1.1021e-005
+1.1027e-005
+1.1033e-005
+1.1039e-005
+1.1045e-005
+1.105e-005
+1.1056e-005
+1.1061e-005
+1.1066e-005
+1.1072e-005
+1.1077e-005
+1.1082e-005
+1.1087e-005
+1.1091e-005
+1.1096e-005
+1.11e-005
+1.1105e-005
+1.1109e-005
+1.1113e-005
+1.1117e-005
+1.1121e-005
+1.1125e-005
+1.1129e-005
+1.1132e-005
+1.1136e-005
+1.1139e-005
+1.1142e-005
+1.1145e-005
+1.1148e-005
+1.1151e-005
+1.1154e-005
+1.1156e-005
+1.1159e-005
+1.1161e-005
+1.1164e-005
+1.1166e-005
+1.1168e-005
+1.117e-005
+1.1172e-005
+1.1174e-005
+1.1175e-005
+1.1177e-005
+1.1178e-005
+1.118e-005
+1.1181e-005
+1.1182e-005
+1.1183e-005
+1.1184e-005
+1.1184e-005
+1.1185e-005
+1.1186e-005
+1.1186e-005
+1.1186e-005
+1.1187e-005
+1.1187e-005
+1.1187e-005
+1.1187e-005
+1.1186e-005
+1.1186e-005
+1.1186e-005
+1.1185e-005
+1.1184e-005
+1.1184e-005
+1.1183e-005
+1.1182e-005
+1.1181e-005
+1.118e-005
+1.1178e-005
+1.1177e-005
+1.1175e-005
+1.1174e-005
+1.1172e-005
+1.117e-005
+1.1168e-005
+1.1166e-005
+1.1164e-005
+1.1162e-005
+1.116e-005
+1.1157e-005
+1.1155e-005
+1.1152e-005
+1.115e-005
+1.1147e-005
+1.1144e-005
+1.1141e-005
+1.1138e-005
+1.1135e-005
+1.1131e-005
+1.1128e-005
+1.1124e-005
+1.1121e-005
+1.1117e-005
+1.1113e-005
+1.1109e-005
+1.1105e-005
+1.1101e-005
+1.1097e-005
+1.1093e-005
+1.1088e-005
+1.1084e-005
+1.1079e-005
+1.1074e-005
+1.107e-005
+1.1065e-005
+1.106e-005
+1.1055e-005
+1.105e-005
+1.1044e-005
+1.1039e-005
+1.1034e-005
+1.1028e-005
+1.1022e-005
+1.1017e-005
+1.1011e-005
+1.1005e-005
+1.0999e-005
+1.0993e-005
+1.0987e-005
+1.098e-005
+1.0974e-005
+1.0968e-005
+1.0961e-005
+1.0954e-005
+1.0948e-005
+1.0941e-005
+1.0934e-005
+1.0927e-005
+1.092e-005
+1.0913e-005
+1.0905e-005
+1.0898e-005
+1.0891e-005
+1.0883e-005
+1.0875e-005
+1.0868e-005
+1.086e-005
+1.0852e-005
+1.0844e-005
+1.0836e-005
+1.0828e-005
+1.082e-005
+1.0811e-005
+1.0803e-005
+1.0795e-005
+1.0786e-005
+1.0777e-005
+1.0769e-005
+1.076e-005
+1.0751e-005
+1.0742e-005
+1.0733e-005
+1.0724e-005
+1.0715e-005
+1.0705e-005
+1.0696e-005
+1.0686e-005
+1.0677e-005
+1.0667e-005
+1.0657e-005
+1.0648e-005
+1.0638e-005
+1.0628e-005
+1.0618e-005
+1.0608e-005
+1.0598e-005
+1.0587e-005
+1.0577e-005
+1.0567e-005
+1.0556e-005
+1.0545e-005
+1.0535e-005
+1.0524e-005
+1.0513e-005
+1.0502e-005
+1.0491e-005
+1.048e-005
+1.0469e-005
+1.0458e-005
+1.0447e-005
+1.0436e-005
+1.0424e-005
+1.0413e-005
+1.0401e-005
+1.0389e-005
+1.0378e-005
+1.0366e-005
+1.0354e-005
+1.0342e-005
+1.033e-005
+1.0318e-005
+1.0306e-005
+1.0294e-005
+1.0282e-005
+1.0269e-005
+1.0257e-005
+1.0244e-005
+1.0232e-005
+1.0219e-005
+1.0207e-005
+1.0194e-005
+1.0181e-005
+1.0168e-005
+1.0155e-005
+1.0142e-005
+1.0129e-005
+1.0116e-005
+1.0103e-005
+1.0089e-005
+1.0076e-005
+1.0063e-005
+1.0049e-005
+1.0035e-005
+1.0022e-005
+1.0008e-005
+9.9944e-006
+9.9806e-006
+9.9667e-006
+9.9528e-006
+9.9388e-006
+9.9247e-006
+9.9106e-006
+9.8964e-006
+9.8821e-006
+9.8678e-006
+9.8534e-006
+9.839e-006
+9.8245e-006
+9.8099e-006
+9.7953e-006
+9.7806e-006
+9.7659e-006
+9.7511e-006
+9.7362e-006
+9.7213e-006
+9.7064e-006
+9.6913e-006
+9.6762e-006
+9.6611e-006
+9.6459e-006
+9.6306e-006
+9.6153e-006
+9.5999e-006
+9.5845e-006
+9.569e-006
+9.5535e-006
+9.5379e-006
+9.5222e-006
+9.5065e-006
+9.4907e-006
+9.4749e-006
+9.459e-006
+9.4431e-006
+9.4271e-006
+9.4111e-006
+9.395e-006
+9.3789e-006
+9.3627e-006
+9.3465e-006
+9.3302e-006
+9.3138e-006
+9.2974e-006
+9.281e-006
+9.2645e-006
+9.2479e-006
+9.2314e-006
+9.2147e-006
+9.198e-006
+9.1813e-006
+9.1645e-006
+9.1476e-006
+9.1307e-006
+9.1138e-006
+9.0968e-006
+9.0798e-006
+9.0627e-006
+9.0456e-006
+9.0284e-006
+9.0112e-006
+8.9939e-006
+8.9766e-006
+8.9593e-006
+8.9419e-006
+8.9244e-006
+8.907e-006
+8.8894e-006
+8.8718e-006
+8.8542e-006
+8.8366e-006
+8.8189e-006
+8.8011e-006
+8.7833e-006
+8.7655e-006
+8.7476e-006
+8.7297e-006
+8.7117e-006
+8.6937e-006
+8.6757e-006
+8.6576e-006
+8.6395e-006
+8.6213e-006
+8.6031e-006
+8.5849e-006
+8.5666e-006
+8.5483e-006
+8.5299e-006
+8.5115e-006
+8.4931e-006
+8.4746e-006
+8.4561e-006
+8.4376e-006
+8.419e-006
+8.4004e-006
+8.3817e-006
+8.363e-006
+8.3443e-006
+8.3256e-006
+8.3068e-006
+8.2879e-006
+8.2691e-006
+8.2502e-006
+8.2312e-006
+8.2123e-006
+8.1933e-006
+8.1742e-006
+8.1552e-006
+8.1361e-006
+8.1169e-006
+8.0978e-006
+8.0786e-006
+8.0593e-006
+8.0401e-006
+8.0208e-006
+8.0014e-006
+7.9821e-006
+7.9627e-006
+7.9433e-006
+7.9238e-006
+7.9044e-006
+7.8849e-006
+7.8653e-006
+7.8458e-006
+7.8262e-006
+7.8066e-006
+7.7869e-006
+7.7673e-006
+7.7476e-006
+7.7278e-006
+7.7081e-006
+7.6883e-006
+7.6685e-006
+7.6486e-006
+7.6288e-006
+7.6089e-006
+7.589e-006
+7.5691e-006
+7.5491e-006
+7.5291e-006
+7.5091e-006
+7.4891e-006
+7.469e-006
+7.4489e-006
+7.4288e-006
+7.4087e-006
+7.3886e-006
+7.3684e-006
+7.3482e-006
+7.328e-006
+7.3078e-006
+7.2875e-006
+7.2672e-006
+7.2469e-006
+7.2266e-006
+7.2063e-006
+7.1859e-006
+7.1655e-006
+7.1451e-006
+7.1247e-006
+7.1043e-006
+7.0838e-006
+7.0633e-006
+7.0428e-006
+7.0223e-006
+7.0018e-006
+6.9812e-006
+6.9607e-006
+6.9401e-006
+6.9195e-006
+6.8989e-006
+6.8782e-006
+6.8576e-006
+6.8369e-006
+6.8163e-006
+6.7956e-006
+6.7749e-006
+6.7541e-006
+6.7334e-006
+6.7126e-006
+6.6919e-006
+6.6711e-006
+6.6503e-006
+6.6295e-006
+6.6087e-006
+6.5878e-006
+6.567e-006
+6.5461e-006
+6.5253e-006
+6.5044e-006
+6.4835e-006
+6.4626e-006
+6.4417e-006
+6.4207e-006
+6.3998e-006
+6.3788e-006
+6.3579e-006
+6.3369e-006
+6.3159e-006
+6.295e-006
+6.274e-006
+6.2529e-006
+6.2319e-006
+6.2109e-006
+6.1899e-006
+6.1688e-006
+6.1478e-006
+6.1267e-006
+6.1057e-006
+6.0846e-006
+6.0635e-006
+6.0424e-006
+6.0213e-006
+6.0002e-006
+5.9791e-006
+5.958e-006
+5.9369e-006
+5.9158e-006
+5.8947e-006
+5.8735e-006
+5.8524e-006
+5.8313e-006
+5.8101e-006
+5.789e-006
+5.7678e-006
+5.7467e-006
+5.7255e-006
+5.7044e-006
+5.6832e-006
+5.662e-006
+5.6409e-006
+5.6197e-006
+5.5985e-006
+5.5774e-006
+5.5562e-006
+5.535e-006
+5.5138e-006
+5.4926e-006
+5.4715e-006
+5.4503e-006
+5.4291e-006
+5.4079e-006
+5.3867e-006
+5.3656e-006
+5.3444e-006
+5.3232e-006
+5.302e-006
+5.2809e-006
+5.2597e-006
+5.2385e-006
+5.2173e-006
+5.1962e-006
+5.175e-006
+5.1538e-006
+5.1327e-006
+5.1115e-006
+5.0904e-006
+5.0692e-006
+5.0481e-006
+5.0269e-006
+5.0058e-006
+4.9846e-006
+4.9635e-006
+4.9424e-006
+4.9213e-006
+4.9001e-006
+4.879e-006
+4.8579e-006
+4.8368e-006
+4.8157e-006
+4.7946e-006
+4.7736e-006
+4.7525e-006
+4.7314e-006
+4.7103e-006
+4.6893e-006
+4.6682e-006
+4.6472e-006
+4.6262e-006
+4.6051e-006
+4.5841e-006
+4.5631e-006
+4.5421e-006
+4.5211e-006
+4.5001e-006
+4.4792e-006
+4.4582e-006
+4.4372e-006
+4.4163e-006
+4.3953e-006
+4.3744e-006
+4.3535e-006
+4.3326e-006
+4.3117e-006
+4.2908e-006
+4.2699e-006
+4.2491e-006
+4.2282e-006
+4.2074e-006
+4.1865e-006
+4.1657e-006
+4.1449e-006
+4.1241e-006
+4.1033e-006
+4.0826e-006
+4.0618e-006
+4.0411e-006
+4.0203e-006
+3.9996e-006
+3.9789e-006
+3.9582e-006
+3.9375e-006
+3.9169e-006
+3.8962e-006
+3.8756e-006
+3.8549e-006
+3.8343e-006
+3.8137e-006
+3.7932e-006
+3.7726e-006
+3.7521e-006
+3.7315e-006
+3.711e-006
+3.6905e-006
+3.67e-006
+3.6496e-006
+3.6291e-006
+3.6087e-006
+3.5882e-006
+3.5678e-006
+3.5475e-006
+3.5271e-006
+3.5067e-006
+3.4864e-006
+3.4661e-006
+3.4458e-006
+3.4255e-006
+3.4052e-006
+3.385e-006
+3.3648e-006
+3.3446e-006
+3.3244e-006
+3.3042e-006
+3.284e-006
+3.2639e-006
+3.2438e-006
+3.2237e-006
+3.2036e-006
+3.1836e-006
+3.1635e-006
+3.1435e-006
+3.1235e-006
+3.1036e-006
+3.0836e-006
+3.0637e-006
+3.0438e-006
+3.0239e-006
+3.004e-006
+2.9841e-006
+2.9643e-006
+2.9445e-006
+2.9247e-006
+2.905e-006
+2.8852e-006
+2.8655e-006
+2.8458e-006
+2.8261e-006
+2.8065e-006
+2.7869e-006
+2.7673e-006
+2.7477e-006
+2.7281e-006
+2.7086e-006
+2.6891e-006
+2.6696e-006
+2.6501e-006
+2.6307e-006
+2.6113e-006
+2.5919e-006
+2.5725e-006
+2.5531e-006
+2.5338e-006
+2.5145e-006
+2.4953e-006
+2.476e-006
+2.4568e-006
+2.4376e-006
+2.4184e-006
+2.3993e-006
+2.3802e-006
+2.3611e-006
+2.342e-006
+2.323e-006
+2.3039e-006
+2.285e-006
+2.266e-006
+2.2471e-006
+2.2281e-006
+2.2093e-006
+2.1904e-006
+2.1716e-006
+2.1528e-006
+2.134e-006
+2.1152e-006
+2.0965e-006
+2.0778e-006
+2.0592e-006
+2.0405e-006
+2.0219e-006
+2.0033e-006
+1.9848e-006
+1.9663e-006
+1.9478e-006
+1.9293e-006
+1.9108e-006
+1.8924e-006
+1.8741e-006
+1.8557e-006
+1.8374e-006
+1.8191e-006
+1.8008e-006
+1.7826e-006
+1.7644e-006
+1.7462e-006
+1.728e-006
+1.7099e-006
+1.6918e-006
+1.6738e-006
+1.6557e-006
+1.6377e-006
+1.6198e-006
+1.6018e-006
+1.5839e-006
+1.566e-006
+1.5482e-006
+1.5304e-006
+1.5126e-006
+1.4948e-006
+1.4771e-006
+1.4594e-006
+1.4418e-006
+1.4241e-006
+1.4065e-006
+1.389e-006
+1.3714e-006
+1.3539e-006
+1.3365e-006
+1.319e-006
+1.3016e-006
+1.2843e-006
+1.2669e-006
+1.2496e-006
+1.2323e-006
+1.2151e-006
+1.1979e-006
+1.1807e-006
+1.1635e-006
+1.1464e-006
+1.1294e-006
+1.1123e-006
+1.0953e-006
+1.0783e-006
+1.0614e-006
+1.0444e-006
+1.0276e-006
+1.0107e-006
+9.9391e-007
+9.7713e-007
+9.6038e-007
+9.4367e-007
+9.2699e-007
+9.1035e-007
+8.9374e-007
+8.7716e-007
+8.6062e-007
+8.4411e-007
+8.2764e-007
+8.112e-007
+7.948e-007
+7.7843e-007
+7.621e-007
+7.458e-007
+7.2953e-007
+7.133e-007
+6.9711e-007
+6.8095e-007
+6.6483e-007
+6.4874e-007
+6.3268e-007
+6.1666e-007
+6.0068e-007
+5.8474e-007
+5.6882e-007
+5.5295e-007
+5.3711e-007
+5.213e-007
+5.0554e-007
+4.898e-007
+4.7411e-007
+4.5845e-007
+4.4282e-007
+4.2723e-007
+4.1168e-007
+3.9617e-007
+3.8069e-007
+3.6524e-007
+3.4984e-007
+3.3447e-007
+3.1913e-007
+3.0384e-007
+2.8858e-007
+2.7336e-007
+2.5817e-007
+2.4302e-007
+2.2791e-007
+2.1283e-007
+1.9779e-007
+1.8279e-007
+1.6783e-007
+1.529e-007
+1.3801e-007
+1.2316e-007
+1.0835e-007
+9.3569e-008
+7.883e-008
+6.4127e-008
+4.9463e-008
+3.4835e-008
+2.0246e-008
+5.6943e-009
+-8.8197e-009
+-2.3296e-008
+-3.7734e-008
+-5.2134e-008
+-6.6497e-008
+-8.0821e-008
+-9.5108e-008
+-1.0936e-007
+-1.2357e-007
+-1.3774e-007
+-1.5187e-007
+-1.6597e-007
+-1.8003e-007
+-1.9404e-007
+-2.0802e-007
+-2.2197e-007
+-2.3587e-007
+-2.4974e-007
+-2.6356e-007
+-2.7735e-007
+-2.911e-007
+-3.0481e-007
+-3.1848e-007
+-3.3212e-007
+-3.4571e-007
+-3.5927e-007
+-3.7279e-007
+-3.8626e-007
+-3.997e-007
+-4.1311e-007
+-4.2647e-007
+-4.3979e-007
+-4.5307e-007
+-4.6632e-007
+-4.7952e-007
+-4.9269e-007
+-5.0582e-007
+-5.1891e-007
+-5.3196e-007
+-5.4497e-007
+-5.5794e-007
+-5.7087e-007
+-5.8376e-007
+-5.9662e-007
+-6.0943e-007
+-6.222e-007
+-6.3494e-007
+-6.4764e-007
+-6.6029e-007
+-6.7291e-007
+-6.8549e-007
+-6.9802e-007
+-7.1052e-007
+-7.2298e-007
+-7.354e-007
+-7.4778e-007
+-7.6012e-007
+-7.7242e-007
+-7.8468e-007
+-7.9691e-007
+-8.0909e-007
+-8.2123e-007
+-8.3333e-007
+-8.454e-007
+-8.5742e-007
+-8.694e-007
+-8.8135e-007
+-8.9325e-007
+-9.0512e-007
+-9.1694e-007
+-9.2873e-007
+-9.4047e-007
+-9.5218e-007
+-9.6384e-007
+-9.7547e-007
+-9.8705e-007
+-9.986e-007
+-1.0101e-006
+-1.0216e-006
+-1.033e-006
+-1.0444e-006
+-1.0557e-006
+-1.067e-006
+-1.0783e-006
+-1.0895e-006
+-1.1007e-006
+-1.1119e-006
+-1.123e-006
+-1.134e-006
+-1.1451e-006
+-1.1561e-006
+-1.167e-006
+-1.1779e-006
+-1.1888e-006
+-1.1996e-006
+-1.2104e-006
+-1.2212e-006
+-1.2319e-006
+-1.2425e-006
+-1.2532e-006
+-1.2637e-006
+-1.2743e-006
+-1.2848e-006
+-1.2953e-006
+-1.3057e-006
+-1.3161e-006
+-1.3264e-006
+-1.3368e-006
+-1.347e-006
+-1.3572e-006
+-1.3674e-006
+-1.3776e-006
+-1.3877e-006
+-1.3978e-006
+-1.4078e-006
+-1.4178e-006
+-1.4277e-006
+-1.4377e-006
+-1.4475e-006
+-1.4574e-006
+-1.4671e-006
+-1.4769e-006
+-1.4866e-006
+-1.4963e-006
+-1.5059e-006
+-1.5155e-006
+-1.5251e-006
+-1.5346e-006
+-1.5441e-006
+-1.5535e-006
+-1.5629e-006
+-1.5722e-006
+-1.5816e-006
+-1.5908e-006
+-1.6001e-006
+-1.6093e-006
+-1.6184e-006
+-1.6275e-006
+-1.6366e-006
+-1.6457e-006
+-1.6546e-006
+-1.6636e-006
+-1.6725e-006
+-1.6814e-006
+-1.6903e-006
+-1.6991e-006
+-1.7078e-006
+-1.7165e-006
+-1.7252e-006
+-1.7339e-006
+-1.7425e-006
+-1.751e-006
+-1.7596e-006
+-1.768e-006
+-1.7765e-006
+-1.7849e-006
+-1.7933e-006
+-1.8016e-006
+-1.8099e-006
+-1.8182e-006
+-1.8264e-006
+-1.8345e-006
+-1.8427e-006
+-1.8508e-006
+-1.8588e-006
+-1.8668e-006
+-1.8748e-006
+-1.8828e-006
+-1.8907e-006
+-1.8985e-006
+-1.9064e-006
+-1.9141e-006
+-1.9219e-006
+-1.9296e-006
+-1.9373e-006
+-1.9449e-006
+-1.9525e-006
+-1.96e-006
+-1.9676e-006
+-1.975e-006
+-1.9825e-006
+-1.9899e-006
+-1.9972e-006
+-2.0046e-006
+-2.0118e-006
+-2.0191e-006
+-2.0263e-006
+-2.0335e-006
+-2.0406e-006
+-2.0477e-006
+-2.0547e-006
+-2.0618e-006
+-2.0687e-006
+-2.0757e-006
+-2.0826e-006
+-2.0895e-006
+-2.0963e-006
+-2.1031e-006
+-2.1098e-006
+-2.1165e-006
+-2.1232e-006
+-2.1299e-006
+-2.1365e-006
+-2.143e-006
+-2.1495e-006
+-2.156e-006
+-2.1625e-006
+-2.1689e-006
+-2.1753e-006
+-2.1816e-006
+-2.1879e-006
+-2.1942e-006
+-2.2004e-006
+-2.2066e-006
+-2.2127e-006
+-2.2189e-006
+-2.2249e-006
+-2.231e-006
+-2.237e-006
+-2.2429e-006
+-2.2489e-006
+-2.2548e-006
+-2.2606e-006
+-2.2664e-006
+-2.2722e-006
+-2.278e-006
+-2.2837e-006
+-2.2893e-006
+-2.295e-006
+-2.3006e-006
+-2.3061e-006
+-2.3117e-006
+-2.3172e-006
+-2.3226e-006
+-2.328e-006
+-2.3334e-006
+-2.3388e-006
+-2.3441e-006
+-2.3493e-006
+-2.3546e-006
+-2.3598e-006
+-2.3649e-006
+-2.3701e-006
+-2.3752e-006
+-2.3802e-006
+-2.3853e-006
+-2.3902e-006
+-2.3952e-006
+-2.4001e-006
+-2.405e-006
+-2.4098e-006
+-2.4146e-006
+-2.4194e-006
+-2.4241e-006
+-2.4289e-006
+-2.4335e-006
+-2.4382e-006
+-2.4428e-006
+-2.4473e-006
+-2.4518e-006
+-2.4563e-006
+-2.4608e-006
+-2.4652e-006
+-2.4696e-006
+-2.474e-006
+-2.4783e-006
+-2.4826e-006
+-2.4868e-006
+-2.491e-006
+-2.4952e-006
+-2.4994e-006
+-2.5035e-006
+-2.5075e-006
+-2.5116e-006
+-2.5156e-006
+-2.5196e-006
+-2.5235e-006
+-2.5274e-006
+-2.5313e-006
+-2.5351e-006
+-2.5389e-006
+-2.5427e-006
+-2.5465e-006
+-2.5502e-006
+-2.5538e-006
+-2.5575e-006
+-2.5611e-006
+-2.5646e-006
+-2.5682e-006
+-2.5717e-006
+-2.5752e-006
+-2.5786e-006
+-2.582e-006
+-2.5854e-006
+-2.5887e-006
+-2.592e-006
+-2.5953e-006
+-2.5985e-006
+-2.6017e-006
+-2.6049e-006
+-2.6081e-006
+-2.6112e-006
+-2.6143e-006
+-2.6173e-006
+-2.6203e-006
+-2.6233e-006
+-2.6262e-006
+-2.6292e-006
+-2.6321e-006
+-2.6349e-006
+-2.6377e-006
+-2.6405e-006
+-2.6433e-006
+-2.646e-006
+-2.6487e-006
+-2.6514e-006
+-2.654e-006
+-2.6566e-006
+-2.6592e-006
+-2.6617e-006
+-2.6642e-006
+-2.6667e-006
+-2.6691e-006
+-2.6715e-006
+-2.6739e-006
+-2.6763e-006
+-2.6786e-006
+-2.6809e-006
+-2.6831e-006
+-2.6854e-006
+-2.6876e-006
+-2.6897e-006
+-2.6919e-006
+-2.694e-006
+-2.696e-006
+-2.6981e-006
+-2.7001e-006
+-2.7021e-006
+-2.704e-006
+-2.706e-006
+-2.7079e-006
+-2.7097e-006
+-2.7116e-006
+-2.7134e-006
+-2.7151e-006
+-2.7169e-006
+-2.7186e-006
+-2.7203e-006
+-2.722e-006
+-2.7236e-006
+-2.7252e-006
+-2.7268e-006
+-2.7283e-006
+-2.7298e-006
+-2.7313e-006
+-2.7327e-006
+-2.7342e-006
+-2.7356e-006
+-2.7369e-006
+-2.7383e-006
+-2.7396e-006
+-2.7409e-006
+-2.7421e-006
+-2.7434e-006
+-2.7445e-006
+-2.7457e-006
+-2.7469e-006
+-2.748e-006
+-2.7491e-006
+-2.7501e-006
+-2.7512e-006
+-2.7522e-006
+-2.7531e-006
+-2.7541e-006
+-2.755e-006
+-2.7559e-006
+-2.7568e-006
+-2.7576e-006
+-2.7584e-006
+-2.7592e-006
+-2.7599e-006
+-2.7607e-006
+-2.7614e-006
+-2.7621e-006
+-2.7627e-006
+-2.7633e-006
+-2.7639e-006
+-2.7645e-006
+-2.765e-006
+-2.7656e-006
+-2.766e-006
+-2.7665e-006
+-2.7669e-006
+-2.7674e-006
+-2.7677e-006
+-2.7681e-006
+-2.7684e-006
+-2.7687e-006
+-2.769e-006
+-2.7693e-006
+-2.7695e-006
+-2.7697e-006
+-2.7699e-006
+-2.7701e-006
+-2.7702e-006
+-2.7703e-006
+-2.7704e-006
+-2.7704e-006
+-2.7705e-006
+-2.7705e-006
+-2.7704e-006
+-2.7704e-006
+-2.7703e-006
+-2.7702e-006
+-2.7701e-006
+-2.77e-006
+-2.7698e-006
+-2.7696e-006
+-2.7694e-006
+-2.7692e-006
+-2.7689e-006
+-2.7686e-006
+-2.7683e-006
+-2.768e-006
+-2.7676e-006
+-2.7672e-006
+-2.7668e-006
+-2.7664e-006
+-2.7659e-006
+-2.7654e-006
+-2.7649e-006
+-2.7644e-006
+-2.7639e-006
+-2.7633e-006
+-2.7627e-006
+-2.7621e-006
+-2.7615e-006
+-2.7608e-006
+-2.7601e-006
+-2.7594e-006
+-2.7587e-006
+-2.7579e-006
+-2.7572e-006
+-2.7564e-006
+-2.7555e-006
+-2.7547e-006
+-2.7538e-006
+-2.753e-006
+-2.752e-006
+-2.7511e-006
+-2.7502e-006
+-2.7492e-006
+-2.7482e-006
+-2.7472e-006
+-2.7461e-006
+-2.7451e-006
+-2.744e-006
+-2.7429e-006
+-2.7418e-006
+-2.7406e-006
+-2.7395e-006
+-2.7383e-006
+-2.7371e-006
+-2.7359e-006
+-2.7346e-006
+-2.7334e-006
+-2.7321e-006
+-2.7308e-006
+-2.7294e-006
+-2.7281e-006
+-2.7267e-006
+-2.7253e-006
+-2.7239e-006
+-2.7225e-006
+-2.721e-006
+-2.7196e-006
+-2.7181e-006
+-2.7166e-006
+-2.715e-006
+-2.7135e-006
+-2.7119e-006
+-2.7103e-006
+-2.7087e-006
+-2.7071e-006
+-2.7054e-006
+-2.7038e-006
+-2.7021e-006
+-2.7004e-006
+-2.6987e-006
+-2.6969e-006
+-2.6952e-006
+-2.6934e-006
+-2.6916e-006
+-2.6898e-006
+-2.688e-006
+-2.6861e-006
+-2.6842e-006
+-2.6824e-006
+-2.6805e-006
+-2.6785e-006
+-2.6766e-006
+-2.6746e-006
+-2.6727e-006
+-2.6707e-006
+-2.6686e-006
+-2.6666e-006
+-2.6646e-006
+-2.6625e-006
+-2.6604e-006
+-2.6583e-006
+-2.6562e-006
+-2.6541e-006
+-2.6519e-006
+-2.6498e-006
+-2.6476e-006
+-2.6454e-006
+-2.6432e-006
+-2.6409e-006
+-2.6387e-006
+-2.6364e-006
+-2.6341e-006
+-2.6318e-006
+-2.6295e-006
+-2.6272e-006
+-2.6248e-006
+-2.6224e-006
+-2.6201e-006
+-2.6177e-006
+-2.6153e-006
+-2.6128e-006
+-2.6104e-006
+-2.6079e-006
+-2.6054e-006
+-2.603e-006
+-2.6005e-006
+-2.5979e-006
+-2.5954e-006
+-2.5928e-006
+-2.5903e-006
+-2.5877e-006
+-2.5851e-006
+-2.5825e-006
+-2.5799e-006
+-2.5772e-006
+-2.5746e-006
+-2.5719e-006
+-2.5692e-006
+-2.5665e-006
+-2.5638e-006
+-2.5611e-006
+-2.5583e-006
+-2.5556e-006
+-2.5528e-006
+-2.55e-006
+-2.5472e-006
+-2.5444e-006
+-2.5416e-006
+-2.5387e-006
+-2.5359e-006
+-2.533e-006
+-2.5301e-006
+-2.5272e-006
+-2.5243e-006
+-2.5214e-006
+-2.5185e-006
+-2.5155e-006
+-2.5126e-006
+-2.5096e-006
+-2.5066e-006
+-2.5036e-006
+-2.5006e-006
+-2.4976e-006
+-2.4945e-006
+-2.4915e-006
+-2.4884e-006
+-2.4854e-006
+-2.4823e-006
+-2.4792e-006
+-2.4761e-006
+-2.4729e-006
+-2.4698e-006
+-2.4667e-006
+-2.4635e-006
+-2.4603e-006
+-2.4571e-006
+-2.454e-006
+-2.4507e-006
+-2.4475e-006
+-2.4443e-006
+-2.4411e-006
+-2.4378e-006
+-2.4346e-006
+-2.4313e-006
+-2.428e-006
+-2.4247e-006
+-2.4214e-006
+-2.4181e-006
+-2.4147e-006
+-2.4114e-006
+-2.4081e-006
+-2.4047e-006
+-2.4013e-006
+-2.3979e-006
+-2.3946e-006
+-2.3911e-006
+-2.3877e-006
+-2.3843e-006
+-2.3809e-006
+-2.3774e-006
+-2.374e-006
+-2.3705e-006
+-2.3671e-006
+-2.3636e-006
+-2.3601e-006
+-2.3566e-006
+-2.3531e-006
+-2.3495e-006
+-2.346e-006
+-2.3425e-006
+-2.3389e-006
+-2.3354e-006
+-2.3318e-006
+-2.3282e-006
+-2.3246e-006
+-2.321e-006
+-2.3174e-006
+-2.3138e-006
+-2.3102e-006
+-2.3066e-006
+-2.3029e-006
+-2.2993e-006
+-2.2956e-006
+-2.292e-006
+-2.2883e-006
+-2.2846e-006
+-2.2809e-006
+-2.2772e-006
+-2.2735e-006
+-2.2698e-006
+-2.2661e-006
+-2.2623e-006
+-2.2586e-006
+-2.2549e-006
+-2.2511e-006
+-2.2474e-006
+-2.2436e-006
+-2.2398e-006
+-2.236e-006
+-2.2322e-006
+-2.2284e-006
+-2.2246e-006
+-2.2208e-006
+-2.217e-006
+-2.2132e-006
+-2.2093e-006
+-2.2055e-006
+-2.2016e-006
+-2.1978e-006
+-2.1939e-006
+-2.1901e-006
+-2.1862e-006
+-2.1823e-006
+-2.1784e-006
+-2.1745e-006
+-2.1706e-006
+-2.1667e-006
+-2.1628e-006
+-2.1589e-006
+-2.1549e-006
+-2.151e-006
+-2.1471e-006
+-2.1431e-006
+-2.1392e-006
+-2.1352e-006
+-2.1313e-006
+-2.1273e-006
+-2.1233e-006
+-2.1193e-006
+-2.1154e-006
+-2.1114e-006
+-2.1074e-006
+-2.1034e-006
+-2.0994e-006
+-2.0953e-006
+-2.0913e-006
+-2.0873e-006
+-2.0833e-006
+-2.0793e-006
+-2.0752e-006
+-2.0712e-006
+-2.0671e-006
+-2.0631e-006
+-2.059e-006
+-2.055e-006
+-2.0509e-006
+-2.0468e-006
+-2.0427e-006
+-2.0387e-006
+-2.0346e-006
+-2.0305e-006
+-2.0264e-006
+-2.0223e-006
+-2.0182e-006
+-2.0141e-006
+-2.01e-006
+-2.0059e-006
+-2.0018e-006
+-1.9976e-006
+-1.9935e-006
+-1.9894e-006
+-1.9853e-006
+-1.9811e-006
+-1.977e-006
+-1.9728e-006
+-1.9687e-006
+-1.9645e-006
+-1.9604e-006
+-1.9562e-006
+-1.9521e-006
+-1.9479e-006
+-1.9437e-006
+-1.9396e-006
+-1.9354e-006
+-1.9312e-006
+-1.927e-006
+-1.9229e-006
+-1.9187e-006
+-1.9145e-006
+-1.9103e-006
+-1.9061e-006
+-1.9019e-006
+-1.8977e-006
+-1.8935e-006
+-1.8893e-006
+-1.8851e-006
+-1.8809e-006
+-1.8767e-006
+-1.8725e-006
+-1.8683e-006
+-1.8641e-006
+-1.8598e-006
+-1.8556e-006
+-1.8514e-006
+-1.8472e-006
+-1.8429e-006
+-1.8387e-006
+-1.8345e-006
+-1.8303e-006
+-1.826e-006
+-1.8218e-006
+-1.8175e-006
+-1.8133e-006
+-1.8091e-006
+-1.8048e-006
+-1.8006e-006
+-1.7963e-006
+-1.7921e-006
+-1.7879e-006
+-1.7836e-006
+-1.7794e-006
+-1.7751e-006
+-1.7709e-006
+-1.7666e-006
+-1.7624e-006
+-1.7581e-006
+-1.7538e-006
+-1.7496e-006
+-1.7453e-006
+-1.7411e-006
+-1.7368e-006
+-1.7326e-006
+-1.7283e-006
+-1.724e-006
+-1.7198e-006
+-1.7155e-006
+-1.7113e-006
+-1.707e-006
+-1.7027e-006
+-1.6985e-006
+-1.6942e-006
+-1.69e-006
+-1.6857e-006
+-1.6814e-006
+-1.6772e-006
+-1.6729e-006
+-1.6686e-006
+-1.6644e-006
+-1.6601e-006
+-1.6559e-006
+-1.6516e-006
+-1.6473e-006
+-1.6431e-006
+-1.6388e-006
+-1.6346e-006
+-1.6303e-006
+-1.626e-006
+-1.6218e-006
+-1.6175e-006
+-1.6133e-006
+-1.609e-006
+-1.6048e-006
+-1.6005e-006
+-1.5962e-006
+-1.592e-006
+-1.5877e-006
+-1.5835e-006
+-1.5792e-006
+-1.575e-006
+-1.5707e-006
+-1.5665e-006
+-1.5622e-006
+-1.558e-006
+-1.5538e-006
+-1.5495e-006
+-1.5453e-006
+-1.541e-006
+-1.5368e-006
+-1.5326e-006
+-1.5283e-006
+-1.5241e-006
+-1.5199e-006
+-1.5156e-006
+-1.5114e-006
+-1.5072e-006
+-1.5029e-006
+-1.4987e-006
+-1.4945e-006
+-1.4903e-006
+-1.486e-006
+-1.4818e-006
+-1.4776e-006
+-1.4734e-006
+-1.4692e-006
+-1.465e-006
+-1.4608e-006
+-1.4566e-006
+-1.4524e-006
+-1.4482e-006
+-1.444e-006
+-1.4398e-006
+-1.4356e-006
+-1.4314e-006
+-1.4272e-006
+-1.423e-006
+-1.4188e-006
+-1.4146e-006
+-1.4105e-006
+-1.4063e-006
+-1.4021e-006
+-1.3979e-006
+-1.3938e-006
+-1.3896e-006
+-1.3854e-006
+-1.3813e-006
+-1.3771e-006
+-1.373e-006
+-1.3688e-006
+-1.3647e-006
+-1.3605e-006
+-1.3564e-006
+-1.3522e-006
+-1.3481e-006
+-1.344e-006
+-1.3398e-006
+-1.3357e-006
+-1.3316e-006
+-1.3275e-006
+-1.3233e-006
+-1.3192e-006
+-1.3151e-006
+-1.311e-006
+-1.3069e-006
+-1.3028e-006
+-1.2987e-006
+-1.2946e-006
+-1.2905e-006
+-1.2864e-006
+-1.2823e-006
+-1.2782e-006
+-1.2742e-006
+-1.2701e-006
+-1.266e-006
+-1.262e-006
+-1.2579e-006
+-1.2538e-006
+-1.2498e-006
+-1.2457e-006
+-1.2417e-006
+-1.2376e-006
+-1.2336e-006
+-1.2296e-006
+-1.2255e-006
+-1.2215e-006
+-1.2175e-006
+-1.2135e-006
+-1.2094e-006
+-1.2054e-006
+-1.2014e-006
+-1.1974e-006
+-1.1934e-006
+-1.1894e-006
+-1.1854e-006
+-1.1814e-006
+-1.1775e-006
+-1.1735e-006
+-1.1695e-006
+-1.1655e-006
+-1.1616e-006
+-1.1576e-006
+-1.1537e-006
+-1.1497e-006
+-1.1458e-006
+-1.1418e-006
+-1.1379e-006
+-1.1339e-006
+-1.13e-006
+-1.1261e-006
+-1.1222e-006
+-1.1182e-006
+-1.1143e-006
+-1.1104e-006
+-1.1065e-006
+-1.1026e-006
+-1.0987e-006
+-1.0949e-006
+-1.091e-006
+-1.0871e-006
+-1.0832e-006
+-1.0794e-006
+-1.0755e-006
+-1.0716e-006
+-1.0678e-006
+-1.0639e-006
+-1.0601e-006
+-1.0563e-006
+-1.0524e-006
+-1.0486e-006
+-1.0448e-006
+-1.041e-006
+-1.0372e-006
+-1.0333e-006
+-1.0295e-006
+-1.0258e-006
+-1.022e-006
+-1.0182e-006
+-1.0144e-006
+-1.0106e-006
+-1.0069e-006
+-1.0031e-006
+-9.9933e-007
+-9.9558e-007
+-9.9183e-007
+-9.8809e-007
+-9.8435e-007
+-9.8062e-007
+-9.7689e-007
+-9.7317e-007
+-9.6946e-007
+-9.6575e-007
+-9.6204e-007
+-9.5835e-007
+-9.5465e-007
+-9.5097e-007
+-9.4729e-007
+-9.4361e-007
+-9.3994e-007
+-9.3628e-007
+-9.3262e-007
+-9.2897e-007
+-9.2532e-007
+-9.2168e-007
+-9.1804e-007
+-9.1441e-007
+-9.1079e-007
+-9.0717e-007
+-9.0356e-007
+-8.9996e-007
+-8.9636e-007
+-8.9276e-007
+-8.8917e-007
+-8.8559e-007
+-8.8202e-007
+-8.7844e-007
+-8.7488e-007
+-8.7132e-007
+-8.6777e-007
+-8.6422e-007
+-8.6068e-007
+-8.5715e-007
+-8.5362e-007
+-8.501e-007
+-8.4659e-007
+-8.4308e-007
+-8.3957e-007
+-8.3608e-007
+-8.3259e-007
+-8.291e-007
+-8.2562e-007
+-8.2215e-007
+-8.1868e-007
+-8.1522e-007
+-8.1177e-007
+-8.0832e-007
+-8.0488e-007
+-8.0145e-007
+-7.9802e-007
+-7.946e-007
+-7.9118e-007
+-7.8777e-007
+-7.8437e-007
+-7.8097e-007
+-7.7758e-007
+-7.742e-007
+-7.7082e-007
+-7.6745e-007
+-7.6409e-007
+-7.6073e-007
+-7.5738e-007
+-7.5404e-007
+-7.507e-007
+-7.4737e-007
+-7.4404e-007
+-7.4072e-007
+-7.3741e-007
+-7.341e-007
+-7.3081e-007
+-7.2751e-007
+-7.2423e-007
+-7.2095e-007
+-7.1767e-007
+-7.1441e-007
+-7.1115e-007
+-7.079e-007
+-7.0465e-007
+-7.0141e-007
+-6.9818e-007
+-6.9495e-007
+-6.9173e-007
+-6.8852e-007
+-6.8531e-007
+-6.8212e-007
+-6.7892e-007
+-6.7574e-007
+-6.7256e-007
+-6.6939e-007
+-6.6622e-007
+-6.6306e-007
+-6.5991e-007
+-6.5677e-007
+-6.5363e-007
+-6.505e-007
+-6.4737e-007
+-6.4425e-007
+-6.4114e-007
+-6.3804e-007
+-6.3494e-007
+-6.3185e-007
+-6.2877e-007
+-6.2569e-007
+-6.2262e-007
+-6.1956e-007
+-6.165e-007
+-6.1345e-007
+-6.1041e-007
+-6.0737e-007
+-6.0434e-007
+-6.0132e-007
+-5.9831e-007
+-5.953e-007
+-5.923e-007
+-5.8931e-007
+-5.8632e-007
+-5.8334e-007
+-5.8037e-007
+-5.774e-007
+-5.7444e-007
+-5.7149e-007
+-5.6854e-007
+-5.6561e-007
+-5.6268e-007
+-5.5975e-007
+-5.5683e-007
+-5.5392e-007
+-5.5102e-007
+-5.4812e-007
+-5.4524e-007
+-5.4235e-007
+-5.3948e-007
+-5.3661e-007
+-5.3375e-007
+-5.309e-007
+-5.2805e-007
+-5.2521e-007
+-5.2238e-007
+-5.1955e-007
+-5.1673e-007
+-5.1392e-007
+-5.1112e-007
+-5.0832e-007
+-5.0553e-007
+-5.0275e-007
+-4.9997e-007
+-4.972e-007
+-4.9444e-007
+-4.9168e-007
+-4.8894e-007
+-4.862e-007
+-4.8346e-007
+-4.8074e-007
+-4.7802e-007
+-4.753e-007
+-4.726e-007
+-4.699e-007
+-4.6721e-007
+-4.6453e-007
+-4.6185e-007
+-4.5918e-007
+-4.5652e-007
+-4.5386e-007
+-4.5121e-007
+-4.4857e-007
+-4.4594e-007
+-4.4331e-007
+-4.4069e-007
+-4.3808e-007
+-4.3547e-007
+-4.3287e-007
+-4.3028e-007
+-4.277e-007
+-4.2512e-007
+-4.2255e-007
+-4.1999e-007
+-4.1743e-007
+-4.1488e-007
+-4.1234e-007
+-4.0981e-007
+-4.0728e-007
+-4.0476e-007
+-4.0225e-007
+-3.9974e-007
+-3.9724e-007
+-3.9475e-007
+-3.9226e-007
+-3.8979e-007
+-3.8732e-007
+-3.8485e-007
+-3.824e-007
+-3.7995e-007
+-3.7751e-007
+-3.7507e-007
+-3.7264e-007
+-3.7022e-007
+-3.6781e-007
+-3.654e-007
+-3.63e-007
+-3.6061e-007
+-3.5823e-007
+-3.5585e-007
+-3.5348e-007
+-3.5111e-007
+-3.4876e-007
+-3.4641e-007
+-3.4406e-007
+-3.4173e-007
+-3.394e-007
+-3.3708e-007
+-3.3476e-007
+-3.3246e-007
+-3.3016e-007
+-3.2786e-007
+-3.2558e-007
+-3.233e-007
+-3.2103e-007
+-3.1876e-007
+-3.165e-007
+-3.1425e-007
+-3.1201e-007
+-3.0977e-007
+-3.0754e-007
+-3.0532e-007
+-3.031e-007
+-3.009e-007
+-2.9869e-007
+-2.965e-007
+-2.9431e-007
+-2.9213e-007
+-2.8996e-007
+-2.8779e-007
+-2.8563e-007
+-2.8348e-007
+-2.8133e-007
+-2.7919e-007
+-2.7706e-007
+-2.7494e-007
+-2.7282e-007
+-2.7071e-007
+-2.6861e-007
+-2.6651e-007
+-2.6442e-007
+-2.6234e-007
+-2.6026e-007
+-2.5819e-007
+-2.5613e-007
+-2.5407e-007
+-2.5202e-007
+-2.4998e-007
+-2.4795e-007
+-2.4592e-007
+-2.439e-007
+-2.4189e-007
+-2.3988e-007
+-2.3788e-007
+-2.3588e-007
+-2.339e-007
+-2.3192e-007
+-2.2995e-007
+-2.2798e-007
+-2.2602e-007
+-2.2407e-007
+-2.2212e-007
+-2.2018e-007
+-2.1825e-007
+-2.1633e-007
+-2.1441e-007
+-2.125e-007
+-2.1059e-007
+-2.087e-007
+-2.068e-007
+-2.0492e-007
+-2.0304e-007
+-2.0117e-007
+-1.9931e-007
+-1.9745e-007
+-1.956e-007
+-1.9376e-007
+-1.9192e-007
+-1.9009e-007
+-1.8826e-007
+-1.8645e-007
+-1.8464e-007
+-1.8283e-007
+-1.8104e-007
+-1.7925e-007
+-1.7746e-007
+-1.7568e-007
+-1.7391e-007
+-1.7215e-007
+-1.7039e-007
+-1.6864e-007
+-1.669e-007
+-1.6516e-007
+-1.6343e-007
+-1.6171e-007
+-1.5999e-007
+-1.5828e-007
+-1.5657e-007
+-1.5487e-007
+-1.5318e-007
+-1.515e-007
+-1.4982e-007
+-1.4815e-007
+-1.4648e-007
+-1.4482e-007
+-1.4317e-007
+-1.4153e-007
+-1.3989e-007
+-1.3825e-007
+-1.3663e-007
+-1.3501e-007
+-1.3339e-007
+-1.3179e-007
+-1.3019e-007
+-1.2859e-007
+-1.27e-007
+-1.2542e-007
+-1.2385e-007
+-1.2228e-007
+-1.2072e-007
+-1.1916e-007
+-1.1761e-007
+-1.1607e-007
+-1.1453e-007
+-1.13e-007
+-1.1147e-007
+-1.0996e-007
+-1.0844e-007
+-1.0694e-007
+-1.0544e-007
+-1.0395e-007
+-1.0246e-007
+-1.0098e-007
+-9.9504e-008
+-9.8036e-008
+-9.6574e-008
+-9.5118e-008
+-9.3669e-008
+-9.2226e-008
+-9.0788e-008
+-8.9357e-008
+-8.7933e-008
+-8.6514e-008
+-8.5101e-008
+-8.3695e-008
+-8.2294e-008
+-8.09e-008
+-7.9512e-008
+-7.813e-008
+-7.6754e-008
+-7.5384e-008
+-7.402e-008
+-7.2662e-008
+-7.131e-008
+-6.9964e-008
+-6.8625e-008
+-6.7291e-008
+-6.5963e-008
+-6.4641e-008
+-6.3325e-008
+-6.2015e-008
+-6.0711e-008
+-5.9413e-008
+-5.8121e-008
+-5.6834e-008
+-5.5554e-008
+-5.428e-008
+-5.3011e-008
+-5.1748e-008
+-5.0491e-008
+-4.924e-008
+-4.7995e-008
+-4.6755e-008
+-4.5522e-008
+-4.4294e-008
+-4.3072e-008
+-4.1856e-008
+-4.0645e-008
+-3.944e-008
+-3.8241e-008
+-3.7048e-008
+-3.5861e-008
+-3.4679e-008
+-3.3503e-008
+-3.2332e-008
+-3.1167e-008
+-3.0008e-008
+-2.8855e-008
+-2.7707e-008
+-2.6564e-008
+-2.5428e-008
+-2.4297e-008
+-2.3171e-008
+-2.2051e-008
+-2.0937e-008
+-1.9828e-008
+-1.8725e-008
+-1.7628e-008
+-1.6535e-008
+-1.5449e-008
+-1.4368e-008
+-1.3292e-008
+-1.2222e-008
+-1.1157e-008
+-1.0098e-008
+-9.044e-009
+-7.9956e-009
+-6.9526e-009
+-5.915e-009
+-4.8828e-009
+-3.856e-009
+-2.8345e-009
+-1.8184e-009
+-8.0759e-010
+1.9786e-010
+1.198e-009
+2.1928e-009
+3.1823e-009
+4.1666e-009
+5.1456e-009
+6.1193e-009
+7.0878e-009
+8.0511e-009
+9.0091e-009
+9.9619e-009
+1.091e-008
+1.1852e-008
+1.2789e-008
+1.3721e-008
+1.4648e-008
+1.557e-008
+1.6487e-008
+1.7399e-008
+1.8305e-008
+1.9207e-008
+2.0103e-008
+2.0994e-008
+2.1881e-008
+2.2762e-008
+2.3638e-008
+2.4509e-008
+2.5375e-008
+2.6237e-008
+2.7093e-008
+2.7944e-008
+2.8791e-008
+2.9632e-008
+3.0468e-008
+3.13e-008
+3.2127e-008
+3.2949e-008
+3.3766e-008
+3.4578e-008
+3.5385e-008
+3.6187e-008
+3.6985e-008
+3.7778e-008
+3.8566e-008
+3.9349e-008
+4.0127e-008
+4.0901e-008
+4.167e-008
+4.2434e-008
+4.3194e-008
+4.3948e-008
+4.4698e-008
+4.5444e-008
+4.6185e-008
+4.6921e-008
+4.7652e-008
+4.8379e-008
+4.9101e-008
+4.9819e-008
+5.0532e-008
+5.124e-008
+5.1944e-008
+5.2643e-008
+5.3338e-008
+5.4028e-008
+5.4714e-008
+5.5395e-008
+5.6072e-008
+5.6744e-008
+5.7412e-008
+5.8075e-008
+5.8734e-008
+5.9389e-008
+6.0039e-008
+6.0685e-008
+6.1326e-008
+6.1963e-008
+6.2595e-008
+6.3223e-008
+6.3847e-008
+6.4467e-008
+6.5082e-008
+6.5693e-008
+6.63e-008
+6.6902e-008
+6.75e-008
+6.8094e-008
+6.8684e-008
+6.9269e-008
+6.985e-008
+7.0428e-008
+7.1e-008
+7.1569e-008
+7.2134e-008
+7.2694e-008
+7.325e-008
+7.3802e-008
+7.435e-008
+7.4894e-008
+7.5434e-008
+7.597e-008
+7.6502e-008
+7.703e-008
+7.7553e-008
+7.8073e-008
+7.8589e-008
+7.91e-008
+7.9608e-008
+8.0112e-008
+8.0611e-008
+8.1107e-008
+8.1599e-008
+8.2087e-008
+8.2571e-008
+8.3052e-008
+8.3528e-008
+8.4e-008
+8.4469e-008
+8.4934e-008
+8.5395e-008
+8.5852e-008
+8.6306e-008
+8.6755e-008
+8.7201e-008
+8.7643e-008
+8.8081e-008
+8.8516e-008
+8.8947e-008
+8.9374e-008
+8.9798e-008
+9.0217e-008
+9.0633e-008
+9.1046e-008
+9.1455e-008
+9.186e-008
+9.2261e-008
+9.2659e-008
+9.3054e-008
+9.3445e-008
+9.3832e-008
+9.4215e-008
+9.4596e-008
+9.4972e-008
+9.5345e-008
+9.5715e-008
+9.6081e-008
+9.6443e-008
+9.6803e-008
+9.7158e-008
+9.751e-008
+9.7859e-008
+9.8205e-008
+9.8547e-008
+9.8885e-008
+9.922e-008
+9.9552e-008
+9.9881e-008
+1.0021e-007
+1.0053e-007
+1.0085e-007
+1.0116e-007
+1.0147e-007
+1.0178e-007
+1.0209e-007
+1.0239e-007
+1.0269e-007
+1.0298e-007
+1.0328e-007
+1.0357e-007
+1.0385e-007
+1.0413e-007
+1.0441e-007
+1.0469e-007
+1.0496e-007
+1.0523e-007
+1.055e-007
+1.0576e-007
+1.0603e-007
+1.0628e-007
+1.0654e-007
+1.0679e-007
+1.0704e-007
+1.0728e-007
+1.0753e-007
+1.0777e-007
+1.08e-007
+1.0824e-007
+1.0847e-007
+1.0869e-007
+1.0892e-007
+1.0914e-007
+1.0936e-007
+1.0958e-007
+1.0979e-007
+1.1e-007
+1.1021e-007
+1.1041e-007
+1.1061e-007
+1.1081e-007
+1.1101e-007
+1.112e-007
+1.1139e-007
+1.1158e-007
+1.1176e-007
+1.1194e-007
+1.1212e-007
+1.123e-007
+1.1247e-007
+1.1264e-007
+1.1281e-007
+1.1298e-007
+1.1314e-007
+1.133e-007
+1.1346e-007
+1.1361e-007
+1.1376e-007
+1.1391e-007
+1.1406e-007
+1.142e-007
+1.1435e-007
+1.1448e-007
+1.1462e-007
+1.1475e-007
+1.1489e-007
+1.1501e-007
+1.1514e-007
+1.1526e-007
+1.1539e-007
+1.155e-007
+1.1562e-007
+1.1574e-007
+1.1585e-007
+1.1596e-007
+1.1606e-007
+1.1617e-007
+1.1627e-007
+1.1637e-007
+1.1646e-007
+1.1656e-007
+1.1665e-007
+1.1674e-007
+1.1683e-007
+1.1691e-007
+1.17e-007
+1.1708e-007
+1.1715e-007
+1.1723e-007
+1.173e-007
+1.1737e-007
+1.1744e-007
+1.1751e-007
+1.1757e-007
+1.1764e-007
+1.177e-007
+1.1775e-007
+1.1781e-007
+1.1786e-007
+1.1792e-007
+1.1796e-007
+1.1801e-007
+1.1806e-007
+1.181e-007
+1.1814e-007
+1.1818e-007
+1.1821e-007
+1.1825e-007
+1.1828e-007
+1.1831e-007
+1.1834e-007
+1.1837e-007
+1.1839e-007
+1.1841e-007
+1.1843e-007
+1.1845e-007
+1.1847e-007
+1.1848e-007
+1.1849e-007
+1.185e-007
+1.1851e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1852e-007
+1.1851e-007
+1.1851e-007
+1.185e-007
+1.1849e-007
+1.1848e-007
+1.1846e-007
+1.1844e-007
+1.1843e-007
+1.1841e-007
+1.1839e-007
+1.1836e-007
+1.1834e-007
+1.1831e-007
+1.1828e-007
+1.1825e-007
+1.1822e-007
+1.1818e-007
+1.1815e-007
+1.1811e-007
+1.1807e-007
+1.1803e-007
+1.1799e-007
+1.1795e-007
+1.179e-007
+1.1785e-007
+1.178e-007
+1.1775e-007
+1.177e-007
+1.1765e-007
+1.1759e-007
+1.1754e-007
+1.1748e-007
+1.1742e-007
+1.1736e-007
+1.1729e-007
+1.1723e-007
+1.1716e-007
+1.171e-007
+1.1703e-007
+1.1696e-007
+1.1688e-007
+1.1681e-007
+1.1674e-007
+1.1666e-007
+1.1658e-007
+1.165e-007
+1.1642e-007
+1.1634e-007
+1.1625e-007
+1.1617e-007
+1.1608e-007
+1.16e-007
+1.1591e-007
+1.1582e-007
+1.1572e-007
+1.1563e-007
+1.1554e-007
+1.1544e-007
+1.1534e-007
+1.1524e-007
+1.1514e-007
+1.1504e-007
+1.1494e-007
+1.1484e-007
+1.1473e-007
+1.1463e-007
+1.1452e-007
+1.1441e-007
+1.143e-007
+1.1419e-007
+1.1408e-007
+1.1396e-007
+1.1385e-007
+1.1373e-007
+1.1361e-007
+1.1349e-007
+1.1338e-007
+1.1325e-007
+1.1313e-007
+1.1301e-007
+1.1289e-007
+1.1276e-007
+1.1263e-007
+1.1251e-007
+1.1238e-007
+1.1225e-007
+1.1212e-007
+1.1199e-007
+1.1185e-007
+1.1172e-007
+1.1158e-007
+1.1145e-007
+1.1131e-007
+1.1117e-007
+1.1103e-007
+1.1089e-007
+1.1075e-007
+1.1061e-007
+1.1047e-007
+1.1032e-007
+1.1018e-007
+1.1003e-007
+1.0988e-007
+1.0974e-007
+1.0959e-007
+1.0944e-007
+1.0929e-007
+1.0913e-007
+1.0898e-007
+1.0883e-007
+1.0867e-007
+1.0852e-007
+1.0836e-007
+1.0821e-007
+1.0805e-007
+1.0789e-007
+1.0773e-007
+1.0757e-007
+1.0741e-007
+1.0725e-007
+1.0708e-007
+1.0692e-007
+1.0675e-007
+1.0659e-007
+1.0642e-007
+1.0626e-007
+1.0609e-007
+1.0592e-007
+1.0575e-007
+1.0558e-007
+1.0541e-007
+1.0524e-007
+1.0507e-007
+1.0489e-007
+1.0472e-007
+1.0454e-007
+1.0437e-007
+1.0419e-007
+1.0402e-007
+1.0384e-007
+1.0366e-007
+1.0348e-007
+1.033e-007
+1.0313e-007
+1.0294e-007
+1.0276e-007
+1.0258e-007
+1.024e-007
+1.0222e-007
+1.0203e-007
+1.0185e-007
+1.0166e-007
+1.0148e-007
+1.0129e-007
+1.0111e-007
+1.0092e-007
+1.0073e-007
+1.0054e-007
+1.0035e-007
+1.0016e-007
+9.9974e-008
+9.9784e-008
+9.9593e-008
+9.9401e-008
+9.9209e-008
+9.9016e-008
+9.8823e-008
+9.863e-008
+9.8435e-008
+9.8241e-008
+9.8046e-008
+9.785e-008
+9.7654e-008
+9.7458e-008
+9.7261e-008
+9.7063e-008
+9.6865e-008
+9.6667e-008
+9.6468e-008
+9.6269e-008
+9.607e-008
+9.587e-008
+9.5669e-008
+9.5468e-008
+9.5267e-008
+9.5065e-008
+9.4863e-008
+9.4661e-008
+9.4458e-008
+9.4255e-008
+9.4051e-008
+9.3848e-008
+9.3643e-008
+9.3439e-008
+9.3234e-008
+9.3029e-008
+9.2823e-008
+9.2617e-008
+9.2411e-008
+9.2204e-008
+9.1997e-008
+9.179e-008
+9.1583e-008
+9.1375e-008
+9.1167e-008
+9.0958e-008
+9.075e-008
+9.0541e-008
+9.0332e-008
+9.0122e-008
+8.9913e-008
+8.9703e-008
+8.9492e-008
+8.9282e-008
+8.9071e-008
+8.886e-008
+8.8649e-008
+8.8438e-008
+8.8226e-008
+8.8015e-008
+8.7803e-008
+8.759e-008
+8.7378e-008
+8.7165e-008
+8.6952e-008
+8.6739e-008
+8.6526e-008
+8.6313e-008
+8.61e-008
+8.5886e-008
+8.5672e-008
+8.5458e-008
+8.5244e-008
+8.503e-008
+8.4815e-008
+8.4601e-008
+8.4386e-008
+8.4171e-008
+8.3956e-008
+8.3741e-008
+8.3526e-008
+8.3311e-008
+8.3095e-008
+8.288e-008
+8.2664e-008
+8.2448e-008
+8.2233e-008
+8.2017e-008
+8.1801e-008
+8.1585e-008
+8.1369e-008
+8.1153e-008
+8.0936e-008
+8.072e-008
+8.0504e-008
+8.0287e-008
+8.0071e-008
+7.9855e-008
+7.9638e-008
+7.9422e-008
+7.9205e-008
+7.8988e-008
+7.8772e-008
+7.8555e-008
+7.8339e-008
+7.8122e-008
+7.7905e-008
+7.7689e-008
+7.7472e-008
+7.7255e-008
+7.7039e-008
+7.6822e-008
+7.6605e-008
+7.6389e-008
+7.6172e-008
+7.5956e-008
+7.5739e-008
+7.5523e-008
+7.5306e-008
+7.509e-008
+7.4873e-008
+7.4657e-008
+7.4441e-008
+7.4225e-008
+7.4009e-008
+7.3793e-008
+7.3577e-008
+7.3361e-008
+7.3145e-008
+7.2929e-008
+7.2713e-008
+7.2498e-008
+7.2282e-008
+7.2067e-008
+7.1852e-008
+7.1636e-008
+7.1421e-008
+7.1206e-008
+7.0991e-008
+7.0776e-008
+7.0562e-008
+7.0347e-008
+7.0133e-008
+6.9918e-008
+6.9704e-008
+6.949e-008
+6.9276e-008
+6.9062e-008
+6.8849e-008
+6.8635e-008
+6.8422e-008
+6.8209e-008
+6.7996e-008
+6.7783e-008
+6.757e-008
+6.7357e-008
+6.7145e-008
+6.6933e-008
+6.6721e-008
+6.6509e-008
+6.6297e-008
+6.6085e-008
+6.5874e-008
+6.5663e-008
+6.5452e-008
+6.5241e-008
+6.503e-008
+6.482e-008
+6.4609e-008
+6.4399e-008
+6.419e-008
+6.398e-008
+6.377e-008
+6.3561e-008
+6.3352e-008
+6.3143e-008
+6.2935e-008
+6.2726e-008
+6.2518e-008
+6.231e-008
+6.2103e-008
+6.1895e-008
+6.1688e-008
+6.1481e-008
+6.1274e-008
+6.1068e-008
+6.0861e-008
+6.0655e-008
+6.045e-008
+6.0244e-008
+6.0039e-008
+5.9834e-008
+5.9629e-008
+5.9424e-008
+5.922e-008
+5.9016e-008
+5.8812e-008
+5.8609e-008
+5.8406e-008
+5.8203e-008
+5.8e-008
+5.7798e-008
+5.7595e-008
+5.7394e-008
+5.7192e-008
+5.6991e-008
+5.679e-008
+5.6589e-008
+5.6389e-008
+5.6189e-008
+5.5989e-008
+5.5789e-008
+5.559e-008
+5.5391e-008
+5.5192e-008
+5.4994e-008
+5.4796e-008
+5.4598e-008
+5.4401e-008
+5.4204e-008
+5.4007e-008
+5.381e-008
+5.3614e-008
+5.3418e-008
+5.3223e-008
+5.3028e-008
+5.2833e-008
+5.2638e-008
+5.2444e-008
+5.225e-008
+5.2056e-008
+5.1863e-008
+5.167e-008
+5.1477e-008
+5.1285e-008
+5.1093e-008
+5.0902e-008
+5.071e-008
+5.0519e-008
+5.0329e-008
+5.0139e-008
+4.9949e-008
+4.9759e-008
+4.957e-008
+4.9381e-008
+4.9193e-008
+4.9005e-008
+4.8817e-008
+4.8629e-008
+4.8442e-008
+4.8255e-008
+4.8069e-008
+4.7883e-008
+4.7697e-008
+4.7512e-008
+4.7327e-008
+4.7143e-008
+4.6958e-008
+4.6775e-008
+4.6591e-008
+4.6408e-008
+4.6225e-008
+4.6043e-008
+4.5861e-008
+4.5679e-008
+4.5498e-008
+4.5317e-008
+4.5137e-008
+4.4957e-008
+4.4777e-008
+4.4598e-008
+4.4419e-008
+4.424e-008
+4.4062e-008
+4.3884e-008
+4.3707e-008
+4.353e-008
+4.3353e-008
+4.3177e-008
+4.3001e-008
+4.2826e-008
+4.2651e-008
+4.2476e-008
+4.2302e-008
+4.2128e-008
+4.1954e-008
+4.1781e-008
+4.1608e-008
+4.1436e-008
+4.1264e-008
+4.1093e-008
+4.0921e-008
+4.0751e-008
+4.058e-008
+4.041e-008
+4.0241e-008
+4.0072e-008
+3.9903e-008
+3.9735e-008
+3.9567e-008
+3.9399e-008
+3.9232e-008
+3.9066e-008
+3.8899e-008
+3.8734e-008
+3.8568e-008
+3.8403e-008
+3.8238e-008
+3.8074e-008
+3.791e-008
+3.7747e-008
+3.7584e-008
+3.7421e-008
+3.7259e-008
+3.7097e-008
+3.6936e-008
+3.6775e-008
+3.6615e-008
+3.6455e-008
+3.6295e-008
+3.6136e-008
+3.5977e-008
+3.5818e-008
+3.566e-008
+3.5503e-008
+3.5346e-008
+3.5189e-008
+3.5033e-008
+3.4877e-008
+3.4721e-008
+3.4566e-008
+3.4412e-008
+3.4257e-008
+3.4104e-008
+3.395e-008
+3.3797e-008
+3.3645e-008
+3.3493e-008
+3.3341e-008
+3.319e-008
+3.3039e-008
+3.2888e-008
+3.2738e-008
+3.2589e-008
+3.244e-008
+3.2291e-008
+3.2143e-008
+3.1995e-008
+3.1847e-008
+3.17e-008
+3.1554e-008
+3.1408e-008
+3.1262e-008
+3.1117e-008
+3.0972e-008
+3.0827e-008
+3.0683e-008
+3.054e-008
+3.0396e-008
+3.0254e-008
+3.0111e-008
+2.9969e-008
+2.9828e-008
+2.9687e-008
+2.9546e-008
+2.9406e-008
+2.9266e-008
+2.9127e-008
+2.8988e-008
+2.8849e-008
+2.8711e-008
+2.8574e-008
+2.8436e-008
+2.83e-008
+2.8163e-008
+2.8027e-008
+2.7892e-008
+2.7757e-008
+2.7622e-008
+2.7488e-008
+2.7354e-008
+2.7221e-008
+2.7088e-008
+2.6955e-008
+2.6823e-008
+2.6691e-008
+2.656e-008
+2.6429e-008
+2.6299e-008
+2.6169e-008
+2.6039e-008
+2.591e-008
+2.5782e-008
+2.5653e-008
+2.5525e-008
+2.5398e-008
+2.5271e-008
+2.5144e-008
+2.5018e-008
+2.4892e-008
+2.4767e-008
+2.4642e-008
+2.4518e-008
+2.4394e-008
+2.427e-008
+2.4147e-008
+2.4024e-008
+2.3902e-008
+2.378e-008
+2.3658e-008
+2.3537e-008
+2.3416e-008
+2.3296e-008
+2.3176e-008
+2.3057e-008
+2.2937e-008
+2.2819e-008
+2.2701e-008
+2.2583e-008
+2.2465e-008
+2.2349e-008
+2.2232e-008
+2.2116e-008
+2.2e-008
+2.1885e-008
+2.177e-008
+2.1655e-008
+2.1541e-008
+2.1428e-008
+2.1314e-008
+2.1202e-008
+2.1089e-008
+2.0977e-008
+2.0866e-008
+2.0754e-008
+2.0644e-008
+2.0533e-008
+2.0423e-008
+2.0314e-008
+2.0205e-008
+2.0096e-008
+1.9987e-008
+1.9879e-008
+1.9772e-008
+1.9665e-008
+1.9558e-008
+1.9452e-008
+1.9346e-008
+1.924e-008
+1.9135e-008
+1.903e-008
+1.8926e-008
+1.8822e-008
+1.8719e-008
+1.8616e-008
+1.8513e-008
+1.841e-008
+1.8309e-008
+1.8207e-008
+1.8106e-008
+1.8005e-008
+1.7905e-008
+1.7805e-008
+1.7705e-008
+1.7606e-008
+1.7507e-008
+1.7409e-008
+1.7311e-008
+1.7213e-008
+1.7116e-008
+1.7019e-008
+1.6923e-008
+1.6827e-008
+1.6731e-008
+1.6636e-008
+1.6541e-008
+1.6446e-008
+1.6352e-008
+1.6258e-008
+1.6165e-008
+1.6072e-008
+1.5979e-008
+1.5887e-008
+1.5795e-008
+1.5704e-008
+1.5613e-008
+1.5522e-008
+1.5432e-008
+1.5342e-008
+1.5252e-008
+1.5163e-008
+1.5074e-008
+1.4986e-008
+1.4898e-008
+1.481e-008
+1.4723e-008
+1.4636e-008
+1.4549e-008
+1.4463e-008
+1.4377e-008
+1.4291e-008
+1.4206e-008
+1.4122e-008
+1.4037e-008
+1.3953e-008
+1.3869e-008
+1.3786e-008
+1.3703e-008
+1.3621e-008
+1.3538e-008
+1.3457e-008
+1.3375e-008
+1.3294e-008
+1.3213e-008
+1.3133e-008
+1.3053e-008
+1.2973e-008
+1.2893e-008
+1.2814e-008
+1.2736e-008
+1.2657e-008
+1.258e-008
+1.2502e-008
+1.2425e-008
+1.2348e-008
+1.2271e-008
+1.2195e-008
+1.2119e-008
+1.2044e-008
+1.1968e-008
+1.1894e-008
+1.1819e-008
+1.1745e-008
+1.1671e-008
+1.1598e-008
+1.1524e-008
+1.1452e-008
+1.1379e-008
+1.1307e-008
+1.1235e-008
+1.1164e-008
+1.1093e-008
+1.1022e-008
+1.0951e-008
+1.0881e-008
+1.0812e-008
+1.0742e-008
+1.0673e-008
+1.0604e-008
+1.0536e-008
+1.0468e-008
+1.04e-008
+1.0332e-008
+1.0265e-008
+1.0198e-008
+1.0132e-008
+1.0065e-008
+9.9996e-009
+9.934e-009
+9.8688e-009
+9.8038e-009
+9.7392e-009
+9.6749e-009
+9.6109e-009
+9.5473e-009
+9.4839e-009
+9.4209e-009
+9.3581e-009
+9.2957e-009
+9.2336e-009
+9.1718e-009
+9.1103e-009
+9.0491e-009
+8.9882e-009
+8.9276e-009
+8.8674e-009
+8.8074e-009
+8.7477e-009
+8.6884e-009
+8.6293e-009
+8.5705e-009
+8.5121e-009
+8.4539e-009
+8.396e-009
+8.3385e-009
+8.2812e-009
+8.2242e-009
+8.1675e-009
+8.1111e-009
+8.055e-009
+7.9992e-009
+7.9436e-009
+7.8884e-009
+7.8335e-009
+7.7788e-009
+7.7244e-009
+7.6703e-009
+7.6165e-009
+7.563e-009
+7.5098e-009
+7.4568e-009
+7.4041e-009
+7.3517e-009
+7.2996e-009
+7.2478e-009
+7.1962e-009
+7.1449e-009
+7.0939e-009
+7.0432e-009
+6.9927e-009
+6.9425e-009
+6.8926e-009
+6.8429e-009
+6.7936e-009
+6.7444e-009
+6.6956e-009
+6.647e-009
+6.5987e-009
+6.5507e-009
+6.5029e-009
+6.4554e-009
+6.4081e-009
+6.3611e-009
+6.3144e-009
+6.2679e-009
+6.2217e-009
+6.1757e-009
+6.13e-009
+6.0846e-009
+6.0394e-009
+5.9945e-009
+5.9498e-009
+5.9054e-009
+5.8612e-009
+5.8173e-009
+5.7736e-009
+5.7302e-009
+5.687e-009
+5.6441e-009
+5.6014e-009
+5.5589e-009
+5.5167e-009
+5.4748e-009
+5.4331e-009
+5.3916e-009
+5.3504e-009
+5.3094e-009
+5.2687e-009
+5.2281e-009
+5.1879e-009
+5.1478e-009
+5.108e-009
+5.0685e-009
+5.0291e-009
+4.99e-009
+4.9512e-009
+4.9125e-009
+4.8741e-009
+4.8359e-009
+4.798e-009
+4.7602e-009
+4.7227e-009
+4.6855e-009
+4.6484e-009
+4.6116e-009
+4.575e-009
+4.5386e-009
+4.5025e-009
+4.4665e-009
+4.4308e-009
+4.3953e-009
+4.36e-009
+4.3249e-009
+4.2901e-009
+4.2555e-009
+4.221e-009
+4.1868e-009
+4.1528e-009
+4.119e-009
+4.0855e-009
+4.0521e-009
+4.0189e-009
+3.986e-009
+3.9532e-009
+3.9207e-009
+3.8884e-009
+3.8563e-009
+3.8243e-009
+3.7926e-009
+3.7611e-009
+3.7298e-009
+3.6986e-009
+3.6677e-009
+3.637e-009
+3.6065e-009
+3.5762e-009
+3.546e-009
+3.5161e-009
+3.4863e-009
+3.4568e-009
+3.4274e-009
+3.3983e-009
+3.3693e-009
+3.3405e-009
+3.3119e-009
+3.2835e-009
+3.2553e-009
+3.2273e-009
+3.1994e-009
+3.1717e-009
+3.1443e-009
+3.117e-009
+3.0898e-009
+3.0629e-009
+3.0362e-009
+3.0096e-009
+2.9832e-009
+2.957e-009
+2.9309e-009
+2.9051e-009
+2.8794e-009
+2.8539e-009
+2.8285e-009
+2.8034e-009
+2.7784e-009
+2.7536e-009
+2.7289e-009
+2.7044e-009
+2.6801e-009
+2.656e-009
+2.632e-009
+2.6082e-009
+2.5845e-009
+2.5611e-009
+2.5377e-009
+2.5146e-009
+2.4916e-009
+2.4688e-009
+2.4461e-009
+2.4236e-009
+2.4013e-009
+2.3791e-009
+2.3571e-009
+2.3352e-009
+2.3135e-009
+2.2919e-009
+2.2705e-009
+2.2493e-009
+2.2282e-009
+2.2072e-009
+2.1864e-009
+2.1658e-009
+2.1453e-009
+2.125e-009
+2.1048e-009
+2.0847e-009
+2.0648e-009
+2.0451e-009
+2.0255e-009
+2.006e-009
+1.9867e-009
+1.9675e-009
+1.9485e-009
+1.9296e-009
+1.9109e-009
+1.8923e-009
+1.8738e-009
+1.8555e-009
+1.8373e-009
+1.8193e-009
+1.8014e-009
+1.7836e-009
+1.7659e-009
+1.7484e-009
+1.7311e-009
+1.7138e-009
+1.6967e-009
+1.6797e-009
+1.6629e-009
+1.6462e-009
+1.6296e-009
+1.6132e-009
+1.5968e-009
+1.5806e-009
+1.5646e-009
+1.5486e-009
+1.5328e-009
+1.5171e-009
+1.5015e-009
+1.4861e-009
+1.4708e-009
+1.4556e-009
+1.4405e-009
+1.4255e-009
+1.4107e-009
+1.396e-009
+1.3814e-009
+1.3669e-009
+1.3525e-009
+1.3383e-009
+1.3242e-009
+1.3101e-009
+1.2962e-009
+1.2824e-009
+1.2688e-009
+1.2552e-009
+1.2418e-009
+1.2284e-009
+1.2152e-009
+1.2021e-009
+1.1891e-009
+1.1762e-009
+1.1634e-009
+1.1507e-009
+1.1381e-009
+1.1256e-009
+1.1133e-009
+1.101e-009
+1.0888e-009
+1.0768e-009
+1.0648e-009
+1.053e-009
+1.0412e-009
+1.0296e-009
+1.018e-009
+1.0066e-009
+9.9526e-010
+9.8401e-010
+9.7286e-010
+9.6181e-010
+9.5085e-010
+9.4e-010
+9.2923e-010
+9.1856e-010
+9.0799e-010
+8.9751e-010
+8.8712e-010
+8.7683e-010
+8.6663e-010
+8.5652e-010
+8.465e-010
+8.3657e-010
+8.2673e-010
+8.1698e-010
+8.0731e-010
+7.9774e-010
+7.8825e-010
+7.7885e-010
+7.6954e-010
+7.6031e-010
+7.5117e-010
+7.4211e-010
+7.3314e-010
+7.2425e-010
+7.1544e-010
+7.0671e-010
+6.9807e-010
+6.8951e-010
+6.8103e-010
+6.7263e-010
+6.643e-010
+6.5606e-010
+6.479e-010
+6.3981e-010
+6.318e-010
+6.2387e-010
+6.1602e-010
+6.0824e-010
+6.0053e-010
+5.929e-010
+5.8535e-010
+5.7787e-010
+5.7046e-010
+5.6313e-010
+5.5587e-010
+5.4868e-010
+5.4156e-010
+5.3451e-010
+5.2753e-010
+5.2062e-010
+5.1378e-010
+5.0701e-010
+5.0031e-010
+4.9368e-010
+4.8711e-010
+4.8061e-010
+4.7418e-010
+4.6781e-010
+4.6151e-010
+4.5528e-010
+4.491e-010
+4.4299e-010
+4.3695e-010
+4.3097e-010
+4.2505e-010
+4.1919e-010
+4.134e-010
+4.0766e-010
+4.0199e-010
+3.9638e-010
+3.9083e-010
+3.8533e-010
+3.799e-010
+3.7452e-010
+3.692e-010
+3.6394e-010
+3.5874e-010
+3.5359e-010
+3.485e-010
+3.4347e-010
+3.3849e-010
+3.3357e-010
+3.287e-010
+3.2388e-010
+3.1912e-010
+3.1441e-010
+3.0976e-010
+3.0516e-010
+3.0061e-010
+2.9611e-010
+2.9166e-010
+2.8726e-010
+2.8292e-010
+2.7862e-010
+2.7437e-010
+2.7018e-010
+2.6603e-010
+2.6193e-010
+2.5787e-010
+2.5387e-010
+2.4991e-010
+2.46e-010
+2.4214e-010
+2.3832e-010
+2.3454e-010
+2.3082e-010
+2.2713e-010
+2.235e-010
+2.199e-010
+2.1635e-010
+2.1284e-010
+2.0938e-010
+2.0596e-010
+2.0258e-010
+1.9924e-010
+1.9594e-010
+1.9269e-010
+1.8947e-010
+1.863e-010
+1.8317e-010
+1.8007e-010
+1.7702e-010
+1.74e-010
+1.7102e-010
+1.6808e-010
+1.6518e-010
+1.6232e-010
+1.5949e-010
+1.567e-010
+1.5395e-010
+1.5123e-010
+1.4855e-010
+1.459e-010
+1.4329e-010
+1.4072e-010
+1.3818e-010
+1.3567e-010
+1.332e-010
+1.3076e-010
+1.2835e-010
+1.2598e-010
+1.2364e-010
+1.2133e-010
+1.1905e-010
+1.1681e-010
+1.1459e-010
+1.1241e-010
+1.1026e-010
+1.0814e-010
+1.0605e-010
+1.0399e-010
+1.0195e-010
+9.9952e-011
+9.7978e-011
+9.6033e-011
+9.4116e-011
+9.2228e-011
+9.0367e-011
+8.8534e-011
+8.6728e-011
+8.4949e-011
+8.3196e-011
+8.147e-011
+7.9771e-011
+7.8097e-011
+7.6448e-011
+7.4825e-011
+7.3227e-011
+7.1654e-011
+7.0106e-011
+6.8582e-011
+6.7081e-011
+6.5605e-011
+6.4152e-011
+6.2723e-011
+6.1316e-011
+5.9932e-011
+5.8571e-011
+5.7232e-011
+5.5916e-011
+5.4621e-011
+5.3347e-011
+5.2096e-011
+5.0865e-011
+4.9655e-011
+4.8466e-011
+4.7297e-011
+4.6148e-011
+4.502e-011
+4.3911e-011
+4.2822e-011
+4.1752e-011
+4.0702e-011
+3.967e-011
+3.8657e-011
+3.7662e-011
+3.6686e-011
+3.5728e-011
+3.4787e-011
+3.3865e-011
+3.2959e-011
+3.2072e-011
+3.1201e-011
+3.0347e-011
+2.9509e-011
+2.8688e-011
+2.7884e-011
+2.7095e-011
+2.6322e-011
+2.5565e-011
+2.4824e-011
+2.4098e-011
+2.3386e-011
+2.269e-011
+2.2009e-011
+2.1342e-011
+2.0689e-011
+2.0051e-011
+1.9427e-011
+1.8816e-011
+1.8219e-011
+1.7636e-011
+1.7066e-011
+1.6509e-011
+1.5965e-011
+1.5433e-011
+1.4914e-011
+1.4408e-011
+1.3914e-011
+1.3432e-011
+1.2962e-011
+1.2503e-011
+1.2056e-011
+1.1621e-011
+1.1196e-011
+1.0783e-011
+1.038e-011
+9.9884e-012
+9.6071e-012
+9.2361e-012
+8.8754e-012
+8.5246e-012
+8.1837e-012
+7.8525e-012
+7.5309e-012
+7.2186e-012
+6.9155e-012
+6.6214e-012
+6.3363e-012
+6.0598e-012
+5.7919e-012
+5.5325e-012
+5.2812e-012
+5.038e-012
+4.8028e-012
+4.5753e-012
+4.3555e-012
+4.1431e-012
+3.938e-012
+3.74e-012
+3.5491e-012
+3.365e-012
+3.1875e-012
+3.0166e-012
+2.8522e-012
+2.6939e-012
+2.5417e-012
+2.3955e-012
+2.2551e-012
+2.1203e-012
+1.9911e-012
+1.8672e-012
+1.7485e-012
+1.6349e-012
+1.5263e-012
+1.4224e-012
+1.3233e-012
+1.2286e-012
+1.1384e-012
+1.0524e-012
+9.7054e-013
+8.9268e-013
+8.187e-013
+7.4845e-013
+6.8183e-013
+6.187e-013
+5.5893e-013
+5.0242e-013
+4.4903e-013
+3.9866e-013
+3.5118e-013
+3.0648e-013
+2.6445e-013
+2.2498e-013
+1.8797e-013
+1.533e-013
+1.2087e-013
+9.0578e-014
+6.233e-014
+3.6024e-014
+1.1565e-014
+-1.1138e-014
+-3.2177e-014
+-5.1641e-014
+-6.9614e-014
+-8.618e-014
+-1.0142e-013
+-1.1541e-013
+-1.2823e-013
+-1.3995e-013
+-1.5064e-013
+-1.6037e-013
+-1.6921e-013
+-1.7721e-013
+-1.8444e-013
+-1.9095e-013
+-1.968e-013
+-2.0204e-013
+-2.0671e-013
+-2.1087e-013
+-2.1455e-013
+-2.1779e-013
+-2.2064e-013
+-2.2311e-013
+-2.2526e-013
+-2.2709e-013
+-2.2865e-013
+-2.2994e-013
+-2.3098e-013
+-2.318e-013
+-2.3241e-013
+-2.328e-013
+-2.33e-013
diff --git a/applications/lofar1/pfs/src/data/Coeffs16384Kaiser-quant.dat b/applications/lofar1/pfs/src/data/Coeffs16384Kaiser-quant.dat
new file mode 100644
index 0000000000000000000000000000000000000000..1ac50a3c78806b2f25e0258ba047b8256348565c
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/Coeffs16384Kaiser-quant.dat
@@ -0,0 +1,16384 @@
+36
+36
+35
+35
+34
+33
+32
+31
+29
+28
+26
+25
+23
+21
+20
+18
+17
+15
+14
+12
+11
+10
+9
+9
+8
+8
+7
+7
+7
+7
+7
+7
+7
+7
+8
+8
+8
+9
+9
+9
+10
+10
+10
+10
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+12
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+15
+15
+15
+15
+15
+15
+15
+15
+16
+16
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+41
+41
+41
+41
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+44
+44
+44
+44
+44
+45
+45
+45
+45
+46
+46
+46
+46
+46
+47
+47
+47
+47
+48
+48
+48
+48
+49
+49
+49
+49
+50
+50
+50
+50
+50
+51
+51
+51
+51
+52
+52
+52
+52
+53
+53
+53
+53
+54
+54
+54
+54
+55
+55
+55
+55
+56
+56
+56
+56
+57
+57
+57
+57
+58
+58
+58
+58
+59
+59
+59
+59
+60
+60
+60
+60
+61
+61
+61
+62
+62
+62
+62
+63
+63
+63
+63
+64
+64
+64
+65
+65
+65
+65
+66
+66
+66
+67
+67
+67
+67
+68
+68
+68
+69
+69
+69
+69
+70
+70
+70
+71
+71
+71
+71
+72
+72
+72
+73
+73
+73
+74
+74
+74
+74
+75
+75
+75
+76
+76
+76
+77
+77
+77
+77
+78
+78
+78
+79
+79
+79
+80
+80
+80
+81
+81
+81
+82
+82
+82
+83
+83
+83
+84
+84
+84
+85
+85
+85
+85
+86
+86
+86
+87
+87
+87
+88
+88
+88
+89
+89
+89
+90
+90
+90
+91
+91
+92
+92
+92
+93
+93
+93
+94
+94
+94
+95
+95
+95
+96
+96
+96
+97
+97
+97
+98
+98
+99
+99
+99
+100
+100
+100
+101
+101
+101
+102
+102
+103
+103
+103
+104
+104
+104
+105
+105
+105
+106
+106
+107
+107
+107
+108
+108
+108
+109
+109
+110
+110
+110
+111
+111
+112
+112
+112
+113
+113
+113
+114
+114
+115
+115
+115
+116
+116
+117
+117
+117
+118
+118
+119
+119
+119
+120
+120
+121
+121
+121
+122
+122
+123
+123
+123
+124
+124
+125
+125
+125
+126
+126
+127
+127
+127
+128
+128
+129
+129
+130
+130
+130
+131
+131
+132
+132
+132
+133
+133
+134
+134
+135
+135
+135
+136
+136
+137
+137
+138
+138
+138
+139
+139
+140
+140
+141
+141
+141
+142
+142
+143
+143
+144
+144
+145
+145
+145
+146
+146
+147
+147
+148
+148
+149
+149
+149
+150
+150
+151
+151
+152
+152
+153
+153
+153
+154
+154
+155
+155
+156
+156
+157
+157
+158
+158
+159
+159
+159
+160
+160
+161
+161
+162
+162
+163
+163
+164
+164
+165
+165
+165
+166
+166
+167
+167
+168
+168
+169
+169
+170
+170
+171
+171
+172
+172
+173
+173
+174
+174
+174
+175
+175
+176
+176
+177
+177
+178
+178
+179
+179
+180
+180
+181
+181
+182
+182
+183
+183
+184
+184
+185
+185
+186
+186
+187
+187
+188
+188
+189
+189
+190
+190
+191
+191
+192
+192
+193
+193
+194
+194
+195
+195
+196
+196
+197
+197
+198
+198
+199
+199
+200
+200
+201
+201
+202
+202
+203
+203
+204
+204
+205
+205
+206
+206
+207
+207
+208
+208
+209
+209
+210
+210
+211
+211
+212
+212
+213
+213
+214
+214
+215
+215
+216
+217
+217
+218
+218
+219
+219
+220
+220
+221
+221
+222
+222
+223
+223
+224
+224
+225
+225
+226
+226
+227
+227
+228
+229
+229
+230
+230
+231
+231
+232
+232
+233
+233
+234
+234
+235
+235
+236
+236
+237
+237
+238
+239
+239
+240
+240
+241
+241
+242
+242
+243
+243
+244
+244
+245
+245
+246
+247
+247
+248
+248
+249
+249
+250
+250
+251
+251
+252
+252
+253
+253
+254
+255
+255
+256
+256
+257
+257
+258
+258
+259
+259
+260
+260
+261
+261
+262
+263
+263
+264
+264
+265
+265
+266
+266
+267
+267
+268
+268
+269
+270
+270
+271
+271
+272
+272
+273
+273
+274
+274
+275
+275
+276
+277
+277
+278
+278
+279
+279
+280
+280
+281
+281
+282
+282
+283
+283
+284
+285
+285
+286
+286
+287
+287
+288
+288
+289
+289
+290
+290
+291
+291
+292
+293
+293
+294
+294
+295
+295
+296
+296
+297
+297
+298
+298
+299
+299
+300
+300
+301
+302
+302
+303
+303
+304
+304
+305
+305
+306
+306
+307
+307
+308
+308
+309
+309
+310
+311
+311
+312
+312
+313
+313
+314
+314
+315
+315
+316
+316
+317
+317
+318
+318
+319
+319
+320
+320
+321
+321
+322
+322
+323
+323
+324
+325
+325
+326
+326
+327
+327
+328
+328
+329
+329
+330
+330
+331
+331
+332
+332
+333
+333
+334
+334
+335
+335
+336
+336
+337
+337
+338
+338
+339
+339
+340
+340
+341
+341
+342
+342
+343
+343
+344
+344
+345
+345
+346
+346
+347
+347
+348
+348
+348
+349
+349
+350
+350
+351
+351
+352
+352
+353
+353
+354
+354
+355
+355
+356
+356
+357
+357
+358
+358
+359
+359
+359
+360
+360
+361
+361
+362
+362
+363
+363
+364
+364
+365
+365
+365
+366
+366
+367
+367
+368
+368
+369
+369
+370
+370
+370
+371
+371
+372
+372
+373
+373
+373
+374
+374
+375
+375
+376
+376
+377
+377
+377
+378
+378
+379
+379
+380
+380
+380
+381
+381
+382
+382
+382
+383
+383
+384
+384
+385
+385
+385
+386
+386
+387
+387
+387
+388
+388
+389
+389
+389
+390
+390
+391
+391
+391
+392
+392
+393
+393
+393
+394
+394
+394
+395
+395
+396
+396
+396
+397
+397
+397
+398
+398
+399
+399
+399
+400
+400
+400
+401
+401
+401
+402
+402
+402
+403
+403
+404
+404
+404
+405
+405
+405
+406
+406
+406
+407
+407
+407
+408
+408
+408
+409
+409
+409
+409
+410
+410
+410
+411
+411
+411
+412
+412
+412
+413
+413
+413
+414
+414
+414
+414
+415
+415
+415
+416
+416
+416
+416
+417
+417
+417
+418
+418
+418
+418
+419
+419
+419
+419
+420
+420
+420
+420
+421
+421
+421
+421
+422
+422
+422
+422
+423
+423
+423
+423
+424
+424
+424
+424
+425
+425
+425
+425
+425
+426
+426
+426
+426
+427
+427
+427
+427
+427
+428
+428
+428
+428
+428
+429
+429
+429
+429
+429
+429
+430
+430
+430
+430
+430
+430
+431
+431
+431
+431
+431
+431
+432
+432
+432
+432
+432
+432
+432
+433
+433
+433
+433
+433
+433
+433
+434
+434
+434
+434
+434
+434
+434
+434
+434
+435
+435
+435
+435
+435
+435
+435
+435
+435
+435
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+435
+435
+435
+435
+435
+435
+435
+435
+435
+435
+434
+434
+434
+434
+434
+434
+434
+434
+433
+433
+433
+433
+433
+433
+433
+432
+432
+432
+432
+432
+432
+432
+431
+431
+431
+431
+431
+430
+430
+430
+430
+430
+429
+429
+429
+429
+429
+428
+428
+428
+428
+428
+427
+427
+427
+427
+426
+426
+426
+426
+425
+425
+425
+425
+424
+424
+424
+424
+423
+423
+423
+423
+422
+422
+422
+421
+421
+421
+420
+420
+420
+420
+419
+419
+419
+418
+418
+418
+417
+417
+417
+416
+416
+416
+415
+415
+415
+414
+414
+413
+413
+413
+412
+412
+412
+411
+411
+410
+410
+410
+409
+409
+409
+408
+408
+407
+407
+406
+406
+406
+405
+405
+404
+404
+403
+403
+403
+402
+402
+401
+401
+400
+400
+399
+399
+398
+398
+398
+397
+397
+396
+396
+395
+395
+394
+394
+393
+393
+392
+392
+391
+391
+390
+389
+389
+388
+388
+387
+387
+386
+386
+385
+385
+384
+383
+383
+382
+382
+381
+381
+380
+379
+379
+378
+378
+377
+376
+376
+375
+375
+374
+373
+373
+372
+372
+371
+370
+370
+369
+368
+368
+367
+367
+366
+365
+365
+364
+363
+363
+362
+361
+361
+360
+359
+358
+358
+357
+356
+356
+355
+354
+354
+353
+352
+351
+351
+350
+349
+349
+348
+347
+346
+346
+345
+344
+343
+343
+342
+341
+340
+339
+339
+338
+337
+336
+336
+335
+334
+333
+332
+332
+331
+330
+329
+328
+328
+327
+326
+325
+324
+323
+323
+322
+321
+320
+319
+318
+318
+317
+316
+315
+314
+313
+312
+311
+311
+310
+309
+308
+307
+306
+305
+304
+303
+302
+302
+301
+300
+299
+298
+297
+296
+295
+294
+293
+292
+291
+290
+289
+288
+288
+287
+286
+285
+284
+283
+282
+281
+280
+279
+278
+277
+276
+275
+274
+273
+272
+271
+270
+269
+268
+267
+266
+265
+264
+262
+261
+260
+259
+258
+257
+256
+255
+254
+253
+252
+251
+250
+249
+248
+247
+245
+244
+243
+242
+241
+240
+239
+238
+237
+236
+234
+233
+232
+231
+230
+229
+228
+227
+225
+224
+223
+222
+221
+220
+218
+217
+216
+215
+214
+213
+211
+210
+209
+208
+207
+206
+204
+203
+202
+201
+200
+198
+197
+196
+195
+193
+192
+191
+190
+189
+187
+186
+185
+184
+182
+181
+180
+179
+177
+176
+175
+174
+172
+171
+170
+168
+167
+166
+165
+163
+162
+161
+159
+158
+157
+155
+154
+153
+152
+150
+149
+148
+146
+145
+144
+142
+141
+140
+138
+137
+136
+134
+133
+132
+130
+129
+127
+126
+125
+123
+122
+121
+119
+118
+116
+115
+114
+112
+111
+109
+108
+107
+105
+104
+102
+101
+100
+98
+97
+95
+94
+93
+91
+90
+88
+87
+85
+84
+82
+81
+80
+78
+77
+75
+74
+72
+71
+69
+68
+66
+65
+64
+62
+61
+59
+58
+56
+55
+53
+52
+50
+49
+47
+46
+44
+43
+41
+40
+38
+37
+35
+34
+32
+30
+29
+27
+26
+24
+23
+21
+20
+18
+17
+15
+14
+12
+10
+9
+7
+6
+4
+3
+1
+0
+-2
+-4
+-5
+-7
+-8
+-10
+-11
+-13
+-15
+-16
+-18
+-19
+-21
+-23
+-24
+-26
+-27
+-29
+-31
+-32
+-34
+-35
+-37
+-39
+-40
+-42
+-43
+-45
+-47
+-48
+-50
+-52
+-53
+-55
+-57
+-58
+-60
+-61
+-63
+-65
+-66
+-68
+-70
+-71
+-73
+-75
+-76
+-78
+-80
+-81
+-83
+-84
+-86
+-88
+-89
+-91
+-93
+-94
+-96
+-98
+-99
+-101
+-103
+-105
+-106
+-108
+-110
+-111
+-113
+-115
+-116
+-118
+-120
+-121
+-123
+-125
+-126
+-128
+-130
+-132
+-133
+-135
+-137
+-138
+-140
+-142
+-143
+-145
+-147
+-149
+-150
+-152
+-154
+-155
+-157
+-159
+-161
+-162
+-164
+-166
+-167
+-169
+-171
+-173
+-174
+-176
+-178
+-180
+-181
+-183
+-185
+-186
+-188
+-190
+-192
+-193
+-195
+-197
+-199
+-200
+-202
+-204
+-206
+-207
+-209
+-211
+-213
+-214
+-216
+-218
+-219
+-221
+-223
+-225
+-226
+-228
+-230
+-232
+-233
+-235
+-237
+-239
+-240
+-242
+-244
+-246
+-247
+-249
+-251
+-253
+-255
+-256
+-258
+-260
+-262
+-263
+-265
+-267
+-269
+-270
+-272
+-274
+-276
+-277
+-279
+-281
+-283
+-284
+-286
+-288
+-290
+-291
+-293
+-295
+-297
+-299
+-300
+-302
+-304
+-306
+-307
+-309
+-311
+-313
+-314
+-316
+-318
+-320
+-321
+-323
+-325
+-327
+-328
+-330
+-332
+-334
+-336
+-337
+-339
+-341
+-343
+-344
+-346
+-348
+-350
+-351
+-353
+-355
+-357
+-358
+-360
+-362
+-364
+-365
+-367
+-369
+-371
+-372
+-374
+-376
+-378
+-379
+-381
+-383
+-385
+-386
+-388
+-390
+-392
+-393
+-395
+-397
+-399
+-400
+-402
+-404
+-406
+-407
+-409
+-411
+-413
+-414
+-416
+-418
+-419
+-421
+-423
+-425
+-426
+-428
+-430
+-432
+-433
+-435
+-437
+-438
+-440
+-442
+-444
+-445
+-447
+-449
+-450
+-452
+-454
+-456
+-457
+-459
+-461
+-462
+-464
+-466
+-468
+-469
+-471
+-473
+-474
+-476
+-478
+-479
+-481
+-483
+-485
+-486
+-488
+-490
+-491
+-493
+-495
+-496
+-498
+-500
+-501
+-503
+-505
+-506
+-508
+-510
+-511
+-513
+-515
+-516
+-518
+-520
+-521
+-523
+-525
+-526
+-528
+-530
+-531
+-533
+-534
+-536
+-538
+-539
+-541
+-543
+-544
+-546
+-547
+-549
+-551
+-552
+-554
+-556
+-557
+-559
+-560
+-562
+-564
+-565
+-567
+-568
+-570
+-572
+-573
+-575
+-576
+-578
+-579
+-581
+-583
+-584
+-586
+-587
+-589
+-590
+-592
+-594
+-595
+-597
+-598
+-600
+-601
+-603
+-604
+-606
+-607
+-609
+-610
+-612
+-614
+-615
+-617
+-618
+-620
+-621
+-623
+-624
+-626
+-627
+-629
+-630
+-632
+-633
+-635
+-636
+-638
+-639
+-640
+-642
+-643
+-645
+-646
+-648
+-649
+-651
+-652
+-654
+-655
+-656
+-658
+-659
+-661
+-662
+-664
+-665
+-666
+-668
+-669
+-671
+-672
+-673
+-675
+-676
+-678
+-679
+-680
+-682
+-683
+-685
+-686
+-687
+-689
+-690
+-691
+-693
+-694
+-695
+-697
+-698
+-699
+-701
+-702
+-703
+-705
+-706
+-707
+-709
+-710
+-711
+-713
+-714
+-715
+-716
+-718
+-719
+-720
+-722
+-723
+-724
+-725
+-727
+-728
+-729
+-730
+-732
+-733
+-734
+-735
+-736
+-738
+-739
+-740
+-741
+-743
+-744
+-745
+-746
+-747
+-748
+-750
+-751
+-752
+-753
+-754
+-755
+-757
+-758
+-759
+-760
+-761
+-762
+-763
+-765
+-766
+-767
+-768
+-769
+-770
+-771
+-772
+-773
+-774
+-776
+-777
+-778
+-779
+-780
+-781
+-782
+-783
+-784
+-785
+-786
+-787
+-788
+-789
+-790
+-791
+-792
+-793
+-794
+-795
+-796
+-797
+-798
+-799
+-800
+-801
+-802
+-803
+-804
+-805
+-806
+-807
+-808
+-808
+-809
+-810
+-811
+-812
+-813
+-814
+-815
+-816
+-816
+-817
+-818
+-819
+-820
+-821
+-822
+-822
+-823
+-824
+-825
+-826
+-827
+-827
+-828
+-829
+-830
+-831
+-831
+-832
+-833
+-834
+-834
+-835
+-836
+-837
+-837
+-838
+-839
+-840
+-840
+-841
+-842
+-842
+-843
+-844
+-844
+-845
+-846
+-846
+-847
+-848
+-848
+-849
+-850
+-850
+-851
+-852
+-852
+-853
+-853
+-854
+-855
+-855
+-856
+-856
+-857
+-857
+-858
+-859
+-859
+-860
+-860
+-861
+-861
+-862
+-862
+-863
+-863
+-864
+-864
+-865
+-865
+-866
+-866
+-867
+-867
+-867
+-868
+-868
+-869
+-869
+-870
+-870
+-870
+-871
+-871
+-871
+-872
+-872
+-873
+-873
+-873
+-874
+-874
+-874
+-875
+-875
+-875
+-876
+-876
+-876
+-876
+-877
+-877
+-877
+-878
+-878
+-878
+-878
+-879
+-879
+-879
+-879
+-879
+-880
+-880
+-880
+-880
+-880
+-881
+-881
+-881
+-881
+-881
+-881
+-881
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-881
+-881
+-881
+-881
+-881
+-881
+-880
+-880
+-880
+-880
+-880
+-879
+-879
+-879
+-879
+-879
+-878
+-878
+-878
+-878
+-877
+-877
+-877
+-876
+-876
+-876
+-876
+-875
+-875
+-875
+-874
+-874
+-874
+-873
+-873
+-872
+-872
+-872
+-871
+-871
+-870
+-870
+-870
+-869
+-869
+-868
+-868
+-867
+-867
+-866
+-866
+-865
+-865
+-864
+-864
+-863
+-863
+-862
+-862
+-861
+-861
+-860
+-860
+-859
+-858
+-858
+-857
+-857
+-856
+-855
+-855
+-854
+-854
+-853
+-852
+-852
+-851
+-850
+-850
+-849
+-848
+-847
+-847
+-846
+-845
+-845
+-844
+-843
+-842
+-842
+-841
+-840
+-839
+-838
+-838
+-837
+-836
+-835
+-834
+-833
+-833
+-832
+-831
+-830
+-829
+-828
+-827
+-827
+-826
+-825
+-824
+-823
+-822
+-821
+-820
+-819
+-818
+-817
+-816
+-815
+-814
+-813
+-812
+-811
+-810
+-809
+-808
+-807
+-806
+-805
+-804
+-803
+-802
+-801
+-800
+-798
+-797
+-796
+-795
+-794
+-793
+-792
+-791
+-789
+-788
+-787
+-786
+-785
+-783
+-782
+-781
+-780
+-779
+-777
+-776
+-775
+-774
+-772
+-771
+-770
+-769
+-767
+-766
+-765
+-763
+-762
+-761
+-759
+-758
+-757
+-755
+-754
+-753
+-751
+-750
+-748
+-747
+-746
+-744
+-743
+-741
+-740
+-738
+-737
+-735
+-734
+-733
+-731
+-730
+-728
+-727
+-725
+-724
+-722
+-720
+-719
+-717
+-716
+-714
+-713
+-711
+-710
+-708
+-706
+-705
+-703
+-702
+-700
+-698
+-697
+-695
+-693
+-692
+-690
+-688
+-687
+-685
+-683
+-682
+-680
+-678
+-676
+-675
+-673
+-671
+-669
+-668
+-666
+-664
+-662
+-661
+-659
+-657
+-655
+-653
+-651
+-650
+-648
+-646
+-644
+-642
+-640
+-639
+-637
+-635
+-633
+-631
+-629
+-627
+-625
+-623
+-621
+-619
+-617
+-615
+-614
+-612
+-610
+-608
+-606
+-604
+-602
+-600
+-598
+-596
+-594
+-592
+-589
+-587
+-585
+-583
+-581
+-579
+-577
+-575
+-573
+-571
+-569
+-567
+-564
+-562
+-560
+-558
+-556
+-554
+-552
+-549
+-547
+-545
+-543
+-541
+-538
+-536
+-534
+-532
+-530
+-527
+-525
+-523
+-521
+-518
+-516
+-514
+-512
+-509
+-507
+-505
+-502
+-500
+-498
+-495
+-493
+-491
+-488
+-486
+-484
+-481
+-479
+-477
+-474
+-472
+-469
+-467
+-465
+-462
+-460
+-457
+-455
+-453
+-450
+-448
+-445
+-443
+-440
+-438
+-435
+-433
+-430
+-428
+-425
+-423
+-420
+-418
+-415
+-413
+-410
+-408
+-405
+-403
+-400
+-398
+-395
+-392
+-390
+-387
+-385
+-382
+-380
+-377
+-374
+-372
+-369
+-366
+-364
+-361
+-359
+-356
+-353
+-351
+-348
+-345
+-343
+-340
+-337
+-334
+-332
+-329
+-326
+-324
+-321
+-318
+-315
+-313
+-310
+-307
+-305
+-302
+-299
+-296
+-293
+-291
+-288
+-285
+-282
+-280
+-277
+-274
+-271
+-268
+-266
+-263
+-260
+-257
+-254
+-251
+-248
+-246
+-243
+-240
+-237
+-234
+-231
+-228
+-226
+-223
+-220
+-217
+-214
+-211
+-208
+-205
+-202
+-199
+-196
+-194
+-191
+-188
+-185
+-182
+-179
+-176
+-173
+-170
+-167
+-164
+-161
+-158
+-155
+-152
+-149
+-146
+-143
+-140
+-137
+-134
+-131
+-128
+-125
+-122
+-119
+-116
+-113
+-110
+-107
+-104
+-100
+-97
+-94
+-91
+-88
+-85
+-82
+-79
+-76
+-73
+-70
+-67
+-63
+-60
+-57
+-54
+-51
+-48
+-45
+-42
+-39
+-35
+-32
+-29
+-26
+-23
+-20
+-16
+-13
+-10
+-7
+-4
+-1
+2
+6
+9
+12
+15
+18
+22
+25
+28
+31
+34
+38
+41
+44
+47
+50
+54
+57
+60
+63
+67
+70
+73
+76
+79
+83
+86
+89
+92
+96
+99
+102
+105
+109
+112
+115
+118
+122
+125
+128
+132
+135
+138
+141
+145
+148
+151
+154
+158
+161
+164
+168
+171
+174
+178
+181
+184
+187
+191
+194
+197
+201
+204
+207
+211
+214
+217
+221
+224
+227
+230
+234
+237
+240
+244
+247
+250
+254
+257
+260
+264
+267
+270
+274
+277
+280
+284
+287
+290
+294
+297
+300
+304
+307
+310
+314
+317
+320
+324
+327
+330
+334
+337
+341
+344
+347
+351
+354
+357
+361
+364
+367
+371
+374
+377
+381
+384
+387
+391
+394
+397
+401
+404
+407
+411
+414
+417
+421
+424
+427
+431
+434
+437
+441
+444
+448
+451
+454
+458
+461
+464
+468
+471
+474
+478
+481
+484
+488
+491
+494
+498
+501
+504
+507
+511
+514
+517
+521
+524
+527
+531
+534
+537
+541
+544
+547
+551
+554
+557
+560
+564
+567
+570
+574
+577
+580
+584
+587
+590
+593
+597
+600
+603
+606
+610
+613
+616
+620
+623
+626
+629
+633
+636
+639
+642
+646
+649
+652
+655
+659
+662
+665
+668
+671
+675
+678
+681
+684
+688
+691
+694
+697
+700
+704
+707
+710
+713
+716
+720
+723
+726
+729
+732
+735
+739
+742
+745
+748
+751
+754
+758
+761
+764
+767
+770
+773
+776
+779
+783
+786
+789
+792
+795
+798
+801
+804
+807
+810
+813
+817
+820
+823
+826
+829
+832
+835
+838
+841
+844
+847
+850
+853
+856
+859
+862
+865
+868
+871
+874
+877
+880
+883
+886
+889
+892
+895
+898
+901
+904
+907
+910
+913
+915
+918
+921
+924
+927
+930
+933
+936
+939
+942
+944
+947
+950
+953
+956
+959
+961
+964
+967
+970
+973
+976
+978
+981
+984
+987
+990
+992
+995
+998
+1001
+1003
+1006
+1009
+1012
+1014
+1017
+1020
+1022
+1025
+1028
+1030
+1033
+1036
+1038
+1041
+1044
+1046
+1049
+1052
+1054
+1057
+1060
+1062
+1065
+1067
+1070
+1073
+1075
+1078
+1080
+1083
+1085
+1088
+1090
+1093
+1095
+1098
+1100
+1103
+1105
+1108
+1110
+1113
+1115
+1118
+1120
+1123
+1125
+1128
+1130
+1132
+1135
+1137
+1140
+1142
+1144
+1147
+1149
+1151
+1154
+1156
+1158
+1161
+1163
+1165
+1168
+1170
+1172
+1174
+1177
+1179
+1181
+1183
+1186
+1188
+1190
+1192
+1195
+1197
+1199
+1201
+1203
+1205
+1208
+1210
+1212
+1214
+1216
+1218
+1220
+1222
+1224
+1227
+1229
+1231
+1233
+1235
+1237
+1239
+1241
+1243
+1245
+1247
+1249
+1251
+1253
+1255
+1257
+1258
+1260
+1262
+1264
+1266
+1268
+1270
+1272
+1274
+1275
+1277
+1279
+1281
+1283
+1284
+1286
+1288
+1290
+1292
+1293
+1295
+1297
+1299
+1300
+1302
+1304
+1305
+1307
+1309
+1310
+1312
+1314
+1315
+1317
+1318
+1320
+1322
+1323
+1325
+1326
+1328
+1329
+1331
+1332
+1334
+1335
+1337
+1338
+1340
+1341
+1343
+1344
+1346
+1347
+1348
+1350
+1351
+1353
+1354
+1355
+1357
+1358
+1359
+1361
+1362
+1363
+1364
+1366
+1367
+1368
+1369
+1371
+1372
+1373
+1374
+1375
+1377
+1378
+1379
+1380
+1381
+1382
+1383
+1384
+1386
+1387
+1388
+1389
+1390
+1391
+1392
+1393
+1394
+1395
+1396
+1397
+1398
+1399
+1400
+1400
+1401
+1402
+1403
+1404
+1405
+1406
+1406
+1407
+1408
+1409
+1410
+1410
+1411
+1412
+1413
+1413
+1414
+1415
+1416
+1416
+1417
+1418
+1418
+1419
+1420
+1420
+1421
+1421
+1422
+1422
+1423
+1424
+1424
+1425
+1425
+1426
+1426
+1427
+1427
+1427
+1428
+1428
+1429
+1429
+1429
+1430
+1430
+1431
+1431
+1431
+1432
+1432
+1432
+1432
+1433
+1433
+1433
+1433
+1434
+1434
+1434
+1434
+1434
+1434
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1434
+1434
+1434
+1434
+1434
+1434
+1433
+1433
+1433
+1433
+1432
+1432
+1432
+1432
+1431
+1431
+1431
+1430
+1430
+1429
+1429
+1429
+1428
+1428
+1427
+1427
+1426
+1426
+1425
+1425
+1424
+1424
+1423
+1423
+1422
+1422
+1421
+1420
+1420
+1419
+1419
+1418
+1417
+1416
+1416
+1415
+1414
+1414
+1413
+1412
+1411
+1410
+1410
+1409
+1408
+1407
+1406
+1405
+1405
+1404
+1403
+1402
+1401
+1400
+1399
+1398
+1397
+1396
+1395
+1394
+1393
+1392
+1391
+1390
+1389
+1387
+1386
+1385
+1384
+1383
+1382
+1380
+1379
+1378
+1377
+1376
+1374
+1373
+1372
+1370
+1369
+1368
+1367
+1365
+1364
+1362
+1361
+1360
+1358
+1357
+1355
+1354
+1352
+1351
+1349
+1348
+1346
+1345
+1343
+1342
+1340
+1339
+1337
+1335
+1334
+1332
+1330
+1329
+1327
+1325
+1324
+1322
+1320
+1319
+1317
+1315
+1313
+1311
+1310
+1308
+1306
+1304
+1302
+1300
+1298
+1297
+1295
+1293
+1291
+1289
+1287
+1285
+1283
+1281
+1279
+1277
+1275
+1273
+1271
+1268
+1266
+1264
+1262
+1260
+1258
+1256
+1253
+1251
+1249
+1247
+1245
+1242
+1240
+1238
+1236
+1233
+1231
+1229
+1226
+1224
+1222
+1219
+1217
+1214
+1212
+1210
+1207
+1205
+1202
+1200
+1197
+1195
+1192
+1190
+1187
+1185
+1182
+1179
+1177
+1174
+1172
+1169
+1166
+1164
+1161
+1158
+1156
+1153
+1150
+1148
+1145
+1142
+1139
+1136
+1134
+1131
+1128
+1125
+1122
+1119
+1117
+1114
+1111
+1108
+1105
+1102
+1099
+1096
+1093
+1090
+1087
+1084
+1081
+1078
+1075
+1072
+1069
+1066
+1063
+1060
+1057
+1053
+1050
+1047
+1044
+1041
+1038
+1034
+1031
+1028
+1025
+1021
+1018
+1015
+1012
+1008
+1005
+1002
+998
+995
+992
+988
+985
+981
+978
+975
+971
+968
+964
+961
+957
+954
+950
+947
+943
+940
+936
+933
+929
+926
+922
+918
+915
+911
+908
+904
+900
+897
+893
+889
+885
+882
+878
+874
+871
+867
+863
+859
+855
+852
+848
+844
+840
+836
+832
+829
+825
+821
+817
+813
+809
+805
+801
+797
+793
+789
+785
+781
+777
+773
+769
+765
+761
+757
+753
+749
+745
+741
+737
+732
+728
+724
+720
+716
+712
+707
+703
+699
+695
+691
+686
+682
+678
+674
+669
+665
+661
+656
+652
+648
+643
+639
+635
+630
+626
+622
+617
+613
+608
+604
+600
+595
+591
+586
+582
+577
+573
+568
+564
+559
+555
+550
+546
+541
+537
+532
+528
+523
+518
+514
+509
+505
+500
+495
+491
+486
+481
+477
+472
+467
+463
+458
+453
+448
+444
+439
+434
+429
+425
+420
+415
+410
+406
+401
+396
+391
+386
+382
+377
+372
+367
+362
+357
+352
+347
+343
+338
+333
+328
+323
+318
+313
+308
+303
+298
+293
+288
+283
+278
+273
+268
+263
+258
+253
+248
+243
+238
+233
+228
+223
+218
+213
+208
+203
+198
+193
+187
+182
+177
+172
+167
+162
+157
+152
+146
+141
+136
+131
+126
+121
+115
+110
+105
+100
+95
+89
+84
+79
+74
+68
+63
+58
+53
+47
+42
+37
+32
+26
+21
+16
+10
+5
+0
+-5
+-11
+-16
+-21
+-27
+-32
+-37
+-43
+-48
+-53
+-59
+-64
+-70
+-75
+-80
+-86
+-91
+-96
+-102
+-107
+-113
+-118
+-123
+-129
+-134
+-140
+-145
+-150
+-156
+-161
+-167
+-172
+-178
+-183
+-188
+-194
+-199
+-205
+-210
+-216
+-221
+-227
+-232
+-238
+-243
+-248
+-254
+-259
+-265
+-270
+-276
+-281
+-287
+-292
+-298
+-303
+-309
+-314
+-320
+-325
+-331
+-336
+-342
+-347
+-353
+-358
+-364
+-369
+-375
+-381
+-386
+-392
+-397
+-403
+-408
+-414
+-419
+-425
+-430
+-436
+-441
+-447
+-452
+-458
+-464
+-469
+-475
+-480
+-486
+-491
+-497
+-502
+-508
+-513
+-519
+-524
+-530
+-536
+-541
+-547
+-552
+-558
+-563
+-569
+-574
+-580
+-585
+-591
+-597
+-602
+-608
+-613
+-619
+-624
+-630
+-635
+-641
+-646
+-652
+-658
+-663
+-669
+-674
+-680
+-685
+-691
+-696
+-702
+-707
+-713
+-718
+-724
+-729
+-735
+-740
+-746
+-751
+-757
+-762
+-768
+-773
+-779
+-784
+-790
+-795
+-801
+-806
+-812
+-817
+-823
+-828
+-834
+-839
+-845
+-850
+-856
+-861
+-867
+-872
+-877
+-883
+-888
+-894
+-899
+-905
+-910
+-916
+-921
+-926
+-932
+-937
+-943
+-948
+-953
+-959
+-964
+-970
+-975
+-980
+-986
+-991
+-997
+-1002
+-1007
+-1013
+-1018
+-1023
+-1029
+-1034
+-1039
+-1045
+-1050
+-1055
+-1061
+-1066
+-1071
+-1077
+-1082
+-1087
+-1092
+-1098
+-1103
+-1108
+-1114
+-1119
+-1124
+-1129
+-1135
+-1140
+-1145
+-1150
+-1155
+-1161
+-1166
+-1171
+-1176
+-1181
+-1187
+-1192
+-1197
+-1202
+-1207
+-1212
+-1218
+-1223
+-1228
+-1233
+-1238
+-1243
+-1248
+-1253
+-1258
+-1264
+-1269
+-1274
+-1279
+-1284
+-1289
+-1294
+-1299
+-1304
+-1309
+-1314
+-1319
+-1324
+-1329
+-1334
+-1339
+-1344
+-1349
+-1354
+-1359
+-1364
+-1369
+-1374
+-1378
+-1383
+-1388
+-1393
+-1398
+-1403
+-1408
+-1413
+-1417
+-1422
+-1427
+-1432
+-1437
+-1441
+-1446
+-1451
+-1456
+-1461
+-1465
+-1470
+-1475
+-1480
+-1484
+-1489
+-1494
+-1498
+-1503
+-1508
+-1512
+-1517
+-1522
+-1526
+-1531
+-1535
+-1540
+-1545
+-1549
+-1554
+-1558
+-1563
+-1567
+-1572
+-1577
+-1581
+-1586
+-1590
+-1594
+-1599
+-1603
+-1608
+-1612
+-1617
+-1621
+-1625
+-1630
+-1634
+-1639
+-1643
+-1647
+-1652
+-1656
+-1660
+-1665
+-1669
+-1673
+-1677
+-1682
+-1686
+-1690
+-1694
+-1699
+-1703
+-1707
+-1711
+-1715
+-1719
+-1724
+-1728
+-1732
+-1736
+-1740
+-1744
+-1748
+-1752
+-1756
+-1760
+-1764
+-1768
+-1772
+-1776
+-1780
+-1784
+-1788
+-1792
+-1796
+-1800
+-1804
+-1807
+-1811
+-1815
+-1819
+-1823
+-1827
+-1830
+-1834
+-1838
+-1842
+-1845
+-1849
+-1853
+-1857
+-1860
+-1864
+-1868
+-1871
+-1875
+-1878
+-1882
+-1886
+-1889
+-1893
+-1896
+-1900
+-1903
+-1907
+-1910
+-1914
+-1917
+-1921
+-1924
+-1927
+-1931
+-1934
+-1938
+-1941
+-1944
+-1948
+-1951
+-1954
+-1957
+-1961
+-1964
+-1967
+-1970
+-1974
+-1977
+-1980
+-1983
+-1986
+-1989
+-1992
+-1996
+-1999
+-2002
+-2005
+-2008
+-2011
+-2014
+-2017
+-2020
+-2023
+-2026
+-2029
+-2031
+-2034
+-2037
+-2040
+-2043
+-2046
+-2049
+-2051
+-2054
+-2057
+-2060
+-2062
+-2065
+-2068
+-2070
+-2073
+-2076
+-2078
+-2081
+-2083
+-2086
+-2089
+-2091
+-2094
+-2096
+-2099
+-2101
+-2104
+-2106
+-2108
+-2111
+-2113
+-2116
+-2118
+-2120
+-2122
+-2125
+-2127
+-2129
+-2132
+-2134
+-2136
+-2138
+-2140
+-2142
+-2145
+-2147
+-2149
+-2151
+-2153
+-2155
+-2157
+-2159
+-2161
+-2163
+-2165
+-2167
+-2169
+-2171
+-2173
+-2174
+-2176
+-2178
+-2180
+-2182
+-2184
+-2185
+-2187
+-2189
+-2190
+-2192
+-2194
+-2195
+-2197
+-2199
+-2200
+-2202
+-2203
+-2205
+-2206
+-2208
+-2209
+-2211
+-2212
+-2214
+-2215
+-2216
+-2218
+-2219
+-2220
+-2222
+-2223
+-2224
+-2225
+-2227
+-2228
+-2229
+-2230
+-2231
+-2232
+-2233
+-2235
+-2236
+-2237
+-2238
+-2239
+-2240
+-2241
+-2242
+-2242
+-2243
+-2244
+-2245
+-2246
+-2247
+-2248
+-2248
+-2249
+-2250
+-2251
+-2251
+-2252
+-2253
+-2253
+-2254
+-2254
+-2255
+-2256
+-2256
+-2257
+-2257
+-2258
+-2258
+-2259
+-2259
+-2259
+-2260
+-2260
+-2260
+-2261
+-2261
+-2261
+-2261
+-2262
+-2262
+-2262
+-2262
+-2262
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2262
+-2262
+-2262
+-2262
+-2262
+-2261
+-2261
+-2261
+-2261
+-2260
+-2260
+-2260
+-2259
+-2259
+-2258
+-2258
+-2257
+-2257
+-2256
+-2256
+-2255
+-2255
+-2254
+-2254
+-2253
+-2252
+-2252
+-2251
+-2250
+-2249
+-2249
+-2248
+-2247
+-2246
+-2245
+-2244
+-2244
+-2243
+-2242
+-2241
+-2240
+-2239
+-2238
+-2237
+-2236
+-2234
+-2233
+-2232
+-2231
+-2230
+-2229
+-2227
+-2226
+-2225
+-2224
+-2222
+-2221
+-2220
+-2218
+-2217
+-2215
+-2214
+-2212
+-2211
+-2209
+-2208
+-2206
+-2205
+-2203
+-2202
+-2200
+-2198
+-2197
+-2195
+-2193
+-2191
+-2190
+-2188
+-2186
+-2184
+-2182
+-2180
+-2179
+-2177
+-2175
+-2173
+-2171
+-2169
+-2167
+-2165
+-2162
+-2160
+-2158
+-2156
+-2154
+-2152
+-2150
+-2147
+-2145
+-2143
+-2140
+-2138
+-2136
+-2133
+-2131
+-2129
+-2126
+-2124
+-2121
+-2119
+-2116
+-2114
+-2111
+-2108
+-2106
+-2103
+-2101
+-2098
+-2095
+-2092
+-2090
+-2087
+-2084
+-2081
+-2079
+-2076
+-2073
+-2070
+-2067
+-2064
+-2061
+-2058
+-2055
+-2052
+-2049
+-2046
+-2043
+-2040
+-2037
+-2033
+-2030
+-2027
+-2024
+-2021
+-2017
+-2014
+-2011
+-2007
+-2004
+-2001
+-1997
+-1994
+-1990
+-1987
+-1983
+-1980
+-1976
+-1973
+-1969
+-1966
+-1962
+-1958
+-1955
+-1951
+-1947
+-1944
+-1940
+-1936
+-1932
+-1928
+-1925
+-1921
+-1917
+-1913
+-1909
+-1905
+-1901
+-1897
+-1893
+-1889
+-1885
+-1881
+-1877
+-1873
+-1869
+-1864
+-1860
+-1856
+-1852
+-1848
+-1843
+-1839
+-1835
+-1830
+-1826
+-1822
+-1817
+-1813
+-1809
+-1804
+-1800
+-1795
+-1791
+-1786
+-1782
+-1777
+-1772
+-1768
+-1763
+-1758
+-1754
+-1749
+-1744
+-1740
+-1735
+-1730
+-1725
+-1720
+-1716
+-1711
+-1706
+-1701
+-1696
+-1691
+-1686
+-1681
+-1676
+-1671
+-1666
+-1661
+-1656
+-1651
+-1646
+-1640
+-1635
+-1630
+-1625
+-1620
+-1614
+-1609
+-1604
+-1599
+-1593
+-1588
+-1582
+-1577
+-1572
+-1566
+-1561
+-1555
+-1550
+-1544
+-1539
+-1533
+-1528
+-1522
+-1516
+-1511
+-1505
+-1500
+-1494
+-1488
+-1482
+-1477
+-1471
+-1465
+-1459
+-1454
+-1448
+-1442
+-1436
+-1430
+-1424
+-1418
+-1412
+-1406
+-1400
+-1394
+-1388
+-1382
+-1376
+-1370
+-1364
+-1358
+-1352
+-1346
+-1339
+-1333
+-1327
+-1321
+-1315
+-1308
+-1302
+-1296
+-1289
+-1283
+-1277
+-1270
+-1264
+-1258
+-1251
+-1245
+-1238
+-1232
+-1225
+-1219
+-1212
+-1206
+-1199
+-1193
+-1186
+-1179
+-1173
+-1166
+-1159
+-1153
+-1146
+-1139
+-1133
+-1126
+-1119
+-1112
+-1105
+-1099
+-1092
+-1085
+-1078
+-1071
+-1064
+-1057
+-1050
+-1044
+-1037
+-1030
+-1023
+-1016
+-1009
+-1001
+-994
+-987
+-980
+-973
+-966
+-959
+-952
+-945
+-937
+-930
+-923
+-916
+-908
+-901
+-894
+-887
+-879
+-872
+-865
+-857
+-850
+-842
+-835
+-828
+-820
+-813
+-805
+-798
+-790
+-783
+-775
+-768
+-760
+-753
+-745
+-738
+-730
+-722
+-715
+-707
+-699
+-692
+-684
+-676
+-669
+-661
+-653
+-645
+-638
+-630
+-622
+-614
+-606
+-599
+-591
+-583
+-575
+-567
+-559
+-551
+-543
+-536
+-528
+-520
+-512
+-504
+-496
+-488
+-480
+-472
+-464
+-456
+-447
+-439
+-431
+-423
+-415
+-407
+-399
+-391
+-382
+-374
+-366
+-358
+-350
+-342
+-333
+-325
+-317
+-309
+-300
+-292
+-284
+-275
+-267
+-259
+-250
+-242
+-234
+-225
+-217
+-209
+-200
+-192
+-183
+-175
+-167
+-158
+-150
+-141
+-133
+-124
+-116
+-107
+-99
+-90
+-82
+-73
+-65
+-56
+-48
+-39
+-30
+-22
+-13
+-5
+4
+12
+21
+30
+38
+47
+56
+64
+73
+82
+90
+99
+108
+116
+125
+134
+143
+151
+160
+169
+178
+186
+195
+204
+213
+221
+230
+239
+248
+257
+265
+274
+283
+292
+301
+310
+318
+327
+336
+345
+354
+363
+372
+381
+389
+398
+407
+416
+425
+434
+443
+452
+461
+470
+479
+488
+496
+505
+514
+523
+532
+541
+550
+559
+568
+577
+586
+595
+604
+613
+622
+631
+640
+649
+658
+667
+676
+685
+694
+703
+712
+721
+730
+739
+748
+757
+766
+775
+784
+793
+802
+811
+820
+829
+838
+847
+856
+865
+874
+883
+892
+901
+910
+920
+929
+938
+947
+956
+965
+974
+983
+992
+1001
+1010
+1019
+1028
+1037
+1046
+1055
+1064
+1073
+1082
+1091
+1100
+1109
+1118
+1127
+1136
+1145
+1154
+1163
+1172
+1181
+1190
+1199
+1208
+1217
+1226
+1235
+1244
+1253
+1262
+1271
+1280
+1289
+1298
+1307
+1316
+1324
+1333
+1342
+1351
+1360
+1369
+1378
+1387
+1396
+1405
+1414
+1423
+1431
+1440
+1449
+1458
+1467
+1476
+1485
+1493
+1502
+1511
+1520
+1529
+1538
+1546
+1555
+1564
+1573
+1582
+1590
+1599
+1608
+1617
+1625
+1634
+1643
+1652
+1660
+1669
+1678
+1687
+1695
+1704
+1713
+1721
+1730
+1739
+1747
+1756
+1765
+1773
+1782
+1790
+1799
+1808
+1816
+1825
+1833
+1842
+1850
+1859
+1867
+1876
+1884
+1893
+1901
+1910
+1918
+1927
+1935
+1944
+1952
+1961
+1969
+1977
+1986
+1994
+2002
+2011
+2019
+2027
+2036
+2044
+2052
+2061
+2069
+2077
+2085
+2094
+2102
+2110
+2118
+2127
+2135
+2143
+2151
+2159
+2167
+2175
+2183
+2192
+2200
+2208
+2216
+2224
+2232
+2240
+2248
+2256
+2264
+2272
+2280
+2288
+2296
+2303
+2311
+2319
+2327
+2335
+2343
+2351
+2358
+2366
+2374
+2382
+2389
+2397
+2405
+2412
+2420
+2428
+2435
+2443
+2451
+2458
+2466
+2473
+2481
+2489
+2496
+2504
+2511
+2518
+2526
+2533
+2541
+2548
+2556
+2563
+2570
+2578
+2585
+2592
+2599
+2607
+2614
+2621
+2628
+2636
+2643
+2650
+2657
+2664
+2671
+2678
+2685
+2692
+2700
+2707
+2714
+2720
+2727
+2734
+2741
+2748
+2755
+2762
+2769
+2776
+2782
+2789
+2796
+2803
+2809
+2816
+2823
+2829
+2836
+2843
+2849
+2856
+2862
+2869
+2875
+2882
+2888
+2895
+2901
+2908
+2914
+2920
+2927
+2933
+2939
+2945
+2952
+2958
+2964
+2970
+2977
+2983
+2989
+2995
+3001
+3007
+3013
+3019
+3025
+3031
+3037
+3043
+3049
+3055
+3060
+3066
+3072
+3078
+3084
+3089
+3095
+3101
+3106
+3112
+3118
+3123
+3129
+3134
+3140
+3145
+3151
+3156
+3162
+3167
+3172
+3178
+3183
+3188
+3193
+3199
+3204
+3209
+3214
+3219
+3224
+3230
+3235
+3240
+3245
+3250
+3255
+3260
+3264
+3269
+3274
+3279
+3284
+3289
+3293
+3298
+3303
+3307
+3312
+3317
+3321
+3326
+3330
+3335
+3339
+3344
+3348
+3352
+3357
+3361
+3365
+3370
+3374
+3378
+3382
+3387
+3391
+3395
+3399
+3403
+3407
+3411
+3415
+3419
+3423
+3427
+3430
+3434
+3438
+3442
+3446
+3449
+3453
+3457
+3460
+3464
+3467
+3471
+3474
+3478
+3481
+3485
+3488
+3491
+3495
+3498
+3501
+3505
+3508
+3511
+3514
+3517
+3520
+3523
+3526
+3529
+3532
+3535
+3538
+3541
+3544
+3547
+3549
+3552
+3555
+3557
+3560
+3563
+3565
+3568
+3570
+3573
+3575
+3578
+3580
+3583
+3585
+3587
+3589
+3592
+3594
+3596
+3598
+3600
+3602
+3604
+3606
+3608
+3610
+3612
+3614
+3616
+3618
+3620
+3621
+3623
+3625
+3626
+3628
+3630
+3631
+3633
+3634
+3635
+3637
+3638
+3640
+3641
+3642
+3643
+3645
+3646
+3647
+3648
+3649
+3650
+3651
+3652
+3653
+3654
+3655
+3656
+3657
+3657
+3658
+3659
+3659
+3660
+3661
+3661
+3662
+3662
+3663
+3663
+3664
+3664
+3664
+3665
+3665
+3665
+3665
+3665
+3665
+3666
+3666
+3666
+3666
+3666
+3665
+3665
+3665
+3665
+3665
+3664
+3664
+3664
+3663
+3663
+3663
+3662
+3662
+3661
+3660
+3660
+3659
+3658
+3658
+3657
+3656
+3655
+3654
+3653
+3652
+3651
+3650
+3649
+3648
+3647
+3646
+3645
+3644
+3642
+3641
+3640
+3638
+3637
+3635
+3634
+3632
+3631
+3629
+3627
+3626
+3624
+3622
+3621
+3619
+3617
+3615
+3613
+3611
+3609
+3607
+3605
+3603
+3601
+3598
+3596
+3594
+3592
+3589
+3587
+3585
+3582
+3580
+3577
+3575
+3572
+3569
+3567
+3564
+3561
+3558
+3556
+3553
+3550
+3547
+3544
+3541
+3538
+3535
+3532
+3528
+3525
+3522
+3519
+3515
+3512
+3509
+3505
+3502
+3498
+3495
+3491
+3488
+3484
+3480
+3477
+3473
+3469
+3465
+3461
+3458
+3454
+3450
+3446
+3442
+3437
+3433
+3429
+3425
+3421
+3416
+3412
+3408
+3403
+3399
+3395
+3390
+3385
+3381
+3376
+3372
+3367
+3362
+3357
+3353
+3348
+3343
+3338
+3333
+3328
+3323
+3318
+3313
+3308
+3303
+3297
+3292
+3287
+3282
+3276
+3271
+3265
+3260
+3254
+3249
+3243
+3238
+3232
+3226
+3221
+3215
+3209
+3203
+3197
+3191
+3185
+3179
+3173
+3167
+3161
+3155
+3149
+3143
+3136
+3130
+3124
+3117
+3111
+3105
+3098
+3092
+3085
+3079
+3072
+3065
+3059
+3052
+3045
+3038
+3031
+3025
+3018
+3011
+3004
+2997
+2990
+2983
+2975
+2968
+2961
+2954
+2947
+2939
+2932
+2925
+2917
+2910
+2902
+2895
+2887
+2879
+2872
+2864
+2856
+2849
+2841
+2833
+2825
+2817
+2809
+2801
+2793
+2785
+2777
+2769
+2761
+2753
+2745
+2737
+2728
+2720
+2712
+2703
+2695
+2686
+2678
+2669
+2661
+2652
+2644
+2635
+2626
+2617
+2609
+2600
+2591
+2582
+2573
+2564
+2555
+2546
+2537
+2528
+2519
+2510
+2501
+2491
+2482
+2473
+2464
+2454
+2445
+2435
+2426
+2417
+2407
+2397
+2388
+2378
+2369
+2359
+2349
+2339
+2330
+2320
+2310
+2300
+2290
+2280
+2270
+2260
+2250
+2240
+2230
+2220
+2209
+2199
+2189
+2179
+2168
+2158
+2148
+2137
+2127
+2116
+2106
+2095
+2085
+2074
+2063
+2053
+2042
+2031
+2021
+2010
+1999
+1988
+1977
+1966
+1955
+1944
+1933
+1922
+1911
+1900
+1889
+1878
+1867
+1855
+1844
+1833
+1821
+1810
+1799
+1787
+1776
+1764
+1753
+1741
+1730
+1718
+1707
+1695
+1683
+1671
+1660
+1648
+1636
+1624
+1612
+1601
+1589
+1577
+1565
+1553
+1541
+1529
+1517
+1504
+1492
+1480
+1468
+1456
+1443
+1431
+1419
+1407
+1394
+1382
+1369
+1357
+1344
+1332
+1319
+1307
+1294
+1282
+1269
+1256
+1244
+1231
+1218
+1205
+1193
+1180
+1167
+1154
+1141
+1128
+1115
+1102
+1089
+1076
+1063
+1050
+1037
+1024
+1011
+998
+984
+971
+958
+945
+931
+918
+905
+891
+878
+864
+851
+838
+824
+811
+797
+783
+770
+756
+743
+729
+715
+702
+688
+674
+660
+647
+633
+619
+605
+591
+577
+563
+549
+535
+521
+507
+493
+479
+465
+451
+437
+423
+409
+395
+380
+366
+352
+338
+323
+309
+295
+280
+266
+252
+237
+223
+209
+194
+180
+165
+151
+136
+122
+107
+92
+78
+63
+49
+34
+19
+5
+-10
+-25
+-40
+-54
+-69
+-84
+-99
+-114
+-128
+-143
+-158
+-173
+-188
+-203
+-218
+-233
+-248
+-263
+-278
+-293
+-308
+-323
+-338
+-353
+-368
+-383
+-398
+-413
+-428
+-443
+-459
+-474
+-489
+-504
+-519
+-535
+-550
+-565
+-580
+-596
+-611
+-626
+-642
+-657
+-672
+-688
+-703
+-718
+-734
+-749
+-765
+-780
+-795
+-811
+-826
+-842
+-857
+-873
+-888
+-904
+-919
+-935
+-950
+-966
+-981
+-997
+-1013
+-1028
+-1044
+-1059
+-1075
+-1091
+-1106
+-1122
+-1137
+-1153
+-1169
+-1184
+-1200
+-1216
+-1231
+-1247
+-1263
+-1278
+-1294
+-1310
+-1326
+-1341
+-1357
+-1373
+-1389
+-1404
+-1420
+-1436
+-1452
+-1467
+-1483
+-1499
+-1515
+-1531
+-1546
+-1562
+-1578
+-1594
+-1610
+-1625
+-1641
+-1657
+-1673
+-1689
+-1705
+-1720
+-1736
+-1752
+-1768
+-1784
+-1800
+-1815
+-1831
+-1847
+-1863
+-1879
+-1895
+-1911
+-1926
+-1942
+-1958
+-1974
+-1990
+-2006
+-2022
+-2037
+-2053
+-2069
+-2085
+-2101
+-2117
+-2133
+-2148
+-2164
+-2180
+-2196
+-2212
+-2228
+-2244
+-2259
+-2275
+-2291
+-2307
+-2323
+-2339
+-2354
+-2370
+-2386
+-2402
+-2418
+-2433
+-2449
+-2465
+-2481
+-2497
+-2512
+-2528
+-2544
+-2560
+-2576
+-2591
+-2607
+-2623
+-2639
+-2654
+-2670
+-2686
+-2701
+-2717
+-2733
+-2749
+-2764
+-2780
+-2796
+-2811
+-2827
+-2843
+-2858
+-2874
+-2890
+-2905
+-2921
+-2936
+-2952
+-2968
+-2983
+-2999
+-3014
+-3030
+-3045
+-3061
+-3076
+-3092
+-3107
+-3123
+-3138
+-3154
+-3169
+-3185
+-3200
+-3216
+-3231
+-3246
+-3262
+-3277
+-3293
+-3308
+-3323
+-3339
+-3354
+-3369
+-3385
+-3400
+-3415
+-3430
+-3446
+-3461
+-3476
+-3491
+-3506
+-3521
+-3537
+-3552
+-3567
+-3582
+-3597
+-3612
+-3627
+-3642
+-3657
+-3672
+-3687
+-3702
+-3717
+-3732
+-3747
+-3762
+-3777
+-3792
+-3807
+-3821
+-3836
+-3851
+-3866
+-3881
+-3895
+-3910
+-3925
+-3939
+-3954
+-3969
+-3983
+-3998
+-4012
+-4027
+-4042
+-4056
+-4071
+-4085
+-4100
+-4114
+-4128
+-4143
+-4157
+-4172
+-4186
+-4200
+-4215
+-4229
+-4243
+-4257
+-4271
+-4286
+-4300
+-4314
+-4328
+-4342
+-4356
+-4370
+-4384
+-4398
+-4412
+-4426
+-4440
+-4454
+-4468
+-4482
+-4495
+-4509
+-4523
+-4537
+-4550
+-4564
+-4578
+-4591
+-4605
+-4619
+-4632
+-4646
+-4659
+-4673
+-4686
+-4699
+-4713
+-4726
+-4740
+-4753
+-4766
+-4779
+-4793
+-4806
+-4819
+-4832
+-4845
+-4858
+-4871
+-4884
+-4897
+-4910
+-4923
+-4936
+-4949
+-4962
+-4974
+-4987
+-5000
+-5013
+-5025
+-5038
+-5051
+-5063
+-5076
+-5088
+-5101
+-5113
+-5125
+-5138
+-5150
+-5162
+-5175
+-5187
+-5199
+-5211
+-5224
+-5236
+-5248
+-5260
+-5272
+-5284
+-5296
+-5308
+-5319
+-5331
+-5343
+-5355
+-5366
+-5378
+-5390
+-5401
+-5413
+-5424
+-5436
+-5447
+-5459
+-5470
+-5482
+-5493
+-5504
+-5515
+-5527
+-5538
+-5549
+-5560
+-5571
+-5582
+-5593
+-5604
+-5615
+-5626
+-5636
+-5647
+-5658
+-5668
+-5679
+-5690
+-5700
+-5711
+-5721
+-5732
+-5742
+-5752
+-5763
+-5773
+-5783
+-5793
+-5804
+-5814
+-5824
+-5834
+-5844
+-5854
+-5863
+-5873
+-5883
+-5893
+-5903
+-5912
+-5922
+-5931
+-5941
+-5950
+-5960
+-5969
+-5979
+-5988
+-5997
+-6006
+-6016
+-6025
+-6034
+-6043
+-6052
+-6061
+-6070
+-6078
+-6087
+-6096
+-6105
+-6113
+-6122
+-6131
+-6139
+-6148
+-6156
+-6164
+-6173
+-6181
+-6189
+-6197
+-6205
+-6214
+-6222
+-6230
+-6238
+-6245
+-6253
+-6261
+-6269
+-6276
+-6284
+-6292
+-6299
+-6307
+-6314
+-6321
+-6329
+-6336
+-6343
+-6350
+-6358
+-6365
+-6372
+-6379
+-6386
+-6392
+-6399
+-6406
+-6413
+-6419
+-6426
+-6433
+-6439
+-6445
+-6452
+-6458
+-6464
+-6471
+-6477
+-6483
+-6489
+-6495
+-6501
+-6507
+-6513
+-6519
+-6524
+-6530
+-6536
+-6541
+-6547
+-6552
+-6558
+-6563
+-6568
+-6573
+-6579
+-6584
+-6589
+-6594
+-6599
+-6604
+-6608
+-6613
+-6618
+-6623
+-6627
+-6632
+-6636
+-6641
+-6645
+-6649
+-6654
+-6658
+-6662
+-6666
+-6670
+-6674
+-6678
+-6682
+-6685
+-6689
+-6693
+-6696
+-6700
+-6703
+-6707
+-6710
+-6714
+-6717
+-6720
+-6723
+-6726
+-6729
+-6732
+-6735
+-6738
+-6741
+-6743
+-6746
+-6748
+-6751
+-6753
+-6756
+-6758
+-6760
+-6763
+-6765
+-6767
+-6769
+-6771
+-6773
+-6774
+-6776
+-6778
+-6779
+-6781
+-6783
+-6784
+-6785
+-6787
+-6788
+-6789
+-6790
+-6791
+-6792
+-6793
+-6794
+-6795
+-6795
+-6796
+-6797
+-6797
+-6798
+-6798
+-6798
+-6799
+-6799
+-6799
+-6799
+-6799
+-6799
+-6799
+-6799
+-6798
+-6798
+-6798
+-6797
+-6797
+-6796
+-6795
+-6795
+-6794
+-6793
+-6792
+-6791
+-6790
+-6789
+-6788
+-6786
+-6785
+-6784
+-6782
+-6781
+-6779
+-6777
+-6776
+-6774
+-6772
+-6770
+-6768
+-6766
+-6764
+-6761
+-6759
+-6757
+-6754
+-6752
+-6749
+-6747
+-6744
+-6741
+-6738
+-6735
+-6732
+-6729
+-6726
+-6723
+-6719
+-6716
+-6713
+-6709
+-6706
+-6702
+-6698
+-6694
+-6691
+-6687
+-6683
+-6679
+-6674
+-6670
+-6666
+-6662
+-6657
+-6653
+-6648
+-6643
+-6639
+-6634
+-6629
+-6624
+-6619
+-6614
+-6609
+-6604
+-6598
+-6593
+-6588
+-6582
+-6576
+-6571
+-6565
+-6559
+-6553
+-6547
+-6541
+-6535
+-6529
+-6523
+-6517
+-6510
+-6504
+-6497
+-6491
+-6484
+-6477
+-6470
+-6463
+-6456
+-6449
+-6442
+-6435
+-6428
+-6420
+-6413
+-6405
+-6398
+-6390
+-6382
+-6374
+-6367
+-6359
+-6351
+-6343
+-6334
+-6326
+-6318
+-6309
+-6301
+-6292
+-6284
+-6275
+-6266
+-6257
+-6248
+-6239
+-6230
+-6221
+-6212
+-6202
+-6193
+-6184
+-6174
+-6164
+-6155
+-6145
+-6135
+-6125
+-6115
+-6105
+-6095
+-6085
+-6074
+-6064
+-6054
+-6043
+-6032
+-6022
+-6011
+-6000
+-5989
+-5978
+-5967
+-5956
+-5945
+-5933
+-5922
+-5911
+-5899
+-5887
+-5876
+-5864
+-5852
+-5840
+-5828
+-5816
+-5804
+-5792
+-5779
+-5767
+-5755
+-5742
+-5729
+-5717
+-5704
+-5691
+-5678
+-5665
+-5652
+-5639
+-5626
+-5612
+-5599
+-5585
+-5572
+-5558
+-5545
+-5531
+-5517
+-5503
+-5489
+-5475
+-5461
+-5447
+-5432
+-5418
+-5403
+-5389
+-5374
+-5359
+-5345
+-5330
+-5315
+-5300
+-5285
+-5269
+-5254
+-5239
+-5223
+-5208
+-5192
+-5177
+-5161
+-5145
+-5129
+-5113
+-5097
+-5081
+-5065
+-5049
+-5032
+-5016
+-4999
+-4983
+-4966
+-4950
+-4933
+-4916
+-4899
+-4882
+-4865
+-4847
+-4830
+-4813
+-4795
+-4778
+-4760
+-4743
+-4725
+-4707
+-4689
+-4671
+-4653
+-4635
+-4617
+-4598
+-4580
+-4562
+-4543
+-4524
+-4506
+-4487
+-4468
+-4449
+-4430
+-4411
+-4392
+-4373
+-4354
+-4334
+-4315
+-4295
+-4276
+-4256
+-4236
+-4216
+-4196
+-4176
+-4156
+-4136
+-4116
+-4096
+-4075
+-4055
+-4034
+-4014
+-3993
+-3972
+-3951
+-3930
+-3909
+-3888
+-3867
+-3846
+-3825
+-3803
+-3782
+-3760
+-3739
+-3717
+-3695
+-3673
+-3651
+-3629
+-3607
+-3585
+-3563
+-3541
+-3518
+-3496
+-3473
+-3451
+-3428
+-3405
+-3383
+-3360
+-3337
+-3314
+-3290
+-3267
+-3244
+-3221
+-3197
+-3174
+-3150
+-3126
+-3103
+-3079
+-3055
+-3031
+-3007
+-2983
+-2959
+-2934
+-2910
+-2886
+-2861
+-2837
+-2812
+-2787
+-2763
+-2738
+-2713
+-2688
+-2663
+-2638
+-2612
+-2587
+-2562
+-2536
+-2511
+-2485
+-2459
+-2434
+-2408
+-2382
+-2356
+-2330
+-2304
+-2278
+-2251
+-2225
+-2199
+-2172
+-2146
+-2119
+-2092
+-2066
+-2039
+-2012
+-1985
+-1958
+-1931
+-1904
+-1876
+-1849
+-1822
+-1794
+-1767
+-1739
+-1711
+-1683
+-1656
+-1628
+-1600
+-1572
+-1544
+-1515
+-1487
+-1459
+-1430
+-1402
+-1373
+-1345
+-1316
+-1287
+-1258
+-1230
+-1201
+-1172
+-1142
+-1113
+-1084
+-1055
+-1025
+-996
+-966
+-937
+-907
+-877
+-848
+-818
+-788
+-758
+-728
+-698
+-667
+-637
+-607
+-576
+-546
+-515
+-485
+-454
+-423
+-392
+-362
+-331
+-300
+-268
+-237
+-206
+-175
+-143
+-112
+-81
+-49
+-17
+14
+46
+78
+110
+142
+174
+206
+238
+270
+302
+335
+367
+399
+432
+465
+497
+530
+563
+596
+628
+661
+694
+728
+761
+794
+827
+861
+894
+927
+961
+995
+1028
+1062
+1096
+1130
+1163
+1197
+1231
+1266
+1300
+1334
+1368
+1402
+1437
+1471
+1506
+1540
+1575
+1610
+1644
+1679
+1714
+1749
+1784
+1819
+1854
+1889
+1925
+1960
+1995
+2031
+2066
+2101
+2137
+2173
+2208
+2244
+2280
+2316
+2352
+2388
+2424
+2460
+2496
+2532
+2568
+2604
+2641
+2677
+2714
+2750
+2787
+2823
+2860
+2897
+2934
+2970
+3007
+3044
+3081
+3118
+3155
+3193
+3230
+3267
+3304
+3342
+3379
+3417
+3454
+3492
+3529
+3567
+3605
+3643
+3681
+3718
+3756
+3794
+3832
+3870
+3909
+3947
+3985
+4023
+4062
+4100
+4138
+4177
+4216
+4254
+4293
+4331
+4370
+4409
+4448
+4487
+4526
+4564
+4603
+4643
+4682
+4721
+4760
+4799
+4839
+4878
+4917
+4957
+4996
+5036
+5075
+5115
+5154
+5194
+5234
+5274
+5313
+5353
+5393
+5433
+5473
+5513
+5553
+5593
+5634
+5674
+5714
+5754
+5795
+5835
+5875
+5916
+5956
+5997
+6037
+6078
+6119
+6159
+6200
+6241
+6282
+6323
+6364
+6404
+6445
+6486
+6527
+6569
+6610
+6651
+6692
+6733
+6774
+6816
+6857
+6899
+6940
+6981
+7023
+7064
+7106
+7148
+7189
+7231
+7272
+7314
+7356
+7398
+7440
+7481
+7523
+7565
+7607
+7649
+7691
+7733
+7775
+7818
+7860
+7902
+7944
+7986
+8029
+8071
+8113
+8156
+8198
+8240
+8283
+8325
+8368
+8410
+8453
+8496
+8538
+8581
+8624
+8666
+8709
+8752
+8795
+8837
+8880
+8923
+8966
+9009
+9052
+9095
+9138
+9181
+9224
+9267
+9310
+9353
+9396
+9440
+9483
+9526
+9569
+9613
+9656
+9699
+9743
+9786
+9829
+9873
+9916
+9960
+10003
+10046
+10090
+10133
+10177
+10221
+10264
+10308
+10351
+10395
+10439
+10482
+10526
+10570
+10614
+10657
+10701
+10745
+10789
+10832
+10876
+10920
+10964
+11008
+11052
+11096
+11140
+11184
+11228
+11272
+11316
+11360
+11404
+11448
+11492
+11536
+11580
+11624
+11668
+11712
+11756
+11800
+11844
+11889
+11933
+11977
+12021
+12065
+12110
+12154
+12198
+12242
+12286
+12331
+12375
+12419
+12464
+12508
+12552
+12596
+12641
+12685
+12729
+12774
+12818
+12862
+12907
+12951
+12995
+13040
+13084
+13129
+13173
+13217
+13262
+13306
+13351
+13395
+13439
+13484
+13528
+13573
+13617
+13661
+13706
+13750
+13795
+13839
+13883
+13928
+13972
+14017
+14061
+14106
+14150
+14194
+14239
+14283
+14328
+14372
+14417
+14461
+14505
+14550
+14594
+14639
+14683
+14727
+14772
+14816
+14861
+14905
+14949
+14994
+15038
+15082
+15127
+15171
+15215
+15260
+15304
+15348
+15393
+15437
+15481
+15526
+15570
+15614
+15658
+15703
+15747
+15791
+15835
+15880
+15924
+15968
+16012
+16056
+16100
+16145
+16189
+16233
+16277
+16321
+16365
+16409
+16453
+16497
+16541
+16585
+16629
+16673
+16717
+16761
+16805
+16849
+16893
+16937
+16981
+17025
+17069
+17113
+17156
+17200
+17244
+17288
+17332
+17375
+17419
+17463
+17506
+17550
+17594
+17637
+17681
+17725
+17768
+17812
+17855
+17899
+17942
+17986
+18029
+18072
+18116
+18159
+18203
+18246
+18289
+18332
+18376
+18419
+18462
+18505
+18549
+18592
+18635
+18678
+18721
+18764
+18807
+18850
+18893
+18936
+18979
+19022
+19064
+19107
+19150
+19193
+19236
+19278
+19321
+19364
+19406
+19449
+19491
+19534
+19576
+19619
+19661
+19704
+19746
+19788
+19831
+19873
+19915
+19957
+20000
+20042
+20084
+20126
+20168
+20210
+20252
+20294
+20336
+20378
+20420
+20461
+20503
+20545
+20587
+20628
+20670
+20712
+20753
+20795
+20836
+20878
+20919
+20960
+21002
+21043
+21084
+21125
+21167
+21208
+21249
+21290
+21331
+21372
+21413
+21454
+21495
+21535
+21576
+21617
+21658
+21698
+21739
+21779
+21820
+21860
+21901
+21941
+21982
+22022
+22062
+22102
+22142
+22183
+22223
+22263
+22303
+22343
+22383
+22422
+22462
+22502
+22542
+22581
+22621
+22660
+22700
+22739
+22779
+22818
+22858
+22897
+22936
+22975
+23014
+23053
+23092
+23131
+23170
+23209
+23248
+23287
+23326
+23364
+23403
+23441
+23480
+23518
+23557
+23595
+23633
+23672
+23710
+23748
+23786
+23824
+23862
+23900
+23938
+23976
+24013
+24051
+24089
+24126
+24164
+24201
+24239
+24276
+24313
+24351
+24388
+24425
+24462
+24499
+24536
+24573
+24610
+24647
+24683
+24720
+24756
+24793
+24830
+24866
+24902
+24939
+24975
+25011
+25047
+25083
+25119
+25155
+25191
+25227
+25262
+25298
+25334
+25369
+25405
+25440
+25476
+25511
+25546
+25581
+25616
+25651
+25686
+25721
+25756
+25791
+25826
+25860
+25895
+25929
+25964
+25998
+26033
+26067
+26101
+26135
+26169
+26203
+26237
+26271
+26305
+26338
+26372
+26405
+26439
+26472
+26506
+26539
+26572
+26605
+26638
+26671
+26704
+26737
+26770
+26803
+26835
+26868
+26900
+26933
+26965
+26997
+27030
+27062
+27094
+27126
+27158
+27189
+27221
+27253
+27285
+27316
+27348
+27379
+27410
+27441
+27473
+27504
+27535
+27566
+27597
+27627
+27658
+27689
+27719
+27750
+27780
+27810
+27841
+27871
+27901
+27931
+27961
+27991
+28020
+28050
+28080
+28109
+28139
+28168
+28197
+28227
+28256
+28285
+28314
+28343
+28371
+28400
+28429
+28457
+28486
+28514
+28543
+28571
+28599
+28627
+28655
+28683
+28711
+28738
+28766
+28794
+28821
+28849
+28876
+28903
+28930
+28957
+28984
+29011
+29038
+29065
+29092
+29118
+29145
+29171
+29197
+29223
+29250
+29276
+29302
+29327
+29353
+29379
+29405
+29430
+29456
+29481
+29506
+29531
+29556
+29581
+29606
+29631
+29656
+29681
+29705
+29730
+29754
+29778
+29802
+29827
+29851
+29874
+29898
+29922
+29946
+29969
+29993
+30016
+30039
+30063
+30086
+30109
+30132
+30155
+30177
+30200
+30223
+30245
+30267
+30290
+30312
+30334
+30356
+30378
+30400
+30422
+30443
+30465
+30486
+30508
+30529
+30550
+30571
+30592
+30613
+30634
+30654
+30675
+30696
+30716
+30736
+30756
+30777
+30797
+30817
+30836
+30856
+30876
+30895
+30915
+30934
+30953
+30973
+30992
+31011
+31030
+31048
+31067
+31086
+31104
+31123
+31141
+31159
+31177
+31195
+31213
+31231
+31249
+31266
+31284
+31301
+31318
+31336
+31353
+31370
+31387
+31404
+31420
+31437
+31453
+31470
+31486
+31502
+31519
+31535
+31551
+31566
+31582
+31598
+31613
+31629
+31644
+31659
+31674
+31689
+31704
+31719
+31734
+31749
+31763
+31777
+31792
+31806
+31820
+31834
+31848
+31862
+31876
+31889
+31903
+31916
+31929
+31943
+31956
+31969
+31982
+31994
+32007
+32020
+32032
+32045
+32057
+32069
+32081
+32093
+32105
+32117
+32128
+32140
+32151
+32163
+32174
+32185
+32196
+32207
+32218
+32229
+32239
+32250
+32260
+32270
+32281
+32291
+32301
+32311
+32320
+32330
+32340
+32349
+32358
+32368
+32377
+32386
+32395
+32404
+32412
+32421
+32430
+32438
+32446
+32455
+32463
+32471
+32479
+32486
+32494
+32502
+32509
+32516
+32524
+32531
+32538
+32545
+32552
+32558
+32565
+32572
+32578
+32584
+32590
+32597
+32603
+32608
+32614
+32620
+32625
+32631
+32636
+32642
+32647
+32652
+32657
+32661
+32666
+32671
+32675
+32680
+32684
+32688
+32692
+32696
+32700
+32704
+32707
+32711
+32714
+32718
+32721
+32724
+32727
+32730
+32733
+32735
+32738
+32740
+32743
+32745
+32747
+32749
+32751
+32753
+32755
+32756
+32758
+32759
+32760
+32762
+32763
+32764
+32764
+32765
+32766
+32766
+32767
+32767
+32767
+32767
+32767
+32767
+32767
+32767
+32766
+32766
+32765
+32764
+32764
+32763
+32762
+32760
+32759
+32758
+32756
+32755
+32753
+32751
+32749
+32747
+32745
+32743
+32740
+32738
+32735
+32733
+32730
+32727
+32724
+32721
+32718
+32714
+32711
+32707
+32704
+32700
+32696
+32692
+32688
+32684
+32680
+32675
+32671
+32666
+32661
+32657
+32652
+32647
+32642
+32636
+32631
+32625
+32620
+32614
+32608
+32603
+32597
+32590
+32584
+32578
+32572
+32565
+32558
+32552
+32545
+32538
+32531
+32524
+32516
+32509
+32502
+32494
+32486
+32479
+32471
+32463
+32455
+32446
+32438
+32430
+32421
+32412
+32404
+32395
+32386
+32377
+32368
+32358
+32349
+32340
+32330
+32320
+32311
+32301
+32291
+32281
+32270
+32260
+32250
+32239
+32229
+32218
+32207
+32196
+32185
+32174
+32163
+32151
+32140
+32128
+32117
+32105
+32093
+32081
+32069
+32057
+32045
+32032
+32020
+32007
+31994
+31982
+31969
+31956
+31943
+31929
+31916
+31903
+31889
+31876
+31862
+31848
+31834
+31820
+31806
+31792
+31777
+31763
+31749
+31734
+31719
+31704
+31689
+31674
+31659
+31644
+31629
+31613
+31598
+31582
+31566
+31551
+31535
+31519
+31502
+31486
+31470
+31453
+31437
+31420
+31404
+31387
+31370
+31353
+31336
+31318
+31301
+31284
+31266
+31249
+31231
+31213
+31195
+31177
+31159
+31141
+31123
+31104
+31086
+31067
+31048
+31030
+31011
+30992
+30973
+30953
+30934
+30915
+30895
+30876
+30856
+30836
+30817
+30797
+30777
+30756
+30736
+30716
+30696
+30675
+30654
+30634
+30613
+30592
+30571
+30550
+30529
+30508
+30486
+30465
+30443
+30422
+30400
+30378
+30356
+30334
+30312
+30290
+30267
+30245
+30223
+30200
+30177
+30155
+30132
+30109
+30086
+30063
+30039
+30016
+29993
+29969
+29946
+29922
+29898
+29874
+29851
+29827
+29802
+29778
+29754
+29730
+29705
+29681
+29656
+29631
+29606
+29581
+29556
+29531
+29506
+29481
+29456
+29430
+29405
+29379
+29353
+29327
+29302
+29276
+29250
+29223
+29197
+29171
+29145
+29118
+29092
+29065
+29038
+29011
+28984
+28957
+28930
+28903
+28876
+28849
+28821
+28794
+28766
+28738
+28711
+28683
+28655
+28627
+28599
+28571
+28543
+28514
+28486
+28457
+28429
+28400
+28371
+28343
+28314
+28285
+28256
+28227
+28197
+28168
+28139
+28109
+28080
+28050
+28020
+27991
+27961
+27931
+27901
+27871
+27841
+27810
+27780
+27750
+27719
+27689
+27658
+27627
+27597
+27566
+27535
+27504
+27473
+27441
+27410
+27379
+27348
+27316
+27285
+27253
+27221
+27189
+27158
+27126
+27094
+27062
+27030
+26997
+26965
+26933
+26900
+26868
+26835
+26803
+26770
+26737
+26704
+26671
+26638
+26605
+26572
+26539
+26506
+26472
+26439
+26405
+26372
+26338
+26305
+26271
+26237
+26203
+26169
+26135
+26101
+26067
+26033
+25998
+25964
+25929
+25895
+25860
+25826
+25791
+25756
+25721
+25686
+25651
+25616
+25581
+25546
+25511
+25476
+25440
+25405
+25369
+25334
+25298
+25262
+25227
+25191
+25155
+25119
+25083
+25047
+25011
+24975
+24939
+24902
+24866
+24830
+24793
+24756
+24720
+24683
+24647
+24610
+24573
+24536
+24499
+24462
+24425
+24388
+24351
+24313
+24276
+24239
+24201
+24164
+24126
+24089
+24051
+24013
+23976
+23938
+23900
+23862
+23824
+23786
+23748
+23710
+23672
+23633
+23595
+23557
+23518
+23480
+23441
+23403
+23364
+23326
+23287
+23248
+23209
+23170
+23131
+23092
+23053
+23014
+22975
+22936
+22897
+22858
+22818
+22779
+22739
+22700
+22660
+22621
+22581
+22542
+22502
+22462
+22422
+22383
+22343
+22303
+22263
+22223
+22183
+22142
+22102
+22062
+22022
+21982
+21941
+21901
+21860
+21820
+21779
+21739
+21698
+21658
+21617
+21576
+21535
+21495
+21454
+21413
+21372
+21331
+21290
+21249
+21208
+21167
+21125
+21084
+21043
+21002
+20960
+20919
+20878
+20836
+20795
+20753
+20712
+20670
+20628
+20587
+20545
+20503
+20461
+20420
+20378
+20336
+20294
+20252
+20210
+20168
+20126
+20084
+20042
+20000
+19957
+19915
+19873
+19831
+19788
+19746
+19704
+19661
+19619
+19576
+19534
+19491
+19449
+19406
+19364
+19321
+19278
+19236
+19193
+19150
+19107
+19064
+19022
+18979
+18936
+18893
+18850
+18807
+18764
+18721
+18678
+18635
+18592
+18549
+18505
+18462
+18419
+18376
+18332
+18289
+18246
+18203
+18159
+18116
+18072
+18029
+17986
+17942
+17899
+17855
+17812
+17768
+17725
+17681
+17637
+17594
+17550
+17506
+17463
+17419
+17375
+17332
+17288
+17244
+17200
+17156
+17113
+17069
+17025
+16981
+16937
+16893
+16849
+16805
+16761
+16717
+16673
+16629
+16585
+16541
+16497
+16453
+16409
+16365
+16321
+16277
+16233
+16189
+16145
+16100
+16056
+16012
+15968
+15924
+15880
+15835
+15791
+15747
+15703
+15658
+15614
+15570
+15526
+15481
+15437
+15393
+15348
+15304
+15260
+15215
+15171
+15127
+15082
+15038
+14994
+14949
+14905
+14861
+14816
+14772
+14727
+14683
+14639
+14594
+14550
+14505
+14461
+14417
+14372
+14328
+14283
+14239
+14194
+14150
+14106
+14061
+14017
+13972
+13928
+13883
+13839
+13795
+13750
+13706
+13661
+13617
+13573
+13528
+13484
+13439
+13395
+13351
+13306
+13262
+13217
+13173
+13129
+13084
+13040
+12995
+12951
+12907
+12862
+12818
+12774
+12729
+12685
+12641
+12596
+12552
+12508
+12464
+12419
+12375
+12331
+12286
+12242
+12198
+12154
+12110
+12065
+12021
+11977
+11933
+11889
+11844
+11800
+11756
+11712
+11668
+11624
+11580
+11536
+11492
+11448
+11404
+11360
+11316
+11272
+11228
+11184
+11140
+11096
+11052
+11008
+10964
+10920
+10876
+10832
+10789
+10745
+10701
+10657
+10614
+10570
+10526
+10482
+10439
+10395
+10351
+10308
+10264
+10221
+10177
+10133
+10090
+10046
+10003
+9960
+9916
+9873
+9829
+9786
+9743
+9699
+9656
+9613
+9569
+9526
+9483
+9440
+9396
+9353
+9310
+9267
+9224
+9181
+9138
+9095
+9052
+9009
+8966
+8923
+8880
+8837
+8795
+8752
+8709
+8666
+8624
+8581
+8538
+8496
+8453
+8410
+8368
+8325
+8283
+8240
+8198
+8156
+8113
+8071
+8029
+7986
+7944
+7902
+7860
+7818
+7775
+7733
+7691
+7649
+7607
+7565
+7523
+7481
+7440
+7398
+7356
+7314
+7272
+7231
+7189
+7148
+7106
+7064
+7023
+6981
+6940
+6899
+6857
+6816
+6774
+6733
+6692
+6651
+6610
+6569
+6527
+6486
+6445
+6404
+6364
+6323
+6282
+6241
+6200
+6159
+6119
+6078
+6037
+5997
+5956
+5916
+5875
+5835
+5795
+5754
+5714
+5674
+5634
+5593
+5553
+5513
+5473
+5433
+5393
+5353
+5313
+5274
+5234
+5194
+5154
+5115
+5075
+5036
+4996
+4957
+4917
+4878
+4839
+4799
+4760
+4721
+4682
+4643
+4603
+4564
+4526
+4487
+4448
+4409
+4370
+4331
+4293
+4254
+4216
+4177
+4138
+4100
+4062
+4023
+3985
+3947
+3909
+3870
+3832
+3794
+3756
+3718
+3681
+3643
+3605
+3567
+3529
+3492
+3454
+3417
+3379
+3342
+3304
+3267
+3230
+3193
+3155
+3118
+3081
+3044
+3007
+2970
+2934
+2897
+2860
+2823
+2787
+2750
+2714
+2677
+2641
+2604
+2568
+2532
+2496
+2460
+2424
+2388
+2352
+2316
+2280
+2244
+2208
+2173
+2137
+2101
+2066
+2031
+1995
+1960
+1925
+1889
+1854
+1819
+1784
+1749
+1714
+1679
+1644
+1610
+1575
+1540
+1506
+1471
+1437
+1402
+1368
+1334
+1300
+1266
+1231
+1197
+1163
+1130
+1096
+1062
+1028
+995
+961
+927
+894
+861
+827
+794
+761
+728
+694
+661
+628
+596
+563
+530
+497
+465
+432
+399
+367
+335
+302
+270
+238
+206
+174
+142
+110
+78
+46
+14
+-17
+-49
+-81
+-112
+-143
+-175
+-206
+-237
+-268
+-300
+-331
+-362
+-392
+-423
+-454
+-485
+-515
+-546
+-576
+-607
+-637
+-667
+-698
+-728
+-758
+-788
+-818
+-848
+-877
+-907
+-937
+-966
+-996
+-1025
+-1055
+-1084
+-1113
+-1142
+-1172
+-1201
+-1230
+-1258
+-1287
+-1316
+-1345
+-1373
+-1402
+-1430
+-1459
+-1487
+-1515
+-1544
+-1572
+-1600
+-1628
+-1656
+-1683
+-1711
+-1739
+-1767
+-1794
+-1822
+-1849
+-1876
+-1904
+-1931
+-1958
+-1985
+-2012
+-2039
+-2066
+-2092
+-2119
+-2146
+-2172
+-2199
+-2225
+-2251
+-2278
+-2304
+-2330
+-2356
+-2382
+-2408
+-2434
+-2459
+-2485
+-2511
+-2536
+-2562
+-2587
+-2612
+-2638
+-2663
+-2688
+-2713
+-2738
+-2763
+-2787
+-2812
+-2837
+-2861
+-2886
+-2910
+-2934
+-2959
+-2983
+-3007
+-3031
+-3055
+-3079
+-3103
+-3126
+-3150
+-3174
+-3197
+-3221
+-3244
+-3267
+-3290
+-3314
+-3337
+-3360
+-3383
+-3405
+-3428
+-3451
+-3473
+-3496
+-3518
+-3541
+-3563
+-3585
+-3607
+-3629
+-3651
+-3673
+-3695
+-3717
+-3739
+-3760
+-3782
+-3803
+-3825
+-3846
+-3867
+-3888
+-3909
+-3930
+-3951
+-3972
+-3993
+-4014
+-4034
+-4055
+-4075
+-4096
+-4116
+-4136
+-4156
+-4176
+-4196
+-4216
+-4236
+-4256
+-4276
+-4295
+-4315
+-4334
+-4354
+-4373
+-4392
+-4411
+-4430
+-4449
+-4468
+-4487
+-4506
+-4524
+-4543
+-4562
+-4580
+-4598
+-4617
+-4635
+-4653
+-4671
+-4689
+-4707
+-4725
+-4743
+-4760
+-4778
+-4795
+-4813
+-4830
+-4847
+-4865
+-4882
+-4899
+-4916
+-4933
+-4950
+-4966
+-4983
+-4999
+-5016
+-5032
+-5049
+-5065
+-5081
+-5097
+-5113
+-5129
+-5145
+-5161
+-5177
+-5192
+-5208
+-5223
+-5239
+-5254
+-5269
+-5285
+-5300
+-5315
+-5330
+-5345
+-5359
+-5374
+-5389
+-5403
+-5418
+-5432
+-5447
+-5461
+-5475
+-5489
+-5503
+-5517
+-5531
+-5545
+-5558
+-5572
+-5585
+-5599
+-5612
+-5626
+-5639
+-5652
+-5665
+-5678
+-5691
+-5704
+-5717
+-5729
+-5742
+-5755
+-5767
+-5779
+-5792
+-5804
+-5816
+-5828
+-5840
+-5852
+-5864
+-5876
+-5887
+-5899
+-5911
+-5922
+-5933
+-5945
+-5956
+-5967
+-5978
+-5989
+-6000
+-6011
+-6022
+-6032
+-6043
+-6054
+-6064
+-6074
+-6085
+-6095
+-6105
+-6115
+-6125
+-6135
+-6145
+-6155
+-6164
+-6174
+-6184
+-6193
+-6202
+-6212
+-6221
+-6230
+-6239
+-6248
+-6257
+-6266
+-6275
+-6284
+-6292
+-6301
+-6309
+-6318
+-6326
+-6334
+-6343
+-6351
+-6359
+-6367
+-6374
+-6382
+-6390
+-6398
+-6405
+-6413
+-6420
+-6428
+-6435
+-6442
+-6449
+-6456
+-6463
+-6470
+-6477
+-6484
+-6491
+-6497
+-6504
+-6510
+-6517
+-6523
+-6529
+-6535
+-6541
+-6547
+-6553
+-6559
+-6565
+-6571
+-6576
+-6582
+-6588
+-6593
+-6598
+-6604
+-6609
+-6614
+-6619
+-6624
+-6629
+-6634
+-6639
+-6643
+-6648
+-6653
+-6657
+-6662
+-6666
+-6670
+-6674
+-6679
+-6683
+-6687
+-6691
+-6694
+-6698
+-6702
+-6706
+-6709
+-6713
+-6716
+-6719
+-6723
+-6726
+-6729
+-6732
+-6735
+-6738
+-6741
+-6744
+-6747
+-6749
+-6752
+-6754
+-6757
+-6759
+-6761
+-6764
+-6766
+-6768
+-6770
+-6772
+-6774
+-6776
+-6777
+-6779
+-6781
+-6782
+-6784
+-6785
+-6786
+-6788
+-6789
+-6790
+-6791
+-6792
+-6793
+-6794
+-6795
+-6795
+-6796
+-6797
+-6797
+-6798
+-6798
+-6798
+-6799
+-6799
+-6799
+-6799
+-6799
+-6799
+-6799
+-6799
+-6798
+-6798
+-6798
+-6797
+-6797
+-6796
+-6795
+-6795
+-6794
+-6793
+-6792
+-6791
+-6790
+-6789
+-6788
+-6787
+-6785
+-6784
+-6783
+-6781
+-6779
+-6778
+-6776
+-6774
+-6773
+-6771
+-6769
+-6767
+-6765
+-6763
+-6760
+-6758
+-6756
+-6753
+-6751
+-6748
+-6746
+-6743
+-6741
+-6738
+-6735
+-6732
+-6729
+-6726
+-6723
+-6720
+-6717
+-6714
+-6710
+-6707
+-6703
+-6700
+-6696
+-6693
+-6689
+-6685
+-6682
+-6678
+-6674
+-6670
+-6666
+-6662
+-6658
+-6654
+-6649
+-6645
+-6641
+-6636
+-6632
+-6627
+-6623
+-6618
+-6613
+-6608
+-6604
+-6599
+-6594
+-6589
+-6584
+-6579
+-6573
+-6568
+-6563
+-6558
+-6552
+-6547
+-6541
+-6536
+-6530
+-6524
+-6519
+-6513
+-6507
+-6501
+-6495
+-6489
+-6483
+-6477
+-6471
+-6464
+-6458
+-6452
+-6445
+-6439
+-6433
+-6426
+-6419
+-6413
+-6406
+-6399
+-6392
+-6386
+-6379
+-6372
+-6365
+-6358
+-6350
+-6343
+-6336
+-6329
+-6321
+-6314
+-6307
+-6299
+-6292
+-6284
+-6276
+-6269
+-6261
+-6253
+-6245
+-6238
+-6230
+-6222
+-6214
+-6205
+-6197
+-6189
+-6181
+-6173
+-6164
+-6156
+-6148
+-6139
+-6131
+-6122
+-6113
+-6105
+-6096
+-6087
+-6078
+-6070
+-6061
+-6052
+-6043
+-6034
+-6025
+-6016
+-6006
+-5997
+-5988
+-5979
+-5969
+-5960
+-5950
+-5941
+-5931
+-5922
+-5912
+-5903
+-5893
+-5883
+-5873
+-5863
+-5854
+-5844
+-5834
+-5824
+-5814
+-5804
+-5793
+-5783
+-5773
+-5763
+-5752
+-5742
+-5732
+-5721
+-5711
+-5700
+-5690
+-5679
+-5668
+-5658
+-5647
+-5636
+-5626
+-5615
+-5604
+-5593
+-5582
+-5571
+-5560
+-5549
+-5538
+-5527
+-5515
+-5504
+-5493
+-5482
+-5470
+-5459
+-5447
+-5436
+-5424
+-5413
+-5401
+-5390
+-5378
+-5366
+-5355
+-5343
+-5331
+-5319
+-5308
+-5296
+-5284
+-5272
+-5260
+-5248
+-5236
+-5224
+-5211
+-5199
+-5187
+-5175
+-5162
+-5150
+-5138
+-5125
+-5113
+-5101
+-5088
+-5076
+-5063
+-5051
+-5038
+-5025
+-5013
+-5000
+-4987
+-4974
+-4962
+-4949
+-4936
+-4923
+-4910
+-4897
+-4884
+-4871
+-4858
+-4845
+-4832
+-4819
+-4806
+-4793
+-4779
+-4766
+-4753
+-4740
+-4726
+-4713
+-4699
+-4686
+-4673
+-4659
+-4646
+-4632
+-4619
+-4605
+-4591
+-4578
+-4564
+-4550
+-4537
+-4523
+-4509
+-4495
+-4482
+-4468
+-4454
+-4440
+-4426
+-4412
+-4398
+-4384
+-4370
+-4356
+-4342
+-4328
+-4314
+-4300
+-4286
+-4271
+-4257
+-4243
+-4229
+-4215
+-4200
+-4186
+-4172
+-4157
+-4143
+-4128
+-4114
+-4100
+-4085
+-4071
+-4056
+-4042
+-4027
+-4012
+-3998
+-3983
+-3969
+-3954
+-3939
+-3925
+-3910
+-3895
+-3881
+-3866
+-3851
+-3836
+-3821
+-3807
+-3792
+-3777
+-3762
+-3747
+-3732
+-3717
+-3702
+-3687
+-3672
+-3657
+-3642
+-3627
+-3612
+-3597
+-3582
+-3567
+-3552
+-3537
+-3521
+-3506
+-3491
+-3476
+-3461
+-3446
+-3430
+-3415
+-3400
+-3385
+-3369
+-3354
+-3339
+-3323
+-3308
+-3293
+-3277
+-3262
+-3246
+-3231
+-3216
+-3200
+-3185
+-3169
+-3154
+-3138
+-3123
+-3107
+-3092
+-3076
+-3061
+-3045
+-3030
+-3014
+-2999
+-2983
+-2968
+-2952
+-2936
+-2921
+-2905
+-2890
+-2874
+-2858
+-2843
+-2827
+-2811
+-2796
+-2780
+-2764
+-2749
+-2733
+-2717
+-2701
+-2686
+-2670
+-2654
+-2639
+-2623
+-2607
+-2591
+-2576
+-2560
+-2544
+-2528
+-2512
+-2497
+-2481
+-2465
+-2449
+-2433
+-2418
+-2402
+-2386
+-2370
+-2354
+-2339
+-2323
+-2307
+-2291
+-2275
+-2259
+-2244
+-2228
+-2212
+-2196
+-2180
+-2164
+-2148
+-2133
+-2117
+-2101
+-2085
+-2069
+-2053
+-2037
+-2022
+-2006
+-1990
+-1974
+-1958
+-1942
+-1926
+-1911
+-1895
+-1879
+-1863
+-1847
+-1831
+-1815
+-1800
+-1784
+-1768
+-1752
+-1736
+-1720
+-1705
+-1689
+-1673
+-1657
+-1641
+-1625
+-1610
+-1594
+-1578
+-1562
+-1546
+-1531
+-1515
+-1499
+-1483
+-1467
+-1452
+-1436
+-1420
+-1404
+-1389
+-1373
+-1357
+-1341
+-1326
+-1310
+-1294
+-1278
+-1263
+-1247
+-1231
+-1216
+-1200
+-1184
+-1169
+-1153
+-1137
+-1122
+-1106
+-1091
+-1075
+-1059
+-1044
+-1028
+-1013
+-997
+-981
+-966
+-950
+-935
+-919
+-904
+-888
+-873
+-857
+-842
+-826
+-811
+-795
+-780
+-765
+-749
+-734
+-718
+-703
+-688
+-672
+-657
+-642
+-626
+-611
+-596
+-580
+-565
+-550
+-535
+-519
+-504
+-489
+-474
+-459
+-443
+-428
+-413
+-398
+-383
+-368
+-353
+-338
+-323
+-308
+-293
+-278
+-263
+-248
+-233
+-218
+-203
+-188
+-173
+-158
+-143
+-128
+-114
+-99
+-84
+-69
+-54
+-40
+-25
+-10
+5
+19
+34
+49
+63
+78
+92
+107
+122
+136
+151
+165
+180
+194
+209
+223
+237
+252
+266
+280
+295
+309
+323
+338
+352
+366
+380
+395
+409
+423
+437
+451
+465
+479
+493
+507
+521
+535
+549
+563
+577
+591
+605
+619
+633
+647
+660
+674
+688
+702
+715
+729
+743
+756
+770
+783
+797
+811
+824
+838
+851
+864
+878
+891
+905
+918
+931
+945
+958
+971
+984
+998
+1011
+1024
+1037
+1050
+1063
+1076
+1089
+1102
+1115
+1128
+1141
+1154
+1167
+1180
+1193
+1205
+1218
+1231
+1244
+1256
+1269
+1282
+1294
+1307
+1319
+1332
+1344
+1357
+1369
+1382
+1394
+1407
+1419
+1431
+1443
+1456
+1468
+1480
+1492
+1504
+1517
+1529
+1541
+1553
+1565
+1577
+1589
+1601
+1612
+1624
+1636
+1648
+1660
+1671
+1683
+1695
+1707
+1718
+1730
+1741
+1753
+1764
+1776
+1787
+1799
+1810
+1821
+1833
+1844
+1855
+1867
+1878
+1889
+1900
+1911
+1922
+1933
+1944
+1955
+1966
+1977
+1988
+1999
+2010
+2021
+2031
+2042
+2053
+2063
+2074
+2085
+2095
+2106
+2116
+2127
+2137
+2148
+2158
+2168
+2179
+2189
+2199
+2209
+2220
+2230
+2240
+2250
+2260
+2270
+2280
+2290
+2300
+2310
+2320
+2330
+2339
+2349
+2359
+2369
+2378
+2388
+2397
+2407
+2417
+2426
+2435
+2445
+2454
+2464
+2473
+2482
+2491
+2501
+2510
+2519
+2528
+2537
+2546
+2555
+2564
+2573
+2582
+2591
+2600
+2609
+2617
+2626
+2635
+2644
+2652
+2661
+2669
+2678
+2686
+2695
+2703
+2712
+2720
+2728
+2737
+2745
+2753
+2761
+2769
+2777
+2785
+2793
+2801
+2809
+2817
+2825
+2833
+2841
+2849
+2856
+2864
+2872
+2879
+2887
+2895
+2902
+2910
+2917
+2925
+2932
+2939
+2947
+2954
+2961
+2968
+2975
+2983
+2990
+2997
+3004
+3011
+3018
+3025
+3031
+3038
+3045
+3052
+3059
+3065
+3072
+3079
+3085
+3092
+3098
+3105
+3111
+3117
+3124
+3130
+3136
+3143
+3149
+3155
+3161
+3167
+3173
+3179
+3185
+3191
+3197
+3203
+3209
+3215
+3221
+3226
+3232
+3238
+3243
+3249
+3254
+3260
+3265
+3271
+3276
+3282
+3287
+3292
+3297
+3303
+3308
+3313
+3318
+3323
+3328
+3333
+3338
+3343
+3348
+3353
+3357
+3362
+3367
+3372
+3376
+3381
+3385
+3390
+3395
+3399
+3403
+3408
+3412
+3416
+3421
+3425
+3429
+3433
+3437
+3442
+3446
+3450
+3454
+3458
+3461
+3465
+3469
+3473
+3477
+3480
+3484
+3488
+3491
+3495
+3498
+3502
+3505
+3509
+3512
+3515
+3519
+3522
+3525
+3528
+3532
+3535
+3538
+3541
+3544
+3547
+3550
+3553
+3556
+3558
+3561
+3564
+3567
+3569
+3572
+3575
+3577
+3580
+3582
+3585
+3587
+3589
+3592
+3594
+3596
+3598
+3601
+3603
+3605
+3607
+3609
+3611
+3613
+3615
+3617
+3619
+3621
+3622
+3624
+3626
+3627
+3629
+3631
+3632
+3634
+3635
+3637
+3638
+3640
+3641
+3642
+3644
+3645
+3646
+3647
+3648
+3649
+3650
+3651
+3652
+3653
+3654
+3655
+3656
+3657
+3658
+3658
+3659
+3660
+3660
+3661
+3662
+3662
+3663
+3663
+3663
+3664
+3664
+3664
+3665
+3665
+3665
+3665
+3665
+3666
+3666
+3666
+3666
+3666
+3665
+3665
+3665
+3665
+3665
+3665
+3664
+3664
+3664
+3663
+3663
+3662
+3662
+3661
+3661
+3660
+3659
+3659
+3658
+3657
+3657
+3656
+3655
+3654
+3653
+3652
+3651
+3650
+3649
+3648
+3647
+3646
+3645
+3643
+3642
+3641
+3640
+3638
+3637
+3635
+3634
+3633
+3631
+3630
+3628
+3626
+3625
+3623
+3621
+3620
+3618
+3616
+3614
+3612
+3610
+3608
+3606
+3604
+3602
+3600
+3598
+3596
+3594
+3592
+3589
+3587
+3585
+3583
+3580
+3578
+3575
+3573
+3570
+3568
+3565
+3563
+3560
+3557
+3555
+3552
+3549
+3547
+3544
+3541
+3538
+3535
+3532
+3529
+3526
+3523
+3520
+3517
+3514
+3511
+3508
+3505
+3501
+3498
+3495
+3491
+3488
+3485
+3481
+3478
+3474
+3471
+3467
+3464
+3460
+3457
+3453
+3449
+3446
+3442
+3438
+3434
+3430
+3427
+3423
+3419
+3415
+3411
+3407
+3403
+3399
+3395
+3391
+3387
+3382
+3378
+3374
+3370
+3365
+3361
+3357
+3352
+3348
+3344
+3339
+3335
+3330
+3326
+3321
+3317
+3312
+3307
+3303
+3298
+3293
+3289
+3284
+3279
+3274
+3269
+3264
+3260
+3255
+3250
+3245
+3240
+3235
+3230
+3224
+3219
+3214
+3209
+3204
+3199
+3193
+3188
+3183
+3178
+3172
+3167
+3162
+3156
+3151
+3145
+3140
+3134
+3129
+3123
+3118
+3112
+3106
+3101
+3095
+3089
+3084
+3078
+3072
+3066
+3060
+3055
+3049
+3043
+3037
+3031
+3025
+3019
+3013
+3007
+3001
+2995
+2989
+2983
+2977
+2970
+2964
+2958
+2952
+2945
+2939
+2933
+2927
+2920
+2914
+2908
+2901
+2895
+2888
+2882
+2875
+2869
+2862
+2856
+2849
+2843
+2836
+2829
+2823
+2816
+2809
+2803
+2796
+2789
+2782
+2776
+2769
+2762
+2755
+2748
+2741
+2734
+2727
+2720
+2714
+2707
+2700
+2692
+2685
+2678
+2671
+2664
+2657
+2650
+2643
+2636
+2628
+2621
+2614
+2607
+2599
+2592
+2585
+2578
+2570
+2563
+2556
+2548
+2541
+2533
+2526
+2518
+2511
+2504
+2496
+2489
+2481
+2473
+2466
+2458
+2451
+2443
+2435
+2428
+2420
+2412
+2405
+2397
+2389
+2382
+2374
+2366
+2358
+2351
+2343
+2335
+2327
+2319
+2311
+2303
+2296
+2288
+2280
+2272
+2264
+2256
+2248
+2240
+2232
+2224
+2216
+2208
+2200
+2192
+2183
+2175
+2167
+2159
+2151
+2143
+2135
+2127
+2118
+2110
+2102
+2094
+2085
+2077
+2069
+2061
+2052
+2044
+2036
+2027
+2019
+2011
+2002
+1994
+1986
+1977
+1969
+1961
+1952
+1944
+1935
+1927
+1918
+1910
+1901
+1893
+1884
+1876
+1867
+1859
+1850
+1842
+1833
+1825
+1816
+1808
+1799
+1790
+1782
+1773
+1765
+1756
+1747
+1739
+1730
+1721
+1713
+1704
+1695
+1687
+1678
+1669
+1660
+1652
+1643
+1634
+1625
+1617
+1608
+1599
+1590
+1582
+1573
+1564
+1555
+1546
+1538
+1529
+1520
+1511
+1502
+1493
+1485
+1476
+1467
+1458
+1449
+1440
+1431
+1423
+1414
+1405
+1396
+1387
+1378
+1369
+1360
+1351
+1342
+1333
+1324
+1316
+1307
+1298
+1289
+1280
+1271
+1262
+1253
+1244
+1235
+1226
+1217
+1208
+1199
+1190
+1181
+1172
+1163
+1154
+1145
+1136
+1127
+1118
+1109
+1100
+1091
+1082
+1073
+1064
+1055
+1046
+1037
+1028
+1019
+1010
+1001
+992
+983
+974
+965
+956
+947
+938
+929
+920
+910
+901
+892
+883
+874
+865
+856
+847
+838
+829
+820
+811
+802
+793
+784
+775
+766
+757
+748
+739
+730
+721
+712
+703
+694
+685
+676
+667
+658
+649
+640
+631
+622
+613
+604
+595
+586
+577
+568
+559
+550
+541
+532
+523
+514
+505
+496
+488
+479
+470
+461
+452
+443
+434
+425
+416
+407
+398
+389
+381
+372
+363
+354
+345
+336
+327
+318
+310
+301
+292
+283
+274
+265
+257
+248
+239
+230
+221
+213
+204
+195
+186
+178
+169
+160
+151
+143
+134
+125
+116
+108
+99
+90
+82
+73
+64
+56
+47
+38
+30
+21
+12
+4
+-5
+-13
+-22
+-30
+-39
+-48
+-56
+-65
+-73
+-82
+-90
+-99
+-107
+-116
+-124
+-133
+-141
+-150
+-158
+-167
+-175
+-183
+-192
+-200
+-209
+-217
+-225
+-234
+-242
+-250
+-259
+-267
+-275
+-284
+-292
+-300
+-309
+-317
+-325
+-333
+-342
+-350
+-358
+-366
+-374
+-382
+-391
+-399
+-407
+-415
+-423
+-431
+-439
+-447
+-456
+-464
+-472
+-480
+-488
+-496
+-504
+-512
+-520
+-528
+-536
+-543
+-551
+-559
+-567
+-575
+-583
+-591
+-599
+-606
+-614
+-622
+-630
+-638
+-645
+-653
+-661
+-669
+-676
+-684
+-692
+-699
+-707
+-715
+-722
+-730
+-738
+-745
+-753
+-760
+-768
+-775
+-783
+-790
+-798
+-805
+-813
+-820
+-828
+-835
+-842
+-850
+-857
+-865
+-872
+-879
+-887
+-894
+-901
+-908
+-916
+-923
+-930
+-937
+-945
+-952
+-959
+-966
+-973
+-980
+-987
+-994
+-1001
+-1009
+-1016
+-1023
+-1030
+-1037
+-1044
+-1050
+-1057
+-1064
+-1071
+-1078
+-1085
+-1092
+-1099
+-1105
+-1112
+-1119
+-1126
+-1133
+-1139
+-1146
+-1153
+-1159
+-1166
+-1173
+-1179
+-1186
+-1193
+-1199
+-1206
+-1212
+-1219
+-1225
+-1232
+-1238
+-1245
+-1251
+-1258
+-1264
+-1270
+-1277
+-1283
+-1289
+-1296
+-1302
+-1308
+-1315
+-1321
+-1327
+-1333
+-1339
+-1346
+-1352
+-1358
+-1364
+-1370
+-1376
+-1382
+-1388
+-1394
+-1400
+-1406
+-1412
+-1418
+-1424
+-1430
+-1436
+-1442
+-1448
+-1454
+-1459
+-1465
+-1471
+-1477
+-1482
+-1488
+-1494
+-1500
+-1505
+-1511
+-1516
+-1522
+-1528
+-1533
+-1539
+-1544
+-1550
+-1555
+-1561
+-1566
+-1572
+-1577
+-1582
+-1588
+-1593
+-1599
+-1604
+-1609
+-1614
+-1620
+-1625
+-1630
+-1635
+-1640
+-1646
+-1651
+-1656
+-1661
+-1666
+-1671
+-1676
+-1681
+-1686
+-1691
+-1696
+-1701
+-1706
+-1711
+-1716
+-1720
+-1725
+-1730
+-1735
+-1740
+-1744
+-1749
+-1754
+-1758
+-1763
+-1768
+-1772
+-1777
+-1782
+-1786
+-1791
+-1795
+-1800
+-1804
+-1809
+-1813
+-1817
+-1822
+-1826
+-1830
+-1835
+-1839
+-1843
+-1848
+-1852
+-1856
+-1860
+-1864
+-1869
+-1873
+-1877
+-1881
+-1885
+-1889
+-1893
+-1897
+-1901
+-1905
+-1909
+-1913
+-1917
+-1921
+-1925
+-1928
+-1932
+-1936
+-1940
+-1944
+-1947
+-1951
+-1955
+-1958
+-1962
+-1966
+-1969
+-1973
+-1976
+-1980
+-1983
+-1987
+-1990
+-1994
+-1997
+-2001
+-2004
+-2007
+-2011
+-2014
+-2017
+-2021
+-2024
+-2027
+-2030
+-2033
+-2037
+-2040
+-2043
+-2046
+-2049
+-2052
+-2055
+-2058
+-2061
+-2064
+-2067
+-2070
+-2073
+-2076
+-2079
+-2081
+-2084
+-2087
+-2090
+-2092
+-2095
+-2098
+-2101
+-2103
+-2106
+-2108
+-2111
+-2114
+-2116
+-2119
+-2121
+-2124
+-2126
+-2129
+-2131
+-2133
+-2136
+-2138
+-2140
+-2143
+-2145
+-2147
+-2150
+-2152
+-2154
+-2156
+-2158
+-2160
+-2162
+-2165
+-2167
+-2169
+-2171
+-2173
+-2175
+-2177
+-2179
+-2180
+-2182
+-2184
+-2186
+-2188
+-2190
+-2191
+-2193
+-2195
+-2197
+-2198
+-2200
+-2202
+-2203
+-2205
+-2206
+-2208
+-2209
+-2211
+-2212
+-2214
+-2215
+-2217
+-2218
+-2220
+-2221
+-2222
+-2224
+-2225
+-2226
+-2227
+-2229
+-2230
+-2231
+-2232
+-2233
+-2234
+-2236
+-2237
+-2238
+-2239
+-2240
+-2241
+-2242
+-2243
+-2244
+-2244
+-2245
+-2246
+-2247
+-2248
+-2249
+-2249
+-2250
+-2251
+-2252
+-2252
+-2253
+-2254
+-2254
+-2255
+-2255
+-2256
+-2256
+-2257
+-2257
+-2258
+-2258
+-2259
+-2259
+-2260
+-2260
+-2260
+-2261
+-2261
+-2261
+-2261
+-2262
+-2262
+-2262
+-2262
+-2262
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2263
+-2262
+-2262
+-2262
+-2262
+-2262
+-2261
+-2261
+-2261
+-2261
+-2260
+-2260
+-2260
+-2259
+-2259
+-2259
+-2258
+-2258
+-2257
+-2257
+-2256
+-2256
+-2255
+-2254
+-2254
+-2253
+-2253
+-2252
+-2251
+-2251
+-2250
+-2249
+-2248
+-2248
+-2247
+-2246
+-2245
+-2244
+-2243
+-2242
+-2242
+-2241
+-2240
+-2239
+-2238
+-2237
+-2236
+-2235
+-2233
+-2232
+-2231
+-2230
+-2229
+-2228
+-2227
+-2225
+-2224
+-2223
+-2222
+-2220
+-2219
+-2218
+-2216
+-2215
+-2214
+-2212
+-2211
+-2209
+-2208
+-2206
+-2205
+-2203
+-2202
+-2200
+-2199
+-2197
+-2195
+-2194
+-2192
+-2190
+-2189
+-2187
+-2185
+-2184
+-2182
+-2180
+-2178
+-2176
+-2174
+-2173
+-2171
+-2169
+-2167
+-2165
+-2163
+-2161
+-2159
+-2157
+-2155
+-2153
+-2151
+-2149
+-2147
+-2145
+-2142
+-2140
+-2138
+-2136
+-2134
+-2132
+-2129
+-2127
+-2125
+-2122
+-2120
+-2118
+-2116
+-2113
+-2111
+-2108
+-2106
+-2104
+-2101
+-2099
+-2096
+-2094
+-2091
+-2089
+-2086
+-2083
+-2081
+-2078
+-2076
+-2073
+-2070
+-2068
+-2065
+-2062
+-2060
+-2057
+-2054
+-2051
+-2049
+-2046
+-2043
+-2040
+-2037
+-2034
+-2031
+-2029
+-2026
+-2023
+-2020
+-2017
+-2014
+-2011
+-2008
+-2005
+-2002
+-1999
+-1996
+-1992
+-1989
+-1986
+-1983
+-1980
+-1977
+-1974
+-1970
+-1967
+-1964
+-1961
+-1957
+-1954
+-1951
+-1948
+-1944
+-1941
+-1938
+-1934
+-1931
+-1927
+-1924
+-1921
+-1917
+-1914
+-1910
+-1907
+-1903
+-1900
+-1896
+-1893
+-1889
+-1886
+-1882
+-1878
+-1875
+-1871
+-1868
+-1864
+-1860
+-1857
+-1853
+-1849
+-1845
+-1842
+-1838
+-1834
+-1830
+-1827
+-1823
+-1819
+-1815
+-1811
+-1807
+-1804
+-1800
+-1796
+-1792
+-1788
+-1784
+-1780
+-1776
+-1772
+-1768
+-1764
+-1760
+-1756
+-1752
+-1748
+-1744
+-1740
+-1736
+-1732
+-1728
+-1724
+-1719
+-1715
+-1711
+-1707
+-1703
+-1699
+-1694
+-1690
+-1686
+-1682
+-1677
+-1673
+-1669
+-1665
+-1660
+-1656
+-1652
+-1647
+-1643
+-1639
+-1634
+-1630
+-1625
+-1621
+-1617
+-1612
+-1608
+-1603
+-1599
+-1594
+-1590
+-1586
+-1581
+-1577
+-1572
+-1567
+-1563
+-1558
+-1554
+-1549
+-1545
+-1540
+-1535
+-1531
+-1526
+-1522
+-1517
+-1512
+-1508
+-1503
+-1498
+-1494
+-1489
+-1484
+-1480
+-1475
+-1470
+-1465
+-1461
+-1456
+-1451
+-1446
+-1441
+-1437
+-1432
+-1427
+-1422
+-1417
+-1413
+-1408
+-1403
+-1398
+-1393
+-1388
+-1383
+-1378
+-1374
+-1369
+-1364
+-1359
+-1354
+-1349
+-1344
+-1339
+-1334
+-1329
+-1324
+-1319
+-1314
+-1309
+-1304
+-1299
+-1294
+-1289
+-1284
+-1279
+-1274
+-1269
+-1264
+-1258
+-1253
+-1248
+-1243
+-1238
+-1233
+-1228
+-1223
+-1218
+-1212
+-1207
+-1202
+-1197
+-1192
+-1187
+-1181
+-1176
+-1171
+-1166
+-1161
+-1155
+-1150
+-1145
+-1140
+-1135
+-1129
+-1124
+-1119
+-1114
+-1108
+-1103
+-1098
+-1092
+-1087
+-1082
+-1077
+-1071
+-1066
+-1061
+-1055
+-1050
+-1045
+-1039
+-1034
+-1029
+-1023
+-1018
+-1013
+-1007
+-1002
+-997
+-991
+-986
+-980
+-975
+-970
+-964
+-959
+-953
+-948
+-943
+-937
+-932
+-926
+-921
+-916
+-910
+-905
+-899
+-894
+-888
+-883
+-877
+-872
+-867
+-861
+-856
+-850
+-845
+-839
+-834
+-828
+-823
+-817
+-812
+-806
+-801
+-795
+-790
+-784
+-779
+-773
+-768
+-762
+-757
+-751
+-746
+-740
+-735
+-729
+-724
+-718
+-713
+-707
+-702
+-696
+-691
+-685
+-680
+-674
+-669
+-663
+-658
+-652
+-646
+-641
+-635
+-630
+-624
+-619
+-613
+-608
+-602
+-597
+-591
+-585
+-580
+-574
+-569
+-563
+-558
+-552
+-547
+-541
+-536
+-530
+-524
+-519
+-513
+-508
+-502
+-497
+-491
+-486
+-480
+-475
+-469
+-464
+-458
+-452
+-447
+-441
+-436
+-430
+-425
+-419
+-414
+-408
+-403
+-397
+-392
+-386
+-381
+-375
+-369
+-364
+-358
+-353
+-347
+-342
+-336
+-331
+-325
+-320
+-314
+-309
+-303
+-298
+-292
+-287
+-281
+-276
+-270
+-265
+-259
+-254
+-248
+-243
+-238
+-232
+-227
+-221
+-216
+-210
+-205
+-199
+-194
+-188
+-183
+-178
+-172
+-167
+-161
+-156
+-150
+-145
+-140
+-134
+-129
+-123
+-118
+-113
+-107
+-102
+-96
+-91
+-86
+-80
+-75
+-70
+-64
+-59
+-53
+-48
+-43
+-37
+-32
+-27
+-21
+-16
+-11
+-5
+0
+5
+10
+16
+21
+26
+32
+37
+42
+47
+53
+58
+63
+68
+74
+79
+84
+89
+95
+100
+105
+110
+115
+121
+126
+131
+136
+141
+146
+152
+157
+162
+167
+172
+177
+182
+187
+193
+198
+203
+208
+213
+218
+223
+228
+233
+238
+243
+248
+253
+258
+263
+268
+273
+278
+283
+288
+293
+298
+303
+308
+313
+318
+323
+328
+333
+338
+343
+347
+352
+357
+362
+367
+372
+377
+382
+386
+391
+396
+401
+406
+410
+415
+420
+425
+429
+434
+439
+444
+448
+453
+458
+463
+467
+472
+477
+481
+486
+491
+495
+500
+505
+509
+514
+518
+523
+528
+532
+537
+541
+546
+550
+555
+559
+564
+568
+573
+577
+582
+586
+591
+595
+600
+604
+608
+613
+617
+622
+626
+630
+635
+639
+643
+648
+652
+656
+661
+665
+669
+674
+678
+682
+686
+691
+695
+699
+703
+707
+712
+716
+720
+724
+728
+732
+737
+741
+745
+749
+753
+757
+761
+765
+769
+773
+777
+781
+785
+789
+793
+797
+801
+805
+809
+813
+817
+821
+825
+829
+832
+836
+840
+844
+848
+852
+855
+859
+863
+867
+871
+874
+878
+882
+885
+889
+893
+897
+900
+904
+908
+911
+915
+918
+922
+926
+929
+933
+936
+940
+943
+947
+950
+954
+957
+961
+964
+968
+971
+975
+978
+981
+985
+988
+992
+995
+998
+1002
+1005
+1008
+1012
+1015
+1018
+1021
+1025
+1028
+1031
+1034
+1038
+1041
+1044
+1047
+1050
+1053
+1057
+1060
+1063
+1066
+1069
+1072
+1075
+1078
+1081
+1084
+1087
+1090
+1093
+1096
+1099
+1102
+1105
+1108
+1111
+1114
+1117
+1119
+1122
+1125
+1128
+1131
+1134
+1136
+1139
+1142
+1145
+1148
+1150
+1153
+1156
+1158
+1161
+1164
+1166
+1169
+1172
+1174
+1177
+1179
+1182
+1185
+1187
+1190
+1192
+1195
+1197
+1200
+1202
+1205
+1207
+1210
+1212
+1214
+1217
+1219
+1222
+1224
+1226
+1229
+1231
+1233
+1236
+1238
+1240
+1242
+1245
+1247
+1249
+1251
+1253
+1256
+1258
+1260
+1262
+1264
+1266
+1268
+1271
+1273
+1275
+1277
+1279
+1281
+1283
+1285
+1287
+1289
+1291
+1293
+1295
+1297
+1298
+1300
+1302
+1304
+1306
+1308
+1310
+1311
+1313
+1315
+1317
+1319
+1320
+1322
+1324
+1325
+1327
+1329
+1330
+1332
+1334
+1335
+1337
+1339
+1340
+1342
+1343
+1345
+1346
+1348
+1349
+1351
+1352
+1354
+1355
+1357
+1358
+1360
+1361
+1362
+1364
+1365
+1367
+1368
+1369
+1370
+1372
+1373
+1374
+1376
+1377
+1378
+1379
+1380
+1382
+1383
+1384
+1385
+1386
+1387
+1389
+1390
+1391
+1392
+1393
+1394
+1395
+1396
+1397
+1398
+1399
+1400
+1401
+1402
+1403
+1404
+1405
+1405
+1406
+1407
+1408
+1409
+1410
+1410
+1411
+1412
+1413
+1414
+1414
+1415
+1416
+1416
+1417
+1418
+1419
+1419
+1420
+1420
+1421
+1422
+1422
+1423
+1423
+1424
+1424
+1425
+1425
+1426
+1426
+1427
+1427
+1428
+1428
+1429
+1429
+1429
+1430
+1430
+1431
+1431
+1431
+1432
+1432
+1432
+1432
+1433
+1433
+1433
+1433
+1434
+1434
+1434
+1434
+1434
+1434
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1435
+1434
+1434
+1434
+1434
+1434
+1434
+1433
+1433
+1433
+1433
+1432
+1432
+1432
+1432
+1431
+1431
+1431
+1430
+1430
+1429
+1429
+1429
+1428
+1428
+1427
+1427
+1427
+1426
+1426
+1425
+1425
+1424
+1424
+1423
+1422
+1422
+1421
+1421
+1420
+1420
+1419
+1418
+1418
+1417
+1416
+1416
+1415
+1414
+1413
+1413
+1412
+1411
+1410
+1410
+1409
+1408
+1407
+1406
+1406
+1405
+1404
+1403
+1402
+1401
+1400
+1400
+1399
+1398
+1397
+1396
+1395
+1394
+1393
+1392
+1391
+1390
+1389
+1388
+1387
+1386
+1384
+1383
+1382
+1381
+1380
+1379
+1378
+1377
+1375
+1374
+1373
+1372
+1371
+1369
+1368
+1367
+1366
+1364
+1363
+1362
+1361
+1359
+1358
+1357
+1355
+1354
+1353
+1351
+1350
+1348
+1347
+1346
+1344
+1343
+1341
+1340
+1338
+1337
+1335
+1334
+1332
+1331
+1329
+1328
+1326
+1325
+1323
+1322
+1320
+1318
+1317
+1315
+1314
+1312
+1310
+1309
+1307
+1305
+1304
+1302
+1300
+1299
+1297
+1295
+1293
+1292
+1290
+1288
+1286
+1284
+1283
+1281
+1279
+1277
+1275
+1274
+1272
+1270
+1268
+1266
+1264
+1262
+1260
+1258
+1257
+1255
+1253
+1251
+1249
+1247
+1245
+1243
+1241
+1239
+1237
+1235
+1233
+1231
+1229
+1227
+1224
+1222
+1220
+1218
+1216
+1214
+1212
+1210
+1208
+1205
+1203
+1201
+1199
+1197
+1195
+1192
+1190
+1188
+1186
+1183
+1181
+1179
+1177
+1174
+1172
+1170
+1168
+1165
+1163
+1161
+1158
+1156
+1154
+1151
+1149
+1147
+1144
+1142
+1140
+1137
+1135
+1132
+1130
+1128
+1125
+1123
+1120
+1118
+1115
+1113
+1110
+1108
+1105
+1103
+1100
+1098
+1095
+1093
+1090
+1088
+1085
+1083
+1080
+1078
+1075
+1073
+1070
+1067
+1065
+1062
+1060
+1057
+1054
+1052
+1049
+1046
+1044
+1041
+1038
+1036
+1033
+1030
+1028
+1025
+1022
+1020
+1017
+1014
+1012
+1009
+1006
+1003
+1001
+998
+995
+992
+990
+987
+984
+981
+978
+976
+973
+970
+967
+964
+961
+959
+956
+953
+950
+947
+944
+942
+939
+936
+933
+930
+927
+924
+921
+918
+915
+913
+910
+907
+904
+901
+898
+895
+892
+889
+886
+883
+880
+877
+874
+871
+868
+865
+862
+859
+856
+853
+850
+847
+844
+841
+838
+835
+832
+829
+826
+823
+820
+817
+813
+810
+807
+804
+801
+798
+795
+792
+789
+786
+783
+779
+776
+773
+770
+767
+764
+761
+758
+754
+751
+748
+745
+742
+739
+735
+732
+729
+726
+723
+720
+716
+713
+710
+707
+704
+700
+697
+694
+691
+688
+684
+681
+678
+675
+671
+668
+665
+662
+659
+655
+652
+649
+646
+642
+639
+636
+633
+629
+626
+623
+620
+616
+613
+610
+606
+603
+600
+597
+593
+590
+587
+584
+580
+577
+574
+570
+567
+564
+560
+557
+554
+551
+547
+544
+541
+537
+534
+531
+527
+524
+521
+517
+514
+511
+507
+504
+501
+498
+494
+491
+488
+484
+481
+478
+474
+471
+468
+464
+461
+458
+454
+451
+448
+444
+441
+437
+434
+431
+427
+424
+421
+417
+414
+411
+407
+404
+401
+397
+394
+391
+387
+384
+381
+377
+374
+371
+367
+364
+361
+357
+354
+351
+347
+344
+341
+337
+334
+330
+327
+324
+320
+317
+314
+310
+307
+304
+300
+297
+294
+290
+287
+284
+280
+277
+274
+270
+267
+264
+260
+257
+254
+250
+247
+244
+240
+237
+234
+230
+227
+224
+221
+217
+214
+211
+207
+204
+201
+197
+194
+191
+187
+184
+181
+178
+174
+171
+168
+164
+161
+158
+154
+151
+148
+145
+141
+138
+135
+132
+128
+125
+122
+118
+115
+112
+109
+105
+102
+99
+96
+92
+89
+86
+83
+79
+76
+73
+70
+67
+63
+60
+57
+54
+50
+47
+44
+41
+38
+34
+31
+28
+25
+22
+18
+15
+12
+9
+6
+2
+-1
+-4
+-7
+-10
+-13
+-16
+-20
+-23
+-26
+-29
+-32
+-35
+-39
+-42
+-45
+-48
+-51
+-54
+-57
+-60
+-63
+-67
+-70
+-73
+-76
+-79
+-82
+-85
+-88
+-91
+-94
+-97
+-100
+-104
+-107
+-110
+-113
+-116
+-119
+-122
+-125
+-128
+-131
+-134
+-137
+-140
+-143
+-146
+-149
+-152
+-155
+-158
+-161
+-164
+-167
+-170
+-173
+-176
+-179
+-182
+-185
+-188
+-191
+-194
+-196
+-199
+-202
+-205
+-208
+-211
+-214
+-217
+-220
+-223
+-226
+-228
+-231
+-234
+-237
+-240
+-243
+-246
+-248
+-251
+-254
+-257
+-260
+-263
+-266
+-268
+-271
+-274
+-277
+-280
+-282
+-285
+-288
+-291
+-293
+-296
+-299
+-302
+-305
+-307
+-310
+-313
+-315
+-318
+-321
+-324
+-326
+-329
+-332
+-334
+-337
+-340
+-343
+-345
+-348
+-351
+-353
+-356
+-359
+-361
+-364
+-366
+-369
+-372
+-374
+-377
+-380
+-382
+-385
+-387
+-390
+-392
+-395
+-398
+-400
+-403
+-405
+-408
+-410
+-413
+-415
+-418
+-420
+-423
+-425
+-428
+-430
+-433
+-435
+-438
+-440
+-443
+-445
+-448
+-450
+-453
+-455
+-457
+-460
+-462
+-465
+-467
+-469
+-472
+-474
+-477
+-479
+-481
+-484
+-486
+-488
+-491
+-493
+-495
+-498
+-500
+-502
+-505
+-507
+-509
+-512
+-514
+-516
+-518
+-521
+-523
+-525
+-527
+-530
+-532
+-534
+-536
+-538
+-541
+-543
+-545
+-547
+-549
+-552
+-554
+-556
+-558
+-560
+-562
+-564
+-567
+-569
+-571
+-573
+-575
+-577
+-579
+-581
+-583
+-585
+-587
+-589
+-592
+-594
+-596
+-598
+-600
+-602
+-604
+-606
+-608
+-610
+-612
+-614
+-615
+-617
+-619
+-621
+-623
+-625
+-627
+-629
+-631
+-633
+-635
+-637
+-639
+-640
+-642
+-644
+-646
+-648
+-650
+-651
+-653
+-655
+-657
+-659
+-661
+-662
+-664
+-666
+-668
+-669
+-671
+-673
+-675
+-676
+-678
+-680
+-682
+-683
+-685
+-687
+-688
+-690
+-692
+-693
+-695
+-697
+-698
+-700
+-702
+-703
+-705
+-706
+-708
+-710
+-711
+-713
+-714
+-716
+-717
+-719
+-720
+-722
+-724
+-725
+-727
+-728
+-730
+-731
+-733
+-734
+-735
+-737
+-738
+-740
+-741
+-743
+-744
+-746
+-747
+-748
+-750
+-751
+-753
+-754
+-755
+-757
+-758
+-759
+-761
+-762
+-763
+-765
+-766
+-767
+-769
+-770
+-771
+-772
+-774
+-775
+-776
+-777
+-779
+-780
+-781
+-782
+-783
+-785
+-786
+-787
+-788
+-789
+-791
+-792
+-793
+-794
+-795
+-796
+-797
+-798
+-800
+-801
+-802
+-803
+-804
+-805
+-806
+-807
+-808
+-809
+-810
+-811
+-812
+-813
+-814
+-815
+-816
+-817
+-818
+-819
+-820
+-821
+-822
+-823
+-824
+-825
+-826
+-827
+-827
+-828
+-829
+-830
+-831
+-832
+-833
+-833
+-834
+-835
+-836
+-837
+-838
+-838
+-839
+-840
+-841
+-842
+-842
+-843
+-844
+-845
+-845
+-846
+-847
+-847
+-848
+-849
+-850
+-850
+-851
+-852
+-852
+-853
+-854
+-854
+-855
+-855
+-856
+-857
+-857
+-858
+-858
+-859
+-860
+-860
+-861
+-861
+-862
+-862
+-863
+-863
+-864
+-864
+-865
+-865
+-866
+-866
+-867
+-867
+-868
+-868
+-869
+-869
+-870
+-870
+-870
+-871
+-871
+-872
+-872
+-872
+-873
+-873
+-874
+-874
+-874
+-875
+-875
+-875
+-876
+-876
+-876
+-876
+-877
+-877
+-877
+-878
+-878
+-878
+-878
+-879
+-879
+-879
+-879
+-879
+-880
+-880
+-880
+-880
+-880
+-881
+-881
+-881
+-881
+-881
+-881
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-883
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-882
+-881
+-881
+-881
+-881
+-881
+-881
+-881
+-880
+-880
+-880
+-880
+-880
+-879
+-879
+-879
+-879
+-879
+-878
+-878
+-878
+-878
+-877
+-877
+-877
+-876
+-876
+-876
+-876
+-875
+-875
+-875
+-874
+-874
+-874
+-873
+-873
+-873
+-872
+-872
+-871
+-871
+-871
+-870
+-870
+-870
+-869
+-869
+-868
+-868
+-867
+-867
+-867
+-866
+-866
+-865
+-865
+-864
+-864
+-863
+-863
+-862
+-862
+-861
+-861
+-860
+-860
+-859
+-859
+-858
+-857
+-857
+-856
+-856
+-855
+-855
+-854
+-853
+-853
+-852
+-852
+-851
+-850
+-850
+-849
+-848
+-848
+-847
+-846
+-846
+-845
+-844
+-844
+-843
+-842
+-842
+-841
+-840
+-840
+-839
+-838
+-837
+-837
+-836
+-835
+-834
+-834
+-833
+-832
+-831
+-831
+-830
+-829
+-828
+-827
+-827
+-826
+-825
+-824
+-823
+-822
+-822
+-821
+-820
+-819
+-818
+-817
+-816
+-816
+-815
+-814
+-813
+-812
+-811
+-810
+-809
+-808
+-808
+-807
+-806
+-805
+-804
+-803
+-802
+-801
+-800
+-799
+-798
+-797
+-796
+-795
+-794
+-793
+-792
+-791
+-790
+-789
+-788
+-787
+-786
+-785
+-784
+-783
+-782
+-781
+-780
+-779
+-778
+-777
+-776
+-774
+-773
+-772
+-771
+-770
+-769
+-768
+-767
+-766
+-765
+-763
+-762
+-761
+-760
+-759
+-758
+-757
+-755
+-754
+-753
+-752
+-751
+-750
+-748
+-747
+-746
+-745
+-744
+-743
+-741
+-740
+-739
+-738
+-736
+-735
+-734
+-733
+-732
+-730
+-729
+-728
+-727
+-725
+-724
+-723
+-722
+-720
+-719
+-718
+-716
+-715
+-714
+-713
+-711
+-710
+-709
+-707
+-706
+-705
+-703
+-702
+-701
+-699
+-698
+-697
+-695
+-694
+-693
+-691
+-690
+-689
+-687
+-686
+-685
+-683
+-682
+-680
+-679
+-678
+-676
+-675
+-673
+-672
+-671
+-669
+-668
+-666
+-665
+-664
+-662
+-661
+-659
+-658
+-656
+-655
+-654
+-652
+-651
+-649
+-648
+-646
+-645
+-643
+-642
+-640
+-639
+-638
+-636
+-635
+-633
+-632
+-630
+-629
+-627
+-626
+-624
+-623
+-621
+-620
+-618
+-617
+-615
+-614
+-612
+-610
+-609
+-607
+-606
+-604
+-603
+-601
+-600
+-598
+-597
+-595
+-594
+-592
+-590
+-589
+-587
+-586
+-584
+-583
+-581
+-579
+-578
+-576
+-575
+-573
+-572
+-570
+-568
+-567
+-565
+-564
+-562
+-560
+-559
+-557
+-556
+-554
+-552
+-551
+-549
+-547
+-546
+-544
+-543
+-541
+-539
+-538
+-536
+-534
+-533
+-531
+-530
+-528
+-526
+-525
+-523
+-521
+-520
+-518
+-516
+-515
+-513
+-511
+-510
+-508
+-506
+-505
+-503
+-501
+-500
+-498
+-496
+-495
+-493
+-491
+-490
+-488
+-486
+-485
+-483
+-481
+-479
+-478
+-476
+-474
+-473
+-471
+-469
+-468
+-466
+-464
+-462
+-461
+-459
+-457
+-456
+-454
+-452
+-450
+-449
+-447
+-445
+-444
+-442
+-440
+-438
+-437
+-435
+-433
+-432
+-430
+-428
+-426
+-425
+-423
+-421
+-419
+-418
+-416
+-414
+-413
+-411
+-409
+-407
+-406
+-404
+-402
+-400
+-399
+-397
+-395
+-393
+-392
+-390
+-388
+-386
+-385
+-383
+-381
+-379
+-378
+-376
+-374
+-372
+-371
+-369
+-367
+-365
+-364
+-362
+-360
+-358
+-357
+-355
+-353
+-351
+-350
+-348
+-346
+-344
+-343
+-341
+-339
+-337
+-336
+-334
+-332
+-330
+-328
+-327
+-325
+-323
+-321
+-320
+-318
+-316
+-314
+-313
+-311
+-309
+-307
+-306
+-304
+-302
+-300
+-299
+-297
+-295
+-293
+-291
+-290
+-288
+-286
+-284
+-283
+-281
+-279
+-277
+-276
+-274
+-272
+-270
+-269
+-267
+-265
+-263
+-262
+-260
+-258
+-256
+-255
+-253
+-251
+-249
+-247
+-246
+-244
+-242
+-240
+-239
+-237
+-235
+-233
+-232
+-230
+-228
+-226
+-225
+-223
+-221
+-219
+-218
+-216
+-214
+-213
+-211
+-209
+-207
+-206
+-204
+-202
+-200
+-199
+-197
+-195
+-193
+-192
+-190
+-188
+-186
+-185
+-183
+-181
+-180
+-178
+-176
+-174
+-173
+-171
+-169
+-167
+-166
+-164
+-162
+-161
+-159
+-157
+-155
+-154
+-152
+-150
+-149
+-147
+-145
+-143
+-142
+-140
+-138
+-137
+-135
+-133
+-132
+-130
+-128
+-126
+-125
+-123
+-121
+-120
+-118
+-116
+-115
+-113
+-111
+-110
+-108
+-106
+-105
+-103
+-101
+-99
+-98
+-96
+-94
+-93
+-91
+-89
+-88
+-86
+-84
+-83
+-81
+-80
+-78
+-76
+-75
+-73
+-71
+-70
+-68
+-66
+-65
+-63
+-61
+-60
+-58
+-57
+-55
+-53
+-52
+-50
+-48
+-47
+-45
+-43
+-42
+-40
+-39
+-37
+-35
+-34
+-32
+-31
+-29
+-27
+-26
+-24
+-23
+-21
+-19
+-18
+-16
+-15
+-13
+-11
+-10
+-8
+-7
+-5
+-4
+-2
+0
+1
+3
+4
+6
+7
+9
+10
+12
+14
+15
+17
+18
+20
+21
+23
+24
+26
+27
+29
+30
+32
+34
+35
+37
+38
+40
+41
+43
+44
+46
+47
+49
+50
+52
+53
+55
+56
+58
+59
+61
+62
+64
+65
+66
+68
+69
+71
+72
+74
+75
+77
+78
+80
+81
+82
+84
+85
+87
+88
+90
+91
+93
+94
+95
+97
+98
+100
+101
+102
+104
+105
+107
+108
+109
+111
+112
+114
+115
+116
+118
+119
+121
+122
+123
+125
+126
+127
+129
+130
+132
+133
+134
+136
+137
+138
+140
+141
+142
+144
+145
+146
+148
+149
+150
+152
+153
+154
+155
+157
+158
+159
+161
+162
+163
+165
+166
+167
+168
+170
+171
+172
+174
+175
+176
+177
+179
+180
+181
+182
+184
+185
+186
+187
+189
+190
+191
+192
+193
+195
+196
+197
+198
+200
+201
+202
+203
+204
+206
+207
+208
+209
+210
+211
+213
+214
+215
+216
+217
+218
+220
+221
+222
+223
+224
+225
+227
+228
+229
+230
+231
+232
+233
+234
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+311
+312
+313
+314
+315
+316
+317
+318
+318
+319
+320
+321
+322
+323
+323
+324
+325
+326
+327
+328
+328
+329
+330
+331
+332
+332
+333
+334
+335
+336
+336
+337
+338
+339
+339
+340
+341
+342
+343
+343
+344
+345
+346
+346
+347
+348
+349
+349
+350
+351
+351
+352
+353
+354
+354
+355
+356
+356
+357
+358
+358
+359
+360
+361
+361
+362
+363
+363
+364
+365
+365
+366
+367
+367
+368
+368
+369
+370
+370
+371
+372
+372
+373
+373
+374
+375
+375
+376
+376
+377
+378
+378
+379
+379
+380
+381
+381
+382
+382
+383
+383
+384
+385
+385
+386
+386
+387
+387
+388
+388
+389
+389
+390
+391
+391
+392
+392
+393
+393
+394
+394
+395
+395
+396
+396
+397
+397
+398
+398
+398
+399
+399
+400
+400
+401
+401
+402
+402
+403
+403
+403
+404
+404
+405
+405
+406
+406
+406
+407
+407
+408
+408
+409
+409
+409
+410
+410
+410
+411
+411
+412
+412
+412
+413
+413
+413
+414
+414
+415
+415
+415
+416
+416
+416
+417
+417
+417
+418
+418
+418
+419
+419
+419
+420
+420
+420
+420
+421
+421
+421
+422
+422
+422
+423
+423
+423
+423
+424
+424
+424
+424
+425
+425
+425
+425
+426
+426
+426
+426
+427
+427
+427
+427
+428
+428
+428
+428
+428
+429
+429
+429
+429
+429
+430
+430
+430
+430
+430
+431
+431
+431
+431
+431
+432
+432
+432
+432
+432
+432
+432
+433
+433
+433
+433
+433
+433
+433
+434
+434
+434
+434
+434
+434
+434
+434
+435
+435
+435
+435
+435
+435
+435
+435
+435
+435
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+437
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+436
+435
+435
+435
+435
+435
+435
+435
+435
+435
+435
+434
+434
+434
+434
+434
+434
+434
+434
+434
+433
+433
+433
+433
+433
+433
+433
+432
+432
+432
+432
+432
+432
+432
+431
+431
+431
+431
+431
+431
+430
+430
+430
+430
+430
+430
+429
+429
+429
+429
+429
+429
+428
+428
+428
+428
+428
+427
+427
+427
+427
+427
+426
+426
+426
+426
+425
+425
+425
+425
+425
+424
+424
+424
+424
+423
+423
+423
+423
+422
+422
+422
+422
+421
+421
+421
+421
+420
+420
+420
+420
+419
+419
+419
+419
+418
+418
+418
+418
+417
+417
+417
+416
+416
+416
+416
+415
+415
+415
+414
+414
+414
+414
+413
+413
+413
+412
+412
+412
+411
+411
+411
+410
+410
+410
+409
+409
+409
+409
+408
+408
+408
+407
+407
+407
+406
+406
+406
+405
+405
+405
+404
+404
+404
+403
+403
+402
+402
+402
+401
+401
+401
+400
+400
+400
+399
+399
+399
+398
+398
+397
+397
+397
+396
+396
+396
+395
+395
+394
+394
+394
+393
+393
+393
+392
+392
+391
+391
+391
+390
+390
+389
+389
+389
+388
+388
+387
+387
+387
+386
+386
+385
+385
+385
+384
+384
+383
+383
+382
+382
+382
+381
+381
+380
+380
+380
+379
+379
+378
+378
+377
+377
+377
+376
+376
+375
+375
+374
+374
+373
+373
+373
+372
+372
+371
+371
+370
+370
+370
+369
+369
+368
+368
+367
+367
+366
+366
+365
+365
+365
+364
+364
+363
+363
+362
+362
+361
+361
+360
+360
+359
+359
+359
+358
+358
+357
+357
+356
+356
+355
+355
+354
+354
+353
+353
+352
+352
+351
+351
+350
+350
+349
+349
+348
+348
+348
+347
+347
+346
+346
+345
+345
+344
+344
+343
+343
+342
+342
+341
+341
+340
+340
+339
+339
+338
+338
+337
+337
+336
+336
+335
+335
+334
+334
+333
+333
+332
+332
+331
+331
+330
+330
+329
+329
+328
+328
+327
+327
+326
+326
+325
+325
+324
+323
+323
+322
+322
+321
+321
+320
+320
+319
+319
+318
+318
+317
+317
+316
+316
+315
+315
+314
+314
+313
+313
+312
+312
+311
+311
+310
+309
+309
+308
+308
+307
+307
+306
+306
+305
+305
+304
+304
+303
+303
+302
+302
+301
+300
+300
+299
+299
+298
+298
+297
+297
+296
+296
+295
+295
+294
+294
+293
+293
+292
+291
+291
+290
+290
+289
+289
+288
+288
+287
+287
+286
+286
+285
+285
+284
+283
+283
+282
+282
+281
+281
+280
+280
+279
+279
+278
+278
+277
+277
+276
+275
+275
+274
+274
+273
+273
+272
+272
+271
+271
+270
+270
+269
+268
+268
+267
+267
+266
+266
+265
+265
+264
+264
+263
+263
+262
+261
+261
+260
+260
+259
+259
+258
+258
+257
+257
+256
+256
+255
+255
+254
+253
+253
+252
+252
+251
+251
+250
+250
+249
+249
+248
+248
+247
+247
+246
+245
+245
+244
+244
+243
+243
+242
+242
+241
+241
+240
+240
+239
+239
+238
+237
+237
+236
+236
+235
+235
+234
+234
+233
+233
+232
+232
+231
+231
+230
+230
+229
+229
+228
+227
+227
+226
+226
+225
+225
+224
+224
+223
+223
+222
+222
+221
+221
+220
+220
+219
+219
+218
+218
+217
+217
+216
+215
+215
+214
+214
+213
+213
+212
+212
+211
+211
+210
+210
+209
+209
+208
+208
+207
+207
+206
+206
+205
+205
+204
+204
+203
+203
+202
+202
+201
+201
+200
+200
+199
+199
+198
+198
+197
+197
+196
+196
+195
+195
+194
+194
+193
+193
+192
+192
+191
+191
+190
+190
+189
+189
+188
+188
+187
+187
+186
+186
+185
+185
+184
+184
+183
+183
+182
+182
+181
+181
+180
+180
+179
+179
+178
+178
+177
+177
+176
+176
+175
+175
+174
+174
+174
+173
+173
+172
+172
+171
+171
+170
+170
+169
+169
+168
+168
+167
+167
+166
+166
+165
+165
+165
+164
+164
+163
+163
+162
+162
+161
+161
+160
+160
+159
+159
+159
+158
+158
+157
+157
+156
+156
+155
+155
+154
+154
+153
+153
+153
+152
+152
+151
+151
+150
+150
+149
+149
+149
+148
+148
+147
+147
+146
+146
+145
+145
+145
+144
+144
+143
+143
+142
+142
+141
+141
+141
+140
+140
+139
+139
+138
+138
+138
+137
+137
+136
+136
+135
+135
+135
+134
+134
+133
+133
+132
+132
+132
+131
+131
+130
+130
+130
+129
+129
+128
+128
+127
+127
+127
+126
+126
+125
+125
+125
+124
+124
+123
+123
+123
+122
+122
+121
+121
+121
+120
+120
+119
+119
+119
+118
+118
+117
+117
+117
+116
+116
+115
+115
+115
+114
+114
+113
+113
+113
+112
+112
+112
+111
+111
+110
+110
+110
+109
+109
+108
+108
+108
+107
+107
+107
+106
+106
+105
+105
+105
+104
+104
+104
+103
+103
+103
+102
+102
+101
+101
+101
+100
+100
+100
+99
+99
+99
+98
+98
+97
+97
+97
+96
+96
+96
+95
+95
+95
+94
+94
+94
+93
+93
+93
+92
+92
+92
+91
+91
+90
+90
+90
+89
+89
+89
+88
+88
+88
+87
+87
+87
+86
+86
+86
+85
+85
+85
+85
+84
+84
+84
+83
+83
+83
+82
+82
+82
+81
+81
+81
+80
+80
+80
+79
+79
+79
+78
+78
+78
+77
+77
+77
+77
+76
+76
+76
+75
+75
+75
+74
+74
+74
+74
+73
+73
+73
+72
+72
+72
+71
+71
+71
+71
+70
+70
+70
+69
+69
+69
+69
+68
+68
+68
+67
+67
+67
+67
+66
+66
+66
+65
+65
+65
+65
+64
+64
+64
+63
+63
+63
+63
+62
+62
+62
+62
+61
+61
+61
+60
+60
+60
+60
+59
+59
+59
+59
+58
+58
+58
+58
+57
+57
+57
+57
+56
+56
+56
+56
+55
+55
+55
+55
+54
+54
+54
+54
+53
+53
+53
+53
+52
+52
+52
+52
+51
+51
+51
+51
+50
+50
+50
+50
+50
+49
+49
+49
+49
+48
+48
+48
+48
+47
+47
+47
+47
+46
+46
+46
+46
+46
+45
+45
+45
+45
+44
+44
+44
+44
+44
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+41
+41
+41
+41
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+16
+16
+15
+15
+15
+15
+15
+15
+15
+15
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+12
+12
+12
+12
+12
+12
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+10
+10
+10
+10
+9
+9
+9
+8
+8
+8
+7
+7
+7
+7
+7
+7
+7
+7
+8
+8
+9
+9
+10
+11
+12
+14
+15
+17
+18
+20
+21
+23
+25
+26
+28
+29
+31
+32
+33
+34
+35
+35
+36
+36
diff --git a/applications/lofar1/pfs/src/data/pfs_coefsbuf_1024.bin b/applications/lofar1/pfs/src/data/pfs_coefsbuf_1024.bin
new file mode 100644
index 0000000000000000000000000000000000000000..99d942e3a6b537210b8b19a5fb8db7b3b2238e72
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_coefsbuf_1024.bin
@@ -0,0 +1,1024 @@
+0000000000100100000000010110111111111110100111110000000010100100000000000001101011111111001110000000000101010010111111100101100101111111111111111111111000111010000000010110000011111111001011110000000000100000000000001010000111111110101000010000000101101111
+0000000000100100000000010111000011111110100111010000000010101000000000000001010111111111010000000000000101000011111111100111100001111111111111111111111000011011000000010110111011111111001001110000000000100101000000001001111011111110101000100000000101101110
+0000000000100011000000010111000011111110100110110000000010101011000000000001000011111111010010010000000100110101111111101001011001111111111111111111110111111101000000010111110011111111000111110000000000101010000000001001101011111110101001000000000101101110
+0000000000100011000000010111000111111110100110100000000010101110000000000000101011111111010100010000000100100111111111101011010101111111111111111111110111011110000000011000101111111111000101100000000000101111000000001001011111111110101001100000000101101101
+0000000000100010000000010111000111111110100110000000000010110010000000000000010111111111010110010000000100011000111111101101010001111111111111101111110111000000000000011001100111111111000011100000000000110101000000001001010011111110101010000000000101101101
+0000000000100001000000010111001011111110100101100000000010110101000000000000000011111111011000100000000100001010111111101111010001111111111111101111110110100001000000011010011111111111000001100000000000111010000000001001000111111110101010010000000101101101
+0000000000100000000000010111001011111110100101000000000010111000111111111111101111111111011010100000000011111100111111110001001101111111111111011111110110000011000000011011010111111110111111010000000000111111000000001000110111111110101010110000000101101100
+0000000000011111000000010111001011111110100100110000000010111011111111111111010111111111011100110000000011101101111111110011001001111111111111001111110101100101000000011100001111111110111101010000000001000100000000001000101011111110101011010000000101101100
+0000000000011101000000010111001111111110100100010000000010111111111111111111000011111111011110110000000011011111111111110101000101111111111111001111110101000110000000011101000111111110111011010000000001001010000000001000011111111110101011110000000101101011
+0000000000011100000000010111001111111110100011110000000011000010111111111110101111111111100001000000000011010001111111110111000101111111111110111111110100101000000000011101111111111110111001000000000001001111000000001000010011111110101100000000000101101011
+0000000000011010000000010111010011111110100011010000000011000101111111111110010111111111100011000000000011000010111111111001000001111111111110101111110100001010000000011110110111111110110111000000000001010100000000001000000011111110101100100000000101101010
+0000000000011001000000010111010011111110100011000000000011001001111111111110000011111111100101010000000010110100111111111010111101111111111110001111110011101100000000011111101111111110110101000000000001011001000000000111110111111110101101000000000101101010
+0000000000010111000000010111010111111110100010100000000011001100111111111101101111111111100111010000000010100101111111111100111101111111111101111111110011001110000000100000100111111110110010110000000001011111000000000111101011111110101101100000000101101001
+0000000000010101000000010111010111111110100010000000000011001111111111111101010111111111101001100000000010010111111111111110111101111111111101101111110010110000000000100001011111111110110000110000000001100100000000000111011011111110101110000000000101101001
+0000000000010100000000010111010111111110100001100000000011010011111111111101000011111111101011100000000010001000000000000000111001111111111101001111110010010011000000100010010111111110101110110000000001101001000000000111001111111110101110010000000101101000
+0000000000010010000000010111011011111110100001010000000011010110111111111100101111111111101101110000000001111010000000000010111001111111111100111111110001110101000000100011001111111110101100110000000001101110000000000111000011111110101110110000000101101000
+0000000000010001000000010111011011111110100000110000000011011001111111111100010111111111101111110000000001101011000000000100111001111111111100011111110001010111000000100100000111111110101010100000000001110011000000000110110111111110101111010000000101100111
+0000000000001111000000010111011111111110100000010000000011011101111111111100000011111111110010000000000001011100000000000110111001111111111011111111110000111010000000100100111111111110101000100000000001111001000000000110100111111110101111110000000101100111
+0000000000001110000000010111011111111110011111110000000011100000111111111011101011111111110100000000000001001110000000001000111001111111111011011111110000011100000000100101110111111110100110100000000001111110000000000110011011111110110000000000000101100111
+0000000000001100000000010111100011111110011111100000000011100011111111111011010111111111110110010000000000111111000000001010111001111111111010111111101111111111000000100110101111111110100100100000000010000011000000000110001111111110110000100000000101100110
+0000000000001011000000010111100011111110011111000000000011100110111111111011000011111111111000100000000000110001000000001100111001111111111010011111101111100001000000100111100111111110100010100000000010001000000000000110000011111110110001000000000101100110
+0000000000001010000000010111100111111110011110100000000011101010111111111010101011111111111010100000000000100010000000001110111001111111111001111111101111000100000000101000011111111110100000100000000010001101000000000101110011111110110001100000000101100101
+0000000000001001000000010111100111111110011110000000000011101101111111111010010111111111111100110000000000010011000000010000111001111111111001001111101110100111000000101001010011111110011110010000000010010010000000000101100111111110110001110000000101100101
+0000000000001001000000010111100111111110011101110000000011110000111111111010000011111111111110110000000000000101000000010010111001111111111000101111101110001010000000101010001011111110011100010000000010011000000000000101011011111110110010010000000101100100
+0000000000001000000000010111101011111110011101010000000011110100111111111001101000000000000001001111111111110110000000010100111101111111110111111111101101101100000000101011000011111110011010010000000010011101000000000101001111111110110010110000000101100100
+0000000000001000000000010111101011111110011100110000000011110111111111111001010100000000000011001111111111100111000000010110111101111111110111011111101101001111000000101011111011111110011000010000000010100010000000000100111111111110110011010000000101100011
+0000000000000111000000010111101111111110011100010000000011111010111111111000111100000000000101011111111111011000000000011000111101111111110110101111101100110010000000101100101111111110010110010000000010100111000000000100110011111110110011100000000101100011
+0000000000000111000000010111101111111110011100000000000011111110111111111000101000000000000111101111111111001010000000011011000001111111110101111111101100010110000000101101100111111110010100010000000010101100000000000100100111111110110100000000000101100010
+0000000000000111000000010111110011111110011011100000000100000001111111111000010100000000001001101111111110111011000000011101000101111111110101001111101011111001000000101110011111111110010010010000000010110001000000000100011011111110110100100000000101100010
+0000000000000111000000010111110011111110011011000000000100000100111111110111111100000000001011111111111110101100000000011111000101111111110100011111101011011100000000101111010011111110010000010000000010110110000000000100001111111110110101000000000101100001
+0000000000000111000000010111110011111110011010100000000100001000111111110111101000000000001110001111111110011101000000100001001001111111110011101111101010111111000000110000001011111110001110000000000010111011000000000011111111111110110101010000000101100001
+0000000000000111000000010111110111111110011010010000000100001011111111110111010000000000010000001111111110001110000000100011001101111111110010101111101010100011000000110000111111111110001100000000000011000001000000000011110011111110110101110000000101100000
+0000000000000111000000010111110111111110011001110000000100001110111111110110111100000000010010011111111110000000000000100101010001111111110001111111101010000110000000110001110111111110001010000000000011000110000000000011100111111110110110010000000101100000
+0000000000000111000000010111111011111110011001010000000100010010111111110110101000000000010100101111111101110001000000100111010001111111110000111111101001101010000000110010101111111110001000000000000011001011000000000011011011111110110110110000000101011111
+0000000000001000000000010111111011111110011000110000000100010101111111110110010000000000010110101111111101100010000000101001010101111111110000001111101001001101000000110011100011111110000110000000000011010000000000000011001011111110110111010000000101011111
+0000000000001000000000010111111011111110011000100000000100011000111111110101111100000000011000111111111101010011000000101011011001111111101111001111101000110001000000110100011011111110000100000000000011010101000000000010111111111110110111100000000101011110
+0000000000001000000000010111111111111110011000000000000100011100111111110101100100000000011011001111111101000100000000101101100001111111101110001111101000010101000000110101001111111110000010000000000011011010000000000010110011111110111000000000000101011110
+0000000000001001000000010111111111111110010111100000000100011111111111110101010000000000011101001111111100110101000000101111100101111111101101001111100111111000000000110110000011111110000000000000000011011111000000000010100111111110111000100000000101011101
+0000000000001001000000011000000011111110010111010000000100100010111111110100111000000000011111011111111100100110000000110001101001111111101100001111100111011100000000110110111011111101111110000000000011100100000000000010011011111110111001000000000101011101
+0000000000001001000000011000000011111110010110110000000100100110111111110100100100000000100001101111111100010111000000110011101101111111101011001111100111000000000000110111101111111101111100000000000011101001000000000010001011111110111001010000000101011100
+0000000000001010000000011000000111111110010110010000000100101001111111110100010000000000100011111111111100001000000000110101110101111111101010001111100110100100000000111000100111111101111010000000000011101110000000000001111111111110111001110000000101011100
+0000000000001010000000011000000111111110010101110000000100101100111111110011111000000000100101111111111011111001000000110111111001111111101000111111100110001000000000111001011011111101111000010000000011110011000000000001110011111110111010010000000101011100
+0000000000001010000000011000000111111110010101100000000100110000111111110011100100000000101000001111111011101010000000111001111101111111100111111111100101101101000000111010001111111101110110010000000011111000000000000001100111111110111010110000000101011011
+0000000000001010000000011000001011111110010101000000000100110011111111110011001100000000101010011111111011011011000000111100000101111111100110101111100101010001000000111011000111111101110100010000000011111101000000000001011011111110111011000000000101011011
+0000000000001011000000011000001011111110010100100000000100110110111111110010111000000000101100101111111011001100000000111110001101111111100101011111100100110101000000111011111011111101110010010000000100000010000000000001001011111110111011100000000101011010
+0000000000001011000000011000001111111110010100000000000100111010111111110010100000000000101110101111111010111101000001000000010001111111100100011111100100011001000000111100101111111101110000010000000100000111000000000000111111111110111100000000000101011010
+0000000000001011000000011000001111111110010011110000000100111101111111110010001100000000110000111111111010101110000001000010011001111111100011001111100011111110000000111101100011111101101110010000000100001100000000000000110011111110111100100000000101011001
+0000000000001011000000011000001111111110010011010000000101000000111111110001110100000000110011001111111010011111000001000100100001111111100001111111100011100010000000111110011011111101101100010000000100010001000000000000100111111110111100110000000101011001
+0000000000001011000000011000010011111110010010110000000101000100111111110001100000000000110101011111111010010000000001000110101001111111100000101111100011000111000000111111001111111101101010010000000100010110000000000000011011111110111101010000000101011000
+0000000000001011000000011000010011111110010010100000000101000111111111110001001000000000110111011111111010000001000001001000101101111111011111001111100010101100000001000000000011111101101000100000000100011011000000000000001011111110111101110000000101011000
+0000000000001011000000011000010111111110010010000000000101001010111111110000110100000000111001101111111001110010000001001010110101111111011101111111100010010000000001000000110111111101100110100000000100100000111111111111111111111110111110010000000101010111
+0000000000001011000000011000010111111110010001100000000101001110111111110000100000000000111011111111111001100011000001001100111101111111011100011111100001110101000001000001101011111101100100100000000100100101111111111111110011111110111110100000000101010111
+0000000000001011000000011000010111111110010001000000000101010001111111110000001000000000111110001111111001010100000001001111001001111111011011001111100001011010000001000010011111111101100010100000000100101010111111111111100111111110111111000000000101010110
+0000000000001011000000011000011011111110010000110000000101010101111111101111110100000001000000011111111001000101000001010001010001111111011001101111100000111111000001000011010011111101100000100000000100101111111111111111011011111110111111100000000101010110
+0000000000001011000000011000011011111110010000010000000101011000111111101111011100000001000010011111111000110101000001010011011001111111011000001111100000100100000001000100000111111101011110110000000100110100111111111111001111111111000000000000000101010101
+0000000000001011000000011000011111111110001111110000000101011011111111101111001000000001000100101111111000100110000001010101100001111111010110111111100000001001000001000100111011111101011100110000000100111001111111111111000011111111000000010000000101010101
+0000000000001011000000011000011111111110001111100000000101011111111111101110110000000001000110111111111000010111000001010111101001111111010101011111011111101110000001000101101111111101011010110000000100111110111111111110110011111111000000110000000101010100
+0000000000001011000000011000011111111110001111000000000101100010111111101110011100000001001001001111111000001000000001011001110101111111010011101111011111010100000001000110100011111101011000110000000101000011111111111110100111111111000001010000000101010100
+0000000000001011000000011000100011111110001110100000000101100101111111101110000100000001001011011111110111111001000001011011111101111111010010001111011110111001000001000111010111111101010111000000000101001000111111111110011011111111000001110000000101010011
+0000000000001011000000011000100011111110001110000000000101101001111111101101110000000001001101101111110111101001000001011110001001111111010000101111011110011110000001001000001011111101010101000000000101001101111111111110001111111111000010010000000101010011
+0000000000001011000000011000100111111110001101110000000101101100111111101101011000000001001111101111110111011010000001100000010001111111001111001111011110000100000001001000111111111101010011000000000101010010111111111110000011111111000010100000000101010010
+0000000000001011000000011000100111111110001101010000000101101111111111101101000100000001010001111111110111001011000001100010011101111111001101011111011101101001000001001001110011111101010001010000000101010111111111111101110111111111000011000000000101010010
+0000000000001011000000011000100111111110001100110000000101110011111111101100101100000001010100001111110110111100000001100100101001111111001011101111011101001111000001001010100111111101001111010000000101011011111111111101100111111111000011100000000101010001
+0000000000001011000000011000101011111110001100100000000101110110111111101100011000000001010110011111110110101100000001100110110001111111001010001111011100110101000001001011010111111101001101010000000101100000111111111101011011111111000100000000000101010001
+0000000000001011000000011000101011111110001100000000000101111001111111101100000000000001011000101111110110011101000001101000111101111111001000011111011100011010000001001100001011111101001011100000000101100101111111111101001111111111000100010000000101010000
+0000000000001011000000011000101011111110001011100000000101111101111111101011101100000001011010111111110110001110000001101011001001111111000110101111011100000000000001001100111111111101001001100000000101101010111111111101000011111111000100110000000101010000
+0000000000001100000000011000101111111110001011000000000110000000111111101011010100000001011101001111110101111110000001101101010101111111000100111111011011100110000001001101110011111101000111100000000101101111111111111100110111111111000101010000000101001111
+0000000000001100000000011000101111111110001010110000000110000011111111101011000000000001011111011111110101101111000001101111100001111111000011001111011011001100000001001110100011111101000101110000000101110100111111111100101011111111000101110000000101001111
+0000000000001100000000011000110011111110001010010000000110000111111111101010101000000001100001011111110101100000000001110001101101111111000001001111011010110010000001001111010111111101000011110000000101111001111111111100011111111111000110000000000101001110
+0000000000001100000000011000110011111110001001110000000110001010111111101010010100000001100011101111110101010000000001110011111001111110111111011111011010011000000001010000001011111101000010000000000101111110111111111100010011111111000110100000000101001110
+0000000000001100000000011000110011111110001001100000000110001101111111101001111100000001100101111111110101000001000001110110000101111110111101101111011001111110000001010000111011111101000000000000000110000010111111111100000111111111000111000000000101001101
+0000000000001100000000011000110111111110001001000000000110010001111111101001101000000001101000001111110100110010000001111000010101111110111011101111011001100101000001010001101111111100111110010000000110000111111111111011110111111111000111100000000101001101
+0000000000001101000000011000110111111110001000100000000110010100111111101001010000000001101010011111110100100010000001111010100001111110111001101111011001001011000001010010011111111100111100010000000110001100111111111011101011111111000111110000000101001100
+0000000000001101000000011000110111111110001000010000000110010111111111101000111100000001101100101111110100010011000001111100101101111110110111111111011000110001000001010011010011111100111010100000000110010001111111111011011111111111001000010000000101001100
+0000000000001101000000011000111011111110000111110000000110011011111111101000100100000001101110111111110100000011000001111110111101111110110101111111011000011000000001010100000011111100111000100000000110010110111111111011010011111111001000110000000101001011
+0000000000001101000000011000111011111110000111010000000110011110111111101000001100000001110001001111110011110100000010000001001001111110110011111111010111111110000001010100110111111100110110110000000110011010111111111011000111111111001001010000000101001011
+0000000000001101000000011000111111111110000110110000000110100001111111100111111000000001110011011111110011100101000010000011010101111110110001111111010111100101000001010101100111111100110100110000000110011111111111111010111011111111001001100000000101001010
+0000000000001101000000011000111111111110000110100000000110100101111111100111100000000001110101101111110011010101000010000101100101111110101111101111010111001100000001010110011011111100110011000000000110100100111111111010101111111111001010000000000101001010
+0000000000001101000000011000111111111110000110000000000110101000111111100111001100000001110111111111110011000110000010000111110101111110101101101111010110110010000001010111001011111100110001000000000110101001111111111010100011111111001010100000000101001001
+0000000000001101000000011001000011111110000101100000000110101011111111100110110100000001111010001111110010110110000010001010000001111110101011101111010110011001000001010111111111111100101111010000000110101101111111111010010111111111001010110000000101001001
+0000000000001110000000011001000011111110000101010000000110101111111111100110100000000001111100001111110010100111000010001100010001111110101001011111010110000000000001011000101111111100101101100000000110110010111111111010001011111111001011010000000101001000
+0000000000001110000000011001000011111110000100110000000110110010111111100110001000000001111110011111110010010111000010001110100001111110100111001111010101100111000001011001011111111100101011100000000110110111111111111001111111111111001011110000000101001000
+0000000000001110000000011001000111111110000100010000000110110101111111100101110100000010000000101111110010001000000010010000110001111110100101001111010101001110000001011010001111111100101001110000000110111100111111111001110011111111001100010000000101000111
+0000000000001110000000011001000111111110000100000000000110111001111111100101011100000010000010111111110001111000000010010011000001111110100010111111010100110101000001011011000011111100100111110000000111000000111111111001100011111111001100100000000101000111
+0000000000001110000000011001000111111110000011100000000110111100111111100101001000000010000101001111110001101001000010010101010001111110100000101111010100011101000001011011110011111100100110000000000111000101111111111001010111111111001101000000000101000110
+0000000000001110000000011001001011111110000011000000000111000000111111100100110000000010000111011111110001011001000010010111100001111110011110011111010100000100000001011100100011111100100100010000000111001010111111111001001011111111001101100000000101000110
+0000000000001110000000011001001011111110000010110000000111000011111111100100011100000010001001101111110001001010000010011001110001111110011100001111010011101011000001011101010011111100100010010000000111001111111111111000111111111111001110000000000101000101
+0000000000001110000000011001001011111110000010010000000111000110111111100100000100000010001011111111110000111010000010011100000001111110011001101111010011010011000001011110000011111100100000100000000111010011111111111000110011111111001110010000000101000101
+0000000000001110000000011001001111111110000001110000000111001010111111100011110000000010001110001111110000101011000010011110010001111110010111011111010010111010000001011110110111111100011110110000000111011000111111111000100111111111001110110000000101000100
+0000000000001110000000011001001111111110000001100000000111001101111111100011011000000010010000011111110000011011000010100000100001111110010101001111010010100010000001011111100111111100011101000000000111011101111111111000011011111111001111010000000101000011
+0000000000001110000000011001010011111110000001000000000111010000111111100011000000000010010010101111110000001011000010100010110001111110010010101111010010001010000001100000010111111100011011000000000111100001111111111000001111111111001111110000000101000011
+0000000000001110000000011001010011111110000000100000000111010100111111100010101100000010010100111111101111111100000010100101000101111110010000001111010001110001000001100001000111111100011001010000000111100110111111111000000011111111010000000000000101000010
+0000000000001110000000011001010011111110000000010000000111010111111111100010010100000010010111001111101111101100000010100111010101111110001101111111010001011001000001100001110111111100010111100000000111101011111111110111110111111111010000100000000101000010
+0000000000001110000000011001010111111101111111110000000111011010111111100010000000000010011001011111101111011101000010101001101001111110001011011111010001000001000001100010100111111100010101110000000111101111111111110111101011111111010001000000000101000001
+0000000000001111000000011001010111111101111111010000000111011110111111100001101000000010011011101111101111001101000010101011111001111110001000111111010000101001000001100011010111111100010011110000000111110100111111110111011111111111010001100000000101000001
+0000000000001111000000011001010111111101111111000000000111100001111111100001010100000010011101111111101110111101000010101110001101111110000110011111010000010001000001100100000111111100010010000000000111111001111111110111010011111111010001110000000101000000
+0000000000001111000000011001011011111101111110100000000111100100111111100000111100000010100000001111101110101110000010110000011101111110000011101111001111111001000001100100110011111100010000010000000111111101111111110111000111111111010010010000000101000000
+0000000000001111000000011001011011111101111110000000000111101000111111100000101000000010100010011111101110011110000010110010110001111110000001001111001111100001000001100101100011111100001110100000001000000010111111110110111011111111010010110000000100111111
+0000000000001111000000011001011011111101111101110000000111101011111111100000010000000010100100101111101110001111000010110101000101111101111110101111001111001010000001100110010011111100001100110000001000000110111111110110101111111111010011000000000100111111
+0000000000001111000000011001011111111101111101010000000111101110111111011111111100000010100110111111101101111111000010110111011001111101111011111111001110110010000001100111000011111100001011000000001000001011111111110110100011111111010011100000000100111110
+0000000000001111000000011001011111111101111100110000000111110010111111011111100100000010101001001111101101101111000010111001101001111101111001011111001110011010000001100111110011111100001001010000001000010000111111110110010111111111010100000000000100111110
+0000000000001111000000011001011111111101111100100000000111110101111111011111010000000010101011011111101101100000000010111011111101111101110110101111001110000011000001101000011111111100000111100000001000010100111111110110001011111111010100100000000100111101
+0000000000010000000000011001100011111101111100000000000111111000111111011110111000000010101101101111101101010000000010111110010001111101110011111111001101101011000001101001001111111100000101110000001000011001111111110101111111111111010100110000000100111101
+0000000000010000000000011001100011111101111011100000000111111011111111011110100000000010101111111111101101000000000011000000100101111101110001001111001101010100000001101001111111111100000011110000001000011101111111110101110011111111010101010000000100111100
+0000000000010000000000011001100011111101111011010000000111111111111111011110001100000010110010001111101100110001000011000010111001111101101110011111001100111101000001101010101111111100000010000000001000100010111111110101100111111111010101110000000100111100
+0000000000010000000000011001100111111101111010110000001000000010111111011101110100000010110100011111101100100001000011000101001101111101101011101111001100100110000001101011011011111100000000010000001000100110111111110101011011111111010110010000000100111011
+0000000000010000000000011001100111111101111010100000001000000101111111011101100000000010110110101111101100010001000011000111100101111101101000111111001100001110000001101100001011111011111110100000001000101011111111110101001111111111010110100000000100111011
+0000000000010000000000011001100111111101111010000000001000001001111111011101001000000010111000111111101100000010000011001001111001111101100101111111001011110111000001101100110111111011111100110000001000101111111111110101000011111111010111000000000100111010
+0000000000010000000000011001100111111101111001100000001000001100111111011100110100000010111011001111101011110010000011001100001101111101100011001111001011100000000001101101100111111011111011000000001000110100111111110100110111111111010111100000000100111010
+0000000000010001000000011001101011111101111001010000001000001111111111011100011100000010111101011111101011100010000011001110100001111101100000001111001011001001000001101110010011111011111001100000001000111000111111110100101011111111010111110000000100111001
+0000000000010001000000011001101011111101111000110000001000010011111111011100001000000010111111101111101011010010000011010000111001111101011101011111001010110011000001101111000011111011110111110000001000111101111111110100011111111111011000010000000100111001
+0000000000010001000000011001101011111101111000010000001000010110111111011011110000000011000001111111101011000011000011010011001101111101011010011111001010011100000001101111101111111011110110000000001001000001111111110100010011111111011000110000000100111000
+0000000000010001000000011001101111111101111000000000001000011001111111011011011100000011000100001111101010110011000011010101100101111101010111011111001010000101000001110000011111111011110100010000001001000110111111110100000111111111011001010000000100111000
+0000000000010001000000011001101111111101110111100000001000011101111111011011000100000011000110011111101010100011000011010111111001111101010100011111001001101111000001110001001011111011110010100000001001001010111111110011111011111111011001100000000100110111
+0000000000010001000000011001101111111101110111010000001000100000111111011010101100000011001000101111101010010011000011011010010001111101010001011111001001011000000001110001110111111011110000110000001001001111111111110011110011111111011010000000000100110111
+0000000000010001000000011001110011111101110110110000001000100011111111011010011000000011001010111111101010000100000011011100100101111101001110011111001001000010000001110010100111111011101111000000001001010011111111110011100111111111011010100000000100110110
+0000000000010001000000011001110011111101110110010000001000100111111111011010000000000011001101001111101001110100000011011110111101111101001011011111001000101011000001110011010011111011101101010000001001011000111111110011011011111111011010110000000100110101
+0000000000010001000000011001110011111101110110000000001000101010111111011001101100000011001111011111101001100100000011100001010101111101001000001111001000010101000001110011111111111011101011110000001001011100111111110011001111111111011011010000000100110101
+0000000000010001000000011001110111111101110101100000001000101101111111011001010100000011010001101111101001010100000011100011101101111101000101001111000111111111000001110100101111111011101010000000001001100000111111110011000011111111011011110000000100110100
+0000000000010010000000011001110111111101110101000000001000110000111111011001000000000011010011111111101001000101000011100110000101111101000001111111000111101001000001110101011011111011101000010000001001100101111111110010110111111111011100010000000100110100
+0000000000010010000000011001110111111101110100110000001000110100111111011000101000000011010110001111101000110101000011101000011001111100111110101111000111010011000001110110000111111011100110100000001001101001111111110010101011111111011100100000000100110011
+0000000000010010000000011001111011111101110100010000001000110111111111011000010100000011011000011111101000100101000011101010110001111100111011101111000110111101000001110110110011111011100100110000001001101110111111110010011111111111011101000000000100110011
+0000000000010010000000011001111011111101110100000000001000111010111111010111111100000011011010101111101000010101000011101101001001111100111000011111000110100111000001110111011111111011100011010000001001110010111111110010010011111111011101100000000100110010
+0000000000010010000000011001111011111101110011100000001000111110111111010111101000000011011100111111101000000101000011101111100001111100110101001111000110010001000001111000001011111011100001100000001001110110111111110010000111111111011101110000000100110010
+0000000000010010000000011001111011111101110011000000001001000001111111010111010000000011011111001111100111110110000011110001111001111100110001111111000101111011000001111000110111111011011111110000001001111011111111110001111011111111011110010000000100110001
+0000000000010010000000011001111111111101110010110000001001000100111111010110111000000011100001011111100111100110000011110100010101111100101110011111000101100101000001111001100011111011011110010000001001111111111111110001110011111111011110110000000100110001
+0000000000010010000000011001111111111101110010010000001001001000111111010110100100000011100011101111100111010110000011110110101101111100101011001111000101010000000001111010001111111011011100100000001010000011111111110001100111111111011111000000000100110000
+0000000000010010000000011001111111111101110010000000001001001011111111010110001100000011100110001111100111000110000011111001000101111100100111111111000100111010000001111010111011111011011010110000001010001000111111110001011011111111011111100000000100110000
+0000000000010011000000011010000011111101110001100000001001001110111111010101111000000011101000011111100110110110000011111011011101111100100100011111000100100101000001111011100111111011011001010000001010001100111111110001001111111111100000000000000100101111
+0000000000010011000000011010000011111101110001000000001001010001111111010101100000000011101010101111100110100111000011111101111001111100100001001111000100001111000001111100010011111011010111100000001010010000111111110001000011111111100000100000000100101111
+0000000000010011000000011010000011111101110000110000001001010101111111010101001100000011101100111111100110010111000100000000010001111100011101101111000011111010000001111100111111111011010101110000001010010101111111110000110111111111100000110000000100101110
+0000000000010011000000011010000011111101110000010000001001011000111111010100110100000011101111001111100110000111000100000010101001111100011010001111000011100101000001111101101011111011010100010000001010011001111111110000101011111111100001010000000100101110
+0000000000010011000000011010000111111101110000000000001001011011111111010100100000000011110001011111100101110111000100000101000101111100010110101111000011010000000001111110010111111011010010100000001010011101111111110000100011111111100001110000000100101101
+0000000000010011000000011010000111111101101111100000001001011110111111010100001000000011110011101111100101100111000100000111100001111100010011001111000010111011000001111110111111111011010001000000001010100010111111110000010111111111100010000000000100101100
+0000000000010011000000011010000111111101101111010000001001100010111111010011110100000011110101111111100101010111000100001001111001111100001111101111000010100110000001111111101011111011001111010000001010100110111111110000001011111111100010100000000100101100
+0000000000010100000000011010001011111101101110110000001001100101111111010011011100000011111000001111100101001000000100001100010101111100001100001111000010010001000010000000010111111011001101110000001010101010111111101111111111111111100011000000000100101011
+0000000000010100000000011010001011111101101110010000001001101000111111010011001000000011111010011111100100111000000100001110101101111100001000011111000001111100000010000000111111111011001100000000001010101110111111101111110011111111100011010000000100101011
+0000000000010100000000011010001011111101101110000000001001101100111111010010110000000011111100101111100100101000000100010001001001111100000100111111000001100111000010000001101011111011001010100000001010110011111111101111100111111111100011110000000100101010
+0000000000010100000000011010001011111101101101100000001001101111111111010010011100000011111110111111100100011000000100010011100101111100000001011111000001010010000010000010010111111011001000110000001010110111111111101111011011111111100100010000000100101010
+0000000000010100000000011010001111111101101101010000001001110010111111010010000100000100000001001111100100001000000100010110000001111011111101101111000000111110000010000010111111111011000111010000001010111011111111101111010011111111100100100000000100101001
+0000000000010100000000011010001111111101101100110000001001110101111111010001110000000100000011011111100011111000000100011000011101111011111001111111000000101001000010000011101011111011000101100000001010111111111111101111000111111111100101000000000100101001
+0000000000010100000000011010001111111101101100100000001001111001111111010001011000000100000101101111100011101001000100011010111001111011110110001111000000010101000010000100010011111011000100000000001011000011111111101110111011111111100101100000000100101000
+0000000000010101000000011010001111111101101100000000001001111100111111010001000100000100000111111111100011011001000100011101010001111011110010011111000000000000000010000100111111111011000010100000001011001000111111101110101111111111100101110000000100101000
+0000000000010101000000011010010011111101101011100000001001111111111111010000101100000100001010001111100011001001000100011111101101111011101110101110111111101100000010000101100111111011000000110000001011001100111111101110100011111111100110010000000100100111
+0000000000010101000000011010010011111101101011010000001010000010111111010000011000000100001100011111100010111001000100100010001101111011101010111110111111011000000010000110010011111010111111010000001011010000111111101110011011111111100110110000000100100111
+0000000000010101000000011010010011111101101010110000001010000110111111010000000000000100001110101111100010101001000100100100101001111011100111001110111111000100000010000110111011111010111101110000001011010100111111101110001111111111100111010000000100100110
+0000000000010101000000011010010011111101101010100000001010001001111111001111101100000100010000111111100010011001000100100111000101111011100011011110111110110000000010000111100011111010111100000000001011011000111111101110000011111111100111100000000100100110
+0000000000010101000000011010010111111101101010000000001010001100111111001111010100000100010011001111100010001001000100101001100001111011011111011110111110011100000010001000001111111010111010100000001011011100111111101101110111111111101000000000000100100101
+0000000000010101000000011010010111111101101001110000001010001111111111001111000000000100010101011111100001111010000100101011111101111011011011101110111110001000000010001000110111111010111001000000001011100001111111101101101111111111101000100000000100100101
+0000000000010101000000011010010111111101101001010000001010010011111111001110101000000100010111101111100001101010000100101110011101111011010111101110111101110100000010001001011111111010110111010000001011100101111111101101100011111111101000110000000100100100
+0000000000010110000000011010010111111101101001000000001010010110111111001110010100000100011001111111100001011010000100110000111001111011010011101110111101100000000010001010000111111010110101110000001011101001111111101101010111111111101001010000000100100011
+0000000000010110000000011010011011111101101000100000001010011001111111001101111100000100011100001111100001001010000100110011010101111011001111111110111101001100000010001010110011111010110100010000001011101101111111101101001011111111101001110000000100100011
+0000000000010110000000011010011011111101101000010000001010011100111111001101101000000100011110011111100000111010000100110101110101111011001011111110111100111001000010001011011011111010110010110000001011110001111111101100111111111111101010000000000100100010
+0000000000010110000000011010011011111101100111110000001010011111111111001101010000000100100000101111100000101010000100111000010001111011000111111110111100100101000010001100000011111010110001010000001011110101111111101100110111111111101010100000000100100010
+0000000000010110000000011010011011111101100111100000001010100011111111001100111100000100100010111111100000011010000100111010110001111011000011101110111100010010000010001100101011111010101111100000001011111001111111101100101011111111101011000000000100100001
+0000000000010110000000011010011111111101100111000000001010100110111111001100100100000100100101001111100000001011000100111101001101111010111111101110111011111110000010001101010011111010101110000000001011111101111111101100011111111111101011010000000100100001
+0000000000010110000000011010011111111101100110100000001010101001111111001100010000000100100111011111011111111011000100111111101101111010111011101110111011101011000010001101111011111010101100100000001100000001111111101100010111111111101011110000000100100000
+0000000000010110000000011010011111111101100110010000001010101100111111001011111000000100101001101111011111101011000101000010001001111010110111011110111011011000000010001110100011111010101011000000001100000101111111101100001011111111101100000000000100100000
+0000000000010111000000011010011111111101100101110000001010110000111111001011100100000100101011111111011111011011000101000100101001111010110011011110111011000101000010001111001011111010101001100000001100001001111111101011111111111111101100100000000100011111
+0000000000010111000000011010100011111101100101100000001010110011111111001011001100000100101110001111011111001011000101000111001001111010101111001110111010110010000010001111110011111010101000000000001100001101111111101011110011111111101101000000000100011111
+0000000000010111000000011010100011111101100101000000001010110110111111001010111000000100110000011111011110111011000101001001101001111010101011001110111010011111000010010000011011111010100110100000001100010001111111101011101011111111101101010000000100011110
+0000000000010111000000011010100011111101100100110000001010111001111111001010100000000100110010101111011110101011000101001100000101111010100110111110111010001100000010010001000011111010100101000000001100010101111111101011011111111111101101110000000100011110
+0000000000010111000000011010100011111101100100010000001010111100111111001010001100000100110100111111011110011100000101001110100101111010100010101110111001111001000010010001101011111010100011100000001100011001111111101011010011111111101110010000000100011101
+0000000000010111000000011010100111111101100100000000001011000000111111001001110100000100110111001111011110001100000101010001000101111010011110011110111001100110000010010010001111111010100010000000001100011101111111101011001011111111101110100000000100011101
+0000000000010111000000011010100111111101100011100000001011000011111111001001100000000100111001011111011101111100000101010011100101111010011010001110111001010100000010010010110111111010100000100000001100100001111111101010111111111111101111000000000100011100
+0000000000011000000000011010100111111101100011010000001011000110111111001001001100000100111011101111011101101100000101010110000101111010010101101110111001000001000010010011011111111010011111000000001100100101111111101010110011111111101111100000000100011011
+0000000000011000000000011010100111111101100010110000001011001001111111001000110100000100111101111111011101011100000101011000100101111010010001011110111000101110000010010100000111111010011101100000001100101001111111101010100111111111101111110000000100011011
+0000000000011000000000011010100111111101100010100000001011001100111111001000100000000101000000001111011101001100000101011011000101111010001101001110111000011100000010010100101011111010011100000000001100101101111111101010011111111111110000010000000100011010
+0000000000011000000000011010101011111101100010000000001011010000111111001000001000000101000010011111011100111100000101011101100101111010001000101110111000001010000010010101010011111010011010100000001100110001111111101010010011111111110000110000000100011010
+0000000000011000000000011010101011111101100001110000001011010011111111000111110100000101000100101111011100101101000101100000001001111010000100011110110111110111000010010101110111111010011001000000001100110101111111101010000111111111110001000000000100011001
+0000000000011000000000011010101011111101100001010000001011010110111111000111011100000101000110111111011100011101000101100010101001111001111111111110110111100101000010010110011111111010010111100000001100111001111111101001111111111111110001100000000100011001
+0000000000011001000000011010101011111101100001000000001011011001111111000111001000000101001001001111011100001101000101100101001001111001111011011110110111010011000010010111000111111010010110000000001100111101111111101001110011111111110001110000000100011000
+0000000000011001000000011010101111111101100000100000001011011100111111000110110000000101001011001111011011111101000101100111101001111001110110111110110111000001000010010111101011111010010100100000001101000000111111101001100111111111110010010000000100011000
+0000000000011001000000011010101111111101100000010000001011011111111111000110011100000101001101011111011011101101000101101010001101111001110010011110110110101111000010011000001111111010010011010000001101000100111111101001011111111111110010110000000100010111
+0000000000011001000000011010101111111101100000000000001011100011111111000110001000000101001111101111011011011101000101101100101101111001101101111110110110011101000010011000110111111010010001110000001101001000111111101001010011111111110011000000000100010111
+0000000000011001000000011010101111111101011111100000001011100110111111000101110000000101010001111111011011001110000101101111001101111001101001011110110110001011000010011001011011111010010000010000001101001100111111101001001011111111110011100000000100010110
+0000000000011001000000011010101111111101011111010000001011101001111111000101011100000101010100001111011010111110000101110001110001111001100100111110110101111001000010011010000011111010001110110000001101010000111111101000111111111111110100000000000100010110
+0000000000011010000000011010110011111101011110110000001011101100111111000101000100000101010110011111011010101110000101110100010001111001100000001110110101101000000010011010100111111010001101100000001101010100111111101000110011111111110100010000000100010101
+0000000000011010000000011010110011111101011110100000001011101111111111000100110000000101011000101111011010011110000101110110110101111001011011101110110101010110000010011011001011111010001100000000001101010111111111101000101011111111110100110000000100010101
+0000000000011010000000011010110011111101011110000000001011110010111111000100011100000101011010111111011010001110000101111001010101111001010110111110110101000101000010011011101111111010001010100000001101011011111111101000011111111111110101010000000100010100
+0000000000011010000000011010110011111101011101110000001011110110111111000100000100000101011101001111011001111111000101111011111001111001010010001110110100110011000010011100010111111010001001000000001101011111111111101000010011111111110101100000000100010011
+0000000000011010000000011010110011111101011101010000001011111001111111000011110000000101011111011111011001101111000101111110011101111001001101101110110100100010000010011100111011111010000111110000001101100011111111101000001011111111110110000000000100010011
+0000000000011010000000011010110111111101011101000000001011111100111111000011011000000101100001101111011001011111000110000000111101111001001000111110110100010001000010011101011111111010000110010000001101100111111111100111111111111111110110010000000100010010
+0000000000011010000000011010110111111101011100100000001011111111111111000011000100000101100011111111011001001111000110000011100001111001000100001110110011111111000010011110000011111010000101000000001101101010111111100111110111111111110110110000000100010010
+0000000000011011000000011010110111111101011100010000001100000010111111000010110000000101100101111111011000111111000110000110000101111000111111011110110011101110000010011110100111111010000011100000001101101110111111100111101011111111110111010000000100010001
+0000000000011011000000011010110111111101011100000000001100000101111111000010011000000101101000001111011000110000000110001000101001111000111010011110110011011101000010011111001011111010000010000000001101110010111111100111100011111111110111100000000100010001
+0000000000011011000000011010110111111101011011100000001100001000111111000010000100000101101010011111011000100000000110001011001101111000110101101110110011001100000010011111101111111010000000110000001101110101111111100111010111111111111000000000000100010000
+0000000000011011000000011010110111111101011011010000001100001011111111000001101100000101101100101111011000010000000110001101110001111000110000111110110010111011000010100000010011111001111111010000001101111001111111100111001011111111111000010000000100010000
+0000000000011011000000011010111011111101011010110000001100001111111111000001011000000101101110111111011000000000000110010000010001111000101011111110110010101010000010100000110111111001111110000000001101111101111111100111000011111111111000110000000100001111
+0000000000011011000000011010111011111101011010100000001100010010111111000001000100000101110001001111010111110000000110010010110101111000100111001110110010011010000010100001011011111001111100100000001110000001111111100110110111111111111001010000000100001111
+0000000000011011000000011010111011111101011010000000001100010101111111000000101100000101110011011111010111100001000110010101011001111000100010001110110010001001000010100001111111111001111011010000001110000100111111100110101111111111111001100000000100001110
+0000000000011100000000011010111011111101011001110000001100011000111111000000011000000101110101011111010111010001000110010111111101111000011101001110110001111001000010100010100011111001111001110000001110001000111111100110100011111111111010000000000100001110
+0000000000011100000000011010111011111101011001100000001100011011111111000000000100000101110111101111010111000001000110011010100101111000011000011110110001101000000010100011000111111001111000100000001110001100111111100110011011111111111010010000000100001101
+0000000000011100000000011010111011111101011001000000001100011110111110111111101100000101111001111111010110110001000110011101001001111000010011011110110001011000000010100011100111111001110111000000001110001111111111100110001111111111111010110000000100001100
+0000000000011100000000011010111111111101011000110000001100100001111110111111011000000101111100001111010110100010000110011111101101111000001110011110110001000111000010100100001011111001110101110000001110010011111111100110000111111111111011010000000100001100
+0000000000011100000000011010111111111101011000010000001100100100111110111111000100000101111110011111010110010010000110100010010001111000001001001110110000110111000010100100101111111001110100100000001110010110111111100101111011111111111011100000000100001011
+0000000000011100000000011010111111111101011000000000001100100111111110111110101100000110000000101111010110000010000110100100110101111000000100001110110000100111000010100101010011111001110011000000001110011010111111100101110011111111111100000000000100001011
+0000000000011101000000011010111111111101010111110000001100101010111110111110011000000110000010101111010101110011000110100111011001110111111111001110110000010111000010100101110011111001110001110000001110011110111111100101100111111111111100010000000100001010
+0000000000011101000000011010111111111101010111010000001100101101111110111110000100000110000100111111010101100011000110101010000001110111111010001110110000000111000010100110010111111001110000010000001110100001111111100101011111111111111100110000000100001010
+0000000000011101000000011010111111111101010111000000001100110001111110111101101100000110000111001111010101010011000110101100100101110111110100111110101111110111000010100110110111111001101111000000001110100101111111100101010011111111111101010000000100001001
+0000000000011101000000011011000011111101010110100000001100110100111110111101011000000110001001011111010101000011000110101111001101110111101111101110101111100111000010100111011011111001101101110000001110101000111111100101001011111111111101100000000100001001
+0000000000011101000000011011000011111101010110010000001100110111111110111101000100000110001011101111010100110100000110110001110001110111101010101110101111010111000010100111111011111001101100100000001110101100111111100100111111111111111110000000000100001000
+0000000000011101000000011011000011111101010110000000001100111010111110111100101100000110001101101111010100100100000110110100010101110111100101011110101111000111000010101000011111111001101011000000001110101111111111100100110111111111111110010000000100001000
+0000000000011110000000011011000011111101010101100000001100111101111110111100011000000110001111111111010100010100000110110110111101110111100000001110101110111000000010101000111111111001101001110000001110110011111111100100101011111111111110110000000100000111
+0000000000011110000000011011000011111101010101010000001101000000111110111100000100000110010010001111010100000101000110111001100001110111011010111110101110101000000010101001100011111001101000100000001110110110111111100100100011111111111111000000000100000111
+0000000000011110000000011011000011111101010100110000001101000011111110111011110000000110010100011111010011110101000110111100001001110111010101101110101110011001000010101010000011111001100111010000001110111010111111100100010111111111111111100000000100000110
+0000000000011110000000011011000011111101010100100000001101000110111110111011011000000110010110011111010011100101000110111110110001110111010000011110101110001001000010101010100011111001100110000000001110111101111111100100001100000000000000000000000100000101
+0000000000011110000000011011000111111101010100010000001101001001111110111011000100000110011000101111010011010110000111000001010101110111001011001110101101111010000010101011000111111001100100100000001111000001111111100100000000000000000000010000000100000101
+0000000000011111000000011011000111111101010011110000001101001100111110111010110000000110011010111111010011000110000111000011111101110111000101101110101101101011000010101011100111111001100011010000001111000100111111100011111000000000000000110000000100000100
+0000000000011111000000011011000111111101010011100000001101001111111110111010011000000110011101001111010010110110000111000110100001110111000000011110101101011011000010101100000111111001100010000000001111001000111111100011101100000000000001000000000100000100
+0000000000011111000000011011000111111101010011010000001101010010111110111010000100000110011111001111010010100111000111001001001001110110111010111110101101001100000010101100100111111001100000110000001111001011111111100011100100000000000001100000000100000011
+0000000000011111000000011011000111111101010010110000001101010101111110111001110000000110100001011111010010010111000111001011110001110110110101101110101100111101000010101101000111111001011111100000001111001111111111100011011100000000000001110000000100000011
+0000000000011111000000011011000111111101010010100000001101011000111110111001011100000110100011101111010010001000000111001110011001110110110000001110101100101110000010101101100111111001011110010000001111010010111111100011010000000000000010010000000100000010
+0000000000011111000000011011000111111101010010010000001101011011111110111001000100000110100101111111010001111000000111010001000001110110101010101110101100011111000010101110000111111001011101000000001111010101111111100011001000000000000010100000000100000010
+0000000000100000000000011011001011111101010001110000001101011110111110111000110000000110100111111111010001101000000111010011100101110110100101001110101100010001000010101110100111111001011011110000001111011001111111100010111100000000000011000000000100000001
+0000000000100000000000011011001011111101010001100000001101100001111110111000011100000110101010001111010001011001000111010110001101110110011111101110101100000010000010101111000111111001011010100000001111011100111111100010110100000000000011100000000100000001
+0000000000100000000000011011001011111101010001010000001101100100111110111000001000000110101100011111010001001001000111011000110101110110011010001110101011110011000010101111100111111001011001010000001111100000111111100010101100000000000011110000000100000000
+0000000000100000000000011011001011111101010000110000001101100111111110110111110100000110101110011111010000111010000111011011011101110110010100101110101011100101000010110000000111111001011000000000001111100011111111100010100000000000000100010000000100000000
+0000000000100000000000011011001011111101010000100000001101101010111110110111011100000110110000101111010000101010000111011110000101110110001110111110101011010110000010110000100111111001010110110000001111100110111111100010011000000000000100100000000011111111
+0000000000100000000000011011001011111101010000010000001101101101111110110111001000000110110010111111010000011011000111100000101101110110001001011110101011001000000010110001000111111001010101100000001111101010111111100010001100000000000101000000000011111111
+0000000000100001000000011011001011111101001111110000001101110000111110110110110100000110110100111111010000001011000111100011010101110110000011111110101010111001000010110001100111111001010100010000001111101101111111100010000100000000000101010000000011111110
+0000000000100001000000011011001011111101001111100000001101110011111110110110100000000110110111001111001111111100000111100101111101110101111110001110101010101011000010110010000111111001010011000000001111110000111111100001111100000000000101110000000011111101
+0000000000100001000000011011001011111101001111010000001101110110111110110110001100000110111001011111001111101100000111101000101001110101111000011110101010011101000010110010100011111001010010000000001111110100111111100001110000000000000110000000000011111101
+0000000000100001000000011011001111111101001110110000001101111001111110110101110100000110111011011111001111011101000111101011010001110101110010111110101010001111000010110011000011111001010000110000001111110111111111100001101000000000000110100000000011111100
+0000000000100001000000011011001111111101001110100000001101111100111110110101100000000110111101101111001111001101000111101101111001110101101101001110101010000001000010110011100011111001001111100000001111111010111111100001100000000000000110110000000011111100
+0000000000100001000000011011001111111101001110010000001101111111111110110101001100000110111111101111001110111110000111110000100001110101100111011110101001110011000010110011111111111001001110010000001111111101111111100001010100000000000111010000000011111011
+0000000000100010000000011011001111111101001101110000001110000010111110110100111000000111000001111111001110101110000111110011001001110101100001101110101001100101000010110100011111111001001101000000010000000001111111100001001100000000000111100000000011111011
+0000000000100010000000011011001111111101001101100000001110000101111110110100100100000111000100001111001110011111000111110101110101110101011011111110101001010111000010110100111111111001001100000000010000000100111111100001000100000000001000000000000011111010
+0000000000100010000000011011001111111101001101010000001110001000111110110100010000000111000110001111001110001111000111111000011101110101010101111110101001001010000010110101011011111001001010110000010000000111111111100000111000000000001000100000000011111010
+0000000000100010000000011011001111111101001101000000001110001011111110110011111000000111001000011111001110000000000111111011000101110101010000001110101000111100000010110101111011111001001001100000010000001010111111100000110000000000001000110000000011111001
+0000000000100010000000011011001111111101001100100000001110001110111110110011100100000111001010011111001101110000000111111101110001110101001010011110101000101111000010110110010111111001001000100000010000001110111111100000101000000000001001010000000011111001
+0000000000100011000000011011001111111101001100010000001110010001111110110011010000000111001100101111001101100001001000000000011001110101000100011110101000100001000010110110110111111001000111010000010000010001111111100000011100000000001001100000000011111000
+0000000000100011000000011011001111111101001100000000001110010011111110110010111100000111001110101111001101010010001000000011000001110100111110101110101000010100000010110111010011111001000110000000010000010100111111100000010100000000001010000000000011111000
+0000000000100011000000011011010011111101001011100000001110010110111110110010101000000111010000111111001101000010001000000101101101110100111000101110101000000110000010110111101111111001000101000000010000010111111111100000001100000000001010010000000011110111
+0000000000100011000000011011010011111101001011010000001110011001111110110010010100000111010010111111001100110011001000001000010101110100110010101110100111111001000010111000001111111001000011110000010000011010111111100000000000000000001010110000000011110111
+0000000000100011000000011011010011111101001011000000001110011100111110110010000000000111010101001111001100100011001000001011000001110100101100101110100111101100000010111000101011111001000010100000010000011101111111011111111000000000001011000000000011110110
+0000000000100100000000011011010011111101001010110000001110011111111110110001101100000111010111001111001100010100001000001101101001110100100110111110100111011111000010111001000111111001000001100000010000100001111111011111110000000000001011100000000011110101
+0000000000100100000000011011010011111101001010010000001110100010111110110001011000000111011001011111001100000101001000010000010101110100100000111110100111010010000010111001100011111001000000010000010000100100111111011111101000000000001011110000000011110101
+0000000000100100000000011011010011111101001010000000001110100101111110110001000000000111011011011111001011110101001000010011000001110100011010101110100111000101000010111001111111111000111111010000010000100111111111011111011100000000001100010000000011110100
+0000000000100100000000011011010011111101001001110000001110101000111110110000101100000111011101101111001011100110001000010101101001110100010100101110100110111000000010111010011111111000111110000000010000101010111111011111010100000000001100100000000011110100
+0000000000100100000000011011010011111101001001100000001110101011111110110000011000000111011111101111001011010111001000011000010101110100001110101110100110101011000010111010111011111000111101000000010000101101111111011111001100000000001101000000000011110011
+0000000000100101000000011011010011111101001001000000001110101110111110110000000100000111100001111111001011000111001000011011000001110100001000101110100110011111000010111011010111111000111011110000010000110000111111011111000100000000001101010000000011110011
+0000000000100101000000011011010011111101001000110000001110110000111110101111110000000111100011111111001010111000001000011101101001110100000010011110100110010010000010111011110011111000111010110000010000110011111111011110111000000000001101110000000011110010
+0000000000100101000000011011010011111101001000100000001110110011111110101111011100000111100110001111001010101001001000100000010101110011111100011110100110000101000010111100001111111000111001110000010000110110111111011110110000000000001110000000000011110010
+0000000000100101000000011011010011111101001000010000001110110110111110101111001000000111101000001111001010011010001000100011000001110011110110001110100101111001000010111100101011111000111000100000010000111001111111011110101000000000001110100000000011110001
+0000000000100101000000011011010011111101001000000000001110111001111110101110110100000111101010011111001010001010001000100101101101110011101111111110100101101101000010111101000111111000110111100000010000111100111111011110100000000000001110110000000011110001
+0000000000100101000000011011010011111101000111100000001110111100111110101110100000000111101100011111001001111011001000101000010101110011101001101110100101100000000010111101011111111000110110100000010000111111111111011110011000000000001111010000000011110000
+0000000000100110000000011011010011111101000111010000001110111111111110101110001100000111101110011111001001101100001000101011000001110011100011011110100101010100000010111101111011111000110101010000010001000010111111011110001100000000001111100000000011110000
+0000000000100110000000011011010011111101000111000000001111000001111110101101111000000111110000101111001001011101001000101101101101110011011101001110100101001000000010111110010111111000110100010000010001000101111111011110000100000000010000000000000011101111
+0000000000100110000000011011010111111101000110110000001111000100111110101101100100000111110010101111001001001110001000110000011001110011010110111110100100111100000010111110110011111000110011010000010001001000111111011101111100000000010000010000000011101111
+0000000000100110000000011011010111111101000110010000001111000111111110101101010000000111110100101111001000111111001000110011000101110011010000101110100100110000000010111111001111111000110010000000010001001011111111011101110100000000010000100000000011101110
+0000000000100110000000011011010111111101000110000000001111001010111110101100111100000111110110111111001000101111001000110101110001110011001010011110100100100100000010111111100111111000110001000000010001001110111111011101101100000000010001000000000011101101
+0000000000100111000000011011010111111101000101110000001111001101111110101100101000000111111000111111001000100000001000111000011101110011000100001110100100011000000011000000000011111000110000000000010001010001111111011101100000000000010001010000000011101101
+0000000000100111000000011011010111111101000101100000001111010000111110101100010100000111111010111111001000010001001000111011001001110010111101101110100100001100000011000000011111111000101111000000010001010100111111011101011000000000010001110000000011101100
+0000000000100111000000011011010111111101000101010000001111010010111110101100000000000111111101001111001000000010001000111101110101110010110111011110100100000001000011000000110111111000101110000000010001010111111111011101010000000000010010000000000011101100
+0000000000100111000000011011010111111101000101000000001111010101111110101011101100000111111111001111000111110011001001000000100001110010110000111110100011110101000011000001010011111000101100110000010001011010111111011101001000000000010010100000000011101011
+0000000000100111000000011011010111111101000100100000001111011000111110101011011000001000000001001111000111100100001001000011001101110010101010011110100011101001000011000001101011111000101011110000010001011101111111011101000000000000010010110000000011101011
+0000000000101000000000011011010111111101000100010000001111011011111110101011000100001000000011011111000111010101001001000101111001110010100011111110100011011110000011000010000111111000101010110000010001011111111111011100111000000000010011010000000011101010
+0000000000101000000000011011010111111101000100000000001111011110111110101010110000001000000101011111000111000110001001001000100101110010011101101110100011010011000011000010011111111000101001110000010001100010111111011100110000000000010011100000000011101010
+0000000000101000000000011011010111111101000011110000001111100000111110101010011100001000000111011111000110110111001001001011010001110010010111001110100011000111000011000010110111111000101000110000010001100101111111011100100100000000010100000000000011101001
+0000000000101000000000011011010111111101000011100000001111100011111110101010001000001000001001011111000110101000001001001110000001110010010000101110100010111100000011000011010011111000100111110000010001101000111111011100011100000000010100010000000011101001
+0000000000101000000000011011010111111101000011010000001111100110111110101001111000001000001011101111000110011001001001010000101101110010001001111110100010110001000011000011101011111000100110110000010001101011111111011100010100000000010100100000000011101000
+0000000000101001000000011011010111111101000010110000001111101001111110101001100100001000001101101111000110001010001001010011011001110010000011011110100010100110000011000100000011111000100101110000010001101110111111011100001100000000010101000000000011101000
+0000000000101001000000011011010111111101000010100000001111101011111110101001010000001000001111101111000101111011001001010110000101110001111100111110100010011011000011000100011111111000100100110000010001110000111111011100000100000000010101010000000011100111
+0000000000101001000000011011010111111101000010010000001111101110111110101000111100001000010001101111000101101100001001011000110101110001110110011110100010010000000011000100110111111000100011110000010001110011111111011011111100000000010101110000000011100111
+0000000000101001000000011011010111111101000010000000001111110001111110101000101000001000010011111111000101011101001001011011100001110001101111101110100010000101000011000101001111111000100010110000010001110110111111011011110100000000010110000000000011100110
+0000000000101010000000011011010111111101000001110000001111110100111110101000010100001000010101111111000101001110001001011110001101110001101001001110100001111010000011000101100111111000100001110000010001111001111111011011101100000000010110100000000011100110
+0000000000101010000000011011010111111101000001100000001111110110111110101000000000001000010111111111000100111111001001100000111101110001100010011110100001110000000011000101111111111000100000110000010001111100111111011011100100000000010110110000000011100101
+0000000000101010000000011011010111111101000001010000001111111001111110100111101100001000011001111111000100110000001001100011101001110001011011101110100001100101000011000110010111111000011111110000010001111110111111011011011100000000010111010000000011100101
+0000000000101010000000011011010111111101000000110000001111111100111110100111011100001000011011111111000100100001001001100110010101110001010100111110100001011010000011000110101111111000011110110000010010000001111111011011010100000000010111100000000011100100
+0000000000101010000000011011010111111101000000100000001111111110111110100111001000001000011101111111000100010011001001101001000101110001001110001110100001010000000011000111000111111000011110000000010010000100111111011011001100000000010111110000000011100011
+0000000000101011000000011011010111111101000000010000010000000001111110100110110100001000011111111111000100000100001001101011110001110001000111011110100001000110000011000111011111111000011101000000010010000110111111011011000000000000011000010000000011100011
+0000000000101011000000011011010111111101000000000000010000000100111110100110100000001000100001111111000011110101001001101110100001110001000000101110100000111011000011000111110111111000011100000000010010001001111111011010111000000000011000100000000011100010
+0000000000101011000000011011010111111100111111110000010000000110111110100110001100001000100100001111000011100110001001110001001101110000111001111110100000110001000011001000001111111000011011000000010010001100111111011010110000000000011001000000000011100010
+0000000000101011000000011011010111111100111111100000010000001001111110100101111100001000100110001111000011010111001001110011111001110000110011001110100000100111000011001000100111111000011010000000010010001110111111011010101000000000011001010000000011100001
+0000000000101011000000011011010111111100111111010000010000001100111110100101101000001000101000001111000011001001001001110110101001110000101100011110100000011101000011001000111111111000011001010000010010010001111111011010100000000000011001100000000011100001
+0000000000101100000000011011010111111100111111000000010000001110111110100101010100001000101010001111000010111010001001111001010101110000100101011110100000010011000011001001010111111000011000010000010010010100111111011010011000000000011010000000000011100000
+0000000000101100000000011011010111111100111110110000010000010001111110100101000000001000101100001111000010101011001001111100000101110000011110101110100000001001000011001001101011111000010111010000010010010110111111011010010000000000011010010000000011100000
+0000000000101100000000011011010111111100111110100000010000010100111110100100101100001000101110001111000010011101001001111110110101110000010111101110011111111111000011001010000011111000010110100000010010011001111111011010001000000000011010110000000011011111
+0000000000101100000000011011010111111100111110000000010000010110111110100100011100001000110000001111000010001110001010000001100001110000010000101110011111110101000011001010011011111000010101100000010010011011111111011010000000000000011011000000000011011111
+0000000000101100000000011011010111111100111101110000010000011001111110100100001000001000110010001111000001111111001010000100010001110000001001111110011111101100000011001010101111111000010100100000010010011110111111011001111000000000011011010000000011011110
+0000000000101101000000011011010111111100111101100000010000011100111110100011110100001000110100001111000001110001001010000110111101110000000010111110011111100010000011001011000111111000010011110000010010100001111111011001110000000000011011110000000011011110
+0000000000101101000000011011010111111100111101010000010000011110111110100011100000001000110110001111000001100010001010001001101101101111111011111110011111011000000011001011011011111000010010110000010010100011111111011001101000000000011100000000000011011101
+0000000000101101000000011011010111111100111101000000010000100001111110100011010000001000111000001111000001010100001010001100011101101111110100111110011111001111000011001011110011111000010010000000010010100110111111011001100100000000011100100000000011011101
+0000000000101101000000011011010111111100111100110000010000100100111110100010111100001000111010001111000001000101001010001111001001101111101101111110011111000110000011001100000111111000010001000000010010101000111111011001011100000000011100110000000011011100
+0000000000101110000000011011010111111100111100100000010000100110111110100010101000001000111100001111000000110110001010010001111001101111100110111110011110111100000011001100011111111000010000010000010010101011111111011001010100000000011101000000000011011100
+0000000000101110000000011011010111111100111100010000010000101001111110100010011000001000111110001111000000101000001010010100101001101111011111111110011110110011000011001100110011111000001111010000010010101101111111011001001100000000011101100000000011011011
+0000000000101110000000011011010111111100111100000000010000101011111110100010000100001000111111111111000000011001001010010111011001101111011000101110011110101010000011001101001011111000001110100000010010110000111111011001000100000000011101110000000011011011
+0000000000101110000000011011010011111100111011110000010000101110111110100001110000001001000001111111000000001011001010011010000101101111010001101110011110100001000011001101011111111000001101100000010010110010111111011000111100000000011110010000000011011010
+0000000000101110000000011011010011111100111011100000010000110001111110100001100000001001000011111110111111111100001010011100110101101111001010011110011110011000000011001101110011111000001100110000010010110101111111011000110100000000011110100000000011011010
+0000000000101111000000011011010011111100111011010000010000110011111110100001001100001001000101111110111111101110001010011111100101101111000011011110011110001111000011001110000111111000001011110000010010110111111111011000101100000000011110110000000011011001
+0000000000101111000000011011010011111100111011000000010000110110111110100000111000001001000111111110111111100000001010100010010101101110111100001110011110000110000011001110011111111000001011000000010010111010111111011000100100000000011111010000000011011001
+0000000000101111000000011011010011111100111010110000010000111000111110100000101000001001001001111110111111010001001010100101000001101110110100111110011101111101000011001110110011111000001010010000010010111100111111011000011100000000011111100000000011011000
+0000000000101111000000011011010011111100111010100000010000111011111110100000010100001001001011111110111111000011001010100111110001101110101101111110011101110100000011001111000111111000001001010000010010111110111111011000010100000000011111110000000011010111
+0000000000110000000000011011010011111100111010010000010000111101111110100000000100001001001101101110111110110100001010101010100001101110100110101110011101101100000011001111011011111000001000100000010011000001111111011000001100000000100000010000000011010111
+0000000000110000000000011011010011111100111010000000010001000000111110011111110000001001001111101110111110100110001010101101010001101110011111011110011101100011000011001111101111111000000111110000010011000011111111011000000100000000100000100000000011010110
+0000000000110000000000011011010011111100111001110000010001000010111110011111011100001001010001101110111110011000001010110000000001101110011000001110011101011011000011010000000011111000000110110000010011000110111111011000000000000000100001000000000011010110
+0000000000110000000000011011010011111100111001100000010001000101111110011111001100001001010011101110111110001001001010110010110001101110010000111110011101010010000011010000010111111000000110000000010011001000111111010111111000000000100001010000000011010101
+0000000000110001000000011011010011111100111001010000010001000111111110011110111000001001010101011110111101111011001010110101100001101110001001011110011101001010000011010000101011111000000101010000010011001010111111010111110000000000100001100000000011010101
+0000000000110001000000011011010011111100111001000000010001001010111110011110101000001001010111011110111101101101001010111000010001101110000010001110011101000010000011010000111111111000000100100000010011001101111111010111101000000000100010000000000011010100
+0000000000110001000000011011010011111100111000110000010001001100111110011110010100001001011001011110111101011111001010111011000001101101111010111110011100111001000011010001010011111000000011110000010011001111111111010111100000000000100010010000000011010100
+0000000000110001000000011011010011111100111000100000010001001111111110011110000100001001011011001110111101010001001010111101110001101101110011011110011100110001000011010001100111111000000010110000010011010001111111010111011000000000100010100000000011010011
+0000000000110010000000011011010011111100111000010000010001010001111110011101110000001001011101001110111101000010001011000000100001101101101100001110011100101001000011010001110111111000000010000000010011010100111111010111010100000000100011000000000011010011
+0000000000110010000000011011001111111100111000000000010001010100111110011101011100001001011111001110111100110100001011000011010001101101100100101110011100100001000011010010001011111000000001010000010011010110111111010111001100000000100011010000000011010010
+0000000000110010000000011011001111111100110111110000010001010110111110011101001100001001100000111110111100100110001011000110000001101101011101001110011100011010000011010010011111111000000000100000010011011000111111010111000100000000100011100000000011010010
+0000000000110010000000011011001111111100110111100000010001011001111110011100111000001001100010111110111100011000001011001000110001101101010101111110011100010010000011010010110011110111111111110000010011011010111111010110111100000000100100000000000011010001
+0000000000110010000000011011001111111100110111010000010001011011111110011100101000001001100100111110111100001010001011001011100001101101001110011110011100001010000011010011000011110111111111000000010011011101111111010110110100000000100100010000000011010001
+0000000000110011000000011011001111111100110111000000010001011110111110011100011000001001100110101110111011111100001011001110010001101101000110111110011100000010000011010011010111110111111110010000010011011111111111010110101100000000100100100000000011010000
+0000000000110011000000011011001111111100110110110000010001100000111110011100000100001001101000101110111011101110001011010001000001101100111111011110011011111011000011010011100111110111111101100000010011100001111111010110101000000000100101000000000011010000
+0000000000110011000000011011001111111100110110100000010001100011111110011011110100001001101010011110111011100000001011010011110001101100110111111110011011110011000011010011111011110111111100110000010011100011111111010110100000000000100101010000000011001111
+0000000000110011000000011011001111111100110110010000010001100101111110011011100000001001101100011110111011010010001011010110100001101100110000011110011011101100000011010100001111110111111100000000010011100101111111010110011000000000100101100000000011001111
+0000000000110100000000011011001111111100110110000000010001101000111110011011010000001001101110011110111011000100001011011001010001101100101000101110011011100100000011010100011111110111111011010000010011101000111111010110010000000000100110000000000011001110
+0000000000110100000000011011001111111100110110000000010001101010111110011010111100001001110000001110111010110110001011011100000001101100100001001110011011011101000011010100101111110111111010100000010011101010111111010110001100000000100110010000000011001110
+0000000000110100000000011011001011111100110101110000010001101100111110011010101100001001110010001110111010101000001011011110110001101100011001101110011011010110000011010101000011110111111001110000010011101100111111010110000100000000100110100000000011001101
+0000000000110100000000011011001011111100110101100000010001101111111110011010011100001001110011111110111010011010001011100001100001101100010001111110011011001111000011010101010011110111111001000000010011101110111111010101111100000000100110110000000011001101
+0000000000110101000000011011001011111100110101010000010001110001111110011010001000001001110101101110111010001100001011100100010001101100001010011110011011001000000011010101100011110111111000010000010011110000111111010101110100000000100111010000000011001100
+0000000000110101000000011011001011111100110101000000010001110100111110011001111000001001110111101110111001111110001011100111000101101100000010101110011011000001000011010101110111110111110111110000010011110010111111010101110000000000100111100000000011001100
+0000000000110101000000011011001011111100110100110000010001110110111110011001100100001001111001011110111001110001001011101001110101101011111010111110011010111010000011010110000111110111110111000000010011110100111111010101101000000000100111110000000011001011
+0000000000110101000000011011001011111100110100100000010001111000111110011001010100001001111011011110111001100011001011101100100101101011110011011110011010110011000011010110010111110111110110010000010011110111111111010101100000000000101000010000000011001011
+0000000000110110000000011011001011111100110100010000010001111011111110011001000100001001111101001110111001010101001011101111010101101011101011101110011010101100000011010110100111110111110101100000010011111001111111010101011000000000101000100000000011001010
+0000000000110110000000011011001011111100110100000000010001111101111110011000110000001001111111001110111001000111001011110010000101101011100011111110011010100101000011010110110111110111110101000000010011111011111111010101010100000000101000110000000011001010
+0000000000110110000000011011000111111100110100000000010001111111111110011000100000001010000000111110111000111010001011110100111001101011011100001110011010011111000011010111001011110111110100010000010011111101111111010101001100000000101001010000000011001001
+0000000000110110000000011011000111111100110011110000010010000010111110011000010000001010000010101110111000101100001011110111101001101011010100011110011010011000000011010111011011110111110011100000010011111111111111010101000100000000101001100000000011001001
+0000000000110111000000011011000111111100110011100000010010000100111110010111111100001010000100101110111000011110001011111010011001101011001100011110011010010010000011010111101011110111110010110000010100000001111111010101000000000000101001110000000011001000
+0000000000110111000000011011000111111100110011010000010010000110111110010111101100001010000110011110111000010001001011111101001001101011000100101110011010001011000011010111111011110111110010010000010100000011111111010100111000000000101010000000000011001000
+0000000000110111000000011011000111111100110011000000010010001001111110010111011100001010001000001110111000000011001011111111111001101010111100111110011010000101000011011000001011110111110001100000010100000101111111010100110000000000101010100000000011000111
+0000000000110111000000011011000111111100110010110000010010001011111110010111001100001010001001111110110111110101001100000010101101101010110101001110011001111111000011011000010111110111110001000000010100000111111111010100101100000000101010110000000011000111
+0000000000111000000000011011000111111100110010100000010010001101111110010110111000001010001011111110110111101000001100000101011101101010101101001110011001111001000011011000100111110111110000010000010100001001111111010100100100000000101011000000000011000110
+0000000000111000000000011011000011111100110010100000010010010000111110010110101000001010001101101110110111011010001100001000001101101010100101011110011001110011000011011000110111110111101111100000010100001011111111010100011100000000101011100000000011000110
+0000000000111000000000011011000011111100110010010000010010010010111110010110011000001010001111011110110111001101001100001011000001101010011101011110011001101101000011011001000111110111101111000000010100001101111111010100011000000000101011110000000011000101
+0000000000111000000000011011000011111100110010000000010010010100111110010110001000001010010001001110110110111111001100001101110001101010010101011110011001100111000011011001010111110111101110010000010100001111111111010100010000000000101100000000000011000101
+0000000000111001000000011011000011111100110001110000010010010110111110010101110100001010010011001110110110110010001100010000100001101010001101011110011001100001000011011001100011110111101101110000010100010001111111010100001000000000101100010000000011000100
+0000000000111001000000011011000011111100110001100000010010011001111110010101100100001010010100111110110110100101001100010011010001101010000101101110011001011011000011011001110011110111101101000000010100010010111111010100000100000000101100110000000011000100
+0000000000111001000000011011000011111100110001010000010010011011111110010101010100001010010110101110110110010111001100010110000101101001111101101110011001010101000011011010000011110111101100100000010100010100111111010011111100000000101101000000000011000011
+0000000000111001000000011011000011111100110001010000010010011101111110010101000100001010011000011110110110001010001100011000110101101001110101101110011001010000000011011010001111110111101011110000010100010110111111010011111000000000101101010000000011000011
+0000000000111010000000011010111111111100110001000000010010011111111110010100110100001010011010001110110101111100001100011011100101101001101101101110011001001010000011011010011111110111101011010000010100011000111111010011110000000000101101100000000011000010
+0000000000111010000000011010111111111100110000110000010010100010111110010100100100001010011011111110110101101111001100011110011001101001100101101110011001000100000011011010101011110111101010110000010100011010111111010011101000000000101110000000000011000010
+0000000000111010000000011010111111111100110000100000010010100100111110010100010000001010011101101110110101100010001100100001001001101001011101011110011000111111000011011010111011110111101010000000010100011100111111010011100100000000101110010000000011000001
+0000000000111010000000011010111111111100110000010000010010100110111110010100000000001010011111011110110101010101001100100011111001101001010101011110011000111010000011011011000111110111101001100000010100011110111111010011011100000000101110100000000011000001
+0000000000111011000000011010111111111100110000010000010010101000111110010011110000001010100001001110110101000111001100100110101101101001001101011110011000110100000011011011010111110111101001000000010100011111111111010011011000000000101110110000000011000000
+0000000000111011000000011010111011111100110000000000010010101011111110010011100000001010100011001110110100111010001100101001011101101001000101001110011000101111000011011011100011110111101000010000010100100001111111010011010000000000101111010000000011000000
+0000000000111011000000011010111011111100101111110000010010101101111110010011010000001010100100111110110100101101001100101100001101101000111101001110011000101010000011011011101111110111100111110000010100100011111111010011001100000000101111100000000010111111
+0000000000111011000000011010111011111100101111100000010010101111111110010011000000001010100110101110110100100000001100101111000001101000110100111110011000100101000011011011111111110111100111010000010100100101111111010011000100000000101111110000000010111111
+0000000000111100000000011010111011111100101111100000010010110001111110010010110000001010101000001110110100010011001100110001110001101000101100111110011000100000000011011100001011110111100110100000010100100111111111010011000000000000110000000000000010111110
+0000000000111100000000011010111011111100101111010000010010110011111110010010100000001010101001111110110100000110001100110100100101101000100100101110011000011011000011011100010111110111100110000000010100101000111111010010111000000000110000010000000010111110
+0000000000111100000000011010110111111100101111000000010010110101111110010010010000001010101011101110110011111001001100110111010101101000011100011110011000010110000011011100100011110111100101100000010100101010111111010010110000000000110000110000000010111101
+0000000000111100000000011010110111111100101110110000010010111000111110010010000000001010101101011110110011101100001100111010000101101000010100001110011000010001000011011100110011110111100101000000010100101100111111010010101100000000110001000000000010111101
+0000000000111101000000011010110111111100101110110000010010111010111110010001110000001010101111001110110011011111001100111100111001101000001011111110011000001101000011011100111111110111100100100000010100101101111111010010100100000000110001010000000010111100
+0000000000111101000000011010110111111100101110100000010010111100111110010001100000001010110000111110110011010010001100111111101001101000000011101110011000001000000011011101001011110111100100000000010100101111111111010010100000000000110001100000000010111100
+0000000000111101000000011010110111111100101110010000010010111110111110010001010000001010110010101110110011000101001101000010011101100111111011011110011000000011000011011101010111110111100011100000010100110001111111010010011000000000110010000000000010111011
+0000000000111110000000011010110011111100101110000000010011000000111110010001000000001010110100011110110010111000001101000101001101100111110011001110010111111111000011011101100011110111100010110000010100110010111111010010010100000000110010010000000010111011
+0000000000111110000000011010110011111100101110000000010011000010111110010000110000001010110110001110110010101011001101000111111101100111101010111110010111111010000011011101101111110111100010010000010100110100111111010010001100000000110010100000000010111010
+0000000000111110000000011010110011111100101101110000010011000100111110010000100000001010110111101110110010011110001101001010110001100111100010101110010111110110000011011101111011110111100001110000010100110110111111010010001000000000110010110000000010111010
+0000000000111110000000011010110011111100101101100000010011000110111110010000010000001010111001011110110010010010001101001101100001100111011010001110010111110010000011011110000111110111100001010000010100110111111111010010000100000000110011000000000010111001
+0000000000111111000000011010110011111100101101100000010011001000111110010000000000001010111011001110110010000101001101010000010101100111010001111110010111101110000011011110010011110111100000110000010100111001111111010001111100000000110011100000000010111001
+0000000000111111000000011010101111111100101101010000010011001011111110001111110000001010111100111110110001111000001101010011000101100111001001011110010111101001000011011110011011110111100000010000010100111011111111010001111000000000110011110000000010111000
+0000000000111111000000011010101111111100101101000000010011001101111110001111100000001010111110011110110001101011001101010101110101100111000001001110010111100101000011011110100111110111011111110000010100111100111111010001110000000000110100000000000010111000
+0000000000111111000000011010101111111100101101000000010011001111111110001111010000001011000000001110110001011111001101011000101001100110111000101110010111100001000011011110110011110111011111010000010100111110111111010001101100000000110100010000000010110111
+0000000001000000000000011010101111111100101100110000010011010001111110001111000100001011000001111110110001010010001101011011011001100110110000011110010111011101000011011110111111110111011111000000010100111111111111010001100100000000110100100000000010110111
+0000000001000000000000011010101011111100101100100000010011010011111110001110110100001011000011011110110001000101001101011110001101100110100111111110010111011010000011011111000111110111011110100000010101000001111111010001100000000000110100110000000010110110
+0000000001000000000000011010101011111100101100100000010011010101111110001110100100001011000101001110110000111001001101100000111101100110011111011110010111010110000011011111010011110111011110000000010101000010111111010001011000000000110101010000000010110110
+0000000001000001000000011010101011111100101100010000010011010111111110001110010100001011000110111110110000101100001101100011101101100110010110111110010111010010000011011111011111110111011101100000010101000100111111010001010100000000110101100000000010110101
+0000000001000001000000011010101011111100101100000000010011011001111110001110000100001011001000011110110000100000001101100110100001100110001110011110010111001110000011011111100111110111011101000000010101000101111111010001010000000000110101110000000010110101
+0000000001000001000000011010100111111100101100000000010011011011111110001101110100001011001010001110110000010011001101101001010001100110000101111110010111001011000011011111110011110111011100100000010101000111111111010001001000000000110110000000000010110100
+0000000001000001000000011010100111111100101011110000010011011101111110001101101000001011001011101110110000000111001101101100000101100101111101011110010111000111000011011111111011110111011100010000010101001000111111010001000100000000110110010000000010110100
+0000000001000010000000011010100111111100101011100000010011011111111110001101011000001011001101011110101111111011001101101110110101100101110100111110010111000100000011100000000111110111011011110000010101001010111111010000111100000000110110100000000010110011
+0000000001000010000000011010100111111100101011100000010011100001111110001101001000001011001110111110101111101110001101110001101001100101101100011110010111000001000011100000001111110111011011010000010101001011111111010000111000000000110111000000000010110011
+0000000001000010000000011010100011111100101011010000010011100011111110001100111000001011010000101110101111100010001101110100011001100101100011101110010110111101000011100000010111110111011010110000010101001101111111010000110100000000110111010000000010110010
+0000000001000011000000011010100011111100101011000000010011100101111110001100101100001011010010001110101111010110001101110111001001100101011011001110010110111010000011100000100011110111011010100000010101001110111111010000101100000000110111100000000010110010
+0000000001000011000000011010100011111100101011000000010011100111111110001100011100001011010011111110101111001001001101111001111101100101010010011110010110110111000011100000101011110111011010000000010101010000111111010000101000000000110111110000000010110001
+0000000001000011000000011010100011111100101010110000010011101001111110001100001100001011010101011110101110111101001101111100101101100101001001111110010110110100000011100000110011110111011001100000010101010001111111010000100100000000111000000000000010110001
+0000000001000011000000011010011111111100101010110000010011101010111110001011111100001011010111001110101110110001001101111111100001100101000001001110010110110001000011100000111011110111011001010000010101010010111111010000011100000000111000010000000010110000
+0000000001000100000000011010011111111100101010100000010011101100111110001011110000001011011000101110101110100101001110000010010001100100111000101110010110101110000011100001000111110111011000110000010101010100111111010000011000000000111000110000000010110000
+0000000001000100000000011010011111111100101010010000010011101110111110001011100000001011011010001110101110011000001110000101000101100100101111111110010110101011000011100001001111110111011000100000010101010101111111010000010100000000111001000000000010101111
+0000000001000100000000011010011111111100101010010000010011110000111110001011010000001011011011111110101110001100001110000111110101100100100111001110010110101000000011100001010111110111011000000000010101010111111111010000001100000000111001010000000010101111
+0000000001000101000000011010011011111100101010000000010011110010111110001011000100001011011101011110101110000000001110001010100101100100011110011110010110100101000011100001011111110111010111110000010101011000111111010000001000000000111001100000000010101110
+0000000001000101000000011010011011111100101010000000010011110100111110001010110100001011011110111110101101110100001110001101011001100100010101101110010110100011000011100001100111110111010111010000010101011001111111010000000100000000111001110000000010101110
+0000000001000101000000011010011011111100101001110000010011110110111110001010101000001011100000011110101101101000001110010000001001100100001100111110010110100000000011100001101111110111010111000000010101011010111111001111111100000000111010000000000010101110
+0000000001000101000000011010010111111100101001110000010011111000111110001010011000001011100010001110101101011100001110010010111101100100000100001110010110011110000011100001110111110111010110100000010101011100111111001111111000000000111010010000000010101101
+0000000001000110000000011010010111111100101001100000010011111010111110001010001000001011100011101110101101010000001110010101101101100011111011011110010110011011000011100001111111110111010110010000010101011101111111001111110100000000111010100000000010101101
+0000000001000110000000011010010111111100101001010000010011111011111110001001111100001011100101001110101101000100001110011000011101100011110010101110010110011001000011100010000111110111010101110000010101011110111111001111110000000000111011000000000010101100
+0000000001000110000000011010010011111100101001010000010011111101111110001001101100001011100110101110101100111001001110011011010001100011101001111110010110010111000011100010001111110111010101100000010101100000111111001111101000000000111011010000000010101100
+0000000001000111000000011010010011111100101001000000010011111111111110001001100000001011101000011110101100101101001110011110000001100011100001001110010110010100000011100010010111110111010101000000010101100001111111001111100100000000111011100000000010101011
+0000000001000111000000011010010011111100101001000000010100000001111110001001010000001011101001111110101100100001001110100000110101100011011000001110010110010010000011100010011011110111010100110000010101100010111111001111100000000000111011110000000010101011
+0000000001000111000000011010010011111100101000110000010100000011111110001001000100001011101011011110101100010101001110100011100101100011001111011110010110010000000011100010100011110111010100100000010101100011111111001111011100000000111100000000000010101010
+0000000001000111000000011010001111111100101000110000010100000100111110001000110100001011101100111110101100001010001110100110010101100011000110011110010110001110000011100010101011110111010100000000010101100100111111001111010100000000111100010000000010101010
+0000000001001000000000011010001111111100101000100000010100000110111110001000101000001011101110011110101011111110001110101001001001100010111101101110010110001100000011100010101111110111010011110000010101100110111111001111010000000000111100100000000010101001
+0000000001001000000000011010001111111100101000100000010100001000111110001000011000001011101111111110101011110010001110101011111001100010110100101110010110001010000011100010110111110111010011100000010101100111111111001111001100000000111100110000000010101001
+0000000001001000000000011010001011111100101000010000010100001010111110001000001100001011110001011110101011100111001110101110101001100010101011101110010110001000000011100010111111110111010011010000010101101000111111001111001000000000111101000000000010101000
+0000000001001001000000011010001011111100101000010000010100001100111110000111111100001011110010111110101011011011001110110001011101100010100010111110010110000111000011100011000011110111010010110000010101101001111111001111000100000000111101010000000010101000
+0000000001001001000000011010001011111100101000000000010100001101111110000111110000001011110100011110101011010000001110110100001101100010011001111110010110000101000011100011001011110111010010100000010101101010111111001110111100000000111101110000000010100111
+0000000001001001000000011010000111111100101000000000010100001111111110000111100100001011110101111110101011000100001110110110111101100010010000111110010110000011000011100011001111110111010010010000010101101011111111001110111000000000111110000000000010100111
+0000000001001010000000011010000111111100100111110000010100010001111110000111010100001011110111011110101010111001001110111001110001100010000111111110010110000010000011100011010111110111010010000000010101101101111111001110110100000000111110010000000010100110
+0000000001001010000000011010000111111100100111110000010100010011111110000111001000001011111000111110101010101101001110111100100001100001111110111110010110000000000011100011011011110111010001110000010101101110111111001110110000000000111110100000000010100110
+0000000001001010000000011010000011111100100111100000010100010100111110000110111000001011111010011110101010100010001110111111010001100001110101111110010101111111000011100011100011110111010001100000010101101111111111001110101100000000111110110000000010100101
+0000000001001010000000011010000011111100100111100000010100010110111110000110101100001011111011111110101010010110001111000010000101100001101100111110010101111110000011100011100111110111010001000000010101110000111111001110100100000000111111000000000010100101
+0000000001001011000000011010000011111100100111010000010100011000111110000110100000001011111101001110101010001011001111000100110101100001100011111110010101111100000011100011101011110111010000110000010101110001111111001110100000000000111111010000000010100101
+0000000001001011000000011001111111111100100111010000010100011001111110000110010000001011111110101110101010000000001111000111100101100001011010111110010101111011000011100011110011110111010000100000010101110010111111001110011100000000111111100000000010100100
+0000000001001011000000011001111111111100100111010000010100011011111110000110000100001100000000001110101001110101001111001010011001100001010001101110010101111010000011100011110111110111010000010000010101110011111111001110011000000000111111110000000010100100
+0000000001001100000000011001111111111100100111000000010100011101111110000101111000001100000001101110101001101001001111001101001001100001001000101110010101111001000011100011111011110111010000000000010101110100111111001110010100000001000000000000000010100011
+0000000001001100000000011001111011111100100111000000010100011110111110000101101100001100000011001110101001011110001111001111111001100000111111101110010101111000000011100011111111110111001111110000010101110101111111001110010000000001000000010000000010100011
+0000000001001100000000011001111011111100100110110000010100100000111110000101011100001100000100011110101001010011001111010010101001100000110110011110010101110111000011100100000011110111001111100000010101110110111111001110001100000001000000100000000010100010
+0000000001001101000000011001110111111100100110110000010100100010111110000101010000001100000101111110101001001000001111010101011101100000101101001110010101110110000011100100000111110111001111010000010101110111111111001110001000000001000000110000000010100010
+0000000001001101000000011001110111111100100110100000010100100011111110000101000100001100000111011110101000111101001111011000001101100000100100001110010101110101000011100100001011110111001111000000010101111000111111001110000000000001000001000000000010100001
+0000000001001101000000011001110111111100100110100000010100100101111110000100111000001100001000101110101000110010001111011010111101100000011010111110010101110101000011100100001111110111001111000000010101111001111111001101111100000001000001010000000010100001
+0000000001001101000000011001110011111100100110100000010100100110111110000100101000001100001010001110101000100111001111011101101101100000010001111110010101110100000011100100010011110111001110110000010101111010111111001101111000000001000001100000000010100000
+0000000001001110000000011001110011111100100110010000010100101000111110000100011100001100001011101110101000011100001111100000100001100000001000101110010101110011000011100100010111110111001110100000010101111011111111001101110100000001000010000000000010100000
+0000000001001110000000011001110011111100100110010000010100101010111110000100010000001100001100111110101000010001001111100011010001011111111111011110010101110011000011100100011011110111001110010000010101111100111111001101110000000001000010010000000010011111
+0000000001001110000000011001101111111100100110010000010100101011111110000100000100001100001110011110101000000110001111100110000001011111110110001110010101110010000011100100011111110111001110000000010101111101111111001101101100000001000010100000000010011111
+0000000001001111000000011001101111111100100110000000010100101101111110000011111000001100001111101110100111111100001111101000110001011111101100111110010101110010000011100100100011110111001101110000010101111101111111001101101000000001000010110000000010011111
+0000000001001111000000011001101011111100100110000000010100101110111110000011101100001100010001001110100111110001001111101011100001011111100011101110010101110010000011100100100111110111001101110000010101111110111111001101100100000001000011000000000010011110
+0000000001001111000000011001101011111100100101110000010100110000111110000011100000001100010010011110100111100110001111101110010001011111011010011110010101110001000011100100101011110111001101100000010101111111111111001101100000000001000011010000000010011110
+0000000001010000000000011001101011111100100101110000010100110001111110000011010000001100010011111110100111011100001111110001000101011111010001001110010101110001000011100100101011110111001101010000010110000000111111001101011100000001000011100000000010011101
+0000000001010000000000011001100111111100100101110000010100110011111110000011000100001100010101001110100111010001001111110011110101011111000111111110010101110001000011100100101111110111001101000000010110000001111111001101011000000001000011110000000010011101
+0000000001010000000000011001100111111100100101100000010100110100111110000010111000001100010110101110100111000110001111110110100101011110111110011110010101110001000011100100110011110111001101000000010110000010111111001101010100000001000100000000000010011100
+0000000001010001000000011001100111111100100101100000010100110110111110000010101100001100010111111110100110111100001111111001010101011110110101001110010101110001000011100100110011110111001100110000010110000010111111001101010000000001000100010000000010011100
+0000000001010001000000011001100011111100100101100000010100110111111110000010100000001100011001001110100110110001001111111100000101011110101011111110010101110001000011100100110111110111001100100000010110000011111111001101001100000001000100100000000010011011
+0000000001010001000000011001100011111100100101010000010100111001111110000010010100001100011010101110100110100111001111111110110101011110100010011110010101110001000011100100111011110111001100100000010110000100111111001101001000000001000100110000000010011011
+0000000001010010000000011001011111111100100101010000010100111010111110000010001000001100011011111110100110011100010000000001100101011110011001001110010101110001000011100100111011110111001100010000010110000101111111001101000100000001000101000000000010011010
+0000000001010010000000011001011111111100100101010000010100111100111110000001111100001100011101001110100110010010010000000100010101011110001111101110010101110010000011100100111111110111001100010000010110000110111111001101000000000001000101010000000010011010
+0000000001010010000000011001011011111100100101000000010100111101111110000001110000001100011110011110100110001000010000000111000101011110000110011110010101110010000011100100111111110111001100000000010110000110111111001100111100000001000101100000000010011001
+0000000001010011000000011001011011111100100101000000010100111111111110000001100100001100011111111110100101111101010000001001110101011101111100111110010101110010000011100100111111110111001100000000010110000111111111001100111000000001000101110000000010011001
+0000000001010011000000011001011011111100100101000000010101000000111110000001011000001100100001001110100101110011010000001100100101011101110011011110010101110011000011100101000011110111001011110000010110001000111111001100110100000001000110000000000010011001
+0000000001010011000000011001010111111100100101000000010101000010111110000001001100001100100010011110100101101001010000001111010101011101101010001110010101110011000011100101000011110111001011110000010110001000111111001100110000000001000110010000000010011000
+0000000001010100000000011001010111111100100100110000010101000011111110000001000100001100100011101110100101011111010000010010000101011101100000101110010101110100000011100101000011110111001011100000010110001001111111001100101100000001000110100000000010011000
+0000000001010100000000011001010011111100100100110000010101000100111110000000111000001100100100111110100101010100010000010100110101011101010111001110010101110101000011100101000111110111001011100000010110001010111111001100101000000001000110110000000010010111
+0000000001010100000000011001010011111100100100110000010101000110111110000000101100001100100110001110100101001010010000010111100101011101001101101110010101110101000011100101000111110111001011010000010110001011111111001100100100000001000111000000000010010111
+0000000001010101000000011001001111111100100100100000010101000111111110000000100000001100100111101110100101000000010000011010010101011101000100001110010101110110000011100101000111110111001011010000010110001011111111001100100000000001000111010000000010010110
+0000000001010101000000011001001111111100100100100000010101001001111110000000010100001100101000111110100100110110010000011101000101011100111010101110010101110111000011100101000111110111001011000000010110001100111111001100011100000001000111100000000010010110
+0000000001010101000000011001001111111100100100100000010101001010111110000000001000001100101010001110100100101100010000011111110101011100110001001110010101111000000011100101000111110111001011000000010110001100111111001100011000000001000111110000000010010101
+0000000001010101000000011001001011111100100100100000010101001011111101111111111100001100101011011110100100100010010000100010100101011100100111101110010101111001000011100101001011110111001011000000010110001101111111001100010100000001001000000000000010010101
+0000000001010110000000011001001011111100100100010000010101001101111101111111110100001100101100101110100100011001010000100101010101011100011110001110010101111010000011100101001011110111001010110000010110001110111111001100010100000001001000000000000010010101
+0000000001010110000000011001000111111100100100010000010101001110111101111111101000001100101101111110100100001111010000101000000101011100010100011110010101111011000011100101001011110111001010110000010110001110111111001100010000000001001000010000000010010100
+0000000001010110000000011001000111111100100100010000010101001111111101111111011100001100101111001110100100000101010000101010110101011100001010111110010101111100000011100101001011110111001010110000010110001111111111001100001100000001001000100000000010010100
+0000000001010111000000011001000011111100100100010000010101010001111101111111010000001100110000001110100011111011010000101101100101011100000001011110010101111101000011100101001011110111001010110000010110001111111111001100001000000001001000110000000010010011
+0000000001010111000000011001000011111100100100010000010101010010111101111111001000001100110001011110100011110001010000110000010001011011110111101110010101111111000011100101000111110111001010100000010110010000111111001100000100000001001001000000000010010011
+0000000001010111000000011000111111111100100100000000010101010011111101111110111100001100110010101110100011101000010000110011000001011011101110001110010110000000000011100101000111110111001010100000010110010000111111001100000000000001001001010000000010010010
+0000000001011000000000011000111111111100100100000000010101010100111101111110110000001100110011111110100011011110010000110101110001011011100100011110010110000001000011100101000111110111001010100000010110010001111111001011111100000001001001100000000010010010
+0000000001011000000000011000111011111100100100000000010101010110111101111110101000001100110101001110100011010101010000111000100001011011011010111110010110000011000011100101000111110111001010100000010110010001111111001011111100000001001001110000000010010001
+0000000001011000000000011000111011111100100100000000010101010111111101111110011100001100110110011110100011001011010000111011010001011011010001001110010110000101000011100101000111110111001010100000010110010010111111001011111000000001001010000000000010010001
+0000000001011001000000011000111011111100100100000000010101011000111101111110010000001100110111011110100011000010010000111101111101011011000111101110010110000110000011100101000111110111001010010000010110010010111111001011110100000001001010010000000010010001
+0000000001011001000000011000110111111100100011110000010101011001111101111110001000001100111000101110100010111000010001000000101101011010111101111110010110001000000011100101000011110111001010010000010110010011111111001011110000000001001010100000000010010000
+0000000001011001000000011000110111111100100011110000010101011011111101111101111100001100111001111110100010101111010001000011011101011010110100001110010110001010000011100101000011110111001010010000010110010011111111001011101100000001001010110000000010010000
+0000000001011010000000011000110011111100100011110000010101011100111101111101110100001100111010111110100010100101010001000110001001011010101010011110010110001011000011100101000011110111001010010000010110010100111111001011101000000001001011000000000010001111
+0000000001011010000000011000110011111100100011110000010101011101111101111101101000001100111100001110100010011100010001001000111001011010100000101110010110001101000011100100111111110111001010010000010110010100111111001011101000000001001011010000000010001111
+0000000001011010000000011000101111111100100011110000010101011110111101111101011100001100111101011110100010010011010001001011101001011010010110111110010110001111000011100100111111110111001010010000010110010101111111001011100100000001001011100000000010001110
+0000000001011011000000011000101111111100100011110000010101011111111101111101010100001100111110011110100010001010010001001110010101011010001101001110010110010001000011100100111011110111001010010000010110010101111111001011100000000001001011100000000010001110
+0000000001011011000000011000101011111100100011110000010101100001111101111101001000001100111111101110100010000000010001010001000101011010000011011110010110010011000011100100111011110111001010010000010110010101111111001011011100000001001011110000000010001101
+0000000001011100000000011000101011111100100011100000010101100010111101111101000000001101000000101110100001110111010001010011110101011001111001101110010110010101000011100100110111110111001010010000010110010110111111001011011000000001001100000000000010001101
+0000000001011100000000011000100111111100100011100000010101100011111101111100110100001101000001111110100001101110010001010110100001011001101111111110010110011000000011100100110111110111001010010000010110010110111111001011011000000001001100010000000010001101
+0000000001011100000000011000100111111100100011100000010101100100111101111100101100001101000010111110100001100101010001011001010001011001100110001110010110011010000011100100110011110111001010010000010110010111111111001011010100000001001100100000000010001100
+0000000001011101000000011000100011111100100011100000010101100101111101111100100000001101000100001110100001011100010001011011111101011001011100011110010110011100000011100100101111110111001010010000010110010111111111001011010000000001001100110000000010001100
+0000000001011101000000011000100011111100100011100000010101100110111101111100011000001101000101001110100001010011010001011110101101011001010010101110010110011111000011100100101111110111001010100000010110010111111111001011001100000001001101000000000010001011
+0000000001011101000000011000011111111100100011100000010101100111111101111100010000001101000110001110100001001010010001100001011001011001001000101110010110100001000011100100101011110111001010100000010110011000111111001011001100000001001101010000000010001011
+0000000001011110000000011000011111111100100011100000010101101000111101111100000100001101000111011110100001000010010001100100001001011000111110111110010110100100000011100100100111110111001010100000010110011000111111001011001000000001001101100000000010001010
+0000000001011110000000011000011011111100100011100000010101101010111101111011111100001101001000011110100000111001010001100110110101011000110100111110010110100110000011100100100111110111001010100000010110011000111111001011000100000001001101110000000010001010
+0000000001011110000000011000010111111100100011100000010101101011111101111011110000001101001001011110100000110000010001101001100001011000101011001110010110101001000011100100100011110111001010100000010110011000111111001011000100000001001101110000000010001010
+0000000001011111000000011000010111111100100011100000010101101100111101111011101000001101001010101110100000100111010001101100010001011000100001001110010110101011000011100100011111110111001010110000010110011001111111001011000000000001001110000000000010001001
+0000000001011111000000011000010011111100100011100000010101101101111101111011100000001101001011101110100000011111010001101110111101011000010111011110010110101110000011100100011011110111001010110000010110011001111111001010111100000001001110010000000010001001
+0000000001011111000000011000010011111100100011100000010101101110111101111011011000001101001100101110100000010110010001110001101101011000001101011110010110110001000011100100010111110111001010110000010110011001111111001010111000000001001110100000000010001000
+0000000001100000000000011000001111111100100011010000010101101111111101111011001100001101001101101110100000001101010001110100011001011000000011101110010110110100000011100100010011110111001010110000010110011001111111001010111000000001001110110000000010001000
+0000000001100000000000011000001111111100100011010000010101110000111101111011000100001101001110111110100000000101010001110111000101010111111001101110010110110111000011100100001111110111001011000000010110011010111111001010110100000001001111000000000010000111
+0000000001100000000000011000001011111100100011010000010101110001111101111010111100001101001111111110011111111100010001111001110001010111101111101110010110111010000011100100001011110111001011000000010110011010111111001010110000000001001111010000000010000111
+0000000001100001000000011000001011111100100011010000010101110010111101111010110000001101010000111110011111110100010001111100100001010111100101101110010110111101000011100100000111110111001011000000010110011010111111001010110000000001001111100000000010000111
+0000000001100001000000011000000111111100100011010000010101110011111101111010101000001101010001111110011111101100010001111111001101010111011011111110010111000000000011100100000011110111001011010000010110011010111111001010101100000001001111100000000010000110
+0000000001100001000000011000000111111100100011010000010101110100111101111010100000001101010010111110011111100011010010000001111001010111010001111110010111000011000011100011111111110111001011010000010110011010111111001010101000000001001111110000000010000110
+0000000001100010000000011000000011111100100011010000010101110101111101111010011000001101010011111110011111011011010010000100100101010111000111111110010111000110000011100011111011110111001011010000010110011010111111001010101000000001010000000000000010000101
+0000000001100010000000010111111111111100100011010000010101110110111101111010010000001101010100111110011111010011010010000111010101010110111101111110010111001010000011100011110111110111001011100000010110011011111111001010100100000001010000010000000010000101
+0000000001100011000000010111111111111100100011010000010101110111111101111010001000001101010101111110011111001011010010001010000001010110110011111110010111001101000011100011101111110111001011100000010110011011111111001010100100000001010000100000000010000100
+0000000001100011000000010111111011111100100011010000010101111000111101111001111100001101010110111110011111000011010010001100101101010110101001111110010111010001000011100011101011110111001011110000010110011011111111001010100000000001010000110000000010000100
+0000000001100011000000010111111011111100100011010000010101111000111101111001110100001101010111111110011110111010010010001111011001010110011111101110010111010100000011100011100111110111001011110000010110011011111111001010011100000001010000110000000010000100
+0000000001100100000000010111110111111100100011010000010101111001111101111001101100001101011000111110011110110010010010010010000101010110010101101110010111011000000011100011100011110111001100000000010110011011111111001010011100000001010001000000000010000011
+0000000001100100000000010111110111111100100011010000010101111010111101111001100100001101011001101110011110101010010010010100110001010110001011101110010111011011000011100011011011110111001100000000010110011011111111001010011000000001010001010000000010000011
+0000000001100100000000010111110011111100100011010000010101111011111101111001011100001101011010101110011110100010010010010111011101010110000001101110010111011111000011100011010111110111001100010000010110011011111111001010011000000001010001100000000010000010
+0000000001100101000000010111101111111100100011100000010101111100111101111001010100001101011011101110011110011011010010011010001001010101110111101110010111100011000011100011001111110111001100100000010110011011111111001010010100000001010001110000000010000010
+0000000001100101000000010111101111111100100011100000010101111101111101111001001100001101011100101110011110010011010010011100110101010101101101011110010111100110000011100011001011110111001100100000010110011011111111001010010000000001010010000000000010000010
+0000000001100101000000010111101011111100100011100000010101111110111101111001000100001101011101101110011110001011010010011111100001010101100011011110010111101010000011100011000111110111001100110000010110011011111111001010010000000001010010000000000010000001
+0000000001100110000000010111101011111100100011100000010101111110111101111000111100001101011110011110011110000011010010100010001101010101011001001110010111101110000011100010111111110111001100110000010110011011111111001010001100000001010010010000000010000001
+0000000001100110000000010111100111111100100011100000010101111111111101111000110100001101011111011110011101111100010010100100111001010101001111001110010111110010000011100010111011110111001101000000010110011011111111001010001100000001010010100000000010000000
+0000000001100111000000010111100011111100100011100000010110000000111101111000101100001101100000011110011101110100010010100111100001010101000100111110010111110110000011100010110011110111001101010000010110011011111111001010001000000001010010110000000010000000
+0000000001100111000000010111100011111100100011100000010110000001111101111000100100001101100001001110011101101100010010101010001101010100111010111110010111111010000011100010101011110111001101010000010110011011111111001010001000000001010011000000000001111111
+0000000001100111000000010111011111111100100011100000010110000010111101111000011100001101100010001110011101100101010010101100111001010100110000101110010111111110000011100010100111110111001101100000010110011011111111001010000100000001010011000000000001111111
+0000000001101000000000010111011111111100100011100000010110000010111101111000010100001101100010111110011101011101010010101111100101010100100110101110011000000010000011100010011111110111001101110000010110011011111111001010000100000001010011010000000001111111
+0000000001101000000000010111011011111100100011100000010110000011111101111000001100001101100011111110011101010110010010110010010001010100011100011110011000000111000011100010010111110111001110000000010110011011111111001010000000000001010011100000000001111110
+0000000001101000000000010111010111111100100011100000010110000100111101111000001000001101100100101110011101001111010010110100111001010100010010001110011000001011000011100010010011110111001110000000010110011011111111001010000000000001010011110000000001111110
+0000000001101001000000010111010111111100100011100000010110000101111101111000000000001101100101101110011101000111010010110111100101010100000111111110011000001111000011100010001011110111001110010000010110011011111111001001111100000001010100000000000001111101
+0000000001101001000000010111010011111100100011110000010110000101111101110111111000001101100110011110011101000000010010111010010001010011111101111110011000010100000011100010000011110111001110100000010110011011111111001001111100000001010100000000000001111101
+0000000001101001000000010111010011111100100011110000010110000110111101110111110000001101100111011110011100111001010010111100111001010011110011101110011000011000000011100001111011110111001110110000010110011011111111001001111000000001010100010000000001111101
+0000000001101010000000010111001111111100100011110000010110000111111101110111101000001101101000001110011100110010010010111111100101010011101001011110011000011101000011100001110011110111001111000000010110011011111111001001111000000001010100100000000001111100
+0000000001101010000000010111001011111100100011110000010110001000111101110111100000001101101000111110011100101010010011000010001101010011011111001110011000100001000011100001101011110111001111010000010110011010111111001001110100000001010100110000000001111100
+0000000001101011000000010111001011111100100011110000010110001000111101110111011100001101101001111110011100100011010011000100111001010011010100111110011000100110000011100001100011110111001111100000010110011010111111001001110100000001010100110000000001111011
+0000000001101011000000010111000111111100100011110000010110001001111101110111010100001101101010101110011100011100010011000111100001010011001010101110011000101011000011100001011011110111001111100000010110011010111111001001110000000001010101000000000001111011
+0000000001101011000000010111000011111100100100000000010110001010111101110111001100001101101011011110011100010101010011001010001101010011000000011110011000110000000011100001010011110111001111110000010110011010111111001001110000000001010101010000000001111011
+0000000001101100000000010111000011111100100100000000010110001010111101110111001000001101101100011110011100001110010011001100110101010010110110001110011000110100000011100001001011110111010000000000010110011010111111001001101100000001010101100000000001111010
+0000000001101100000000010110111111111100100100000000010110001011111101110111000000001101101101001110011100001000010011001111100001010010101011111110011000111001000011100001000011110111010000010000010110011010111111001001101100000001010101110000000001111010
+0000000001101100000000010110111111111100100100000000010110001100111101110110111000001101101101111110011100000001010011010010001001010010100001011110011000111110000011100000111011110111010000100000010110011001111111001001101000000001010101110000000001111001
+0000000001101101000000010110111011111100100100000000010110001100111101110110110100001101101110101110011011111010010011010100110001010010010111001110011001000011000011100000110011110111010000110000010110011001111111001001101000000001010110000000000001111001
+0000000001101101000000010110110111111100100100010000010110001101111101110110101100001101101111011110011011110011010011010111011101010010001100111110011001001000000011100000101011110111010001000000010110011001111111001001101000000001010110010000000001111001
+0000000001101110000000010110110111111100100100010000010110001101111101110110100100001101110000001110011011101101010011011010000101010010000010101110011001001101000011100000100011110111010001010000010110011001111111001001100100000001010110100000000001111000
+0000000001101110000000010110110011111100100100010000010110001110111101110110100000001101110000111110011011100110010011011100101101010001111000001110011001010011000011100000010111110111010001110000010110011000111111001001100100000001010110100000000001111000
+0000000001101110000000010110101111111100100100010000010110001110111101110110011000001101110001101110011011011111010011011111010101010001101101111110011001011000000011100000001111110111010010000000010110011000111111001001100000000001010110110000000001110111
+0000000001101111000000010110101111111100100100010000010110001111111101110110010100001101110010011110011011011001010011100010000001010001100011101110011001011101000011100000000111110111010010010000010110011000111111001001100000000001010111000000000001110111
+0000000001101111000000010110101011111100100100100000010110010000111101110110001100001101110011001110011011010011010011100100101001010001011001001110011001100010000011011111111111110111010010100000010110011000111111001001100000000001010111010000000001110111
+0000000001110000000000010110100111111100100100100000010110010000111101110110001000001101110011111110011011001100010011100111010001010001001110111110011001101000000011011111110011110111010010110000010110010111111111001001011100000001010111010000000001110110
+0000000001110000000000010110100111111100100100100000010110010001111101110110000000001101110100101110011011000110010011101001111001010001000100011110011001101101000011011111101011110111010011000000010110010111111111001001011100000001010111100000000001110110
+0000000001110000000000010110100011111100100100100000010110010001111101110101111100001101110101011110011011000000010011101100100001010000111010001110011001110011000011011111011111110111010011010000010110010111111111001001011000000001010111110000000001110101
+0000000001110001000000010110011111111100100100110000010110010010111101110101110100001101110110001110011010111001010011101111001001010000101111101110011001111000000011011111010111110111010011110000010110010110111111001001011000000001010111110000000001110101
+0000000001110001000000010110011011111100100100110000010110010010111101110101110000001101110110111110011010110011010011110001110001010000100101001110011001111110000011011111001011110111010100000000010110010110111111001001011000000001011000000000000001110101
+0000000001110001000000010110011011111100100100110000010110010011111101110101101000001101110111011110011010101101010011110100011001010000011010111110011010000100000011011111000011110111010100010000010110010101111111001001010100000001011000010000000001110100
+0000000001110010000000010110010111111100100101000000010110010011111101110101100100001101111000001110011010100111010011110111000001010000010000011110011010001001000011011110110111110111010100100000010110010101111111001001010100000001011000100000000001110100
+0000000001110010000000010110010011111100100101000000010110010011111101110101100000001101111000111110011010100001010011111001101001010000000101111110011010001111000011011110101111110111010101000000010110010101111111001001010100000001011000100000000001110011
+0000000001110011000000010110010011111100100101000000010110010100111101110101011000001101111001011110011010011011010011111100010001001111111011011110011010010101000011011110100011110111010101010000010110010100111111001001010000000001011000110000000001110011
+0000000001110011000000010110001111111100100101000000010110010100111101110101010100001101111010001110011010010101010011111110110101001111110001001110011010011011000011011110010111110111010101100000010110010100111111001001010000000001011001000000000001110011
+0000000001110011000000010110001011111100100101010000010110010101111101110101010000001101111010111110011010001111010100000001011101001111100110101110011010100001000011011110001111110111010110000000010110010011111111001001010000000001011001000000000001110010
+0000000001110100000000010110001011111100100101010000010110010101111101110101001000001101111011011110011010001001010100000100000101001111011100001110011010100111000011011110000011110111010110010000010110010011111111001001010000000001011001010000000001110010
+0000000001110100000000010110000111111100100101010000010110010101111101110101000100001101111100001110011010000100010100000110101101001111010001101110011010101101000011011101110111110111010110100000010110010011111111001001001100000001011001100000000001110001
+0000000001110101000000010110000011111100100101100000010110010110111101110101000000001101111100101110011001111110010100001001010001001111000111001110011010110011000011011101101111110111010111000000010110010010111111001001001100000001011001100000000001110001
+0000000001110101000000010101111111111100100101100000010110010110111101110100111100001101111101011110011001111000010100001011111001001110111100101110011010111001000011011101100011110111010111010000010110010010111111001001001100000001011001110000000001110001
+0000000001110101000000010101111111111100100101100000010110010111111101110100110100001101111101111110011001110011010100001110100001001110110010001110011011000000000011011101010111110111010111110000010110010001111111001001001000000001011010000000000001110000
+0000000001110110000000010101111011111100100101110000010110010111111101110100110000001101111110101110011001101101010100010001000101001110100111101110011011000110000011011101001011110111011000000000010110010001111111001001001000000001011010010000000001110000
+0000000001110110000000010101110111111100100101110000010110010111111101110100101100001101111111001110011001101000010100010011101101001110011101001110011011001100000011011100111111110111011000100000010110010000111111001001001000000001011010010000000001110000
+0000000001110111000000010101110111111100100110000000010110011000111101110100101000001101111111111110011001100010010100010110010001001110010010101110011011010011000011011100110011110111011000110000010110010000111111001001001000000001011010100000000001101111
+0000000001110111000000010101110011111100100110000000010110011000111101110100100100001110000000011110011001011101010100011000111001001110001000001110011011011001000011011100100111110111011001010000010110001111111111001001000100000001011010110000000001101111
+0000000001110111000000010101101111111100100110000000010110011000111101110100100000001110000000111110011001011000010100011011011101001101111101011110011011011111000011011100011011110111011001100000010110001110111111001001000100000001011010110000000001101110
+0000000001111000000000010101101011111100100110010000010110011000111101110100011100001110000001011110011001010011010100011110000001001101110010111110011011100110000011011100001111110111011010000000010110001110111111001001000100000001011011000000000001101110
+0000000001111000000000010101101011111100100110010000010110011001111101110100010100001110000010001110011001001101010100100000101001001101101000011110011011101101000011011100000011110111011010010000010110001101111111001001000100000001011011010000000001101110
+0000000001111001000000010101100111111100100110100000010110011001111101110100010000001110000010101110011001001000010100100011001101001101011101111110011011110011000011011011110111110111011010110000010110001101111111001001000100000001011011010000000001101101
+0000000001111001000000010101100011111100100110100000010110011001111101110100001100001110000011001110011001000011010100100101110001001101010011001110011011111010000011011011101011110111011011010000010110001100111111001001000000000001011011100000000001101101
+0000000001111001000000010101011111111100100110100000010110011001111101110100001000001110000011101110011000111110010100101000010101001101001000101110011100000001000011011011011111110111011011100000010110001100111111001001000000000001011011110000000001101100
+0000000001111010000000010101011111111100100110110000010110011010111101110100000100001110000100001110011000111001010100101010111101001100111110001110011100001000000011011011010011110111011100000000010110001011111111001001000000000001011011110000000001101100
+0000000001111010000000010101011011111100100110110000010110011010111101110100000000001110000100101110011000110100010100101101100001001100110011011110011100001110000011011011000111110111011100100000010110001010111111001001000000000001011100000000000001101100
+0000000001111011000000010101010111111100100111000000010110011010111101110011111100001110000101001110011000110000010100110000000101001100101000111110011100010101000011011010110111110111011100110000010110001010111111001001000000000001011100000000000001101011
+0000000001111011000000010101010011111100100111000000010110011010111101110011111000001110000101101110011000101011010100110010101001001100011110001110011100011100000011011010101011110111011101010000010110001001111111001000111100000001011100010000000001101011
+0000000001111011000000010101001111111100100111010000010110011010111101110011111000001110000110001110011000100110010100110101001101001100010011101110011100100011000011011010011111110111011101110000010110001000111111001000111100000001011100100000000001101011
+0000000001111100000000010101001111111100100111010000010110011010111101110011110100001110000110101110011000100001010100110111110001001100001000111110011100101010000011011010001111110111011110000000010110001000111111001000111100000001011100100000000001101010
+0000000001111100000000010101001011111100100111100000010110011011111101110011110000001110000111001110011000011101010100111010010101001011111110011110011100110010000011011010000011110111011110100000010110000111111111001000111100000001011100110000000001101010
+0000000001111101000000010101000111111100100111100000010110011011111101110011101100001110000111101110011000011000010100111100111001001011110011101110011100111001000011011001110111110111011111000000010110000110111111001000111100000001011101000000000001101001
+0000000001111101000000010101000011111100100111110000010110011011111101110011101000001110001000001110011000010100010100111111011101001011101001001110011101000000000011011001100111110111011111100000010110000101111111001000111100000001011101000000000001101001
+0000000001111101000000010101000011111100100111110000010110011011111101110011100100001110001000101110011000001111010101000001111101001011011110011110011101000111000011011001011011110111100000000000010110000101111111001000111000000001011101010000000001101001
+0000000001111110000000010100111111111100101000000000010110011011111101110011100000001110001001001110011000001011010101000100100001001011010011101110011101001111000011011001001011110111100000100000010110000100111111001000111000000001011101010000000001101000
+0000000001111110000000010100111011111100101000000000010110011011111101110011100000001110001001011110011000000111010101000111000101001011001001001110011101010110000011011000111111110111100000110000010110000011111111001000111000000001011101100000000001101000
+0000000001111111000000010100110111111100101000010000010110011011111101110011011100001110001001111110011000000010010101001001101001001010111110011110011101011101000011011000101111110111100001010000010110000010111111001000111000000001011101110000000001101000
+0000000001111111000000010100110011111100101000010000010110011011111101110011011000001110001010011110010111111110010101001100001001001010110011101110011101100101000011011000100011110111100001110000010110000010111111001000111000000001011101110000000001100111
+0000000001111111000000010100110011111100101000100000010110011011111101110011010100001110001010101110010111111010010101001110101101001010101000111110011101101100000011011000010011110111100010010000010110000001111111001000111000000001011110000000000001100111
+0000000010000000000000010100101111111100101000100000010110011011111101110011010100001110001011001110010111110110010101010001001101001010011110001110011101110100000011011000000111110111100010110000010110000000111111001000111000000001011110000000000001100111
+0000000010000000000000010100101011111100101000110000010110011011111101110011010000001110001011101110010111110010010101010011110001001010010011101110011101111100000011010111110111110111100011010000010101111111111111001000111000000001011110010000000001100110
+0000000010000001000000010100100111111100101000110000010110011011111101110011001100001110001011111110010111101110010101010110010001001010001000111110011110000011000011010111100111110111100011110000010101111110111111001000111000000001011110100000000001100110
+0000000010000001000000010100100011111100101001000000010110011011111101110011001100001110001100011110010111101010010101011000110101001001111110001110011110001011000011010111011011110111100100010000010101111110111111001000111000000001011110100000000001100101
+0000000010000010000000010100100011111100101001000000010110011011111101110011001000001110001100101110010111100110010101011011010101001001110011011110011110010011000011010111001011110111100100110000010101111101111111001000111000000001011110110000000001100101
+0000000010000010000000010100011111111100101001010000010110011011111101110011001000001110001100111110010111100011010101011101111001001001101000101110011110011011000011010110111011110111100101010000010101111100111111001000111000000001011110110000000001100101
+0000000010000010000000010100011011111100101001100000010110011011111101110011000100001110001101011110010111011111010101100000011001001001011101111110011110100010000011010110101011110111100101110000010101111011111111001000110100000001011111000000000001100100
+0000000010000011000000010100010111111100101001100000010110011011111101110011000000001110001101101110010111011011010101100010111001001001010011001110011110101010000011010110011011110111100110010000010101111010111111001000110100000001011111010000000001100100
+0000000010000011000000010100010011111100101001110000010110011011111101110011000000001110001110001110010111011000010101100101011001001001001000011110011110110010000011010110001111110111100110110000010101111001111111001000110100000001011111010000000001100100
+0000000010000100000000010100001111111100101001110000010110011011111101110010111100001110001110011110010111010100010101100111111001001000111101101110011110111010000011010101111111110111100111010000010101111000111111001000110100000001011111100000000001100011
+0000000010000100000000010100001111111100101010000000010110011011111101110010111100001110001110101110010111010001010101101010011101001000110010111110011111000011000011010101101111110111100111110000010101111000111111001000110100000001011111100000000001100011
+0000000010000100000000010100001011111100101010010000010110011011111101110010111000001110001110111110010111001101010101101100111101001000101000001110011111001011000011010101011111110111101000100000010101110111111111001000110100000001011111110000000001100011
+0000000010000101000000010100000111111100101010010000010110011011111101110010111000001110001111011110010111001010010101101111011101001000011101011110011111010011000011010101001111110111101001000000010101110110111111001000110100000001011111110000000001100010
+0000000010000101000000010100000011111100101010100000010110011010111101110010110100001110001111101110010111000110010101110001111101001000010010011110011111011011000011010100111111110111101001100000010101110101111111001000110100000001100000000000000001100010
+0000000010000110000000010011111111111100101010100000010110011010111101110010110100001110001111111110010111000011010101110100011101001000000111101110011111100011000011010100101111110111101010000000010101110100111111001000110100000001100000010000000001100001
+0000000010000110000000010011111011111100101010110000010110011010111101110010110100001110010000001110010111000000010101110110111101000111111100111110011111101100000011010100011111110111101010100000010101110011111111001000110100000001100000010000000001100001
+0000000010000111000000010011111011111100101011000000010110011010111101110010110000001110010000011110010110111101010101111001011001000111110010001110011111110100000011010100001111110111101011000000010101110010111111001000110100000001100000100000000001100001
+0000000010000111000000010011110111111100101011000000010110011010111101110010110000001110010000101110010110111010010101111011111001000111100111001110011111111100000011010011111111110111101011110000010101110001111111001000110100000001100000100000000001100000
+0000000010000111000000010011110011111100101011010000010110011010111101110010110000001110010000111110010110110111010101111110011001000111011100011110100000000101000011010011101111110111101100010000010101110000111111001000110100000001100000110000000001100000
+0000000010001000000000010011101111111100101011100000010110011001111101110010101100001110010001001110010110110100010110000000111001000111010001101110100000001101000011010011011011110111101100110000010101101111111111001000110100000001100000110000000001100000
+0000000010001000000000010011101011111100101011100000010110011001111101110010101100001110010001011110010110110001010110000011010101000111000110111110100000010110000011010011001011110111101101100000010101101110111111001000111000000001100001000000000001011111
+0000000010001001000000010011100111111100101011110000010110011001111101110010101100001110010001101110010110101110010110000101110101000110111011111110100000011111000011010010111011110111101110000000010101101101111111001000111000000001100001000000000001011111
+0000000010001001000000010011100011111100101100000000010110011001111101110010101100001110010001111110010110101011010110001000010001000110110001001110100000100111000011010010101011110111101110100000010101101100111111001000111000000001100001010000000001011111
+0000000010001010000000010011011111111100101100010000010110011000111101110010101000001110010010001110010110101001010110001010110001000110100110001110100000110000000011010010010111110111101111000000010101101011111111001000111000000001100001010000000001011110
+0000000010001010000000010011011111111100101100010000010110011000111101110010101000001110010010011110010110100110010110001101001101000110011011011110100000111001000011010010000111110111101111110000010101101010111111001000111000000001100001100000000001011110
+0000000010001010000000010011011011111100101100100000010110011000111101110010101000001110010010011110010110100100010110001111101101000110010000101110100001000010000011010001110111110111110000010000010101101000111111001000111000000001100001110000000001011110
+0000000010001011000000010011010111111100101100110000010110011000111101110010101000001110010010101110010110100001010110010010001001000110000101101110100001001010000011010001100011110111110001000000010101100111111111001000111000000001100001110000000001011101
+0000000010001011000000010011010011111100101100110000010110010111111101110010101000001110010010111110010110011111010110010100101001000101111010111110100001010011000011010001010011110111110001100000010101100110111111001000111000000001100010000000000001011101
+0000000010001100000000010011001111111100101101000000010110010111111101110010100100001110010010111110010110011100010110010111000101000101101111111110100001011100000011010001000011110111110010000000010101100101111111001000111000000001100010000000000001011101
+0000000010001100000000010011001011111100101101010000010110010111111101110010100100001110010011001110010110011010010110011001100001000101100101001110100001100101000011010000101111110111110010110000010101100100111111001000111000000001100010010000000001011100
+0000000010001101000000010011000111111100101101100000010110010110111101110010100100001110010011011110010110011000010110011011111101000101011010001110100001101110000011010000011111110111110011010000010101100011111111001000111000000001100010010000000001011100
+0000000010001101000000010011000011111100101101100000010110010110111101110010100100001110010011011110010110010101010110011110011001000101001111011110100001110111000011010000001011110111110100000000010101100010111111001000111000000001100010100000000001011100
+0000000010001101000000010010111111111100101101110000010110010101111101110010100100001110010011101110010110010011010110100000110101000101000100011110100010000000000011001111111011110111110100100000010101100001111111001000111100000001100010100000000001011011
+0000000010001110000000010010111011111100101110000000010110010101111101110010100100001110010011101110010110010001010110100011010001000100111001011110100010001010000011001111100111110111110101010000010101011111111111001000111100000001100010110000000001011011
+0000000010001110000000010010111011111100101110010000010110010101111101110010100100001110010011111110010110001111010110100101101101000100101110101110100010010011000011001111010111110111110101110000010101011110111111001000111100000001100010110000000001011010
+0000000010001111000000010010110111111100101110100000010110010100111101110010100100001110010011111110010110001101010110101000001001000100100011101110100010011100000011001111000011110111110110100000010101011101111111001000111100000001100011000000000001011010
+0000000010001111000000010010110011111100101110100000010110010100111101110010100100001110010100001110010110001011010110101010100101000100011000101110100010100101000011001110101111110111110111010000010101011100111111001000111100000001100011000000000001011010
+0000000010010000000000010010101111111100101110110000010110010011111101110010100100001110010100001110010110001010010110101101000001000100001101111110100010101111000011001110011111110111110111110000010101011011111111001000111100000001100011010000000001011001
+0000000010010000000000010010101011111100101111000000010110010011111101110010100100001110010100001110010110001000010110101111011101000100000010111110100010111000000011001110001011110111111000100000010101011001111111001000111100000001100011010000000001011001
+0000000010010001000000010010100111111100101111010000010110010010111101110010100100001110010100011110010110000110010110110001111001000011110111111110100011000010000011001101110111110111111001000000010101011000111111001001000000000001100011100000000001011001
+0000000010010001000000010010100011111100101111100000010110010010111101110010101000001110010100011110010110000101010110110100010001000011101101001110100011001011000011001101100111110111111001110000010101010111111111001001000000000001100011100000000001011000
+0000000010010001000000010010011111111100101111110000010110010001111101110010101000001110010100011110010110000011010110110110101101000011100010001110100011010101000011001101010011110111111010100000010101010110111111001001000000000001100011100000000001011000
+0000000010010010000000010010011011111100101111110000010110010001111101110010101000001110010100011110010110000001010110111001000101000011010111001110100011011110000011001100111111110111111011000000010101010100111111001001000000000001100011110000000001011000
+0000000010010010000000010010010111111100110000000000010110010000111101110010101000001110010100011110010110000000010110111011100001000011001100001110100011101000000011001100101011110111111011110000010101010011111111001001000000000001100011110000000001010111
+0000000010010011000000010010010011111100110000010000010110010000111101110010101000001110010100011110010101111111010110111101111001000011000001001110100011110001000011001100010111110111111100100000010101010010111111001001000100000001100100000000000001010111
+0000000010010011000000010010001111111100110000100000010110001111111101110010101100001110010100101110010101111101010111000000010101000010110110011110100011111011000011001100000011110111111101000000010101010001111111001001000100000001100100000000000001010111
+0000000010010100000000010010001011111100110000110000010110001111111101110010101100001110010100101110010101111100010111000010101101000010101011011110100100000101000011001011110011110111111101110000010101001111111111001001000100000001100100010000000001010110
+0000000010010100000000010010000111111100110001000000010110001110111101110010101100001110010100101110010101111011010111000101000101000010100000011110100100001111000011001011011111110111111110100000010101001110111111001001000100000001100100010000000001010110
+0000000010010101000000010010000011111100110001010000010110001110111101110010101100001110010100101110010101111010010111000111100001000010010101011110100100011001000011001011001011110111111111010000010101001101111111001001000100000001100100100000000001010110
+0000000010010101000000010010000011111100110001010000010110001101111101110010110000001110010100101110010101111001010111001001111001000010001010011110100100100010000011001010110111110111111111110000010101001011111111001001001000000001100100100000000001010101
+0000000010010101000000010001111111111100110001100000010110001100111101110010110000001110010100011110010101111000010111001100010001000001111111011110100100101100000011001010100011111000000000100000010101001010111111001001001000000001100100110000000001010101
+0000000010010110000000010001111011111100110001110000010110001100111101110010110000001110010100011110010101110111010111001110101001000001110100011110100100110110000011001010001111111000000001010000010101001001111111001001001000000001100100110000000001010101
+0000000010010110000000010001110111111100110010000000010110001011111101110010110100001110010100011110010101110110010111010001000001000001101001011110100101000000000011001001111011111000000010000000010101000111111111001001001000000001100100110000000001010101
+0000000010010111000000010001110011111100110010010000010110001011111101110010110100001110010100011110010101110101010111010011011001000001011110011110100101001010000011001001100011111000000010110000010101000110111111001001001100000001100101000000000001010100
+0000000010010111000000010001101111111100110010100000010110001010111101110010111000001110010100011110010101110101010111010101110001000001010011011110100101010100000011001001001111111000000011100000010101000100111111001001001100000001100101000000000001010100
+0000000010011000000000010001101011111100110010110000010110001001111101110010111000001110010100001110010101110100010111011000001001000001001000011110100101011111000011001000111011111000000100010000010101000011111111001001001100000001100101010000000001010100
+0000000010011000000000010001100111111100110011000000010110001000111101110010111100001110010100001110010101110011010111011010100001000000111101011110100101101001000011001000100111111000000100110000010101000010111111001001010000000001100101010000000001010011
+0000000010011001000000010001100011111100110011010000010110001000111101110010111100001110010100001110010101110011010111011100110101000000110010011110100101110011000011001000010011111000000101100000010101000000111111001001010000000001100101100000000001010011
+0000000010011001000000010001011111111100110011100000010110000111111101110011000000001110010011111110010101110010010111011111001101000000100111011110100101111101000011000111111111111000000110010000010100111111111111001001010000000001100101100000000001010011
+0000000010011001000000010001011011111100110011110000010110000110111101110011000000001110010011111110010101110010010111100001100101000000011100011110100110001000000011000111100111111000000111000000010100111101111111001001010000000001100101100000000001010010
+0000000010011010000000010001010111111100110100000000010110000110111101110011000100001110010011111110010101110010010111100011111001000000010001011110100110010010000011000111010011111000000111110000010100111100111111001001010100000001100101110000000001010010
+0000000010011010000000010001010011111100110100010000010110000101111101110011000100001110010011101110010101110001010111100110010001000000000110011110100110011100000011000110111111111000001000100000010100111010111111001001010100000001100101110000000001010010
+0000000010011011000000010001001111111100110100100000010110000100111101110011001000001110010011101110010101110001010111101000100100111111111011011110100110100111000011000110101011111000001001010000010100111001111111001001010100000001100110000000000001010001
+0000000010011011000000010001001011111100110100110000010110000011111101110011001000001110010011011110010101110001010111101010111100111111110000011110100110110001000011000110010011111000001010000000010100110111111111001001011000000001100110000000000001010001
+0000000010011100000000010001000111111100110101000000010110000010111101110011001100001110010011001110010101110001010111101101010000111111100101011110100110111100000011000101111111111000001010110000010100110110111111001001011000000001100110010000000001010001
+0000000010011100000000010001000011111100110101010000010110000010111101110011010000001110010011001110010101110001010111101111100100111111011010011110100111000110000011000101101011111000001011100000010100110100111111001001011000000001100110010000000001010000
+0000000010011101000000010000111111111100110101100000010110000001111101110011010000001110010010111110010101110001010111110001111100111111001111011110100111010001000011000101010011111000001100010000010100110011111111001001011100000001100110010000000001010000
+0000000010011101000000010000111011111100110101110000010110000000111101110011010100001110010010101110010101110001010111110100010000111111000100011110100111011100000011000100111111111000001101000000010100110001111111001001011100000001100110100000000001010000
+0000000010011110000000010000110111111100110110000000010101111111111101110011011000001110010010101110010101110001010111110110100100111110111001001110100111100110000011000100100111111000001110000000010100110000111111001001011100000001100110100000000001001111
+0000000010011110000000010000110011111100110110010000010101111110111101110011011100001110010010011110010101110010010111111000111000111110101110001110100111110001000011000100010011111000001110110000010100101110111111001001100000000001100110100000000001001111
+0000000010011111000000010000101111111100110110100000010101111101111101110011011100001110010010001110010101110010010111111011001100111110100011001110100111111100000011000011111011111000001111100000010100101101111111001001100000000001100110110000000001001111
+0000000010011111000000010000101011111100110110110000010101111101111101110011100000001110010001111110010101110010010111111101100000111110011000001110101000000110000011000011100111111000010000010000010100101011111111001001100100000001100110110000000001001110
+0000000010011111000000010000100111111100110111000000010101111100111101110011100100001110010001101110010101110011010111111111110100111110001101001110101000010001000011000011001111111000010001000000010100101010111111001001100100000001100111000000000001001110
+0000000010100000000000010000100011111100110111010000010101111011111101110011101000001110010001011110010101110011011000000010001000111110000010001110101000011100000011000010111011111000010001110000010100101000111111001001100100000001100111000000000001001110
+0000000010100000000000010000011011111100110111100000010101111010111101110011101100001110010001001110010101110100011000000100011100111101110110111110101000100111000011000010100011111000010010100000010100100110111111001001101000000001100111000000000001001101
+0000000010100001000000010000010111111100110111110000010101111001111101110011110000001110010000111110010101110101011000000110101100111101101011111110101000110010000011000010001011111000010011100000010100100101111111001001101000000001100111010000000001001101
+0000000010100001000000010000010011111100111000000000010101111000111101110011110000001110010000101110010101110101011000001001000000111101100000111110101000111101000011000001110111111000010100010000010100100011111111001001101000000001100111010000000001001101
+0000000010100010000000010000001111111100111000100000010101110111111101110011110100001110010000011110010101110110011000001011010000111101010101111110101001001000000011000001011111111000010101000000010100100010111111001001101100000001100111010000000001001101
+0000000010100010000000010000001011111100111000110000010101110110111101110011111000001110010000001110010101110111011000001101100100111101001010101110101001010011000011000001000111111000010101110000010100100000111111001001101100000001100111100000000001001100
+0000000010100011000000010000000111111100111001000000010101110101111101110011111100001110001111111110010101111000011000001111111000111100111111101110101001011110000011000000110011111000010110110000010100011110111111001001110000000001100111100000000001001100
+0000000010100011000000010000000011111100111001010000010101110100111101110100000000001110001111101110010101111001011000010010001000111100110100101110101001101001000011000000011011111000010111100000010100011101111111001001110000000001100111110000000001001100
+0000000010100100000000001111111111111100111001100000010101110011111101110100000100001110001111011110010101111010011000010100011000111100101001101110101001110101000011000000000011111000011000010000010100011011111111001001110100000001100111110000000001001011
+0000000010100100000000001111111011111100111001110000010101110010111101110100001000001110001111001110010101111011011000010110101100111100011110011110101010000000000010111111101011111000011001000000010100011001111111001001110100000001100111110000000001001011
+0000000010100101000000001111110111111100111010000000010101110001111101110100001100001110001110101110010101111100011000011000111100111100010011011110101010001011000010111111010011111000011010000000010100011000111111001001110100000001101000000000000001001011
+0000000010100101000000001111110011111100111010010000010101110000111101110100010000001110001110011110010101111110011000011011001100111100001000011110101010010110000010111110111111111000011010110000010100010110111111001001111000000001101000000000000001001010
+0000000010100101000000001111101111111100111010110000010101101111111101110100011000001110001110001110010101111111011000011101011100111011111101001110101010100010000010111110100111111000011011100000010100010100111111001001111000000001101000000000000001001010
+0000000010100110000000001111101011111100111011000000010101101110111101110100011100001110001101101110010110000000011000011111101100111011110010001110101010101101000010111110001111111000011100100000010100010011111111001001111100000001101000010000000001001010
+0000000010100110000000001111100111111100111011010000010101101101111101110100100000001110001101011110010110000010011000100001111100111011100111001110101010111001000010111101110111111000011101010000010100010001111111001001111100000001101000010000000001001010
+0000000010100111000000001111100011111100111011100000010101101011111101110100100100001110001100111110010110000011011000100100001100111011011011111110101011000100000010111101011111111000011110010000010100001111111111001010000000000001101000010000000001001001
+0000000010100111000000001111011111111100111011110000010101101010111101110100101000001110001100101110010110000101011000100110011100111011010000111110101011010000000010111101000111111000011111000000010100001101111111001010000000000001101000100000000001001001
+0000000010101000000000001111010111111100111100010000010101101001111101110100101100001110001100001110010110000111011000101000101100111011000101111110101011011011000010111100101111111000011111110000010100001100111111001010000100000001101000100000000001001001
+0000000010101000000000001111010011111100111100100000010101101000111101110100110100001110001011111110010110001000011000101010111000111010111010101110101011100111000010111100010111111000100000110000010100001010111111001010000100000001101000100000000001001000
+0000000010101001000000001111001111111100111100110000010101100111111101110100111000001110001011011110010110001010011000101101001000111010101111101110101011110010000010111011111111111000100001100000010100001000111111001010001000000001101000110000000001001000
+0000000010101001000000001111001011111100111101000000010101100110111101110100111100001110001010111110010110001100011000101111011000111010100100101110101011111110000010111011100111111000100010100000010100000110111111001010001000000001101000110000000001001000
+0000000010101010000000001111000111111100111101010000010101100100111101110101000000001110001010101110010110001110011000110001100100111010011001011110101100001010000010111011001111111000100011010000010100000100111111001010001100000001101000110000000001000111
+0000000010101010000000001111000011111100111101110000010101100011111101110101001000001110001010001110010110010000011000110011110100111010001110011110101100010101000010111010110111111000100100010000010100000011111111001010001100000001101001000000000001000111
+0000000010101011000000001110111111111100111110000000010101100010111101110101001100001110001001101110010110010010011000110110000000111010000011011110101100100001000010111010011111111000100101000000010100000001111111001010010000000001101001000000000001000111
+0000000010101011000000001110111011111100111110010000010101100001111101110101010000001110001001011110010110010100011000111000010000111001111000001110101100101101000010111010000111111000100110000000010011111111111111001010010000000001101001000000000001000111
+0000000010101100000000001110110111111100111110100000010101100000111101110101011000001110001000111110010110010111011000111010011100111001101101001110101100111001000010111001101011111000100110110000010011111101111111001010010100000001101001000000000001000110
+0000000010101100000000001110110011111100111111000000010101011110111101110101011100001110001000011110010110011001011000111100101000111001100001111110101101000100000010111001010011111000100111110000010011111011111111001010010100000001101001010000000001000110
+0000000010101101000000001110101011111100111111010000010101011101111101110101100100001110000111111110010110011011011000111110110100111001010110111110101101010000000010111000111011111000101000100000010011111010111111001010011000000001101001010000000001000110
+0000000010101101000000001110100111111100111111100000010101011100111101110101101000001110000111011110010110011110011001000001000000111001001011111110101101011100000010111000100011111000101001100000010011111000111111001010011100000001101001010000000001000101
+0000000010101110000000001110100011111100111111110000010101011010111101110101110000001110000110111110010110100000011001000011001100111001000000101110101101101000000010111000000111111000101010100000010011110110111111001010011100000001101001100000000001000101
+0000000010101110000000001110011111111101000000010000010101011001111101110101110100001110000110011110010110100011011001000101011000111000110101101110101101110100000010110111101111111000101011010000010011110100111111001010100000000001101001100000000001000101
+0000000010101110000000001110011011111101000000100000010101011000111101110101111100001110000101111110010110100101011001000111100100111000101010011110101110000000000010110111010111111000101100010000010011110010111111001010100000000001101001100000000001000101
+0000000010101111000000001110010111111101000000110000010101010111111101110110000000001110000101011110010110101000011001001001110000111000011111011110101110001100000010110110111111111000101101000000010011110000111111001010100100000001101001110000000001000100
+0000000010101111000000001110010011111101000001010000010101010101111101110110001000001110000100111110010110101011011001001011111100111000010100011110101110011000000010110110100011111000101110000000010011101110111111001010100100000001101001110000000001000100
+0000000010110000000000001110001111111101000001100000010101010100111101110110001100001110000100011110010110101110011001001110001000111000001001001110101110100101000010110110001011111000101111000000010011101100111111001010101000000001101001110000000001000100
+0000000010110000000000001110000111111101000001110000010101010010111101110110010100001110000011101110010110110001011001010000010000110111111110001110101110110001000010110101110011111000101111110000010011101010111111001010101100000001101001110000000001000011
+0000000010110001000000001110000011111101000010010000010101010001111101110110011000001110000011001110010110110100011001010010011100110111110010111110101110111101000010110101010111111000110000110000010011101001111111001010101100000001101010000000000001000011
+0000000010110001000000001101111111111101000010100000010101010000111101110110100000001110000010101110010110110111011001010100100100110111100111111110101111001001000010110100111111111000110001110000010011100111111111001010110000000001101010000000000001000011
+0000000010110010000000001101111011111101000010110000010101001110111101110110101000001110000010001110010110111010011001010110110000110111011100101110101111010110000010110100100011111000110010110000010011100101111111001010110000000001101010000000000001000011
+0000000010110010000000001101110111111101000011010000010101001101111101110110101100001110000001011110010110111101011001011000111000110111010001101110101111100010000010110100001011111000110011100000010011100011111111001010110100000001101010000000000001000010
+0000000010110011000000001101110011111101000011100000010101001011111101110110110100001110000000111110010111000001011001011011000100110111000110101110101111101110000010110011101111111000110100100000010011100001111111001010111000000001101010010000000001000010
+0000000010110011000000001101101011111101000011110000010101001010111101110110111100001110000000011110010111000100011001011101001100110110111011011110101111111011000010110011010111111000110101100000010011011111111111001010111000000001101010010000000001000010
+0000000010110100000000001101100111111101000100010000010101001000111101110111000100001101111111101110010111000111011001011111010100110110110000011110110000000111000010110010111011111000110110100000010011011101111111001010111100000001101010010000000001000001
+0000000010110100000000001101100011111101000100100000010101000111111101110111001000001101111111001110010111001011011001100001011100110110100101001110110000010011000010110010100011111000110111010000010011011011111111001011000000000001101010010000000001000001
+0000000010110101000000001101011111111101000101000000010101000101111101110111010000001101111110011110010111001110011001100011100100110110011010001110110000100000000010110010000111111000111000010000010011011001111111001011000000000001101010100000000001000001
+0000000010110101000000001101011011111101000101010000010101000100111101110111011000001101111101111110010111010010011001100101101100110110001110111110110000101100000010110001101111111000111001010000010011010111111111001011000100000001101010100000000001000001
+0000000010110110000000001101010111111101000101100000010101000010111101110111100000001101111101001110010111010110011001100111110100110110000011111110110000111001000010110001010011111000111010010000010011010101111111001011001000000001101010100000000001000000
+0000000010110110000000001101001111111101000110000000010101000001111101110111101000001101111100011110010111011010011001101001111100110101111000111110110001000101000010110000110111111000111011010000010011010011111111001011001000000001101010100000000001000000
+0000000010110111000000001101001011111101000110010000010100111111111101110111110000001101111011111110010111011101011001101100000100110101101101101110110001010010000010110000011111111000111100010000010011010001111111001011001100000001101010110000000001000000
+0000000010110111000000001101000111111101000110110000010100111110111101110111110100001101111011001110010111100001011001101110001000110101100010101110110001011111000010110000000011111000111101000000010011001111111111001011010000000001101010110000000000111111
+0000000010111000000000001101000011111101000111000000010100111100111101110111111100001101111010011110010111100101011001110000010000110101010111011110110001101011000010101111100111111000111110000000010011001101111111001011010000000001101010110000000000111111
+0000000010111000000000001100111111111101000111100000010100111011111101111000000100001101111001101110010111101001011001110010010100110101001100011110110001111000000010101111001111111000111111000000010011001011111111001011010100000001101010110000000000111111
+0000000010111001000000001100111011111101000111110000010100111001111101111000001100001101111001001110010111101110011001110100011100110101000001011110110010000101000010101110110011111001000000000000010011001000111111001011011000000001101011000000000000111111
+0000000010111001000000001100110011111101001000010000010100110111111101111000010100001101111000011110010111110010011001110110100000110100110110001110110010010010000010101110010111111001000001000000010011000110111111001011011000000001101011000000000000111110
+0000000010111010000000001100101111111101001000100000010100110110111101111000011100001101110111101110010111110110011001111000101000110100101011001110110010011110000010101101111011111001000010000000010011000100111111001011011100000001101011000000000000111110
+0000000010111010000000001100101011111101001000110000010100110100111101111000100100001101110110111110010111111010011001111010101100110100011111111110110010101011000010101101100011111001000011000000010011000010111111001011100000000001101011000000000000111110
+0000000010111011000000001100100111111101001001010000010100110010111101111000101100001101110110001110010111111111011001111100110000110100010100111110110010111000000010101101000111111001000100000000010011000000111111001011100000000001101011000000000000111110
+0000000010111011000000001100100011111101001001100000010100110001111101111000111000001101110101011110011000000011011001111110110100110100001001111110110011000101000010101100101011111001000101000000010010111110111111001011100100000001101011010000000000111101
+0000000010111100000000001100011011111101001010000000010100101111111101111001000000001101110100101110011000001000011010000000111000110011111110101110110011010010000010101100001111111001000110000000010010111100111111001011101000000001101011010000000000111101
+0000000010111100000000001100010111111101001010010000010100101101111101111001001000001101110011111110011000001101011010000010111100110011110011101110110011011111000010101011110011111001000111000000010010111010111111001011101100000001101011010000000000111101
+0000000010111101000000001100010011111101001010110000010100101100111101111001010000001101110011001110011000010001011010000101000000110011101000011110110011101100000010101011010111111001001000000000010010111000111111001011101100000001101011010000000000111100
+0000000010111101000000001100001111111101001011000000010100101010111101111001011000001101110010001110011000010110011010000111000100110011011101011110110011111001000010101010111011111001001001000000010010110101111111001011110000000001101011010000000000111100
+0000000010111110000000001100000111111101001011100000010100101000111101111001100000001101110001011110011000011011011010001001001000110011010010011110110100000110000010101010011111111001001010000000010010110011111111001011110100000001101011100000000000111100
+0000000010111110000000001100000011111101001100000000010100100111111101111001101000001101110000101110011000100000011010001011001100110011000111001110110100010011000010101010000011111001001011000000010010110001111111001011111000000001101011100000000000111100
+0000000010111111000000001011111111111101001100010000010100100101111101111001110100001101101111111110011000100101011010001101001100110010111100001110110100100000000010101001101011111001001100000000010010101111111111001011111000000001101011100000000000111011
+0000000010111111000000001011111011111101001100110000010100100011111101111001111100001101101110111110011000101010011010001111010000110010110000111110110100101101000010101001001111111001001101000000010010101101111111001011111100000001101011100000000000111011
+0000000011000000000000001011110111111101001101000000010100100001111101111010000100001101101110001110011000101111011010010001010000110010100101111110110100111010000010101000110011111001001110000000010010101011111111001100000000000001101011100000000000111011
+0000000011000000000000001011101111111101001101100000010100011111111101111010010000001101101101011110011000110100011010010011010100110010011010111110110101000111000010101000010011111001001111000000010010101000111111001100000100000001101011110000000000111011
+0000000011000001000000001011101011111101001101110000010100011110111101111010011000001101101100011110011000111010011010010101010100110010001111101110110101010101000010100111110111111001010000000000010010100110111111001100000100000001101011110000000000111010
+0000000011000001000000001011100111111101001110010000010100011100111101111010100000001101101011101110011000111111011010010111010100110010000100101110110101100010000010100111011011111001010001000000010010100100111111001100001000000001101011110000000000111010
+0000000011000010000000001011100011111101001110100000010100011010111101111010101100001101101010101110011001000100011010011001011000110001111001101110110101101111000010100110111111111001010010010000010010100010111111001100001100000001101011110000000000111010
+0000000011000010000000001011011011111101001111000000010100011000111101111010110100001101101001111110011001001010011010011011011000110001101110011110110101111100000010100110100011111001010011010000010010011111111111001100010000000001101011110000000000111010
+0000000011000011000000001011010111111101001111100000010100010110111101111010111100001101101000111110011001010000011010011101011000110001100011011110110110001010000010100110000111111001010100010000010010011101111111001100010100000001101100000000000000111001
+0000000011000011000000001011010011111101001111110000010100010100111101111011001000001101101000001110011001010101011010011111011000110001011000011110110110010111000010100101101011111001010101010000010010011011111111001100010100000001101100000000000000111001
+0000000011000100000000001011001111111101010000010000010100010010111101111011010000001101100111001110011001011011011010100001011000110001001101001110110110100101000010100101001111111001010110010000010010011001111111001100011000000001101100000000000000111001
+0000000011000100000000001011000111111101010000100000010100010001111101111011011100001101100110001110011001100001011010100011010100110001000010001110110110110010000010100100110011111001010111010000010010010110111111001100011100000001101100000000000000111001
+0000000011000101000000001011000011111101010001000000010100001111111101111011100100001101100101011110011001100111011010100101010100110000110111001110110110111111000010100100010011111001011000100000010010010100111111001100100000000001101100000000000000111000
+0000000011000101000000001010111111111101010001100000010100001101111101111011110000001101100100011110011001101101011010100111010100110000101100001110110111001101000010100011110111111001011001100000010010010010111111001100100100000001101100000000000000111000
+0000000011000110000000001010111011111101010001110000010100001011111101111011111000001101100011011110011001110011011010101001010100110000100000111110110111011010000010100011011011111001011010100000010010010000111111001100101000000001101100000000000000111000
+0000000011000110000000001010110011111101010010010000010100001001111101111100000100001101100010011110011001111001011010101011010000110000010101111110110111101000000010100010111111111001011011100000010010001101111111001100101000000001101100010000000000111000
+0000000011000111000000001010101111111101010010110000010100000111111101111100010000001101100001011110011001111111011010101101010000110000001010111110110111110101000010100010011111111001011100110000010010001011111111001100101100000001101100010000000000110111
+0000000011000111000000001010101011111101010011000000010100000101111101111100011000001101100000101110011010000101011010101111001100101111111111101110111000000011000010100010000011111001011101110000010010001001111111001100110000000001101100010000000000110111
+0000000011001000000000001010100011111101010011100000010100000011111101111100100100001101011111101110011010001011011010110001001000101111110100101110111000010001000010100001100111111001011110110000010010000110111111001100110100000001101100010000000000110111
+0000000011001000000000001010011111111101010100000000010100000001111101111100101100001101011110101110011010010010011010110011000100101111101001101110111000011110000010100001001011111001011111110000010010000100111111001100111000000001101100010000000000110111
+0000000011001001000000001010011011111101010100010000010011111111111101111100111000001101011101101110011010011000011010110101000100101111011110101110111000101100000010100000101011111001100001000000010010000010111111001100111100000001101100010000000000110110
+0000000011001001000000001010010111111101010100110000010011111101111101111101000100001101011100101110011010011111011010110111000000101111010011101110111000111010000010100000001111111001100010000000010001111111111111001101000000000001101100010000000000110110
+0000000011001010000000001010001111111101010101010000010011111011111101111101010000001101011011011110011010100101011010111000111100101111001000011110111001000111000010011111110011111001100011000000010001111101111111001101000000000001101100100000000000110110
+0000000011001010000000001010001011111101010101100000010011111001111101111101011000001101011010011110011010101100011010111010111000101110111101011110111001010101000010011111010011111001100100010000010001111011111111001101000100000001101100100000000000110110
+0000000011001011000000001010000111111101010110000000010011110111111101111101100100001101011001011110011010110011011010111100110100101110110010011110111001100011000010011110110111111001100101010000010001111000111111001101001000000001101100100000000000110101
+0000000011001011000000001001111111111101010110100000010011110100111101111101110000001101011000011110011010111010011010111110101100101110100111011110111001110001000010011110010111111001100110010000010001110110111111001101001100000001101100100000000000110101
+0000000011001100000000001001111011111101010111000000010011110010111101111101111100001101010111011110011011000001011011000000101000101110011100011110111001111110000010011101111011111001100111100000010001110100111111001101010000000001101100100000000000110101
+0000000011001100000000001001110111111101010111010000010011110000111101111110000100001101010110001110011011001000011011000010100100101110010001001110111010001100000010011101011011111001101000100000010001110001111111001101010100000001101100100000000000110101
+0000000011001101000000001001101111111101010111110000010011101110111101111110010000001101010101001110011011001111011011000100011100101110000110001110111010011010000010011100111111111001101001110000010001101111111111001101011000000001101100100000000000110100
+0000000011001101000000001001101011111101011000010000010011101100111101111110011100001101010100001110011011010110011011000110011000101101111011001110111010101000000010011100100011111001101010110000010001101100111111001101011100000001101100100000000000110100
+0000000011001110000000001001100111111101011000110000010011101010111101111110101000001101010010111110011011011101011011001000010000101101110000001110111010110110000010011100000011111001101011110000010001101010111111001101100000000001101100110000000000110100
+0000000011001110000000001001100011111101011001000000010011101000111101111110110100001101010001111110011011100100011011001010001000101101100101001110111011000100000010011011100111111001101101000000010001101000111111001101100000000001101100110000000000110100
+0000000011001111000000001001011011111101011001100000010011100101111101111111000000001101010000111110011011101100011011001100000100101101011010001110111011010010000010011011000111111001101110000000010001100101111111001101100100000001101100110000000000110011
+0000000011001111000000001001010111111101011010000000010011100011111101111111001100001101001111101110011011110011011011001101111100101101001111001110111011100000000010011010100111111001101111010000010001100011111111001101101000000001101100110000000000110011
+0000000011010000000000001001010011111101011010100000010011100001111101111111011000001101001110011110011011111011011011001111110100101101000100001110111011101110000010011010001011111001110000010000010001100000111111001101101100000001101100110000000000110011
+0000000011010000000000001001001011111101011010110000010011011111111101111111100100001101001101011110011100000010011011010001101100101100111001001110111011111100000010011001101011111001110001100000010001011110111111001101110000000001101100110000000000110011
+0000000011010001000000001001000111111101011011010000010011011101111101111111110000001101001100001110011100001010011011010011100100101100101110001110111100001010000010011001001111111001110010100000010001011011111111001101110100000001101100110000000000110010
+0000000011010001000000001001000011111101011011110000010011011010111101111111111100001101001011001110011100010010011011010101011100101100100011001110111100011000000010011000101111111001110011100000010001011001111111001101111000000001101100110000000000110010
+0000000011010010000000001000111011111101011100010000010011011000111110000000001000001101001001111110011100011010011011010111010000101100011000001110111100100110000010011000001111111001110100110000010001010110111111001101111100000001101100110000000000110010
+0000000011010010000000001000110111111101011100110000010011010110111110000000010100001101001000101110011100100001011011011001001000101100001101001110111100110100000010010111110011111001110101110000010001010100111111001110000000000001101100110000000000110010
+0000000011010011000000001000110011111101011101010000010011010100111110000000100000001101000111011110011100101001011011011011000000101100000010001110111101000010000010010111010011111001110111000000010001010001111111001110000100000001101101000000000000110010
+0000000011010011000000001000101011111101011101100000010011010001111110000000101100001101000110011110011100110001011011011100110100101011110111001110111101010001000010010110110011111001111000010000010001001111111111001110001000000001101101000000000000110001
+0000000011010100000000001000100111111101011110000000010011001111111110000000111100001101000101001110011100111001011011011110101100101011101100001110111101011111000010010110010111111001111001010000010001001100111111001110001100000001101101000000000000110001
+0000000011010100000000001000100011111101011110100000010011001101111110000001001000001101000011111110011101000010011011100000100000101011100001001110111101101101000010010101110111111001111010100000010001001010111111001110010000000001101101000000000000110001
+0000000011010101000000001000011011111101011111000000010011001010111110000001010100001101000010101110011101001010011011100010010100101011010110001110111101111011000010010101010111111001111011100000010001000111111111001110010100000001101101000000000000110001
+0000000011010101000000001000010111111101011111100000010011001000111110000001100000001101000001011110011101010010011011100100001100101011001011001110111110001001000010010100111011111001111100110000010001000101111111001110011000000001101101000000000000110000
+0000000011010110000000001000010011111101100000000000010011000110111110000001101100001101000000001110011101011011011011100110000000101011000000001110111110011000000010010100011011111001111101110000010001000010111111001110011100000001101101000000000000110000
+0000000011010110000000001000001011111101100000010000010011000011111110000001111100001100111110111110011101100011011011100111110100101010110101001110111110100110000010010011111011111001111111000000010001000000111111001110100000000001101101000000000000110000
+0000000011010111000000001000000111111101100000110000010011000001111110000010001000001100111101101110011101101100011011101001101000101010101010001110111110110100000010010011011011111010000000010000010000111101111111001110100100000001101101000000000000110000
+0000000011010111000000000111111111111101100001010000010010111110111110000010010100001100111100011110011101110100011011101011011100101010011111001110111111000011000010010010111111111010000001010000010000111011111111001110101000000001101101000000000000101111
+0000000011011000000000000111111011111101100001110000010010111100111110000010100100001100111011001110011101111101011011101101001100101010010100001110111111010001000010010010011111111010000010100000010000111000111111001110101100000001101101000000000000101111
+0000000011011001000000000111110111111101100010010000010010111010111110000010110000001100111001111110011110000110011011101111000000101010001001011110111111100000000010010001111111111010000011100000010000110110111111001110110000000001101101000000000000101111
+0000000011011001000000000111101111111101100010110000010010110111111110000010111100001100111000011110011110001111011011110000110100101001111110011110111111101110000010010001011111111010000100110000010000110011111111001110110100000001101101000000000000101111
+0000000011011010000000000111101011111101100011010000010010110101111110000011001100001100110111001110011110011000011011110010100100101001110011011110111111111100000010010000111111111010000110000000010000110001111111001110111000000001101101000000000000101110
+0000000011011010000000000111100111111101100011110000010010110010111110000011011000001100110101111110011110100001011011110100011000101001101000011111000000001011000010010000011111111010000111000000010000101110111111001110111100000001101101000000000000101110
+0000000011011011000000000111011111111101100100010000010010110000111110000011101000001100110100101110011110101010011011110110001000101001011101101111000000011001000010001111111111111010001000010000010000101011111111001111000000000001101101010000000000101110
+0000000011011011000000000111011011111101100100110000010010101101111110000011110100001100110011001110011110110011011011110111111100101001010010101111000000101000000010001111100011111010001001100000010000101001111111001111000100000001101101010000000000101110
+0000000011011100000000000111010011111101100101010000010010101011111110000100000100001100110001111110011110111100011011111001101100101001000111101111000000110110000010001111000011111010001010100000010000100110111111001111001000000001101101010000000000101110
+0000000011011100000000000111001111111101100101110000010010101000111110000100010000001100110000011110011111000110011011111011011100101000111100101111000001000101000010001110100011111010001011110000010000100100111111001111001100000001101101010000000000101101
+0000000011011101000000000111001011111101100110010000010010100110111110000100100000001100101111001110011111001111011011111101001100101000110001111111000001010100000010001110000011111010001101000000010000100001111111001111010000000001101101010000000000101101
+0000000011011101000000000111000011111101100110100000010010100011111110000100101100001100101101101110011111011000011011111110111100101000100110111111000001100010000010001101100011111010001110000000010000011110111111001111010100000001101101010000000000101101
+0000000011011110000000000110111111111101100111000000010010100001111110000100111100001100101100011110011111100010011100000000101100101000011011111111000001110001000010001101000011111010001111010000010000011100111111001111011000000001101101010000000000101101
+0000000011011110000000000110110111111101100111100000010010011110111110000101001000001100101010111110011111101100011100000010011100101000010001001111000001111111000010001100100011111010010000100000010000011001111111001111011100000001101101010000000000101100
+0000000011011111000000000110110011111101101000000000010010011011111110000101011000001100101001101110011111110101011100000100001000101000000110001111000010001110000010001100000011111010010001110000010000010110111111001111100000000001101101010000000000101100
+0000000011011111000000000110101111111101101000100000010010011001111110000101101000001100101000001110011111111111011100000101111000100111111011011111000010011101000010001011100011111010010010110000010000010100111111001111101000000001101101010000000000101100
+0000000011100000000000000110100111111101101001000000010010010110111110000101110100001100100110101110100000001001011100000111101000100111110000011111000010101011000010001011000011111010010100000000010000010001111111001111101100000001101101010000000000101100
+0000000011100000000000000110100011111101101001100000010010010100111110000110000100001100100101011110100000010011011100001001010100100111100101011111000010111010000010001010100011111010010101010000010000001110111111001111110000000001101101010000000000101100
+0000000011100001000000000110011011111101101010000000010010010001111110000110010100001100100011111110100000011101011100001011000100100111011010101111000011001001000010001010000011111010010110100000010000001100111111001111110100000001101101010000000000101011
+0000000011100001000000000110010111111101101010100000010010001110111110000110100000001100100010011110100000100111011100001100110000100111001111101111000011010111000010001001100011111010010111110000010000001001111111001111111000000001101101010000000000101011
+0000000011100010000000000110010011111101101011000000010010001100111110000110110000001100100000111110100000110001011100001110011100100111000100111111000011100110000010001001000011111010011000110000010000000110111111001111111100000001101101010000000000101011
+0000000011100010000000000110001011111101101011100000010010001001111110000111000000001100011111011110100000111011011100010000001000100110111010001111000011110101000010001000011111111010011010000000010000000100111111010000000000000001101101010000000000101011
+0000000011100011000000000110000111111101101100000000010010000110111110000111010000001100011101111110100001000110011100010001110100100110101111001111000100000100000010000111111111111010011011010000010000000001111111010000000100000001101101010000000000101011
+0000000011100011000000000101111111111101101100110000010010000100111110000111100000001100011100011110100001010000011100010011100000100110100100011111000100010011000010000111011111111010011100100000001111111110111111010000001000000001101101010000000000101010
+0000000011100100000000000101111011111101101101010000010010000001111110000111101100001100011010111110100001011010011100010101001100100110011001011111000100100001000010000110111111111010011101110000001111111100111111010000001100000001101101010000000000101010
+0000000011100101000000000101110111111101101101110000010001111110111110000111111100001100011001011110100001100101011100010110111000100110001110101111000100110000000010000110011111111010011110110000001111111001111111010000010100000001101101010000000000101010
+0000000011100101000000000101101111111101101110010000010001111100111110001000001100001100010111111110100001110000011100011000100100100110000011111111000100111111000010000101111111111010100000000000001111110110111111010000011000000001101101010000000000101010
+0000000011100110000000000101101011111101101110110000010001111001111110001000011100001100010110011110100001111010011100011010010000100101111000111111000101001110000010000101011111111010100001010000001111110100111111010000011100000001101101010000000000101010
+0000000011100110000000000101100011111101101111010000010001110110111110001000101100001100010100111110100010000101011100011011111000100101101110001111000101011101000010000100111111111010100010100000001111110001111111010000100000000001101101010000000000101001
+0000000011100111000000000101011111111101101111110000010001110011111110001000111100001100010011011110100010010000011100011101100100100101100011011111000101101100000010000100011011111010100011110000001111101110111111010000100100000001101101010000000000101001
+0000000011100111000000000101010111111101110000010000010001110000111110001001001100001100010001111110100010011011011100011111001100100101011000011111000101111011000010000011111011111010100101000000001111101011111111010000101000000001101101010000000000101001
+0000000011101000000000000101010011111101110000110000010001101110111110001001011100001100010000001110100010100110011100100000110100100101001101101111000110001010000010000011011011111010100110010000001111101001111111010000101100000001101101010000000000101001
+0000000011101000000000000101001011111101110001010000010001101011111110001001101100001100001110101110100010110001011100100010011100100101000010111111000110011001000010000010111011111010100111100000001111100110111111010000110100000001101101010000000000101000
+0000000011101001000000000101000111111101110001110000010001101000111110001001111100001100001101001110100010111100011100100100001000100100111000001111000110101000000010000010010111111010101000100000001111100011111111010000111000000001101101010000000000101000
+0000000011101001000000000101000011111101110010010000010001100101111110001010001100001100001011011110100011000111011100100101110000100100101101001111000110110111000010000001110111111010101001110000001111100000111111010000111100000001101101010000000000101000
+0000000011101010000000000100111011111101110011000000010001100010111110001010011100001100001001111110100011010011011100100111011000100100100010011111000111000110000010000001010111111010101011000000001111011110111111010001000000000001101101010000000000101000
+0000000011101010000000000100110111111101110011100000010001011111111110001010101100001100001000011110100011011110011100101000111100100100010111101111000111010101000010000000110111111010101100010000001111011011111111010001000100000001101101010000000000101000
+0000000011101011000000000100101111111101110100000000010001011101111110001010111100001100000110101110100011101001011100101010100100100100001100111111000111100100000010000000010011111010101101100000001111011000111111010001001000000001101101010000000000100111
+0000000011101011000000000100101011111101110100100000010001011010111110001011001100001100000101001110100011110101011100101100001100100100000010001111000111110011000001111111110011111010101110110000001111010101111111010001010000000001101101010000000000100111
+0000000011101100000000000100100011111101110101000000010001010111111110001011100000001100000011011110100100000001011100101101110100100011110111011111001000000010000001111111010011111010110000000000001111010010111111010001010100000001101101010000000000100111
+0000000011101100000000000100011111111101110101100000010001010100111110001011110000001100000001111110100100001100011100101111011000100011101100101111001000010001000001111110101111111010110001010000001111010000111111010001011000000001101101010000000000100111
+0000000011101101000000000100010111111101110110000000010001010001111110001100000000001100000000001110100100011000011100110001000000100011100001111111001000100000000001111110001111111010110010100000001111001101111111010001011100000001101101010000000000100111
+0000000011101101000000000100010011111101110110110000010001001110111110001100010000001011111110011110100100100100011100110010100100100011010111001111001000101111000001111101101111111010110011110000001111001010111111010001100000000001101101010000000000100110
+0000000011101110000000000100001011111101110111010000010001001011111110001100100000001011111100111110100100110000011100110100001000100011001100011111001000111111000001111101001011111010110101000000001111000111111111010001100100000001101101010000000000100110
+0000000011101111000000000100000111111101110111110000010001001000111110001100110100001011111011001110100100111100011100110101101100100011000001101111001001001110000001111100101011111010110110010000001111000100111111010001101100000001101101010000000000100110
+0000000011101111000000000100000011111101111000010000010001000101111110001101000100001011111001011110100101001000011100110111010000100010110110111111001001011101000001111100001011111010110111100000001111000001111111010001110000000001101101000000000000100110
+0000000011110000000000000011111011111101111000110000010001000010111110001101010100001011110111101110100101010100011100111000110100100010101100001111001001101100000001111011100111111010111000110000001110111111111111010001110100000001101101000000000000100110
+0000000011110000000000000011110111111101111001100000010000111111111110001101101000001011110101111110100101100000011100111010011000100010100001011111001001111011000001111011000111111010111010000000001110111100111111010001111000000001101101000000000000100101
+0000000011110001000000000011101111111101111010000000010000111100111110001101111000001011110100011110100101101101011100111011111100100010010110111111001010001010000001111010100111111010111011010000001110111001111111010010000000000001101101000000000000100101
+0000000011110001000000000011101011111101111010100000010000111001111110001110001000001011110010101110100101111001011100111101100000100010001100001111001010011010000001111010000011111010111100100000001110110110111111010010000100000001101101000000000000100101
+0000000011110010000000000011100011111101111011000000010000110110111110001110011100001011110000111110100110000101011100111111000100100010000001011111001010101001000001111001100011111010111101110000001110110011111111010010001000000001101101000000000000100101
+0000000011110010000000000011011111111101111011100000010000110011111110001110101100001011101111001110100110010010011101000000100100100001110110101111001010111000000001111000111111111010111111000000001110110000111111010010001100000001101101000000000000100101
+0000000011110011000000000011010111111101111100010000010000110000111110001110111100001011101101011110100110011111011101000010001000100001101100001111001011000111000001111000011111111011000000010000001110101110111111010010010000000001101101000000000000100101
+0000000011110011000000000011010011111101111100110000010000101101111110001111010000001011101011101110100110101011011101000011101000100001100001011111001011010111000001110111111011111011000001100000001110101011111111010010011000000001101101000000000000100100
+0000000011110100000000000011001011111101111101010000010000101010111110001111100000001011101001111110100110111000011101000101001000100001010110101111001011100110000001110111011011111011000010110000001110101000111111010010011100000001101101000000000000100100
+0000000011110100000000000011000111111101111101110000010000100111111110001111110100001011100111111110100111000101011101000110101000100001001100001111001011110101000001110110110111111011000100000000001110100101111111010010100000000001101101000000000000100100
+0000000011110101000000000010111111111101111110100000010000100100111110010000000100001011100110001110100111010010011101001000001100100001000001011111001100000101000001110110010111111011000101100000001110100010111111010010100100000001101101000000000000100100
+0000000011110101000000000010111011111101111111000000010000100001111110010000011000001011100100011110100111011111011101001001101100100000110110101111001100010100000001110101110011111011000110110000001110011111111111010010101100000001101101000000000000100100
+0000000011110110000000000010110011111101111111100000010000011101111110010000101000001011100010101110100111101100011101001011001000100000101100001111001100100011000001110101010011111011001000000000001110011100111111010010110000000001101101000000000000100011
+0000000011110111000000000010101111111110000000000000010000011010111110010000111100001011100000111110100111111001011101001100101000100000100001011111001100110011000001110100101111111011001001010000001110011001111111010010110100000001101101000000000000100011
+0000000011110111000000000010100111111110000000110000010000010111111110010001010000001011011110111110101000000110011101001110001000100000010110111111001101000010000001110100001111111011001010100000001110010110111111010010111000000001101101000000000000100011
+0000000011111000000000000010100011111110000001010000010000010100111110010001100000001011011101001110101000010100011101001111101000100000001100001111001101010010000001110011101011111011001011110000001110010011111111010011000000000001101100110000000000100011
+0000000011111000000000000010011011111110000001110000010000010001111110010001110100001011011011011110101000100001011101010001000100100000000001101111001101100001000001110011001011111011001101000000001110010001111111010011000100000001101100110000000000100011
+0000000011111001000000000010010111111110000010100000010000001110111110010010001000001011011001011110101000101111011101010010100100011111110111001111001101110000000001110010100111111011001110010000001110001110111111010011001000000001101100110000000000100010
+0000000011111001000000000010001111111110000011000000010000001010111110010010011000001011010111101110101000111100011101010100000000011111101100011111001110000000000001110010000111111011001111100000001110001011111111010011010000000001101100110000000000100010
+0000000011111010000000000010001011111110000011100000010000000111111110010010101100001011010101101110101001001010011101010101011100011111100001111111001110001111000001110001100011111011010001000000001110001000111111010011010100000001101100110000000000100010
+0000000011111010000000000010000011111110000100010000010000000100111110010011000000001011010011111110101001010111011101010110111100011111010111011111001110011111000001110001000011111011010010010000001110000101111111010011011000000001101100110000000000100010
+0000000011111011000000000001111011111110000100110000010000000001111110010011010000001011010001111110101001100101011101011000011000011111001100101111001110101110000001110000011111111011010011100000001110000010111111010011011100000001101100110000000000100010
+0000000011111011000000000001110111111110000101010000001111111101111110010011100100001011001111111110101001110011011101011001110100011111000010001111001110111110000001101111111011111011010100110000001101111111111111010011100100000001101100110000000000100001
+0000000011111100000000000001101111111110000110000000001111111010111110010011111000001011001110001110101010000001011101011011010000011110110111101111001111001101000001101111011011111011010110000000001101111100111111010011101000000001101100110000000000100001
+0000000011111100000000000001101011111110000110100000001111110111111110010100001100001011001100001110101010001111011101011100101100011110101101001111001111011101000001101110110111111011010111010000001101111001111111010011101100000001101100110000000000100001
+0000000011111101000000000001100011111110000111000000001111110100111110010100100000001011001010001110101010011101011101011110000100011110100010101111001111101100000001101110010111111011011000110000001101110110111111010011110100000001101100100000000000100001
+0000000011111101000000000001011111111110000111110000001111110000111110010100110000001011001000011110101010101011011101011111100000011110010111111111001111111100000001101101110011111011011010000000001101110011111111010011111000000001101100100000000000100001
+0000000011111110000000000001010111111110001000010000001111101101111110010101000100001011000110011110101010111001011101100000111100011110001101011111010000001011000001101101001111111011011011010000001101110000111111010011111100000001101100100000000000100001
+0000000011111111000000000001010011111110001000110000001111101010111110010101011000001011000100011110101011001000011101100010010100011110000010111111010000011011000001101100101111111011011100100000001101101101111111010100000100000001101100100000000000100000
+0000000011111111000000000001001011111110001001100000001111100110111110010101101100001011000010011110101011010110011101100011101100011101111000011111010000101010000001101100001011111011011101110000001101101010111111010100001000000001101100100000000000100000
+0000000100000000000000000001000111111110001010000000001111100011111110010110000000001011000000011110101011100101011101100101001000011101101101111111010000111010000001101011100111111011011111010000001101100111111111010100001100000001101100100000000000100000
+0000000100000000000000000000111111111110001010110000001111100000111110010110010100001010111110011110101011110011011101100110100000011101100011011111010001001001000001101011000111111011100000100000001101100100111111010100010100000001101100100000000000100000
+0000000100000001000000000000111011111110001011010000001111011100111110010110101000001010111100011110101100000010011101100111111000011101011000111111010001011001000001101010100011111011100001110000001101100001111111010100011000000001101100100000000000100000
+0000000100000001000000000000110011111110001011110000001111011001111110010110111100001010111010011110101100010001011101101001010000011101001110011111010001101000000001101001111111111011100011000000001101011110111111010100011100000001101100100000000000100000
+0000000100000010000000000000101011111110001100100000001111010101111110010111010000001010111000011110101100011111011101101010101000011101000100001111010001111000000001101001011111111011100100010000001101011011111111010100100100000001101100010000000000011111
+0000000100000010000000000000100111111110001101000000001111010010111110010111100100001010110110011110101100101110011101101100000000011100111001101111010010001000000001101000111011111011100101110000001101011000111111010100101000000001101100010000000000011111
+0000000100000011000000000000011111111110001101110000001111001111111110010111111000001010110100011110101100111101011101101101011000011100101111001111010010010111000001101000010111111011100111000000001101010101111111010100101100000001101100010000000000011111
+0000000100000011000000000000011011111110001110010000001111001011111110011000001100001010110010011110101101001100011101101110101100011100100100101111010010100111000001100111110011111011101000010000001101010010111111010100110100000001101100010000000000011111
+0000000100000100000000000000010011111110001110110000001111001000111110011000100000001010110000011110101101011011011101110000000100011100011010001111010010110110000001100111010011111011101001100000001101001111111111010100111000000001101100010000000000011111
+0000000100000100000000000000001111111110001111100000001111000100111110011000110100001010101110011110101101101011011101110001011000011100001111111111010011000110000001100110101111111011101011000000001101001100111111010100111100000001101100010000000000011111
+0000000100000101000000000000000111111110010000000000001111000001111110011001001000001010101100011110101101111010011101110010110000011100000101011111010011010110000001100110001011111011101100010000001101001001111111010101000100000001101100010000000000011110
+0000000100000101000000000000000011111110010000110000001110111101111110011001100000001010101010001110101110001001011101110100000100011011111011001111010011100101000001100101100111111011101101100000001101000110111111010101001000000001101100000000000000011110
+0000000100000110111111111111111011111110010001010000001110111010111110011001110100001010101000001110101110011001011101110101011000011011110000101111010011110101000001100101000111111011101111000000001101000011111111010101001100000001101100000000000000011110
+0000000100000111111111111111110011111110010010000000001110110110111110011010001000001010100110001110101110101000011101110110101100011011100110001111010100000101000001100100100011111011110000010000001101000000111111010101010100000001101100000000000000011110
+0000000100000111111111111111101111111110010010100000001110110011111110011010011100001010100011111110101110111000011101111000000000011011011011111111010100010100000001100011111111111011110001100000001100111101111111010101011000000001101100000000000000011110
+0000000100001000111111111111100111111110010011010000001110101111111110011010110000001010100001111110101111000111011101111001010100011011010001011111010100100100000001100011011011111011110010110000001100111010111111010101100000000001101100000000000000011101
+0000000100001000111111111111100011111110010011110000001110101100111110011011001000001010011111101110101111010111011101111010101000011011000111001111010100110100000001100010111011111011110100010000001100110111111111010101100100000001101100000000000000011101
+0000000100001001111111111111011011111110010100100000001110101000111110011011011100001010011101101110101111100111011101111011111000011010111100111111010101000011000001100010010111111011110101100000001100110100111111010101101000000001101100000000000000011101
+0000000100001001111111111111010111111110010101000000001110100101111110011011110000001010011011011110101111110111011101111101001100011010110010011111010101010011000001100001110011111011110110110000001100110001111111010101110000000001101011110000000000011101
+0000000100001010111111111111001111111110010101110000001110100001111110011100000100001010011001011110110000000111011101111110100000011010101000001111010101100011000001100001001111111011111000010000001100101101111111010101110100000001101011110000000000011101
+0000000100001010111111111111000111111110010110010000001110011110111110011100011100001010010111001110110000010111011101111111110000011010011101101111010101110011000001100000101011111011111001100000001100101010111111010101111100000001101011110000000000011101
+0000000100001011111111111111000011111110010111000000001110011010111110011100110000001010010101001110110000100111011110000001000000011010010011011111010110000010000001100000001011111011111010110000001100100111111111010110000000000001101011110000000000011100
+0000000100001011111111111110111011111110010111100000001110010110111110011101001000001010010010111110110000110111011110000010010000011010001001001111010110010010000001011111100111111011111100010000001100100100111111010110000100000001101011110000000000011100
+0000000100001100111111111110110111111110011000010000001110010011111110011101011100001010010000101110110001000111011110000011100100011001111110111111010110100010000001011111000011111011111101100000001100100001111111010110001100000001101011110000000000011100
+0000000100001100111111111110101111111110011000110000001110001111111110011101110000001010001110011110110001011000011110000100110100011001110100101111010110110001000001011110011111111011111110110000001100011110111111010110010000000001101011100000000000011100
+0000000100001101111111111110100111111110011001100000001110001100111110011110001000001010001100011110110001101000011110000110000100011001101010011111010111000001000001011101111011111100000000010000001100011011111111010110011000000001101011100000000000011100
+0000000100001110111111111110100011111110011010000000001110001000111110011110011100001010001010001110110001111001011110000111010000011001011111111111010111010001000001011101010111111100000001100000001100011000111111010110011100000001101011100000000000011100
+0000000100001110111111111110011011111110011010110000001110000100111110011110110100001010000111111110110010001001011110001000100000011001010101101111010111100001000001011100110111111100000010110000001100010101111111010110100000000001101011100000000000011011
+0000000100001111111111111110010111111110011011010000001110000001111110011111001000001010000101101110110010011010011110001001110000011001001011011111010111110000000001011100010011111100000100010000001100010010111111010110101000000001101011100000000000011011
+0000000100001111111111111110001111111110011100000000001101111101111110011111100000001010000011011110110010101010011110001010111100011001000001001111011000000000000001011011101111111100000101100000001100001111111111010110101100000001101011100000000000011011
+0000000100010000111111111110000111111110011100100000001101111001111110011111110100001010000001001110110010111011011110001100001100011000110111001111011000010000000001011011001011111100000110110000001100001011111111010110110100000001101011010000000000011011
+0000000100010000111111111110000011111110011101010000001101110101111110100000001100001001111110111110110011001100011110001101011000011000101100111111011000100000000001011010100111111100001000010000001100001000111111010110111000000001101011010000000000011011
+0000000100010001111111111101111011111110011110000000001101110010111110100000100000001001111100101110110011011101011110001110100100011000100010101111011000110000000001011010000011111100001001100000001100000101111111010111000000000001101011010000000000011011
+0000000100010001111111111101110111111110011110100000001101101110111110100000111000001001111010011110110011101110011110001111110100011000011000011111011000111111000001011001011111111100001011000000001100000010111111010111000100000001101011010000000000011011
+0000000100010010111111111101101111111110011111010000001101101010111110100001010000001001111000001110110011111111011110010001000000011000001110001111011001001111000001011000111111111100001100010000001011111111111111010111001000000001101011010000000000011010
+0000000100010010111111111101100111111110011111110000001101100111111110100001100100001001110101111110110100010001011110010010001100011000000011111111011001011111000001011000011011111100001101100000001011111100111111010111010000000001101011010000000000011010
+0000000100010011111111111101100011111110100000100000001101100011111110100001111100001001110011101110110100100010011110010011011000010111111001111111011001101111000001010111110111111100001111000000001011111001111111010111010100000001101011000000000000011010
+0000000100010011111111111101011011111110100001000000001101011111111110100010010000001001110001011110110100110011011110010100100000010111101111101111011001111111000001010111010011111100010000010000001011110110111111010111011100000001101011000000000000011010
+0000000100010100111111111101010111111110100001110000001101011011111110100010101000001001101110111110110101000101011110010101101100010111100101011111011010001110000001010110101111111100010001110000001011110010111111010111100000000001101011000000000000011010
+0000000100010101111111111101001111111110100010100000001101010111111110100011000000001001101100101110110101010110011110010110111000010111011011011111011010011110000001010110001011111100010011000000001011101111111111010111101000000001101011000000000000011010
+0000000100010101111111111101000111111110100011000000001101010100111110100011011000001001101010011110110101101000011110011000000000010111010001001111011010101110000001010101100111111100010100010000001011101100111111010111101100000001101011000000000000011010
+0000000100010110111111111101000011111110100011110000001101010000111110100011101100001001101000001110110101111001011110011001001100010111000111001111011010111110000001010101000011111100010101110000001011101001111111010111110100000001101010110000000000011001
+0000000100010110111111111100111011111110100100100000001101001100111110100100000100001001100101101110110110001011011110011010010100010110111100111111011011001110000001010100011111111100010111000000001011100110111111010111111000000001101010110000000000011001
+0000000100010111111111111100110011111110100101000000001101001000111110100100011100001001100011011110110110011101011110011011011100010110110010111111011011011101000001010011111011111100011000100000001011100011111111011000000000000001101010110000000000011001
+0000000100010111111111111100101111111110100101110000001101000100111110100100110100001001100000111110110110101111011110011100100100010110101000111111011011101101000001010011010111111100011001110000001011011111111111011000000100000001101010110000000000011001
+0000000100011000111111111100100111111110100110010000001101000000111110100101001000001001011110101110110111000001011110011101101100010110011110101111011011111101000001010010110011111100011011000000001011011100111111011000001000000001101010110000000000011001
+0000000100011000111111111100011111111110100111000000001100111101111110100101100000001001011100011110110111010011011110011110110100010110010100101111011100001101000001010010010011111100011100100000001011011001111111011000010000000001101010100000000000011001
+0000000100011001111111111100011011111110100111110000001100111001111110100101111000001001011001111110110111100101011110011111111100010110001010101111011100011101000001010001101111111100011101110000001011010110111111011000010100000001101010100000000000011000
+0000000100011001111111111100010011111110101000010000001100110101111110100110010000001001010111011110110111110111011110100001000100010110000000101111011100101101000001010001001011111100011111010000001011010011111111011000011100000001101010100000000000011000
+0000000100011010111111111100001111111110101001000000001100110001111110100110101000001001010101001110111000001010011110100010001000010101110110011111011100111100000001010000100111111100100000100000001011010000111111011000100000000001101010100000000000011000
+0000000100011010111111111100000111111110101001110000001100101101111110100111000000001001010010101110111000011100011110100011010000010101101100011111011101001100000001010000000011111100100010000000001011001100111111011000101000000001101010010000000000011000
+0000000100011011111111111011111111111110101010010000001100101001111110100111011000001001010000011110111000101110011110100100010100010101100010011111011101011100000001001111011111111100100011010000001011001001111111011000101100000001101010010000000000011000
+0000000100011011111111111011111011111110101011000000001100100101111110100111110000001001001101111110111001000001011110100101011000010101011000011111011101101100000001001110111011111100100100110000001011000110111111011000110100000001101010010000000000011000
+0000000100011100111111111011110011111110101011110000001100100001111110101000001000001001001011011110111001010100011110100110100000010101001110011111011101111100000001001110010111111100100110000000001011000011111111011000111000000001101010010000000000010111
+0000000100011101111111111011101011111110101100100000001100011101111110101000100000001001001000111110111001100110011110100111100100010101000100011111011110001100000001001101110011111100100111010000001011000000111111011001000000000001101010010000000000010111
+0000000100011101111111111011100111111110101101000000001100011001111110101000111000001001000110101110111001111001011110101000101000010100111010011111011110011100000001001101001111111100101000110000001010111100111111011001000100000001101010000000000000010111
+0000000100011110111111111011011111111110101101110000001100010101111110101001010000001001000100001110111010001100011110101001101100010100110000011111011110101011000001001100101011111100101010000000001010111001111111011001001100000001101010000000000000010111
+0000000100011110111111111011010111111110101110100000001100010001111110101001101000001001000001101110111010011111011110101010110000010100100110101111011110111011000001001100000111111100101011100000001010110110111111011001010000000001101010000000000000010111
+0000000100011111111111111011010011111110101111000000001100001101111110101010000000001000111111001110111010110010011110101011110000010100011100101111011111001011000001001011100011111100101100110000001010110011111111011001011000000001101010000000000000010111
+0000000100011111111111111011001011111110101111110000001100001001111110101010011000001000111100101110111011000101011110101100110100010100010010101111011111011011000001001010111111111100101110010000001010110000111111011001011100000001101001110000000000010111
+0000000100100000111111111011000011111110110000100000001100000101111110101010110000001000111010001110111011011000011110101101110100010100001000101111011111101011000001001010011011111100101111100000001010101100111111011001100100000001101001110000000000010110
+0000000100100000111111111010111111111110110001010000001100000001111110101011001000001000110111101110111011101011011110101110111000010011111110111111011111111011000001001001110111111100110001000000001010101001111111011001101000000001101001110000000000010110
+0000000100100001111111111010110111111110110001110000001011111101111110101011100000001000110101001110111011111110011110101111111000010011110100111111100000001011000001001001010011111100110010010000001010100110111111011001110000000001101001110000000000010110
+0000000100100001111111111010110011111110110010100000001011111001111110101011111000001000110010101110111100010010011110110000111000010011101011001111100000011010000001001000101111111100110011110000001010100011111111011001111000000001101001100000000000010110
+0000000100100010111111111010101011111110110011010000001011110101111110101100010100001000110000001110111100100101011110110001111100010011100001001111100000101010000001001000001011111100110101000000001010011111111111011001111100000001101001100000000000010110
+0000000100100010111111111010100011111110110011110000001011110001111110101100101100001000101101101110111100111001011110110010111100010011010111011111100000111010000001000111100111111100110110100000001010011100111111011010000100000001101001100000000000010110
+0000000100100011111111111010011111111110110100100000001011101101111110101101000100001000101011001110111101001100011110110011111100010011001101011111100001001010000001000111000011111100110111110000001010011001111111011010001000000001101001100000000000010110
+0000000100100011111111111010010111111110110101010000001011101001111110101101011100001000101000011110111101100000011110110100111000010011000011101111100001011010000001000110011111111100111001010000001010010110111111011010010000000001101001010000000000010110
+0000000100100100111111111010001111111110110110000000001011100101111110101101110100001000100101111110111101110100011110110101111000010010111001111111100001101010000001000101111011111100111010100000001010010011111111011010010100000001101001010000000000010101
+0000000100100101111111111010001011111110110110110000001011100001111110101110010000001000100011011110111110001000011110110110111000010010101111111111100001111010000001000101010111111100111100000000001010001111111111011010011100000001101001010000000000010101
+0000000100100101111111111010000011111110110111010000001011011100111110101110101000001000100000111110111110011100011110110111110100010010100110001111100010001001000001000100110011111100111101010000001010001100111111011010100000000001101001010000000000010101
+0000000100100110111111111001111011111110111000000000001011011000111110101111000000001000011110001110111110110000011110111000110100010010011100011111100010011001000001000100001111111100111110110000001010001001111111011010101000000001101001000000000000010101
+0000000100100110111111111001110111111110111000110000001011010100111110101111011100001000011011101110111111000100011110111001110000010010010010101111100010101001000001000011101011111101000000000000001010000110111111011010101100000001101001000000000000010101
+0000000100100111111111111001101111111110111001100000001011010000111110101111110100001000011001001110111111011000011110111010101100010010001000111111100010111001000001000011000111111101000001100000001010000010111111011010110100000001101001000000000000010101
+0000000100100111111111111001100111111110111010000000001011001100111110110000001100001000010110011110111111101100011110111011101000010001111110111111100011001001000001000010100011111101000010110000001001111111111111011010111000000001101001000000000000010101
+0000000100101000111111111001011111111110111010110000001011001000111110110000101000001000010011111111000000000000011110111100100100010001110101001111100011011001000001000001111111111101000100010000001001111100111111011011000000000001101000110000000000010101
+0000000100101000111111111001011011111110111011100000001011000011111110110001000000001000010001001111000000010101011110111101100000010001101011101111100011101001000001000001011011111101000101100000001001111001111111011011001000000001101000110000000000010100
+0000000100101001111111111001010011111110111100010000001010111111111110110001011000001000001110101111000000101001011110111110011100010001100001111111100011111000000001000000110111111101000111000000001001110101111111011011001100000001101000110000000000010100
+0000000100101001111111111001001011111110111101000000001010111011111110110001110100001000001011111111000000111110011110111111011000010001011000001111100100001000000001000000010011111101001000010000001001110010111111011011010100000001101000110000000000010100
+0000000100101010111111111001000111111110111101100000001010110111111110110010001100001000001001011111000001010010011111000000010100010001001110011111100100011000000000111111101111111101001001110000001001101111111111011011011000000001101000100000000000010100
+0000000100101010111111111000111111111110111110010000001010110011111110110010101000001000000110101111000001100111011111000001001100010001000100101111100100101000000000111111001011111101001011000000001001101100111111011011100000000001101000100000000000010100
+0000000100101011111111111000110111111110111111000000001010101110111110110011000000001000000011111111000001111100011111000010000100010000111010111111100100111000000000111110100111111101001100100000001001101000111111011011100100000001101000100000000000010100
+0000000100101011111111111000110011111110111111110000001010101010111110110011011100001000000001011111000010010001011111000011000000010000110001011111100101001000000000111110000011111101001101110000001001100101111111011011101100000001101000100000000000010100
+0000000100101100111111111000101011111111000000100000001010100110111110110011110100000111111110101111000010100110011111000011111000010000100111101111100101010111000000111101011111111101001111010000001001100010111111011011110100000001101000010000000000010011
+0000000100101100111111111000100011111111000001010000001010100010111110110100010000000111111011111111000010111011011111000100110000010000011110001111100101100111000000111100111011111101010000100000001001011110111111011011111000000001101000010000000000010011
+0000000100101101111111111000011111111111000010000000001010011101111110110100101000000111111001011111000011010000011111000101101000010000010100011111100101110111000000111100010111111101010010000000001001011011111111011100000000000001101000010000000000010011
+0000000100101110111111111000010111111111000010100000001010011001111110110101000100000111110110101111000011100101011111000110100000010000001010101111100110000111000000111011110011111101010011010000001001011000111111011100000100000001101000000000000000010011
+0000000100101110111111111000001111111111000011010000001010010101111110110101011100000111110011111111000011111010011111000111011000010000000001001111100110010111000000111011001111111101010100110000001001010101111111011100001100000001101000000000000000010011
+0000000100101111111111111000001011111111000100000000001010010000111110110101111000000111110001001111000100001111011111001000010000001111110111101111100110100111000000111010101011111101010110000000001001010001111111011100010000000001101000000000000000010011
+0000000100101111111111111000000011111111000100110000001010001100111110110110010100000111101110011111000100100101011111001001000100001111101101111111100110110110000000111010000111111101010111100000001001001110111111011100011000000001101000000000000000010011
+0000000100110000111111110111111011111111000101100000001010001000111110110110101100000111101011101111000100111010011111001001111100001111100100011111100111000110000000111001100011111101011000110000001001001011111111011100100000000001100111110000000000010010
+0000000100110000111111110111110011111111000110010000001010000011111110110111001000000111101000111111000101010000011111001010110000001111011010111111100111010110000000111000111011111101011010010000001001001000111111011100100100000001100111110000000000010010
+0000000100110001111111110111101111111111000111000000001001111111111110110111100100000111100110001111000101100101011111001011100100001111010001011111100111100110000000111000010111111101011011100000001001000100111111011100101100000001100111110000000000010010
+0000000100110001111111110111100111111111000111100000001001111011111110110111111100000111100011011111000101111011011111001100011100001111000111101111100111110110000000110111110011111101011101000000001001000001111111011100110000000001100111100000000000010010
+0000000100110010111111110111011111111111001000010000001001110110111110111000011000000111100000101111000110010001011111001101010000001110111110001111101000000101000000110111001111111101011110100000001000111110111111011100111000000001100111100000000000010010
+0000000100110010111111110111011011111111001001000000001001110010111110111000110100000111011101111111000110100111011111001110000100001110110100101111101000010101000000110110101011111101011111110000001000111010111111011101000000000001100111100000000000010010
+0000000100110011111111110111010011111111001001110000001001101110111110111001001100000111011011001111000110111101011111001110111000001110101011001111101000100101000000110110000111111101100001010000001000110111111111011101000100000001100111100000000000010010
+0000000100110011111111110111001011111111001010100000001001101001111110111001101000000111011000011111000111010011011111001111101000001110100001101111101000110101000000110101100011111101100010100000001000110100111111011101001100000001100111010000000000010010
+0000000100110100111111110111000111111111001011010000001001100101111110111010000100000111010101101111000111101001011111010000011100001110011000011111101001000101000000110100111111111101100100000000001000110000111111011101010000000001100111010000000000010010
+0000000100110100111111110110111111111111001100000000001001100000111110111010100000000111010010111111000111111111011111010001010000001110001110111111101001010100000000110100011011111101100101010000001000101101111111011101011000000001100111010000000000010001
+0000000100110101111111110110110111111111001100110000001001011100111110111010111100000111001111111111001000010101011111010010000000001110000101011111101001100100000000110011110111111101100110110000001000101010111111011101100000000001100111000000000000010001
+0000000100110101111111110110101111111111001101100000001001011000111110111011010100000111001101001111001000101011011111010010110100001101111011111111101001110100000000110011010011111101101000000000001000100111111111011101100100000001100111000000000000010001
+0000000100110110111111110110101011111111001110010000001001010011111110111011110000000111001010011111001001000010011111010011100100001101110010011111101010000100000000110010101111111101101001100000001000100011111111011101101100000001100111000000000000010001
+0000000100110111111111110110100011111111001111000000001001001111111110111100001100000111000111011111001001011000011111010100010100001101101001001111101010010011000000110010001011111101101010110000001000100000111111011101110100000001100110110000000000010001
+0000000100110111111111110110011011111111001111100000001001001010111110111100101000000111000100101111001001101111011111010101000100001101011111101111101010100011000000110001100111111101101100010000001000011101111111011101111000000001100110110000000000010001
+0000000100111000111111110110010111111111010000010000001001000110111110111101000100000111000001111111001010000101011111010101110100001101010110011111101010110011000000110001000011111101101101110000001000011001111111011110000000000001100110110000000000010001
+0000000100111000111111110110001111111111010001000000001001000001111110111101100000000110111110111111001010011100011111010110100100001101001100111111101011000011000000110000011111111101101111000000001000010110111111011110000100000001100110100000000000010001
+0000000100111001111111110110000111111111010001110000001000111101111110111101111100000110111100001111001010110011011111010111010100001101000011101111101011010010000000101111111011111101110000100000001000010011111111011110001100000001100110100000000000010001
+0000000100111001111111110101111111111111010010100000001000111000111110111110011000000110111001001111001011001001011111011000000000001100111010001111101011100010000000101111010111111101110001110000001000001111111111011110010100000001100110100000000000010001
+0000000100111010111111110101111011111111010011010000001000110100111110111110110000000110110110011111001011100000011111011000110000001100110000111111101011110010000000101110110011111101110011010000001000001100111111011110011000000001100110010000000000010000
+0000000100111010111111110101110011111111010100000000001000101111111110111111001100000110110011011111001011110111011111011001011100001100100111101111101100000010000000101110001111111101110100100000001000001001111111011110100000000001100110010000000000010000
+0000000100111011111111110101101011111111010100110000001000101011111110111111101000000110110000101111001100001110011111011010001100001100011110011111101100010001000000101101101011111101110110000000001000000101111111011110101000000001100110010000000000010000
+0000000100111011111111110101100111111111010101100000001000100110111111000000000100000110101101101111001100100110011111011010111000001100010100111111101100100001000000101101000111111101110111010000001000000010111111011110101100000001100110010000000000010000
+0000000100111100111111110101011111111111010110010000001000100010111111000000100000000110101010111111001100111101011111011011100100001100001011101111101100110001000000101100100011111101111000110000000111111111111111011110110100000001100110000000000000010000
+0000000100111100111111110101010111111111010111000000001000011101111111000000111100000110100111111111001101010100011111011100010000001100000010011111101101000000000000101011111111111101111010000000000111111011111111011110111000000001100110000000000000010000
+0000000100111101111111110101001111111111010111110000001000011001111111000001011100000110100100111111001101101011011111011100111100001011111001001111101101010000000000101011011011111101111011100000000111111000111111011111000000000001100110000000000000010000
+0000000100111101111111110101001011111111011000100000001000010100111111000001111000000110100001111111001110000011011111011101101000001011101111111111101101100000000000101010110111111101111101000000000111110101111111011111001000000001100101110000000000001111
+0000000100111110111111110101000011111111011001010000001000010000111111000010010100000110011111001111001110011010011111011110010100001011100110101111101101101111000000101010010011111101111110010000000111110010111111011111001100000001100101110000000000001111
+0000000100111110111111110100111011111111011010000000001000001011111111000010110000000110011100001111001110110010011111011110111100001011011101101111101101111111000000101001101111111101111111110000000111101110111111011111010100000001100101110000000000001111
+0000000100111111111111110100110011111111011010110000001000000110111111000011001100000110011001001111001111001010011111011111101000001011010100011111101110001111000000101001001011111110000001000000000111101011111111011111011100000001100101100000000000001111
+0000000100111111111111110100101111111111011011100000001000000010111111000011101000000110010110001111001111100001011111100000010000001011001011001111101110011110000000101000100111111110000010100000000111101000111111011111100000000001100101100000000000001111
+0000000101000000111111110100100111111111011100010000000111111101111111000100000100000110010011001111001111111001011111100000111000001011000001111111101110101110000000101000000011111110000011110000000111100100111111011111101000000001100101100000000000001111
+0000000101000000111111110100011111111111011101000000000111111001111111000100100000000110010000011111010000010001011111100001100100001010111000111111101110111101000000100111011111111110000101010000000111100001111111011111110000000001100101010000000000001111
+0000000101000001111111110100011011111111011101110000000111110100111111000100111100000110001101011111010000101001011111100010001100001010101111101111101111001101000000100110111011111110000110100000000111011110111111011111110100000001100101010000000000001111
+0000000101000001111111110100010011111111011110100000000111101111111111000101011100000110001010011111010001000001011111100010110100001010100110101111101111011101000000100110010111111110001000000000000111011010111111011111111100000001100101010000000000001110
+0000000101000010111111110100001011111111011111010000000111101011111111000101111000000110000111011111010001011001011111100011011100001010011101011111101111101100000000100101110011111110001001010000000111010111111111100000000100000001100101000000000000001110
+0000000101000010111111110100000011111111100000000000000111100110111111000110010100000110000100011111010001110001011111100100000000001010010100011111101111111100000000100101001111111110001010110000000111010100111111100000001000000001100101000000000000001110
+0000000101000011111111110011111111111111100000110000000111100001111111000110110000000110000001011111010010001010011111100100101000001010001011001111110000001011000000100100101011111110001100000000000111010000111111100000010000000001100101000000000000001110
+0000000101000011111111110011110111111111100001100000000111011101111111000111010000000101111110011111010010100010011111100101010000001010000010001111110000011011000000100100000111111110001101100000000111001101111111100000011000000001100100110000000000001110
+0000000101000100111111110011101111111111100010010000000111011000111111000111101100000101111011011111010010111010011111100101110100001001111001001111110000101011000000100011100011111110001111000000000111001010111111100000011100000001100100110000000000001110
+0000000101000101111111110011100111111111100011000000000111010011111111001000001000000101111000001111010011010011011111100110011000001001110000001111110000111010000000100010111111111110010000010000000111000110111111100000100100000001100100100000000000001110
+0000000101000101111111110011100011111111100011110000000111001111111111001000100100000101110101001111010011101011011111100111000000001001100111001111110001001010000000100010011011111110010001110000000111000011111111100000101100000001100100100000000000001110
+0000000101000110111111110011011011111111100100100000000111001010111111001001000100000101110010001111010100000100011111100111100100001001011110001111110001011001000000100001110111111110010011000000000111000000111111100000110000000001100100100000000000001110
+0000000101000110111111110011010011111111100101010000000111000101111111001001100000000101101111001111010100011101011111101000001000001001010101001111110001101001000000100001010011111110010100100000000110111100111111100000111000000001100100010000000000001110
+0000000101000111111111110011001011111111100110000000000111000000111111001001111100000101101100001111010100110101011111101000101100001001001100001111110001111000000000100000101111111110010101110000000110111001111111100001000000000001100100010000000000001110
+0000000101000111111111110011000111111111100111000000000110111100111111001010011100000101101000111111010101001110011111101001010000001001000011001111110010001000000000100000001011111110010111010000000110110101111111100001000100000001100100010000000000001110
+0000000101001000111111110010111111111111100111110000000110110111111111001010111000000101100101111111010101100111011111101001110000001000111010001111110010010111000000011111100111111110011000100000000110110010111111100001001100000001100100000000000000001110
+0000000101001000111111110010110111111111101000100000000110110010111111001011011000000101100010111111010110000000011111101010010100001000110001001111110010100111000000011111000011111110011010000000000110101111111111100001010100000001100100000000000000001110
+0000000101001001111111110010101111111111101001010000000110101101111111001011110100000101011111111111010110011001011111101010111000001000101000001111110010110110000000011110100011111110011011010000000110101011111111100001011000000001100100000000000000001101
+0000000101001001111111110010101011111111101010000000000110101001111111001100010000000101011100101111010110110010011111101011011000001000011111011111110011000110000000011101111111111110011100110000000110101000111111100001100000000001100011110000000000001101
+0000000101001010111111110010100011111111101010110000000110100100111111001100110000000101011001101111010111001100011111101011111000001000010110011111110011010101000000011101011011111110011110000000000110100101111111100001101000000001100011110000000000001101
+0000000101001010111111110010011011111111101011100000000110011111111111001101001100000101010110011111010111100101011111101100011100001000001101011111110011100101000000011100110111111110011111100000000110100001111111100001101100000001100011110000000000001101
+0000000101001011111111110010010111111111101100010000000110011010111111001101101100000101010011011111010111111110011111101100111100001000000100101111110011110100000000011100010011111110100000110000000110011110111111100001110100000001100011100000000000001101
+0000000101001011111111110010001111111111101101000000000110010110111111001110001000000101010000001111011000011000011111101101011100000111111011111111110100000011000000011011101111111110100010010000000110011011111111100001111100000001100011100000000000001101
+0000000101001100111111110010000111111111101101110000000110010001111111001110101000000101001101001111011000110001011111101101111100000111110010111111110100010011000000011011001011111110100011110000000110010111111111100010000100000001100011010000000000001101
+0000000101001100111111110001111111111111101110100000000110001100111111001111000100000101001001111111011001001011011111101110011000000111101010001111110100100010000000011010100111111110100101000000000110010100111111100010001000000001100011010000000000001101
+0000000101001101111111110001111011111111101111010000000110000111111111001111100100000101000110111111011001100101011111101110111000000111100001011111110100110010000000011010000011111110100110100000000110010001111111100010010000000001100011010000000000001100
+0000000101001101111111110001110011111111110000010000000110000010111111010000000000000101000011101111011001111110011111101111011000000111011000011111110101000001000000011001011111111110100111110000000110001101111111100010011000000001100011000000000000001100
+0000000101001110111111110001101011111111110001000000000101111110111111010000100000000101000000101111011010011000011111101111110100000111001111101111110101010000000000011000111011111110101001010000000110001010111111100010011100000001100011000000000000001100
+0000000101001110111111110001100011111111110001110000000101111001111111010000111100000100111101011111011010110010011111110000010000000111000110111111110101100000000000011000010111111110101010100000000110000111111111100010100100000001100011000000000000001100
+0000000101001111111111110001011111111111110010100000000101110100111111010001011100000100111010001111011011001100011111110000110000000110111110001111110101101111000000010111110111111110101100000000000110000011111111100010101100000001100010110000000000001100
+0000000101001111111111110001010111111111110011010000000101101111111111010001111000000100110111001111011011100110011111110001001100000110110101011111110101111110000000010111010011111110101101010000000110000000111111100010110000000001100010110000000000001100
+0000000101010000111111110001001111111111110100000000000101101010111111010010011000000100110011111111011100000000011111110001101000000110101100101111110110001110000000010110101111111110101110110000000101111101111111100010111000000001100010100000000000001011
+0000000101010000111111110001000111111111110100110000000101100101111111010010111000000100110000101111011100011010011111110010000100000110100011111111110110011101000000010110001011111110110000000000000101111001111111100011000000000001100010100000000000001011
+0000000101010001111111110001000011111111110101100000000101100000111111010011010100000100101101011111011100110101011111110010100000000110011011001111110110101100000000010101100111111110110001100000000101110110111111100011001000000001100010100000000000001011
+0000000101010001111111110000111011111111110110010000000101011011111111010011110100000100101010011111011101001111011111110010111000000110010010101111110110111100000000010101000011111110110010110000000101110011111111100011001100000001100010010000000000001011
+0000000101010010111111110000110011111111110111010000000101010111111111010100010100000100100111001111011101101001011111110011010100000110001001111111110111001011000000010100011111111110110100010000000101101111111111100011010100000001100010010000000000001011
+0000000101010010111111110000101011111111111000000000000101010010111111010100110000000100100011111111011110000100011111110011110000000110000001001111110111011010000000010011111011111110110101100000000101101100111111100011011100000001100010010000000000001011
+0000000101010011111111110000100111111111111000110000000101001101111111010101010000000100100000101111011110011110011111110100001000000101111000101111110111101001000000010011011011111110110111000000000101101001111111100011100000000001100010000000000000001011
+0000000101010011111111110000011111111111111001100000000101001000111111010101110000000100011101011111011110111001011111110100100000000101101111111111110111111001000000010010110111111110111000010000000101100101111111100011101000000001100010000000000000001011
+0000000101010100111111110000010111111111111010010000000101000011111111010110001100000100011010001111011111010100011111110100111000000101100111011111111000001000000000010010010011111110111001110000000101100010111111100011110000000001100001110000000000001011
+0000000101010100111111110000001111111111111011000000000100111110111111010110101100000100010110111111011111101110011111110101010100000101011110101111111000010111000000010001101111111110111011000000000101011111111111100011111000000001100001110000000000001011
+0000000101010101111111110000000111111111111100000000000100111001111111010111001100000100010011101111100000001001011111110101101100000101010110001111111000100110000000010001001011111110111100100000000101011011111111100011111100000001100001110000000000001011
+0000000101010101111111110000000011111111111100110000000100110100111111010111101100000100010000011111100000100100011111110110000000000101001101101111111000110101000000010000100111111110111101110000000101011000111111100100000100000001100001100000000000001011
+0000000101010110111111101111111011111111111101100000000100101111111111011000001000000100001101001111100000111111011111110110011000000101000101001111111001000101000000010000000111111110111111010000000101010101111111100100001100000001100001100000000000001011
+0000000101010110111111101111110011111111111110010000000100101010111111011000101000000100001001111111100001011010011111110110110000000100111100101111111001010100000000001111100011111111000000100000000101010001111111100100010000000001100001010000000000001011
+0000000101010111111111101111101011111111111111000000000100100101111111011001001000000100000110101111100001110101011111110111000100000100110011111111111001100011000000001110111111111111000010000000000101001110111111100100011000000001100001010000000000001011
+0000000101010111111111101111100111111111111111110000000100100000111111011001101000000100000011011111100010010000011111110111011100000100101011011111111001110010000000001110011011111111000011010000000101001010111111100100100000000001100001010000000000001011
+0000000101011000111111101111011100000000000000100000000100011011111111011010001000000100000000001111100010101100011111110111110000000100100010111111111010000001000000001101110111111111000100100000000101000111111111100100101000000001100001000000000000001011
+0000000101011000111111101111010100000000000001100000000100010110111111011010100100000011111100111111100011000111011111111000001000000100011010101111111010010000000000001101010111111111000110000000000101000100111111100100101100000001100001000000000000001011
+0000000101011001111111101111001100000000000010010000000100010001111111011011000100000011111001101111100011100010011111111000011100000100010010001111111010011111000000001100110011111111000111010000000101000000111111100100110100000001100000110000000000001011
+0000000101011001111111101111001000000000000011000000000100001100111111011011100100000011110110001111100011111110011111111000110000000100001001101111111010101110000000001100001111111111001000110000000100111101111111100100111100000001100000110000000000001011
+0000000101011010111111101111000000000000000011110000000100000111111111011100000100000011110010111111100100011001011111111001000100000100000001001111111010111101000000001011101011111111001010000000000100111010111111100101000000000001100000110000000000001011
+0000000101011010111111101110111000000000000100100000000100000010111111011100100100000011101111101111100100110101011111111001010100000011111000111111111011001100000000001011001011111111001011100000000100110110111111100101001000000001100000100000000000001011
+0000000101011011111111101110110000000000000101100000000011111101111111011101000100000011101100011111100101010001011111111001101000000011110000011111111011011011000000001010100111111111001100110000000100110011111111100101010000000001100000100000000000001010
+0000000101011011111111101110101100000000000110010000000011111000111111011101100100000011101000111111100101101101011111111001111100000011100111111111111011101010000000001010000011111111001110010000000100110000111111100101011000000001100000010000000000001010
+0000000101011100111111101110100100000000000111000000000011110011111111011110000100000011100101101111100110001000011111111010001100000011011111101111111011111001000000001001011111111111001111100000000100101100111111100101011100000001100000010000000000001010
+0000000101011100111111101110011100000000000111110000000011101110111111011110100000000011100010011111100110100100011111111010100000000011010111011111111100001000000000001000111111111111010001000000000100101001111111100101100100000001100000010000000000001010
+0000000101011100111111101110010100000000001000100000000011101001111111011111000000000011011110111111100111000000011111111010110000000011001110111111111100010111000000001000011011111111010010010000000100100110111111100101101100000001100000000000000000001001
+0000000101011101111111101110010000000000001001100000000011100100111111011111100000000011011011101111100111011100011111111011000000000011000110101111111100100110000000000111110111111111010011100000000100100010111111100101110100000001100000000000000000001001
+0000000101011101111111101110001000000000001010010000000011011111111111100000000000000011011000001111100111111000011111111011010000000010111110011111111100110101000000000111010011111111010101000000000100011111111111100101111000000001011111110000000000001001
+0000000101011110111111101110000000000000001011000000000011011010111111100000100000000011010100111111101000010101011111111011100000000010110110001111111101000100000000000110110011111111010110010000000100011100111111100110000000000001011111110000000000001000
+0000000101011110111111101101111000000000001011110000000011010101111111100001000000000011010001101111101000110001011111111011110000000010101101101111111101010011000000000110001111111111010111110000000100011000111111100110001000000001011111100000000000001000
+0000000101011111111111101101110100000000001100100000000011010000111111100001100000000011001110001111101001001101011111111100000000000010100101011111111101100010000000000101101011111111011001000000000100010101111111100110001100000001011111100000000000001000
+0000000101011111111111101101101100000000001101100000000011001011111111100010000000000011001010111111101001101010011111111100001100000010011101001111111101110001000000000101001011111111011010100000000100010010111111100110010100000001011111100000000000000111
+0000000101100000111111101101100100000000001110010000000011000110111111100010100000000011000111011111101010000110011111111100011100000010010101001111111110000000000000000100100111111111011011110000000100001110111111100110011100000001011111010000000000000111
+0000000101100000111111101101011100000000001111000000000011000001111111100011000000000011000011111111101010100011011111111100101000000010001100111111111110001110000000000100000011111111011101000000000100001011111111100110100100000001011111010000000000000111
+0000000101100001111111101101010100000000001111110000000010111011111111100011100000000011000000101111101010111111011111111100111000000010000100101111111110011101000000000011100011111111011110100000000100001000111111100110101000000001011111000000000000000111
+0000000101100001111111101101010000000000010000110000000010110110111111100100000100000010111101001111101011011100011111111101000100000001111100011111111110101100000000000010111111111111011111110000000100000100111111100110110000000001011111000000000000000111
+0000000101100010111111101101001000000000010001100000000010110001111111100100100100000010111001111111101011111001011111111101010000000001110100011111111110111011000000000010011011111111100001010000000100000001111111100110111000000001011111000000000000000111
+0000000101100010111111101101000000000000010010010000000010101100111111100101000100000010110110011111101100010110011111111101011100000001101100001111111111001010000000000001111011111111100010100000000011111110111111100111000000000001011110110000000000000111
+0000000101100011111111101100111000000000010011000000000010100111111111100101100100000010110010111111101100110010011111111101101000000001100011111111111111011000000000000001010111111111100011110000000011111010111111100111000100000001011110110000000000000111
+0000000101100011111111101100110100000000010011110000000010100010111111100110000100000010101111101111101101001111011111111101110100000001011011111111111111100111000000000000110011111111100101010000000011110111111111100111001100000001011110100000000000001000
+0000000101100100111111101100101100000000010100110000000010011101111111100110100100000010101100001111101101101100011111111101111100000001010011111111111111110110000000000000010011111111100110100000000011110100111111100111010100000001011110100000000000001000
+0000000101100100111111101100100100000000010101100000000010011000111111100111000100000010101000101111101110001010011111111110001000000001001011100000000000000101111111111111101111111111101000000000000011110000111111100111011100000001011110010000000000001001
+0000000101100101111111101100011100000000010110010000000010010010111111100111100100000010100101001111101110100111011111111110010000000001000011100000000000010011111111111111001111111111101001010000000011101101111111100111100000000001011110010000000000001001
+0000000101100101111111101100011000000000010111000000000010001101111111101000001000000010100001111111101111000100011111111110011100000000111011100000000000100010111111111110101011111111101010100000000011101010111111100111101000000001011110010000000000001010
+0000000101100110111111101100010000000000011000000000000010001000111111101000101000000010011110011111101111100001011111111110100100000000110011100000000000110001111111111110001011111111101100000000000011100110111111100111110000000001011110000000000000001011
+0000000101100110111111101100001000000000011000110000000010000011111111101001001000000010011010111111101111111111011111111110101100000000101011100000000000111111111111111101100111111111101101010000000011100011111111100111111000000001011110000000000000001100
+0000000101100111111111101100000000000000011001100000000001111110111111101001101000000010010111011111110000011100011111111110110100000000100011100000000001001110111111111101000011111111101110100000000011100000111111100111111100000001011101110000000000001110
+0000000101100111111111101011111100000000011010010000000001111001111111101010001000000010010011111111110000111010011111111110111100000000011011100000000001011100111111111100100011111111110000000000000011011101111111101000000100000001011101110000000000001111
+0000000101100111111111101011110100000000011011010000000001110011111111101010101000000010010000011111110001010111011111111111000100000000010011100000000001101011111111111011111111111111110001010000000011011001111111101000001100000001011101100000000000010001
+0000000101101000111111101011101100000000011100000000000001101110111111101011001100000010001100111111110001110101011111111111001100000000001011100000000001111010111111111011011111111111110010110000000011010110111111101000010100000001011101100000000000010010
+0000000101101000111111101011100100000000011100110000000001101001111111101011101100000010001001011111110010010011011111111111010000000000000011100000000010001000111111111010111011111111110100000000000011010011111111101000011000000001011101010000000000010100
+0000000101101001111111101011100000000000011101100000000001100100111111101100001100000010000101111111110010110000011111111111011011111111111011110000000010010111111111111010011011111111110101010000000011001111111111101000100000000001011101010000000000010101
+0000000101101001111111101011011000000000011110100000000001011111111111101100101100000010000010011111110011001110011111111111011111111111110011110000000010100101111111111001110111111111110110110000000011001100111111101000101000000001011101010000000000010111
+0000000101101010111111101011010000000000011111010000000001011001111111101101010000000001111110111111110011101100011111111111100011111111101011110000000010110100111111111001010111111111111000000000000011001001111111101000110000000001011101000000000000011001
+0000000101101010111111101011001000000000100000000000000001010100111111101101110000000001111011011111110100001010011111111111101011111111100100000000000011000010111111111000110011111111111001010000000011000101111111101000110100000001011101000000000000011010
+0000000101101011111111101011000000000000100001000000000001001111111111101110010000000001110111111111110100101000011111111111101111111111011100010000000011010001111111111000010011111111111010110000000011000010111111101000111100000001011100110000000000011100
+0000000101101011111111101010111100000000100001110000000001001010111111101110110100000001110100011111110101000110011111111111110011111111010100010000000011011111111111110111101111111111111100000000000010111111111111101001000100000001011100110000000000011101
+0000000101101100111111101010110100000000100010100000000001000100111111101111010100000001110000111111110101100101011111111111110011111111001100100000000011101101111111110111001111111111111101010000000010111011111111101001001100000001011100100000000000011111
+0000000101101100111111101010101100000000100011010000000000111111111111101111110100000001101101011111110110000011011111111111110111111111000100110000000011111100111111110110101011111111111110110000000010111000111111101001010000000001011100100000000000100000
+0000000101101101111111101010100100000000100100010000000000111010111111110000011000000001101001111111110110100001011111111111111011111110111101000000000100001010111111110110001000000000000000000000000010110101111111101001011000000001011100100000000000100001
+0000000101101101111111101010100000000000100101000000000000110101111111110000111000000001100110011111110111000000011111111111111011111110110101000000000100011000111111110101100100000000000001010000000010110010111111101001100000000001011100010000000000100010
+0000000101101101111111101010011000000000100101110000000000101111111111110001011000000001100010111111110111011110011111111111111111111110101101010000000100100111111111110101000100000000000010100000000010101110111111101001101000000001011100010000000000100011
+0000000101101110111111101010010000000000100110100000000000101010111111110001111100000001011111001111110111111101011111111111111111111110100101100000000100110101111111110100100100000000000100000000000010101011111111101001101100000001011100000000000000100011
+0000000101101110111111101010001000000000100111100000000000100101111111110010011100000001011011101111111000011011011111111111111111111110011110000000000101000011111111110100000000000000000101010000000010101000111111101001110100000001011100000000000000100100
+0000000101101111111111101010000100000000101000010000000000100000111111110010111100000001011000001111111000111010011111111111111111111110010110010000000101010010111111110011100000000000000110100000000010100100111111101001111100000001011011110000000000100100
\ No newline at end of file
diff --git a/applications/lofar1/pfs/src/data/pfs_coefsbuf_1024.hex b/applications/lofar1/pfs/src/data/pfs_coefsbuf_1024.hex
new file mode 100644
index 0000000000000000000000000000000000000000..a4c3450705d216a893f63e04b1e24101124c6110
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_coefsbuf_1024.hex
@@ -0,0 +1,1025 @@
+:200000000024016FFE9F00A4001AFF380152FE597FFFFE3A0160FF2F002000A1FEA1016FFB
+:2000010000240170FE9D00A80015FF400143FE787FFFFE1B016EFF270025009EFEA2016EFB
+:2000020000230170FE9B00AB0010FF490135FE967FFFFDFD017CFF1F002A009AFEA4016EFC
+:2000030000230171FE9A00AE000AFF510127FEB57FFFFDDE018BFF16002F0097FEA6016DFB
+:2000040000220171FE9800B20005FF590118FED47FFEFDC00199FF0E00350094FEA8016DFA
+:2000050000210172FE9600B50000FF62010AFEF47FFEFDA101A7FF06003A0091FEA9016DF8
+:2000060000200172FE9400B8FFFBFF6A00FCFF137FFDFD8301B5FEFD003F008DFEAB016CFD
+:20000700001F0172FE9300BBFFF5FF7300EDFF327FFCFD6501C3FEF50044008AFEAD016CFD
+:20000800001D0173FE9100BFFFF0FF7B00DFFF517FFCFD4601D1FEED004A0087FEAF016BFC
+:20000900001C0173FE8F00C2FFEBFF8400D1FF717FFBFD2801DFFEE4004F0084FEB0016BFC
+:20000A00001A0174FE8D00C5FFE5FF8C00C2FF907FFAFD0A01EDFEDC00540080FEB2016A00
+:20000B0000190174FE8C00C9FFE0FF9500B4FFAF7FF8FCEC01FBFED40059007DFEB4016AFF
+:20000C0000170175FE8A00CCFFDBFF9D00A5FFCF7FF7FCCE0209FECB005F007AFEB60169FF
+:20000D0000150175FE8800CFFFD5FFA60097FFEF7FF6FCB00217FEC300640076FEB8016900
+:20000E0000140175FE8600D3FFD0FFAE0088000E7FF4FC930225FEBB00690073FEB9016801
+:20000F0000120176FE8500D6FFCBFFB7007A002E7FF3FC750233FEB3006E0070FEBB0168FE
+:2000100000110176FE8300D9FFC5FFBF006B004E7FF1FC570241FEAA0073006DFEBD016702
+:20001100000F0177FE8100DDFFC0FFC8005C006E7FEFFC3A024FFEA200790069FEBF016700
+:20001200000E0177FE7F00E0FFBAFFD0004E008E7FEDFC1C025DFE9A007E0066FEC0016702
+:20001300000C0178FE7E00E3FFB5FFD9003F00AE7FEBFBFF026BFE9200830063FEC2016602
+:20001400000B0178FE7C00E6FFB0FFE2003100CE7FE9FBE10279FE8A00880060FEC4016601
+:20001500000A0179FE7A00EAFFAAFFEA002200EE7FE7FBC40287FE82008D005CFEC6016502
+:2000160000090179FE7800EDFFA5FFF30013010E7FE4FBA70294FE7900920059FEC7016504
+:2000170000090179FE7700F0FFA0FFFB0005012E7FE2FB8A02A2FE7100980056FEC9016401
+:200018000008017AFE7500F4FF9A0004FFF6014F7FDFFB6C02B0FE69009D0053FECB016400
+:200019000008017AFE7300F7FF95000CFFE7016F7FDDFB4F02BEFE6100A2004FFECD016301
+:20001A000007017BFE7100FAFF8F0015FFD8018F7FDAFB3202CBFE5900A7004CFECE016303
+:20001B000007017BFE7000FEFF8A001EFFCA01B07FD7FB1602D9FE5100AC0049FED00162FE
+:20001C000007017CFE6E0101FF850026FFBB01D17FD4FAF902E7FE4900B10046FED20162FC
+:20001D000007017CFE6C0104FF7F002FFFAC01F17FD1FADC02F4FE4100B60043FED40161FE
+:20001E000007017CFE6A0108FF7A0038FF9D02127FCEFABF0302FE3800BB003FFED50161FC
+:20001F000007017DFE69010BFF740040FF8E02337FCAFAA3030FFE3000C1003CFED70160FB
+:200020000007017DFE67010EFF6F0049FF8002547FC7FA86031DFE2800C60039FED90160F8
+:200021000007017EFE650112FF6A0052FF7102747FC3FA6A032BFE2000CB0036FEDB015FF6
+:200022000008017EFE630115FF64005AFF6202957FC0FA4D0338FE1800D00032FEDD015FF7
+:200023000008017EFE620118FF5F0063FF5302B67FBCFA310346FE1000D5002FFEDE015EF6
+:200024000008017FFE60011CFF59006CFF4402D87FB8FA150353FE0800DA002CFEE0015EF3
+:200025000009017FFE5E011FFF540074FF3502F97FB4F9F80360FE0000DF0029FEE2015DF5
+:2000260000090180FE5D0122FF4E007DFF26031A7FB0F9DC036EFDF800E40026FEE4015DF2
+:2000270000090180FE5B0126FF490086FF17033B7FACF9C0037BFDF000E90022FEE5015CF3
+:20002800000A0181FE590129FF44008FFF08035D7FA8F9A40389FDE800EE001FFEE7015CEE
+:20002900000A0181FE57012CFF3E0097FEF9037E7FA3F9880396FDE100F3001CFEE9015CF0
+:20002A00000A0181FE560130FF3900A0FEEA039F7F9FF96D03A3FDD900F80019FEEB015BED
+:20002B00000A0182FE540133FF3300A9FEDB03C17F9AF95103B1FDD100FD0016FEEC015BEC
+:20002C00000B0182FE520136FF2E00B2FECC03E37F95F93503BEFDC901020012FEEE015AEB
+:20002D00000B0183FE50013AFF2800BAFEBD04047F91F91903CBFDC10107000FFEF0015AE9
+:20002E00000B0183FE4F013DFF2300C3FEAE04267F8CF8FE03D8FDB9010C000CFEF20159E8
+:20002F00000B0183FE4D0140FF1D00CCFE9F04487F87F8E203E6FDB101110009FEF30159E8
+:20003000000B0184FE4B0144FF1800D5FE90046A7F82F8C703F3FDA901160006FEF50158E5
+:20003100000B0184FE4A0147FF1200DDFE81048B7F7CF8AC0400FDA2011B0002FEF70158E5
+:20003200000B0185FE48014AFF0D00E6FE7204AD7F77F890040DFD9A0120FFFFFEF90157E5
+:20003300000B0185FE46014EFF0800EFFE6304CF7F71F875041AFD920125FFFCFEFA0157E4
+:20003400000B0185FE440151FF0200F8FE5404F27F6CF85A0427FD8A012AFFF9FEFC0156E3
+:20003500000B0186FE430155FEFD0101FE4505147F66F83F0434FD82012FFFF6FEFE0156DE
+:20003600000B0186FE410158FEF70109FE3505367F60F8240441FD7B0134FFF3FF000155DF
+:20003700000B0187FE3F015BFEF20112FE2605587F5BF809044EFD730139FFF0FF010155DD
+:20003800000B0187FE3E015FFEEC011BFE17057A7F55F7EE045BFD6B013EFFECFF030154DE
+:20003900000B0187FE3C0162FEE70124FE08059D7F4EF7D40468FD630143FFE9FF050154DC
+:20003A00000B0188FE3A0165FEE1012DFDF905BF7F48F7B90475FD5C0148FFE6FF070153DC
+:20003B00000B0188FE380169FEDC0136FDE905E27F42F79E0482FD54014DFFE3FF090153DA
+:20003C00000B0189FE37016CFED6013EFDDA06047F3CF784048FFD4C0152FFE0FF0A0152D9
+:20003D00000B0189FE35016FFED10147FDCB06277F35F769049CFD450157FFDDFF0C0152D7
+:20003E00000B0189FE330173FECB0150FDBC064A7F2EF74F04A9FD3D015BFFD9FF0E0151D8
+:20003F00000B018AFE320176FEC60159FDAC066C7F28F73504B5FD350160FFD6FF100151D6
+:20004000000B018AFE300179FEC00162FD9D068F7F21F71A04C2FD2E0165FFD3FF110150D7
+:20004100000B018AFE2E017DFEBB016BFD8E06B27F1AF70004CFFD26016AFFD0FF130150D4
+:20004200000C018BFE2C0180FEB50174FD7E06D57F13F6E604DCFD1E016FFFCDFF15014FD4
+:20004300000C018BFE2B0183FEB0017DFD6F06F87F0CF6CC04E8FD170174FFCAFF17014FD1
+:20004400000C018CFE290187FEAA0185FD60071B7F04F6B204F5FD0F0179FFC7FF18014ED1
+:20004500000C018CFE27018AFEA5018EFD50073E7EFDF6980502FD08017EFFC4FF1A014ECF
+:20004600000C018CFE26018DFE9F0197FD4107617EF6F67E050EFD000182FFC1FF1C014DD0
+:20004700000C018DFE240191FE9A01A0FD3207857EEEF665051BFCF90187FFBDFF1E014DCC
+:20004800000D018DFE220194FE9401A9FD2207A87EE6F64B0527FCF1018CFFBAFF1F014CCF
+:20004900000D018DFE210197FE8F01B2FD1307CB7EDFF6310534FCEA0191FFB7FF21014CCB
+:20004A00000D018EFE1F019BFE8901BBFD0307EF7ED7F6180540FCE20196FFB4FF23014BCA
+:20004B00000D018EFE1D019EFE8301C4FCF408127ECFF5FE054DFCDB019AFFB1FF25014BCB
+:20004C00000D018FFE1B01A1FE7E01CDFCE508357EC7F5E50559FCD3019FFFAEFF26014ACB
+:20004D00000D018FFE1A01A5FE7801D6FCD508597EBEF5CC0566FCCC01A4FFABFF28014AC8
+:20004E00000D018FFE1801A8FE7301DFFCC6087D7EB6F5B20572FCC401A9FFA8FF2A0149C8
+:20004F00000D0190FE1601ABFE6D01E8FCB608A07EAEF599057FFCBD01ADFFA5FF2B0149C8
+:20005000000E0190FE1501AFFE6801F0FCA708C47EA5F580058BFCB601B2FFA2FF2D0148C5
+:20005100000E0190FE1301B2FE6201F9FC9708E87E9CF5670597FCAE01B7FF9FFF2F0148C6
+:20005200000E0191FE1101B5FE5D0202FC88090C7E94F54E05A3FCA701BCFF9CFF310147C1
+:20005300000E0191FE1001B9FE57020BFC7809307E8BF53505B0FC9F01C0FF98FF320147C2
+:20005400000E0191FE0E01BCFE520214FC6909547E82F51D05BCFC9801C5FF95FF340146C0
+:20005500000E0192FE0C01C0FE4C021DFC5909787E79F50405C8FC9101CAFF92FF360146BE
+:20005600000E0192FE0B01C3FE470226FC4A099C7E70F4EB05D4FC8901CFFF8FFF380145BE
+:20005700000E0192FE0901C6FE41022FFC3A09C07E66F4D305E0FC8201D3FF8CFF390145C0
+:20005800000E0193FE0701CAFE3C0238FC2B09E47E5DF4BA05EDFC7B01D8FF89FF3B0144BC
+:20005900000E0193FE0601CDFE360241FC1B0A087E54F4A205F9FC7401DDFF86FF3D0143BA
+:20005A00000E0194FE0401D0FE30024AFC0B0A2C7E4AF48A0605FC6C01E1FF83FF3F0143BA
+:20005B00000E0194FE0201D4FE2B0253FBFC0A517E40F4710611FC6501E6FF80FF400142BA
+:20005C00000E0194FE0101D7FE25025CFBEC0A757E37F459061DFC5E01EBFF7DFF420142B8
+:20005D00000E0195FDFF01DAFE200265FBDD0A9A7E2DF4410629FC5701EFFF7AFF440141B7
+:20005E00000F0195FDFD01DEFE1A026EFBCD0ABE7E23F4290635FC4F01F4FF77FF460141B6
+:20005F00000F0195FDFC01E1FE150277FBBD0AE37E19F4110641FC4801F9FF74FF470140B5
+:20006000000F0196FDFA01E4FE0F0280FBAE0B077E0EF3F9064CFC4101FDFF71FF490140B6
+:20006100000F0196FDF801E8FE0A0289FB9E0B2C7E04F3E10658FC3A0202FF6EFF4B013FB3
+:20006200000F0196FDF701EBFE040292FB8F0B517DFAF3CA0664FC330206FF6BFF4C013FB2
+:20006300000F0197FDF501EEFDFF029BFB7F0B767DEFF3B20670FC2C020BFF68FF4E013EB2
+:20006400000F0197FDF301F2FDF902A4FB6F0B9A7DE5F39A067CFC250210FF65FF50013EB1
+:20006500000F0197FDF201F5FDF402ADFB600BBF7DDAF3830687FC1E0214FF62FF52013DB0
+:2000660000100198FDF001F8FDEE02B6FB500BE47DCFF36B0693FC170219FF5FFF53013DAF
+:2000670000100198FDEE01FBFDE802BFFB400C097DC4F354069FFC0F021DFF5CFF55013CB0
+:2000680000100198FDED01FFFDE302C8FB310C2E7DB9F33D06ABFC080222FF59FF57013CAB
+:2000690000100199FDEB0202FDDD02D1FB210C537DAEF32606B6FC010226FF56FF59013BAB
+:20006A0000100199FDEA0205FDD802DAFB110C797DA3F30E06C2FBFA022BFF53FF5A013BAA
+:20006B0000100199FDE80209FDD202E3FB020C9E7D97F2F706CDFBF3022FFF50FF5C013AAC
+:20006C0000100199FDE6020CFDCD02ECFAF20CC37D8CF2E006D9FBEC0234FF4DFF5E013AAB
+:20006D000011019AFDE5020FFDC702F5FAE20CE87D80F2C906E4FBE60238FF4AFF5F0139AB
+:20006E000011019AFDE30213FDC202FEFAD20D0E7D75F2B306F0FBDF023DFF47FF610139A5
+:20006F000011019AFDE10216FDBC0307FAC30D337D69F29C06FBFBD80241FF44FF630138A6
+:200070000011019BFDE00219FDB70310FAB30D597D5DF2850707FBD10246FF41FF650138A1
+:200071000011019BFDDE021DFDB10319FAA30D7E7D51F26F0712FBCA024AFF3EFF660137A3
+:200072000011019BFDDD0220FDAB0322FA930DA47D45F258071DFBC3024FFF3CFF680137A1
+:200073000011019CFDDB0223FDA6032BFA840DC97D39F2420729FBBC0253FF39FF6A01369F
+:200074000011019CFDD90227FDA00334FA740DEF7D2DF22B0734FBB50258FF36FF6B0135A0
+:200075000011019CFDD8022AFD9B033DFA640E157D20F215073FFBAF025CFF33FF6D01359D
+:200076000011019DFDD6022DFD950346FA540E3B7D14F1FF074BFBA80260FF30FF6F01349D
+:200077000012019DFDD40230FD90034FFA450E617D07F1E90756FBA10265FF2DFF7101349A
+:200078000012019DFDD30234FD8A0358FA350E867CFAF1D30761FB9A0269FF2AFF7201339D
+:200079000012019EFDD10237FD850361FA250EAC7CEEF1BD076CFB93026EFF27FF7401339A
+:20007A000012019EFDD0023AFD7F036AFA150ED27CE1F1A70777FB8D0272FF24FF7601329A
+:20007B000012019EFDCE023EFD7A0373FA050EF87CD4F1910782FB860276FF21FF7701329A
+:20007C000012019EFDCC0241FD74037CF9F60F1E7CC7F17B078DFB7F027BFF1EFF7901319A
+:20007D000012019FFDCB0244FD6E0385F9E60F457CB9F1650798FB79027FFF1CFF7B013197
+:20007E000012019FFDC90248FD69038EF9D60F6B7CACF15007A3FB720283FF19FF7C013097
+:20007F000012019FFDC8024BFD630398F9C60F917C9FF13A07AEFB6B0288FF16FF7E013095
+:20008000001301A0FDC6024EFD5E03A1F9B60FB77C91F12507B9FB65028CFF13FF80012F93
+:20008100001301A0FDC40251FD5803AAF9A70FDE7C84F10F07C4FB5E0290FF10FF82012F92
+:20008200001301A0FDC30255FD5303B3F99710047C76F0FA07CFFB570295FF0DFF83012E91
+:20008300001301A0FDC10258FD4D03BCF987102A7C68F0E507DAFB510299FF0AFF85012E91
+:20008400001301A1FDC0025BFD4803C5F97710517C5AF0D007E5FB4A029DFF08FF87012D8E
+:20008500001301A1FDBE025EFD4203CEF96710787C4CF0BB07EFFB4402A2FF05FF88012C8F
+:20008600001301A1FDBD0262FD3D03D7F957109E7C3EF0A607FAFB3D02A6FF02FF8A012C8D
+:20008700001401A2FDBB0265FD3703E0F94810C57C30F0910805FB3702AAFEFFFF8C012B8A
+:20008800001401A2FDB90268FD3203E9F93810EB7C21F07C080FFB3002AEFEFCFF8D012B8D
+:20008900001401A2FDB8026CFD2C03F2F92811127C13F067081AFB2A02B3FEF9FF8F012A89
+:20008A00001401A2FDB6026FFD2703FBF91811397C05F0520825FB2302B7FEF6FF91012A88
+:20008B00001401A3FDB50272FD210404F90811607BF6F03E082FFB1D02BBFEF4FF92012987
+:20008C00001401A3FDB30275FD1C040DF8F811877BE7F029083AFB1602BFFEF1FF94012988
+:20008D00001401A3FDB20279FD160416F8E911AE7BD8F0150844FB1002C3FEEEFF96012886
+:20008E00001501A3FDB0027CFD11041FF8D911D47BC9F000084FFB0A02C8FEEBFF97012885
+:20008F00001501A4FDAE027FFD0B0428F8C911FB7BBAEFEC0859FB0302CCFEE8FF99012787
+:20009000001501A4FDAD0282FD060431F8B912237BABEFD80864FAFD02D0FEE6FF9B012782
+:20009100001501A4FDAB0286FD00043AF8A9124A7B9CEFC4086EFAF702D4FEE3FF9D012682
+:20009200001501A4FDAA0289FCFB0443F89912717B8DEFB00878FAF002D8FEE0FF9E012683
+:20009300001501A5FDA8028CFCF5044CF88912987B7DEF9C0883FAEA02DCFEDDFFA0012583
+:20009400001501A5FDA7028FFCF00455F87A12BF7B6EEF88088DFAE402E1FEDBFFA201257E
+:20009500001501A5FDA50293FCEA045EF86A12E77B5EEF740897FADD02E5FED8FFA3012480
+:20009600001601A5FDA40296FCE50467F85A130E7B4EEF6008A1FAD702E9FED5FFA501237E
+:20009700001601A6FDA20299FCDF0470F84A13357B3FEF4C08ACFAD102EDFED2FFA701237C
+:20009800001601A6FDA1029CFCDA0479F83A135D7B2FEF3908B6FACB02F1FECFFFA801227B
+:20009900001601A6FD9F029FFCD40482F82A13847B1FEF2508C0FAC502F5FECDFFAA01227B
+:20009A00001601A6FD9E02A3FCCF048BF81A13AC7B0EEF1208CAFABE02F9FECAFFAC01217A
+:20009B00001601A7FD9C02A6FCC90494F80B13D37AFEEEFE08D4FAB802FDFEC7FFAD01217C
+:20009C00001601A7FD9A02A9FCC4049DF7FB13FB7AEEEEEB08DEFAB20301FEC5FFAF01207A
+:20009D00001601A7FD9902ACFCBE04A6F7EB14227ADDEED808E8FAAC0305FEC2FFB001207A
+:20009E00001701A7FD9702B0FCB904AFF7DB144A7ACDEEC508F2FAA60309FEBFFFB2011F77
+:20009F00001701A8FD9602B3FCB304B8F7CB14727ABCEEB208FCFAA0030DFEBCFFB4011F75
+:2000A000001701A8FD9402B6FCAE04C1F7BB149A7AACEE9F0906FA9A0311FEBAFFB5011E73
+:2000A100001701A8FD9302B9FCA804CAF7AB14C17A9BEE8C0910FA940315FEB7FFB7011E73
+:2000A200001701A8FD9102BCFCA304D3F79C14E97A8AEE79091AFA8E0319FEB4FFB9011D72
+:2000A300001701A9FD9002C0FC9D04DCF78C15117A79EE660923FA88031DFEB2FFBA011D6F
+:2000A400001701A9FD8E02C3FC9804E5F77C15397A68EE54092DFA820321FEAFFFBC011C6E
+:2000A500001801A9FD8D02C6FC9304EEF76C15617A56EE410937FA7C0325FEACFFBE011B6D
+:2000A600001801A9FD8B02C9FC8D04F7F75C15897A45EE2E0941FA760329FEA9FFBF011B6E
+:2000A700001801A9FD8A02CCFC880500F74C15B17A34EE1C094AFA70032DFEA7FFC1011A6B
+:2000A800001801AAFD8802D0FC820509F73C15D97A22EE0A0954FA6A0331FEA4FFC3011A69
+:2000A900001801AAFD8702D3FC7D0512F72D16027A11EDF7095DFA640335FEA1FFC4011968
+:2000AA00001801AAFD8502D6FC77051BF71D162A79FFEDE50967FA5E0339FE9FFFC6011968
+:2000AB00001901AAFD8402D9FC720524F70D165279EDEDD30971FA58033DFE9CFFC7011867
+:2000AC00001901ABFD8202DCFC6C052CF6FD167A79DBEDC1097AFA520340FE99FFC901186A
+:2000AD00001901ABFD8102DFFC670535F6ED16A379C9EDAF0983FA4D0344FE97FFCB011767
+:2000AE00001901ABFD8002E3FC62053EF6DD16CB79B7ED9D098DFA470348FE94FFCC011765
+:2000AF00001901ABFD7E02E6FC5C0547F6CE16F379A5ED8B0996FA41034CFE92FFCE011665
+:2000B000001901ABFD7D02E9FC570550F6BE171C7993ED7909A0FA3B0350FE8FFFD0011661
+:2000B100001A01ACFD7B02ECFC510559F6AE17447980ED6809A9FA360354FE8CFFD1011561
+:2000B200001A01ACFD7A02EFFC4C0562F69E176D796EED5609B2FA300357FE8AFFD301155F
+:2000B300001A01ACFD7802F2FC47056BF68E1795795BED4509BBFA2A035BFE87FFD5011460
+:2000B400001A01ACFD7702F6FC410574F67F17BE7948ED3309C5FA24035FFE84FFD601135F
+:2000B500001A01ACFD7502F9FC3C057DF66F17E77936ED2209CEFA1F0363FE82FFD801135B
+:2000B600001A01ADFD7402FCFC360586F65F180F7923ED1109D7FA190367FE7FFFD901125B
+:2000B700001A01ADFD7202FFFC31058FF64F18387910ECFF09E0FA14036AFE7DFFDB01125B
+:2000B800001B01ADFD710302FC2C0597F63F186178FDECEE09E9FA0E036EFE7AFFDD01115A
+:2000B900001B01ADFD700305FC2605A0F630188A78E9ECDD09F2FA080372FE78FFDE011159
+:2000BA00001B01ADFD6E0308FC2105A9F62018B378D6ECCC09FBFA030375FE75FFE0011059
+:2000BB00001B01ADFD6D030BFC1B05B2F61018DC78C3ECBB0A04F9FD0379FE72FFE1011059
+:2000BC00001B01AEFD6B030FFC1605BBF600190478AFECAA0A0DF9F8037DFE70FFE3010F56
+:2000BD00001B01AEFD6A0312FC1105C4F5F0192D789CEC9A0A16F9F20381FE6DFFE5010F54
+:2000BE00001B01AEFD680315FC0B05CDF5E119567888EC890A1FF9ED0384FE6BFFE6010E55
+:2000BF00001C01AEFD670318FC0605D5F5D1197F7874EC790A28F9E70388FE68FFE8010E53
+:2000C000001C01AEFD66031BFC0105DEF5C119A97861EC680A31F9E2038CFE66FFE9010D50
+:2000C100001C01AEFD64031EFBFB05E7F5B119D2784DEC580A39F9DC038FFE63FFEB010C54
+:2000C200001C01AFFD630321FBF605F0F5A219FB7839EC470A42F9D70393FE61FFED010C4F
+:2000C300001C01AFFD610324FBF105F9F5921A247824EC370A4BF9D20396FE5EFFEE010B50
+:2000C400001C01AFFD600327FBEB0602F5821A4D7810EC270A54F9CC039AFE5CFFF0010B4D
+:2000C500001D01AFFD5F032AFBE6060AF5731A7677FCEC170A5CF9C7039EFE59FFF1010A4D
+:2000C600001D01AFFD5D032DFBE10613F5631AA077E8EC070A65F9C103A1FE57FFF3010A4B
+:2000C700001D01AFFD5C0331FBDB061CF5531AC977D3EBF70A6DF9BC03A5FE54FFF501094C
+:2000C800001D01B0FD5A0334FBD60625F5431AF377BEEBE70A76F9B703A8FE52FFF601094A
+:2000C900001D01B0FD590337FBD1062EF5341B1C77AAEBD70A7EF9B203ACFE4FFFF8010847
+:2000CA00001D01B0FD58033AFBCB0636F5241B457795EBC70A87F9AC03AFFE4DFFF9010849
+:2000CB00001E01B0FD56033DFBC6063FF5141B6F7780EBB80A8FF9A703B3FE4AFFFB010747
+:2000CC00001E01B0FD550340FBC10648F5051B98776BEBA80A98F9A203B6FE48FFFC010745
+:2000CD00001E01B0FD530343FBBC0651F4F51BC27756EB990AA0F99D03BAFE45FFFE010645
+:2000CE00001E01B0FD520346FBB60659F4E51BEC7741EB890AA8F99803BDFE430000010545
+:2000CF00001E01B1FD510349FBB10662F4D61C15772CEB7A0AB1F99203C1FE400001010541
+:2000D000001F01B1FD4F034CFBAC066BF4C61C3F7716EB6B0AB9F98D03C4FE3E0003010440
+:2000D100001F01B1FD4E034FFBA60674F4B61C687701EB5B0AC1F98803C8FE3B0004010441
+:2000D200001F01B1FD4D0352FBA1067CF4A71C9276EBEB4C0AC9F98303CBFE390006010341
+:2000D300001F01B1FD4B0355FB9C0685F4971CBC76D6EB3D0AD1F97E03CFFE37000701033F
+:2000D400001F01B1FD4A0358FB97068EF4881CE676C0EB2E0AD9F97903D2FE34000901023E
+:2000D500001F01B1FD49035BFB910697F4781D1076AAEB1F0AE1F97403D5FE32000A01023D
+:2000D600002001B2FD47035EFB8C069FF4681D397694EB110AE9F96F03D9FE2F000C01013C
+:2000D700002001B2FD460361FB8706A8F4591D63767EEB020AF1F96A03DCFE2D000E010139
+:2000D800002001B2FD450364FB8206B1F4491D8D7668EAF30AF9F96503E0FE2B000F010039
+:2000D900002001B2FD430367FB7D06B9F43A1DB77652EAE50B01F96003E3FE280011010037
+:2000DA00002001B2FD42036AFB7706C2F42A1DE1763BEAD60B09F95B03E6FE26001200FF3A
+:2000DB00002001B2FD41036DFB7206CBF41B1E0B7625EAC80B11F95603EAFE23001400FF35
+:2000DC00002101B2FD3F0370FB6D06D3F40B1E35760FEAB90B19F95103EDFE21001500FE36
+:2000DD00002101B2FD3E0373FB6806DCF3FC1E5F75F8EAAB0B21F94C03F0FE1F001700FD36
+:2000DE00002101B2FD3D0376FB6306E5F3EC1E8A75E1EA9D0B28F94803F4FE1C001800FD34
+:2000DF00002101B3FD3B0379FB5D06EDF3DD1EB475CBEA8F0B30F94303F7FE1A001A00FC33
+:2000E000002101B3FD3A037CFB5806F6F3CD1EDE75B4EA810B38F93E03FAFE18001B00FC32
+:2000E100002101B3FD39037FFB5306FEF3BE1F08759DEA730B3FF93903FDFE15001D00FB32
+:2000E200002201B3FD370382FB4E0707F3AE1F327586EA650B47F9340401FE13001E00FB2E
+:2000E300002201B3FD360385FB490710F39F1F5D756FEA570B4FF9300404FE11002000FA2A
+:2000E400002201B3FD350388FB440718F38F1F877557EA4A0B56F92B0407FE0E002200FA2B
+:2000E500002201B3FD34038BFB3E0721F3801FB17540EA3C0B5EF926040AFE0C002300F92B
+:2000E600002201B3FD32038EFB390729F3701FDC7529EA2F0B65F922040EFE0A002500F928
+:2000E700002301B3FD310391FB340732F36120067511EA210B6DF91D0411FE07002600F827
+:2000E800002301B3FD300393FB2F073AF352203074FAEA140B74F9180414FE05002800F827
+:2000E900002301B4FD2E0396FB2A0743F342205B74E2EA060B7BF9140417FE03002900F727
+:2000EA00002301B4FD2D0399FB25074BF333208574CAE9F90B83F90F041AFE00002B00F727
+:2000EB00002301B4FD2C039CFB200754F32320B074B2E9EC0B8AF90A041DFDFE002C00F628
+:2000EC00002401B4FD2B039FFB1B075CF31420DA749BE9DF0B91F9060421FDFC002E00F524
+:2000ED00002401B4FD2903A2FB160765F30521057483E9D20B98F9010424FDFA002F00F522
+:2000EE00002401B4FD2803A5FB10076DF2F52130746AE9C50B9FF8FD0427FDF7003100F426
+:2000EF00002401B4FD2703A8FB0B0776F2E6215A7452E9B80BA7F8F8042AFDF5003200F424
+:2000F000002401B4FD2603ABFB06077EF2D72185743AE9AB0BAEF8F4042DFDF3003400F322
+:2000F100002501B4FD2403AEFB010787F2C721B07422E99F0BB5F8EF0430FDF1003500F320
+:2000F200002501B4FD2303B0FAFC078FF2B821DA7409E9920BBCF8EB0433FDEE003700F223
+:2000F300002501B4FD2203B3FAF70798F2A9220573F1E9850BC3F8E70436FDEC003800F220
+:2000F400002501B4FD2103B6FAF207A0F29A223073D8E9790BCAF8E20439FDEA003A00F11F
+:2000F500002501B4FD2003B9FAED07A9F28A225B73BFE96D0BD1F8DE043CFDE8003B00F11D
+:2000F600002501B4FD1E03BCFAE807B1F27B228573A6E9600BD7F8DA043FFDE6003D00F01F
+:2000F700002601B4FD1D03BFFAE307B9F26C22B0738DE9540BDEF8D50442FDE3003E00F01E
+:2000F800002601B4FD1C03C1FADE07C2F25D22DB7374E9480BE5F8D10445FDE1004000EF1C
+:2000F900002601B5FD1B03C4FAD907CAF24E2306735BE93C0BECF8CD0448FDDF004100EF18
+:2000FA00002601B5FD1903C7FAD407D2F23F23317342E9300BF3F8C8044BFDDD004200EE19
+:2000FB00002601B5FD1803CAFACF07DBF22F235C7329E9240BF9F8C4044EFDDB004400ED18
+:2000FC00002701B5FD1703CDFACA07E3F22023877310E9180C00F8C00451FDD8004500ED15
+:2000FD00002701B5FD1603D0FAC507EBF21123B272F6E90C0C07F8BC0454FDD6004700EC15
+:2000FE00002701B5FD1503D2FAC007F4F20223DD72DDE9010C0DF8B80457FDD4004800EC13
+:2000FF00002701B5FD1403D5FABB07FCF1F3240872C3E8F50C14F8B3045AFDD2004A00EB14
+:20010000002701B5FD1203D8FAB60804F1E4243372A9E8E90C1AF8AF045DFDD0004B00EB13
+:20010100002801B5FD1103DBFAB1080DF1D5245E728FE8DE0C21F8AB045FFDCE004D00EA10
+:20010200002801B5FD1003DEFAAC0815F1C624897276E8D30C27F8A70462FDCC004E00EA0E
+:20010300002801B5FD0F03E0FAA7081DF1B724B4725CE8C70C2DF8A30465FDC9005000E910
+:20010400002801B5FD0E03E3FAA20825F1A824E07242E8BC0C34F89F0468FDC7005100E90D
+:20010500002801B5FD0D03E6FA9E082EF199250B7227E8B10C3AF89B046BFDC5005200E80B
+:20010600002901B5FD0B03E9FA990836F18A2536720DE8A60C40F897046EFDC3005400E809
+:20010700002901B5FD0A03EBFA94083EF17B256171F3E89B0C47F8930470FDC1005500E70B
+:20010800002901B5FD0903EEFA8F0846F16C258D71D9E8900C4DF88F0473FDBF005700E708
+:20010900002901B5FD0803F1FA8A084FF15D25B871BEE8850C53F88B0476FDBD005800E608
+:20010A00002A01B5FD0703F4FA850857F14E25E371A4E87A0C59F8870479FDBB005A00E605
+:20010B00002A01B5FD0603F6FA80085FF13F260F7189E8700C5FF883047CFDB9005B00E504
+:20010C00002A01B5FD0503F9FA7B0867F130263A716EE8650C65F87F047EFDB7005D00E504
+:20010D00002A01B5FD0303FCFA77086FF12126657153E85A0C6BF87B0481FDB5005E00E405
+:20010E00002A01B5FD0203FEFA720877F11326917138E8500C71F8780484FDB3005F00E303
+:20010F00002B01B5FD010401FA6D087FF10426BC711DE8460C77F8740486FDB0006100E301
+:20011000002B01B5FD000404FA680887F0F526E87102E83B0C7DF8700489FDAE006200E202
+:20011100002B01B5FCFF0406FA630890F0E6271370E7E8310C83F86C048CFDAC006400E201
+:20011200002B01B5FCFE0409FA5F0898F0D7273E70CCE8270C89F868048EFDAA006500E101
+:20011300002B01B5FCFD040CFA5A08A0F0C9276A70B1E81D0C8FF8650491FDA8006600E1FD
+:20011400002C01B5FCFC040EFA5508A8F0BA27957095E8130C95F8610494FDA6006800E0FD
+:20011500002C01B5FCFB0411FA5008B0F0AB27C1707AE8090C9AF85D0496FDA4006900E0FD
+:20011600002C01B5FCFA0414FA4B08B8F09D27ED705EE7FF0CA0F85A0499FDA2006B00DFFB
+:20011700002C01B5FCF80416FA4708C0F08E28187042E7F50CA6F856049BFDA0006C00DFFC
+:20011800002C01B5FCF70419FA4208C8F07F28447027E7EC0CABF852049EFD9E006D00DEFB
+:20011900002D01B5FCF6041CFA3D08D0F071286F700BE7E20CB1F84F04A1FD9C006F00DEF7
+:20011A00002D01B5FCF5041EFA3808D8F062289B6FEFE7D80CB6F84B04A3FD9A007000DDFB
+:20011B00002D01B5FCF40421FA3408E0F05428C76FD3E7CF0CBCF84804A6FD99007200DDF4
+:20011C00002D01B5FCF30424FA2F08E8F04528F26FB7E7C60CC1F84404A8FD97007300DCF6
+:20011D00002E01B5FCF20426FA2A08F0F036291E6F9BE7BC0CC7F84104ABFD95007400DCF3
+:20011E00002E01B5FCF10429FA2608F8F028294A6F7FE7B30CCCF83D04ADFD93007600DBF1
+:20011F00002E01B5FCF0042BFA2108FFF01929766F62E7AA0CD2F83A04B0FD91007700DBF1
+:20012000002E01B4FCEF042EFA1C0907F00B29A16F46E7A10CD7F83604B2FD8F007900DAF1
+:20012100002E01B4FCEE0431FA18090FEFFC29CD6F29E7980CDCF83304B5FD8D007A00DAF0
+:20012200002F01B4FCED0433FA130917EFEE29F96F0DE78F0CE1F82F04B7FD8B007B00D9F0
+:20012300002F01B4FCEC0436FA0E091FEFE02A256EF0E7860CE7F82C04BAFD89007D00D9EC
+:20012400002F01B4FCEB0438FA0A0927EFD12A506ED3E77D0CECF82904BCFD87007E00D8EE
+:20012500002F01B4FCEA043BFA05092FEFC32A7C6EB7E7740CF1F82504BEFD85007F00D7EE
+:20012600003001B4FCE9043DFA010936EFB42AA86E9AE76C0CF6F82204C1FD83008100D7EB
+:20012700003001B4FCE80440F9FC093EEFA62AD46E7DE7630CFBF81F04C3FD81008200D6EC
+:20012800003001B4FCE70442F9F70946EF982B006E60E75B0D00F81B04C6FD80008400D6E7
+:20012900003001B4FCE60445F9F3094EEF892B2C6E43E7520D05F81804C8FD7E008500D5E7
+:20012A00003101B4FCE50447F9EE0955EF7B2B586E25E74A0D0AF81504CAFD7C008600D5E7
+:20012B00003101B4FCE4044AF9EA095DEF6D2B846E08E7420D0FF81204CDFD7A008800D4E3
+:20012C00003101B4FCE3044CF9E50965EF5F2BB06DEBE7390D14F80F04CFFD78008900D4E4
+:20012D00003101B4FCE2044FF9E1096CEF512BDC6DCDE7310D19F80B04D1FD76008A00D3E5
+:20012E00003201B4FCE10451F9DC0974EF422C086DB0E7290D1DF80804D4FD75008C00D3E1
+:20012F00003201B3FCE00454F9D7097CEF342C346D92E7210D22F80504D6FD73008D00D2E2
+:20013000003201B3FCDF0456F9D30983EF262C606D74E71A0D27F80204D8FD71008E00D2E1
+:20013100003201B3FCDE0459F9CE098BEF182C8C6D57E7120D2CF7FF04DAFD6F009000D1E0
+:20013200003201B3FCDD045BF9CA0993EF0A2CB86D39E70A0D30F7FC04DDFD6D009100D1DF
+:20013300003301B3FCDC045EF9C6099AEEFC2CE46D1BE7020D35F7F904DFFD6B009200D0DF
+:20013400003301B3FCDB0460F9C109A2EEEE2D106CFDE6FB0D39F7F604E1FD6A009400D0DE
+:20013500003301B3FCDA0463F9BD09A9EEE02D3C6CDFE6F30D3EF7F304E3FD68009500CFDE
+:20013600003301B3FCD90465F9B809B1EED22D686CC1E6EC0D43F7F004E5FD66009600CFDD
+:20013700003401B3FCD80468F9B409B9EEC42D946CA2E6E40D47F7ED04E8FD64009800CEDB
+:20013800003401B3FCD8046AF9AF09C0EEB62DC06C84E6DD0D4BF7EA04EAFD63009900CEDA
+:20013900003401B2FCD7046CF9AB09C8EEA82DEC6C66E6D60D50F7E704ECFD61009A00CDDA
+:20013A00003401B2FCD6046FF9A709CFEE9A2E186C47E6CF0D54F7E404EEFD5F009B00CDD9
+:20013B00003501B2FCD50471F9A209D6EE8C2E446C29E6C80D58F7E104F0FD5D009D00CCD9
+:20013C00003501B2FCD40474F99E09DEEE7E2E716C0AE6C10D5DF7DF04F2FD5C009E00CCD4
+:20013D00003501B2FCD30476F99909E5EE712E9D6BEBE6BA0D61F7DC04F4FD5A009F00CBD7
+:20013E00003501B2FCD20478F99509EDEE632EC96BCDE6B30D65F7D904F7FD5800A100CBD4
+:20013F00003601B2FCD1047BF99109F4EE552EF56BAEE6AC0D69F7D604F9FD5600A200CAD4
+:20014000003601B2FCD0047DF98C09FCEE472F216B8FE6A50D6DF7D404FBFD5500A300CAD2
+:20014100003601B1FCD0047FF9880A03EE3A2F4E6B70E69F0D72F7D104FDFD5300A500C9CE
+:20014200003601B1FCCF0482F9840A0AEE2C2F7A6B51E6980D76F7CE04FFFD5100A600C9CE
+:20014300003701B1FCCE0484F97F0A12EE1E2FA66B31E6920D7AF7CB0501FD5000A700C8CD
+:20014400003701B1FCCD0486F97B0A19EE112FD26B12E68B0D7EF7C90503FD4E00A800C8CC
+:20014500003701B1FCCC0489F9770A20EE032FFE6AF3E6850D82F7C60505FD4C00AA00C7CC
+:20014600003701B1FCCB048BF9730A27EDF5302B6AD4E67F0D85F7C40507FD4B00AB00C7CA
+:20014700003801B1FCCA048DF96E0A2FEDE830576AB4E6790D89F7C10509FD4900AC00C6CA
+:20014800003801B0FCCA0490F96A0A36EDDA30836A95E6730D8DF7BE050BFD4700AE00C6C8
+:20014900003801B0FCC90492F9660A3DEDCD30B06A75E66D0D91F7BC050DFD4600AF00C5C6
+:20014A00003801B0FCC80494F9620A44EDBF30DC6A55E6670D95F7B9050FFD4400B000C5C7
+:20014B00003901B0FCC70496F95D0A4CEDB231086A35E6610D98F7B70511FD4200B100C4C6
+:20014C00003901B0FCC60499F9590A53EDA531346A16E65B0D9CF7B40512FD4100B300C4C3
+:20014D00003901B0FCC5049BF9550A5AED97316169F6E6550DA0F7B20514FD3F00B400C3C4
+:20014E00003901B0FCC5049DF9510A61ED8A318D69D6E6500DA3F7AF0516FD3E00B500C3C2
+:20014F00003A01AFFCC4049FF94D0A68ED7C31B969B6E64A0DA7F7AD0518FD3C00B600C2C3
+:20015000003A01AFFCC304A2F9490A6FED6F31E66996E6440DAAF7AB051AFD3A00B800C2C0
+:20015100003A01AFFCC204A4F9440A76ED6232126975E63F0DAEF7A8051CFD3900B900C1C0
+:20015200003A01AFFCC104A6F9400A7DED55323E6955E63A0DB1F7A6051EFD3700BA00C1BF
+:20015300003B01AFFCC104A8F93C0A84ED47326B6935E6340DB5F7A4051FFD3600BB00C0BD
+:20015400003B01AEFCC004ABF9380A8CED3A32976914E62F0DB8F7A10521FD3400BD00C0BC
+:20015500003B01AEFCBF04ADF9340A93ED2D32C368F4E62A0DBBF79F0523FD3300BE00BFBC
+:20015600003B01AEFCBE04AFF9300A9AED2032F068D3E6250DBFF79D0525FD3100BF00BFBA
+:20015700003C01AEFCBE04B1F92C0AA0ED13331C68B3E6200DC2F79A0527FD3000C000BEB8
+:20015800003C01AEFCBD04B3F9280AA7ED0633496892E61B0DC5F7980528FD2E00C100BEB8
+:20015900003C01ADFCBC04B5F9240AAEECF933756871E6160DC8F796052AFD2C00C300BDBA
+:20015A00003C01ADFCBB04B8F9200AB5ECEC33A16850E6110DCCF794052CFD2B00C400BDB7
+:20015B00003D01ADFCBB04BAF91C0ABCECDF33CE682FE60D0DCFF792052DFD2900C500BCB5
+:20015C00003D01ADFCBA04BCF9180AC3ECD233FA680EE6080DD2F790052FFD2800C600BCB4
+:20015D00003D01ADFCB904BEF9140ACAECC5342767EDE6030DD5F78E0531FD2600C800BBB3
+:20015E00003E01ACFCB804C0F9100AD1ECB8345367CCE5FF0DD8F78B0532FD2500C900BBB4
+:20015F00003E01ACFCB804C2F90C0AD8ECAB347F67ABE5FA0DDBF7890534FD2300CA00BAB4
+:20016000003E01ACFCB704C4F9080ADEEC9E34AC678AE5F60DDEF7870536FD2200CB00BAB2
+:20016100003E01ACFCB604C6F9040AE5EC9234D86768E5F20DE1F7850537FD2100CC00B9B2
+:20016200003F01ACFCB604C8F9000AECEC8535056747E5EE0DE4F7830539FD1F00CE00B9AC
+:20016300003F01ABFCB504CBF8FC0AF3EC7835316725E5E90DE6F781053BFD1E00CF00B8AF
+:20016400003F01ABFCB404CDF8F80AF9EC6B355D6704E5E50DE9F77F053CFD1C00D000B8B0
+:20016500003F01ABFCB404CFF8F40B00EC5F358A66E2E5E10DECF77D053EFD1B00D100B7AD
+:20016600004001ABFCB304D1F8F10B07EC5235B666C1E5DD0DEFF77C053FFD1900D200B7AA
+:20016700004001AAFCB204D3F8ED0B0DEC4535E3669FE5DA0DF1F77A0541FD1800D300B6AB
+:20016800004001AAFCB204D5F8E90B14EC39360F667DE5D60DF4F7780542FD1600D500B6A8
+:20016900004101AAFCB104D7F8E50B1BEC2C363B665BE5D20DF7F7760544FD1500D600B5A7
+:20016A00004101AAFCB004D9F8E10B21EC2036686639E5CE0DF9F7740545FD1400D700B5A7
+:20016B00004101A9FCB004DBF8DD0B28EC1336946617E5CB0DFCF7720547FD1200D800B4A7
+:20016C00004101A9FCAF04DDF8DA0B2EEC0736C165F5E5C70DFEF7710548FD1100D900B4A6
+:20016D00004201A9FCAE04DFF8D60B35EBFB36ED65D3E5C40E01F76F054AFD0F00DA00B3A4
+:20016E00004201A9FCAE04E1F8D20B3BEBEE371A65B1E5C10E03F76D054BFD0E00DC00B3A1
+:20016F00004201A8FCAD04E3F8CE0B42EBE23746658EE5BD0E05F76B054DFD0D00DD00B2A3
+:20017000004301A8FCAC04E5F8CB0B48EBD63772656CE5BA0E08F76A054EFD0B00DE00B2A0
+:20017100004301A8FCAC04E7F8C70B4FEBC9379F6549E5B70E0AF7680550FD0A00DF00B19F
+:20017200004301A8FCAB04E9F8C30B55EBBD37CB6527E5B40E0CF7660551FD0900E000B19F
+:20017300004301A7FCAB04EAF8BF0B5CEBB137F86504E5B10E0EF7650552FD0700E100B0A0
+:20017400004401A7FCAA04ECF8BC0B62EBA5382464E2E5AE0E11F7630554FD0600E300B09B
+:20017500004401A7FCA904EEF8B80B68EB98385164BFE5AB0E13F7620555FD0500E400AF9C
+:20017600004401A7FCA904F0F8B40B6FEB8C387D649CE5A80E15F7600557FD0300E500AF9B
+:20017700004501A6FCA804F2F8B10B75EB8038A96479E5A50E17F75F0558FD0200E600AE9B
+:20017800004501A6FCA804F4F8AD0B7BEB7438D66456E5A30E19F75D0559FD0100E700AE99
+:20017900004501A6FCA704F6F8AA0B81EB6839026433E5A00E1BF75C055AFCFF00E800AE99
+:20017A00004501A5FCA704F8F8A60B88EB5C392F6410E59E0E1DF75A055CFCFE00E900AD97
+:20017B00004601A5FCA604FAF8A20B8EEB50395B63EDE59B0E1FF759055DFCFD00EA00AD97
+:20017C00004601A5FCA504FBF89F0B94EB44398763CAE5990E21F757055EFCFC00EC00AC97
+:20017D00004601A4FCA504FDF89B0B9AEB3939B463A7E5970E23F7560560FCFA00ED00AC94
+:20017E00004701A4FCA404FFF8980BA1EB2D39E06384E5940E25F7540561FCF900EE00AB93
+:20017F00004701A4FCA40501F8940BA7EB213A0D6360E5920E26F7530562FCF800EF00AB90
+:20018000004701A4FCA30503F8910BADEB153A39633DE5900E28F7520563FCF700F000AA8F
+:20018100004701A3FCA30504F88D0BB3EB0A3A656319E58E0E2AF7500564FCF500F100AA91
+:20018200004801A3FCA20506F88A0BB9EAFE3A9262F6E58C0E2BF74F0566FCF400F200A990
+:20018300004801A3FCA20508F8860BBFEAF23ABE62D2E58A0E2DF74E0567FCF300F300A98F
+:20018400004801A2FCA1050AF8830BC5EAE73AEA62AEE5880E2FF74D0568FCF200F400A88F
+:20018500004901A2FCA1050CF87F0BCBEADB3B17628BE5870E30F74B0569FCF100F500A88B
+:20018600004901A2FCA0050DF87C0BD1EAD03B436267E5850E32F74A056AFCEF00F700A78B
+:20018700004901A1FCA0050FF8790BD7EAC43B6F6243E5830E33F749056BFCEE00F800A78B
+:20018800004A01A1FC9F0511F8750BDDEAB93B9C621FE5820E35F748056DFCED00F900A687
+:20018900004A01A1FC9F0513F8720BE3EAAD3BC861FBE5800E36F747056EFCEC00FA00A687
+:20018A00004A01A0FC9E0514F86E0BE9EAA23BF461D7E57F0E38F746056FFCEB00FB00A588
+:20018B00004A01A0FC9E0516F86B0BEFEA963C2161B3E57E0E39F7440570FCE900FC00A586
+:20018C00004B01A0FC9D0518F8680BF4EA8B3C4D618FE57C0E3AF7430571FCE800FD00A585
+:20018D00004B019FFC9D0519F8640BFAEA803C79616BE57B0E3CF7420572FCE700FE00A485
+:20018E00004B019FFC9D051BF8610C00EA753CA66146E57A0E3DF7410573FCE600FF00A481
+:20018F00004C019FFC9C051DF85E0C06EA693CD26122E5790E3EF7400574FCE5010000A37F
+:20019000004C019EFC9C051EF85B0C0CEA5E3CFE60FEE5780E3FF73F0575FCE4010100A37F
+:20019100004C019EFC9B0520F8570C11EA533D2A60D9E5770E40F73E0576FCE3010200A280
+:20019200004D019DFC9B0522F8540C17EA483D5760B4E5760E41F73D0577FCE2010300A27D
+:20019300004D019DFC9A0523F8510C1DEA3D3D836090E5750E42F73C0578FCE0010400A17E
+:20019400004D019DFC9A0525F84E0C22EA323DAF606BE5750E43F73C0579FCDF010500A17B
+:20019500004D019CFC9A0526F84A0C28EA273DDB6047E5740E44F73B057AFCDE010600A07C
+:20019600004E019CFC990528F8470C2EEA1C3E086022E5730E45F73A057BFCDD010800A077
+:20019700004E019CFC99052AF8440C33EA113E345FFDE5730E46F739057CFCDC0109009F77
+:20019800004E019BFC99052BF8410C39EA063E605FD8E5720E47F738057DFCDB010A009F77
+:20019900004F019BFC98052DF83E0C3EE9FC3E8C5FB3E5720E48F737057DFCDA010B009F76
+:20019A00004F019AFC98052EF83B0C44E9F13EB85F8EE5720E49F737057EFCD9010C009E75
+:20019B00004F019AFC970530F8380C49E9E63EE45F69E5710E4AF736057FFCD8010D009E75
+:20019C000050019AFC970531F8340C4FE9DC3F115F44E5710E4AF7350580FCD7010E009D72
+:20019D0000500199FC970533F8310C54E9D13F3D5F1FE5710E4BF7340581FCD6010F009D71
+:20019E0000500199FC960534F82E0C5AE9C63F695EF9E5710E4CF7340582FCD50110009C72
+:20019F0000510199FC960536F82B0C5FE9BC3F955ED4E5710E4CF7330582FCD40111009C70
+:2001A00000510198FC960537F8280C64E9B13FC15EAFE5710E4DF7320583FCD30112009B71
+:2001A10000510198FC950539F8250C6AE9A73FED5E89E5710E4EF7320584FCD20113009B6E
+:2001A20000520197FC95053AF8220C6FE99C40195E64E5710E4EF7310585FCD10114009A6E
+:2001A30000520197FC95053CF81F0C74E99240455E3EE5720E4FF7310586FCD00115009A6A
+:2001A40000520196FC94053DF81C0C79E98840715E19E5720E4FF7300586FCCF011600996D
+:2001A50000530196FC94053FF8190C7FE97D409D5DF3E5720E4FF7300587FCCE011700996B
+:2001A60000530196FC940540F8160C84E97340C95DCDE5730E50F72F0588FCCD0118009969
+:2001A70000530195FC940542F8130C89E96940F55DA8E5730E50F72F0588FCCC0119009869
+:2001A80000540195FC930543F8110C8EE95F41215D82E5740E50F72E0589FCCB011A009866
+:2001A90000540194FC930544F80E0C93E954414D5D5CE5750E51F72E058AFCCA011B009766
+:2001AA0000540194FC930546F80B0C98E94A41795D36E5750E51F72D058BFCC9011C009765
+:2001AB0000550193FC920547F8080C9EE94041A55D10E5760E51F72D058BFCC8011D009665
+:2001AC0000550193FC920549F8050CA3E93641D15CEAE5770E51F72C058CFCC7011E009664
+:2001AD0000550193FC92054AF8020CA8E92C41FD5CC4E5780E51F72C058CFCC6011F009564
+:2001AE0000550192FC92054BF7FF0CADE92242295C9EE5790E52F72C058DFCC50120009562
+:2001AF0000560192FC91054DF7FD0CB2E91942555C78E57A0E52F72B058EFCC5012000955E
+:2001B00000560191FC91054EF7FA0CB7E90F42815C51E57B0E52F72B058EFCC40121009460
+:2001B10000560191FC91054FF7F70CBCE90542AD5C2BE57C0E52F72B058FFCC3012200945E
+:2001B20000570190FC910551F7F40CC0E8FB42D95C05E57D0E52F72B058FFCC2012300935F
+:2001B30000570190FC910552F7F20CC5E8F143045BDEE57F0E51F72A0590FCC1012400935F
+:2001B4000057018FFC900553F7EF0CCAE8E843305BB8E5800E51F72A0590FCC00125009260
+:2001B5000058018FFC900554F7EC0CCFE8DE435C5B91E5810E51F72A0591FCBF012600925E
+:2001B6000058018EFC900556F7EA0CD4E8D543885B6BE5830E51F72A0591FCBF012700915A
+:2001B7000058018EFC900557F7E70CD9E8CB43B45B44E5850E51F72A0592FCBE0128009158
+:2001B8000059018EFC900558F7E40CDDE8C243DF5B1EE5860E51F7290592FCBD0129009158
+:2001B9000059018DFC8F0559F7E20CE2E8B8440B5AF7E5880E50F7290593FCBC012A009059
+:2001BA000059018DFC8F055BF7DF0CE7E8AF44375AD0E58A0E50F7290593FCBB012B009056
+:2001BB00005A018CFC8F055CF7DD0CEBE8A544625AA9E58B0E50F7290594FCBA012C008F57
+:2001BC00005A018CFC8F055DF7DA0CF0E89C448E5A82E58D0E4FF7290594FCBA012D008F55
+:2001BD00005A018BFC8F055EF7D70CF5E89344BA5A5BE58F0E4FF7290595FCB9012E008E54
+:2001BE00005B018BFC8F055FF7D50CF9E88A44E55A34E5910E4EF7290595FCB8012E008E54
+:2001BF00005B018AFC8F0561F7D20CFEE88045115A0DE5930E4EF7290595FCB7012F008D53
+:2001C000005C018AFC8E0562F7D00D02E877453D59E6E5950E4DF7290596FCB60130008D51
+:2001C100005C0189FC8E0563F7CD0D07E86E456859BFE5980E4DF7290596FCB60131008D4F
+:2001C200005C0189FC8E0564F7CB0D0BE86545945998E59A0E4CF7290597FCB50132008C4E
+:2001C300005D0188FC8E0565F7C80D10E85C45BF5971E59C0E4BF7290597FCB40133008C4E
+:2001C400005D0188FC8E0566F7C60D14E85345EB594AE59F0E4BF72A0597FCB30134008B4B
+:2001C500005D0187FC8E0567F7C40D18E84A46165922E5A10E4AF72A0598FCB30135008B4A
+:2001C600005E0187FC8E0568F7C10D1DE842464258FBE5A40E49F72A0598FCB20136008A48
+:2001C700005E0186FC8E056AF7BF0D21E839466D58D3E5A60E49F72A0598FCB10137008A48
+:2001C800005E0185FC8E056BF7BC0D25E830469858ACE5A90E48F72A0598FCB10137008A49
+:2001C900005F0185FC8E056CF7BA0D2AE82746C45884E5AB0E47F72B0599FCB00138008946
+:2001CA00005F0184FC8E056DF7B80D2EE81F46EF585DE5AE0E46F72B0599FCAF0139008945
+:2001CB00005F0184FC8E056EF7B60D32E816471B5835E5B10E45F72B0599FCAE013A008844
+:2001CC0000600183FC8D056FF7B30D36E80D4746580EE5B40E44F72B0599FCAE013B008844
+:2001CD0000600183FC8D0570F7B10D3BE805477157E6E5B70E43F72C059AFCAD013C008742
+:2001CE0000600182FC8D0571F7AF0D3FE7FC479C57BEE5BA0E42F72C059AFCAC013D008744
+:2001CF0000610182FC8D0572F7AC0D43E7F447C85796E5BD0E41F72C059AFCAC013E008741
+:2001D00000610181FC8D0573F7AA0D47E7EC47F3576FE5C00E40F72D059AFCAB013E008641
+:2001D10000610181FC8D0574F7A80D4BE7E3481E5747E5C30E3FF72D059AFCAA013F008640
+:2001D20000620180FC8D0575F7A60D4FE7DB4849571FE5C60E3EF72D059AFCAA014000853F
+:2001D3000062017FFC8D0576F7A40D53E7D3487556F7E5CA0E3DF72E059BFCA9014100853C
+:2001D4000063017FFC8D0577F7A20D57E7CB48A056CFE5CD0E3BF72E059BFCA9014200843B
+:2001D5000063017EFC8D0578F79F0D5BE7C348CB56A7E5D10E3AF72F059BFCA8014300843A
+:2001D6000063017EFC8D0578F79D0D5FE7BA48F6567EE5D40E39F72F059BFCA7014300843D
+:2001D7000064017DFC8D0579F79B0D63E7B249215656E5D80E38F730059BFCA70144008339
+:2001D8000064017DFC8D057AF7990D66E7AA494C562EE5DB0E36F730059BFCA6014500833A
+:2001D9000064017CFC8D057BF7970D6AE7A249775606E5DF0E35F731059BFCA60146008238
+:2001DA000065017BFC8E057CF7950D6EE79B49A255DEE5E30E33F732059BFCA50147008235
+:2001DB000065017BFC8E057DF7930D72E79349CD55B5E5E60E32F732059BFCA40148008235
+:2001DC000065017AFC8E057EF7910D76E78B49F8558DE5EA0E31F733059BFCA40148008134
+:2001DD000066017AFC8E057EF78F0D79E7834A235564E5EE0E2FF733059BFCA30149008134
+:2001DE0000660179FC8E057FF78D0D7DE77C4A4E553CE5F20E2EF734059BFCA3014A008031
+:2001DF0000670178FC8E0580F78B0D81E7744A785513E5F60E2CF735059BFCA2014B008031
+:2001E00000670178FC8E0581F7890D84E76C4AA354EBE5FA0E2AF735059BFCA2014C007F32
+:2001E10000670177FC8E0582F7870D88E7654ACE54C2E5FE0E29F736059BFCA1014C007F31
+:2001E20000680177FC8E0582F7850D8BE75D4AF9549AE6020E27F737059BFCA1014D007F2E
+:2001E30000680176FC8E0583F7830D8FE7564B245471E6070E25F738059BFCA0014E007E2C
+:2001E40000680175FC8E0584F7820D92E74F4B4E5448E60B0E24F738059BFCA0014F007E2B
+:2001E50000690175FC8E0585F7800D96E7474B79541FE60F0E22F739059BFC9F0150007D2A
+:2001E60000690174FC8F0585F77E0D99E7404BA453F7E6140E20F73A059BFC9F0150007D29
+:2001E70000690174FC8F0586F77C0D9DE7394BCE53CEE6180E1EF73B059BFC9E0151007D28
+:2001E800006A0173FC8F0587F77A0DA0E7324BF953A5E61D0E1CF73C059BFC9E0152007C26
+:2001E900006A0172FC8F0588F7780DA3E72A4C23537CE6210E1AF73D059AFC9D0153007C28
+:2001EA00006B0172FC8F0588F7770DA7E7234C4E5353E6260E18F73E059AFC9D0153007B25
+:2001EB00006B0171FC8F0589F7750DAAE71C4C78532AE62B0E16F73E059AFC9C0154007B26
+:2001EC00006B0170FC90058AF7730DADE7154CA35301E6300E14F73F059AFC9C0155007B23
+:2001ED00006C0170FC90058AF7720DB1E70E4CCD52D8E6340E12F740059AFC9B0156007A23
+:2001EE00006C016FFC90058BF7700DB4E7084CF852AFE6390E10F741059AFC9B0157007A20
+:2001EF00006C016FFC90058CF76E0DB7E7014D225285E63E0E0EF7420599FC9A0157007922
+:2001F000006D016EFC90058CF76D0DBAE6FA4D4C525CE6430E0CF7430599FC9A0158007921
+:2001F100006D016DFC91058DF76B0DBDE6F34D775233E6480E0AF7440599FC9A015900791E
+:2001F200006E016DFC91058DF7690DC0E6ED4DA1520AE64D0E08F7450599FC99015A00781D
+:2001F300006E016CFC91058EF7680DC3E6E64DCB51E0E6530E05F7470598FC99015A00781E
+:2001F400006E016BFC91058EF7660DC6E6DF4DF551B7E6580E03F7480598FC98015B007720
+:2001F500006F016BFC91058FF7650DC9E6D94E20518EE65D0E01F7490598FC98015C007719
+:2001F600006F016AFC920590F7630DCCE6D34E4A5164E6620DFFF74A0598FC98015D007718
+:2001F70000700169FC920590F7620DCFE6CC4E74513BE6680DFCF74B0597FC97015D00761A
+:2001F80000700169FC920591F7600DD2E6C64E9E5111E66D0DFAF74C0597FC97015E007618
+:2001F90000700168FC920591F75F0DD5E6C04EC850E8E6730DF7F74D0597FC96015F007519
+:2001FA0000710167FC930592F75D0DD8E6B94EF250BEE6780DF5F74F0596FC96015F007518
+:2001FB0000710166FC930592F75C0DDBE6B34F1C5094E67E0DF2F7500596FC960160007516
+:2001FC0000710166FC930593F75A0DDDE6AD4F46506BE6840DF0F7510595FC950161007416
+:2001FD0000720165FC940593F7590DE0E6A74F705041E6890DEDF7520595FC950162007414
+:2001FE0000720164FC940593F7580DE3E6A14F9A5017E68F0DEBF7540595FC950162007313
+:2001FF0000730164FC940594F7560DE5E69B4FC44FEDE6950DE8F7550594FC940163007314
+:2002000000730163FC940594F7550DE8E6954FED4FC4E69B0DE5F7560594FC940164007312
+:2002010000730162FC950595F7540DEBE68F50174F9AE6A10DE3F7580593FC94016400720F
+:2002020000740162FC950595F7520DEDE68950414F70E6A70DE0F7590593FC94016500720E
+:2002030000740161FC950595F7510DF0E684506B4F46E6AD0DDDF75A0593FC93016600710E
+:2002040000750160FC960596F7500DF2E67E50944F1CE6B30DDBF75C0592FC93016600710C
+:200205000075015FFC960596F74F0DF5E67850BE4EF2E6B90DD8F75D0592FC93016700710C
+:200206000075015FFC960597F74D0DF7E67350E84EC8E6C00DD5F75F0591FC92016800700B
+:200207000076015EFC970597F74C0DFAE66D51114E9EE6C60DD2F7600591FC920169007008
+:200208000076015DFC970597F74B0DFCE668513B4E74E6CC0DCFF7620590FC920169007008
+:200209000077015DFC980598F74A0DFFE66251644E4AE6D30DCCF7630590FC92016A006F04
+:20020A000077015CFC980598F7490E01E65D518E4E20E6D90DC9F765058FFC91016B006F03
+:20020B000077015BFC980598F7480E03E65851B74DF5E6DF0DC6F766058EFC91016B006E08
+:20020C000078015AFC990598F7470E05E65351E04DCBE6E60DC3F768058EFC91016C006E04
+:20020D000078015AFC990599F7450E08E64D520A4DA1E6ED0DC0F769058DFC91016D006E01
+:20020E0000790159FC9A0599F7440E0AE64852334D77E6F30DBDF76B058DFC91016D006D00
+:20020F0000790158FC9A0599F7430E0CE643525C4D4CE6FA0DBAF76D058CFC90016E006D01
+:2002100000790157FC9A0599F7420E0EE63E52854D22E7010DB7F76E058CFC90016F006C00
+:20021100007A0157FC9B059AF7410E10E63952AF4CF8E7080DB4F770058BFC90016F006CFC
+:20021200007A0156FC9B059AF7400E12E63452D84CCDE70E0DB1F772058AFC900170006CFD
+:20021300007B0155FC9C059AF73F0E14E63053014CA3E7150DADF773058AFC900170006BFB
+:20021400007B0154FC9C059AF73E0E16E62B532A4C78E71C0DAAF7750589FC8F0171006BFC
+:20021500007B0153FC9D059AF73E0E18E62653534C4EE7230DA7F7770588FC8F0172006BF9
+:20021600007C0153FC9D059AF73D0E1AE621537C4C23E72A0DA3F7780588FC8F0172006AFA
+:20021700007C0152FC9E059BF73C0E1CE61D53A54BF9E7320DA0F77A0587FC8F0173006AF6
+:20021800007D0151FC9E059BF73B0E1EE61853CE4BCEE7390D9DF77C0586FC8F01740069F6
+:20021900007D0150FC9F059BF73A0E20E61453F74BA4E7400D99F77E0585FC8F01740069F5
+:20021A00007D0150FC9F059BF7390E22E60F541F4B79E7470D96F7800585FC8E01750069F4
+:20021B00007E014FFCA0059BF7380E24E60B54484B4EE74F0D92F7820584FC8E01750068F3
+:20021C00007E014EFCA0059BF7380E25E60754714B24E7560D8FF7830583FC8E01760068F2
+:20021D00007F014DFCA1059BF7370E27E602549A4AF9E75D0D8BF7850582FC8E01770068F2
+:20021E00007F014CFCA1059BF7360E29E5FE54C24ACEE7650D88F7870582FC8E01770067F3
+:20021F00007F014CFCA2059BF7350E2AE5FA54EB4AA3E76C0D84F7890581FC8E01780067F2
+:200220000080014BFCA2059BF7350E2CE5F655134A78E7740D81F78B0580FC8E01780067EF
+:200221000080014AFCA3059BF7340E2EE5F2553C4A4EE77C0D7DF78D057FFC8E01790066ED
+:2002220000810149FCA3059BF7330E2FE5EE55644A23E7830D79F78F057EFC8E017A0066EE
+:2002230000810148FCA4059BF7330E31E5EA558D49F8E78B0D76F791057EFC8E017A0065EC
+:2002240000820148FCA4059BF7320E32E5E655B549CDE7930D72F793057DFC8E017B0065EB
+:2002250000820147FCA5059BF7320E33E5E355DE49A2E79B0D6EF795057CFC8E017B0065E9
+:2002260000820146FCA6059BF7310E35E5DF56064977E7A20D6AF797057BFC8D017C0064EA
+:2002270000830145FCA6059BF7300E36E5DB562E494CE7AA0D66F799057AFC8D017D0064EA
+:2002280000830144FCA7059BF7300E38E5D856564921E7B20D63F79B0579FC8D017D0064E7
+:2002290000840143FCA7059BF72F0E39E5D4567E48F6E7BA0D5FF79D0578FC8D017E0063E9
+:20022A0000840143FCA8059BF72F0E3AE5D156A748CBE7C30D5BF79F0578FC8D017E0063E4
+:20022B0000840142FCA9059BF72E0E3BE5CD56CF48A0E7CB0D57F7A20577FC8D017F0063E3
+:20022C0000850141FCA9059BF72E0E3DE5CA56F74875E7D30D53F7A40576FC8D017F0062E2
+:20022D0000850140FCAA059AF72D0E3EE5C6571F4849E7DB0D4FF7A60575FC8D01800062E3
+:20022E000086013FFCAA059AF72D0E3FE5C35747481EE7E30D4BF7A80574FC8D01810061E2
+:20022F000086013EFCAB059AF72D0E40E5C0576F47F3E7EC0D47F7AA0573FC8D01810061E1
+:200230000087013EFCAC059AF72C0E41E5BD579647C8E7F40D43F7AC0572FC8D01820061DF
+:200231000087013DFCAC059AF72C0E42E5BA57BE479CE7FC0D3FF7AF0571FC8D01820060E0
+:200232000087013CFCAD059AF72C0E43E5B757E64771E8050D3BF7B10570FC8D01830060DC
+:200233000088013BFCAE0599F72B0E44E5B4580E4746E80D0D36F7B3056FFC8D01830060DC
+:200234000088013AFCAE0599F72B0E45E5B15835471BE8160D32F7B6056EFC8E0184005FDA
+:2002350000890139FCAF0599F72B0E46E5AE585D46EFE81F0D2EF7B8056DFC8E0184005FD9
+:2002360000890138FCB00599F72B0E47E5AB588446C4E8270D2AF7BA056CFC8E0185005FD8
+:20023700008A0137FCB10598F72A0E48E5A958AC4698E8300D25F7BC056BFC8E0185005ED9
+:20023800008A0137FCB10598F72A0E49E5A658D3466DE8390D21F7BF056AFC8E0186005ED6
+:20023900008A0136FCB20598F72A0E49E5A458FB4642E8420D1DF7C10568FC8E0187005ED4
+:20023A00008B0135FCB30598F72A0E4AE5A159224616E84A0D18F7C40567FC8E0187005DD4
+:20023B00008B0134FCB30597F72A0E4BE59F594A45EBE8530D14F7C60566FC8E0188005DD3
+:20023C00008C0133FCB40597F7290E4BE59C597145BFE85C0D10F7C80565FC8E0188005DD4
+:20023D00008C0132FCB50597F7290E4CE59A59984594E8650D0BF7CB0564FC8E0189005CD2
+:20023E00008D0131FCB60596F7290E4DE59859BF4568E86E0D07F7CD0563FC8E0189005CD1
+:20023F00008D0130FCB60596F7290E4DE59559E6453DE8770D02F7D00562FC8E018A005CD1
+:20024000008D012FFCB70595F7290E4EE5935A0D4511E8800CFEF7D20561FC8F018A005BD1
+:20024100008E012EFCB80595F7290E4EE5915A3444E5E88A0CF9F7D5055FFC8F018B005BD0
+:20024200008E012EFCB90595F7290E4FE58F5A5B44BAE8930CF5F7D7055EFC8F018B005ACE
+:20024300008F012DFCBA0594F7290E4FE58D5A82448EE89C0CF0F7DA055DFC8F018C005ACD
+:20024400008F012CFCBA0594F7290E50E58B5AA94462E8A50CEBF7DD055CFC8F018C005ACD
+:200245000090012BFCBB0593F7290E50E58A5AD04437E8AF0CE7F7DF055BFC8F018D0059CA
+:200246000090012AFCBC0593F7290E50E5885AF7440BE8B80CE2F7E20559FC8F018D0059CB
+:2002470000910129FCBD0592F7290E51E5865B1E43DFE8C20CDDF7E40558FC90018E0059C8
+:2002480000910128FCBE0592F72A0E51E5855B4443B4E8CB0CD9F7E70557FC90018E0058C6
+:2002490000910127FCBF0591F72A0E51E5835B6B4388E8D50CD4F7EA0556FC90018E0058C6
+:20024A0000920126FCBF0591F72A0E51E5815B91435CE8DE0CCFF7EC0554FC90018F0058C8
+:20024B0000920125FCC00590F72A0E51E5805BB84330E8E80CCAF7EF0553FC90018F0057C8
+:20024C0000930124FCC10590F72A0E51E57F5BDE4304E8F10CC5F7F20552FC9101900057C5
+:20024D0000930123FCC2058FF72B0E52E57D5C0542D9E8FB0CC0F7F40551FC9101900057C3
+:20024E0000940122FCC3058FF72B0E52E57C5C2B42ADE9050CBCF7F7054FFC9101910056C0
+:20024F0000940121FCC4058EF72B0E52E57B5C514281E90F0CB7F7FA054EFC9101910056C0
+:2002500000950120FCC5058EF72B0E52E57A5C784255E9190CB2F7FD054DFC9101920056BC
+:2002510000950120FCC5058DF72C0E52E5795C9E4229E9220CADF7FF054BFC9201920055BE
+:200252000095011FFCC6058CF72C0E51E5785CC441FDE92C0CA8F802054AFC9201930055BE
+:200253000096011EFCC7058CF72C0E51E5775CEA41D1E9360CA3F8050549FC9201930055BC
+:200254000096011DFCC8058BF72D0E51E5765D1041A5E9400C9EF8080547FC9201930055BB
+:200255000097011CFCC9058BF72D0E51E5755D364179E94A0C98F80B0546FC9301940054B9
+:200256000097011BFCCA058AF72E0E51E5755D5C414DE9540C93F80E0544FC9301940054B8
+:200257000098011AFCCB0589F72E0E50E5745D824121E95F0C8EF8110543FC9301950054B6
+:2002580000980119FCCC0588F72F0E50E5735DA840F5E9690C89F8130542FC9401950053B7
+:2002590000990118FCCD0588F72F0E50E5735DCD40C9E9730C84F8160540FC9401960053B5
+:20025A0000990117FCCE0587F7300E4FE5725DF3409DE97D0C7FF819053FFC9401960053B5
+:20025B0000990116FCCF0586F7300E4FE5725E194071E9880C79F81C053DFC9401960052B5
+:20025C00009A0115FCD00586F7310E4FE5725E3E4045E9920C74F81F053CFC9501970052B0
+:20025D00009A0114FCD10585F7310E4EE5715E644019E99C0C6FF822053AFC9501970052B2
+:20025E00009B0113FCD20584F7320E4EE5715E893FEDE9A70C6AF8250539FC9501980051B0
+:20025F00009B0112FCD30583F7320E4DE5715EAF3FC1E9B10C64F8280537FC9601980051B1
+:20026000009C0111FCD40582F7330E4CE5715ED43F95E9BC0C5FF82B0536FC9601990051AE
+:20026100009C0110FCD50582F7340E4CE5715EF93F69E9C60C5AF82E0534FC9601990050AE
+:20026200009D010FFCD60581F7340E4BE5715F1F3F3DE9D10C54F8310533FC9701990050AB
+:20026300009D010EFCD70580F7350E4AE5715F443F11E9DC0C4FF8340531FC97019A0050AA
+:20026400009E010DFCD8057FF7360E4AE5715F693EE4E9E60C49F8380530FC97019A004FAB
+:20026500009E010CFCD9057EF7370E49E5725F8E3EB8E9F10C44F83B052EFC98019A004FA9
+:20026600009F010BFCDA057DF7370E48E5725FB33E8CE9FC0C3EF83E052DFC98019B004FA8
+:20026700009F010AFCDB057DF7380E47E5725FD83E60EA060C39F841052BFC99019B004EA7
+:20026800009F0109FCDC057CF7390E46E5735FFD3E34EA110C33F844052AFC99019C004EA5
+:2002690000A00108FCDD057BF73A0E45E57360223E08EA1C0C2EF8470528FC99019C004EA3
+:20026A0000A00106FCDE057AF73B0E44E57460473DDBEA270C28F84A0526FC9A019C004DA6
+:20026B0000A10105FCDF0579F73C0E43E575606B3DAFEA320C22F84E0525FC9A019D004DA3
+:20026C0000A10104FCE00578F73C0E42E57560903D83EA3D0C1DF8510523FC9A019D004DA4
+:20026D0000A20103FCE20577F73D0E41E57660B43D57EA480C17F8540522FC9B019D004DA1
+:20026E0000A20102FCE30576F73E0E40E57760D93D2AEA530C11F8570520FC9B019E004CA2
+:20026F0000A30101FCE40575F73F0E3FE57860FE3CFEEA5E0C0CF85B051EFC9C019E004C9F
+:2002700000A30100FCE50574F7400E3EE57961223CD2EA690C06F85E051DFC9C019F004C9D
+:2002710000A400FFFCE60573F7410E3DE57A61463CA6EA750C00F861051BFC9D019F004B9D
+:2002720000A400FEFCE70572F7420E3CE57B616B3C79EA800BFAF8640519FC9D019F004B9F
+:2002730000A500FDFCE80571F7430E3AE57C618F3C4DEA8B0BF4F8680518FC9D01A0004B9D
+:2002740000A500FCFCE90570F7440E39E57E61B33C21EA960BEFF86B0516FC9E01A0004A9C
+:2002750000A500FBFCEB056FF7460E38E57F61D73BF4EAA20BE9F86E0514FC9E01A0004A9C
+:2002760000A600FAFCEC056EF7470E36E58061FB3BC8EAAD0BE3F8720513FC9F01A1004A99
+:2002770000A600F9FCED056DF7480E35E582621F3B9CEAB90BDDF8750511FC9F01A1004A97
+:2002780000A700F8FCEE056BF7490E33E58362433B6FEAC40BD7F879050FFCA001A1004999
+:2002790000A700F7FCEF056AF74A0E32E58562673B43EAD00BD1F87C050DFCA001A2004997
+:20027A0000A800F5FCF10569F74B0E30E587628B3B17EADB0BCBF87F050CFCA101A2004995
+:20027B0000A800F4FCF20568F74D0E2FE58862AE3AEAEAE70BC5F883050AFCA101A2004897
+:20027C0000A900F3FCF30567F74E0E2DE58A62D23ABEEAF20BBFF8860508FCA201A3004895
+:20027D0000A900F2FCF40566F74F0E2BE58C62F63A92EAFE0BB9F88A0506FCA201A3004894
+:20027E0000AA00F1FCF50564F7500E2AE58E63193A65EB0A0BB3F88D0504FCA301A3004793
+:20027F0000AA00F0FCF70563F7520E28E590633D3A39EB150BADF8910503FCA301A400478F
+:2002800000AB00EFFCF80562F7530E26E59263603A0DEB210BA7F8940501FCA401A400478E
+:2002810000AB00EEFCF90561F7540E25E594638439E0EB2D0BA1F89804FFFCA401A400478F
+:2002820000AC00EDFCFA0560F7560E23E59763A739B4EB390B9AF89B04FDFCA501A400468E
+:2002830000AC00ECFCFC055EF7570E21E59963CA3987EB440B94F89F04FBFCA501A500468F
+:2002840000AD00EAFCFD055DF7590E1FE59B63ED395BEB500B8EF8A204FAFCA601A500468D
+:2002850000AD00E9FCFE055CF75A0E1DE59E6410392FEB5C0B88F8A604F8FCA701A500458B
+:2002860000AE00E8FCFF055AF75C0E1BE5A064333902EB680B81F8AA04F6FCA701A600458B
+:2002870000AE00E7FD010559F75D0E19E5A3645638D6EB740B7BF8AD04F4FCA801A6004589
+:2002880000AE00E6FD020558F75F0E17E5A5647938A9EB800B75F8B104F2FCA801A6004589
+:2002890000AF00E5FD030557F7600E15E5A8649C387DEB8C0B6FF8B404F0FCA901A7004487
+:20028A0000AF00E4FD050555F7620E13E5AB64BF3851EB980B68F8B804EEFCA901A7004486
+:20028B0000B000E3FD060554F7630E11E5AE64E23824EBA50B62F8BC04ECFCAA01A7004483
+:20028C0000B000E1FD070552F7650E0EE5B1650437F8EBB10B5CF8BF04EAFCAB01A7004386
+:20028D0000B100E0FD090551F7660E0CE5B4652737CBEBBD0B55F8C304E9FCAB01A8004383
+:20028E0000B100DFFD0A0550F7680E0AE5B76549379FEBC90B4FF8C704E7FCAC01A8004381
+:20028F0000B200DEFD0B054EF76A0E08E5BA656C3772EBD60B48F8CB04E5FCAC01A8004380
+:2002900000B200DDFD0D054DF76B0E05E5BD658E3746EBE20B42F8CE04E3FCAD01A8004281
+:2002910000B300DCFD0E054BF76D0E03E5C165B1371AEBEE0B3BF8D204E1FCAE01A900427D
+:2002920000B300DAFD0F054AF76F0E01E5C465D336EDEBFB0B35F8D604DFFCAE01A900427E
+:2002930000B400D9FD110548F7710DFEE5C765F536C1EC070B2EF8DA04DDFCAF01A900417E
+:2002940000B400D8FD120547F7720DFCE5CB66173694EC130B28F8DD04DBFCB001A900417D
+:2002950000B500D7FD140545F7740DF9E5CE66393668EC200B21F8E104D9FCB001AA00417B
+:2002960000B500D6FD150544F7760DF7E5D2665B363BEC2C0B1BF8E504D7FCB101AA004179
+:2002970000B600D5FD160542F7780DF4E5D6667D360FEC390B14F8E904D5FCB201AA004078
+:2002980000B600D3FD180541F77A0DF1E5DA669F35E3EC450B0DF8ED04D3FCB201AA004079
+:2002990000B700D2FD19053FF77C0DEFE5DD66C135B6EC520B07F8F104D1FCB301AB004076
+:20029A0000B700D1FD1B053EF77D0DECE5E166E2358AEC5F0B00F8F404CFFCB401AB003F77
+:20029B0000B800D0FD1C053CF77F0DE9E5E56704355DEC6B0AF9F8F804CDFCB401AB003F78
+:20029C0000B800CFFD1E053BF7810DE6E5E967253531EC780AF3F8FC04CBFCB501AB003F75
+:20029D0000B900CEFD1F0539F7830DE4E5EE67473505EC850AECF90004C8FCB601AC003F70
+:20029E0000B900CCFD210537F7850DE1E5F2676834D8EC920AE5F90404C6FCB601AC003E74
+:20029F0000BA00CBFD220536F7870DDEE5F6678A34ACEC9E0ADEF90804C4FCB701AC003E72
+:2002A00000BA00CAFD230534F7890DDBE5FA67AB347FECAB0AD8F90C04C2FCB801AC003E72
+:2002A10000BB00C9FD250532F78B0DD8E5FF67CC3453ECB80AD1F91004C0FCB801AC003E70
+:2002A20000BB00C8FD260531F78E0DD5E60367ED3427ECC50ACAF91404BEFCB901AD003D6D
+:2002A30000BC00C6FD28052FF7900DD2E608680E33FAECD20AC3F91804BCFCBA01AD003D6C
+:2002A40000BC00C5FD29052DF7920DCFE60D682F33CEECDF0ABCF91C04BAFCBB01AD003D6B
+:2002A50000BD00C4FD2B052CF7940DCCE611685033A1ECEC0AB5F92004B8FCBB01AD003C6B
+:2002A60000BD00C3FD2C052AF7960DC8E61668713375ECF90AAEF92404B5FCBC01AD003C6C
+:2002A70000BE00C1FD2E0528F7980DC5E61B68923349ED060AA7F92804B3FCBD01AE003C68
+:2002A80000BE00C0FD300527F79A0DC2E62068B3331CED130AA0F92C04B1FCBE01AE003C66
+:2002A90000BF00BFFD310525F79D0DBFE62568D332F0ED200A9AF93004AFFCBE01AE003B66
+:2002AA0000BF00BEFD330523F79F0DBBE62A68F432C3ED2D0A93F93404ADFCBF01AE003B66
+:2002AB0000C000BDFD340521F7A10DB8E62F69143297ED3A0A8CF93804ABFCC001AE003B64
+:2002AC0000C000BBFD36051FF7A40DB5E6346935326BED470A84F93C04A8FCC101AF003B63
+:2002AD0000C100BAFD37051EF7A60DB1E63A6955323EED550A7DF94004A6FCC101AF003A63
+:2002AE0000C100B9FD39051CF7A80DAEE63F69753212ED620A76F94404A4FCC201AF003A62
+:2002AF0000C200B8FD3A051AF7AB0DAAE644699631E6ED6F0A6FF94904A2FCC301AF003A60
+:2002B00000C200B6FD3C0518F7AD0DA7E64A69B631B9ED7C0A68F94D049FFCC401AF003A61
+:2002B10000C300B5FD3E0516F7AF0DA3E65069D6318DED8A0A61F951049DFCC501B000395E
+:2002B20000C300B4FD3F0514F7B20DA0E65569F63161ED970A5AF955049BFCC501B000395E
+:2002B30000C400B3FD410512F7B40D9CE65B6A163134EDA50A53F9590499FCC601B000395B
+:2002B40000C400B1FD420511F7B70D98E6616A353108EDB20A4CF95D0496FCC701B000395C
+:2002B50000C500B0FD44050FF7B90D95E6676A5530DCEDBF0A44F9620494FCC801B000385B
+:2002B60000C500AFFD46050DF7BC0D91E66D6A7530B0EDCD0A3DF9660492FCC901B0003858
+:2002B70000C600AEFD47050BF7BE0D8DE6736A953083EDDA0A36F96A0490FCCA01B0003858
+:2002B80000C600ACFD490509F7C10D89E6796AB43057EDE80A2FF96E048DFCCA01B1003858
+:2002B90000C700ABFD4B0507F7C40D85E67F6AD4302BEDF50A27F973048BFCCB01B1003756
+:2002BA0000C700AAFD4C0505F7C60D82E6856AF32FFEEE030A20F9770489FCCC01B1003756
+:2002BB0000C800A8FD4E0503F7C90D7EE68B6B122FD2EE110A19F97B0486FCCD01B1003754
+:2002BC0000C800A7FD500501F7CB0D7AE6926B312FA6EE1E0A12F97F0484FCCE01B1003753
+:2002BD0000C900A6FD5104FFF7CE0D76E6986B512F7AEE2C0A0AF9840482FCCF01B1003652
+:2002BE0000C900A5FD5304FDF7D10D72E69F6B702F4EEE3A0A03F988047FFCD001B1003650
+:2002BF0000CA00A3FD5504FBF7D40D6DE6A56B8F2F21EE4709FCF98C047DFCD001B2003652
+:2002C00000CA00A2FD5604F9F7D60D69E6AC6BAE2EF5EE5509F4F991047BFCD101B2003652
+:2002C10000CB00A1FD5804F7F7D90D65E6B36BCD2EC9EE6309EDF9950478FCD201B2003550
+:2002C20000CB009FFD5A04F4F7DC0D61E6BA6BEB2E9DEE7109E5F9990476FCD301B2003551
+:2002C30000CC009EFD5C04F2F7DF0D5DE6C16C0A2E71EE7E09DEF99E0474FCD401B200354C
+:2002C40000CC009DFD5D04F0F7E10D58E6C86C292E44EE8C09D6F9A20471FCD501B200354F
+:2002C50000CD009BFD5F04EEF7E40D54E6CF6C472E18EE9A09CFF9A7046FFCD601B200344D
+:2002C60000CD009AFD6104ECF7E70D50E6D66C662DECEEA809C8F9AB046CFCD701B200344C
+:2002C70000CE0099FD6304EAF7EA0D4BE6DD6C842DC0EEB609C0F9AF046AFCD801B300344A
+:2002C80000CE0098FD6404E8F7ED0D47E6E46CA22D94EEC409B9F9B40468FCD801B3003449
+:2002C90000CF0096FD6604E5F7F00D43E6EC6CC12D68EED209B1F9B80465FCD901B3003349
+:2002CA0000CF0095FD6804E3F7F30D3EE6F36CDF2D3CEEE009A9F9BD0463FCDA01B3003348
+:2002CB0000D00094FD6A04E1F7F60D39E6FB6CFD2D10EEEE09A2F9C10460FCDB01B3003346
+:2002CC0000D00092FD6B04DFF7F90D35E7026D1B2CE4EEFC099AF9C6045EFCDC01B3003345
+:2002CD0000D10091FD6D04DDF7FC0D30E70A6D392CB8EF0A0993F9CA045BFCDD01B3003243
+:2002CE0000D10090FD6F04DAF7FF0D2CE7126D572C8CEF18098BF9CE0459FCDE01B3003242
+:2002CF0000D2008EFD7104D8F8020D27E71A6D742C60EF260983F9D30456FCDF01B3003241
+:2002D00000D2008DFD7304D6F8050D22E7216D922C34EF34097CF9D70454FCE001B3003240
+:2002D10000D3008CFD7504D4F8080D1DE7296DB02C08EF420974F9DC0451FCE101B400323D
+:2002D20000D3008AFD7604D1F80B0D19E7316DCD2BDCEF51096CF9E1044FFCE201B400313F
+:2002D30000D40089FD7804CFF80F0D14E7396DEB2BB0EF5F0965F9E5044CFCE301B400313C
+:2002D40000D40088FD7A04CDF8120D0FE7426E082B84EF6D095DF9EA044AFCE401B4003139
+:2002D50000D50086FD7C04CAF8150D0AE74A6E252B58EF7B0955F9EE0447FCE501B400313B
+:2002D60000D50085FD7E04C8F8180D05E7526E432B2CEF89094EF9F30445FCE601B4003039
+:2002D70000D60084FD8004C6F81B0D00E75B6E602B00EF980946F9F70442FCE701B4003037
+:2002D80000D60082FD8104C3F81F0CFBE7636E7D2AD4EFA6093EF9FC0440FCE801B400303A
+:2002D90000D70081FD8304C1F8220CF6E76C6E9A2AA8EFB40936FA01043DFCE901B4003037
+:2002DA0000D7007FFD8504BEF8250CF1E7746EB72A7CEFC3092FFA05043BFCEA01B4002F38
+:2002DB0000D8007EFD8704BCF8290CECE77D6ED32A50EFD10927FA0A0438FCEB01B4002F36
+:2002DC0000D9007DFD8904BAF82C0CE7E7866EF02A25EFE0091FFA0E0436FCEC01B4002F32
+:2002DD0000D9007BFD8B04B7F82F0CE1E78F6F0D29F9EFEE0917FA130433FCED01B4002F34
+:2002DE0000DA007AFD8D04B5F8330CDCE7986F2929CDEFFC090FFA180431FCEE01B4002E32
+:2002DF0000DA0079FD8F04B2F8360CD7E7A16F4629A1F00B0907FA1C042EFCEF01B4002E31
+:2002E00000DB0077FD9104B0F83A0CD2E7AA6F622976F01908FFFA21042BFCF001B5002E2F
+:2002E10000DB0076FD9304ADF83D0CCCE7B36F7F294AF02808F8FA260429FCF101B5002E2D
+:2002E20000DC0074FD9504ABF8410CC7E7BC6F9B291EF03608F0FA2A0426FCF201B5002E2D
+:2002E30000DC0073FD9704A8F8440CC1E7C66FB728F2F04508E8FA2F0424FCF301B5002D2E
+:2002E40000DD0072FD9904A6F8480CBCE7CF6FD328C7F05408E0FA340421FCF401B5002D2A
+:2002E50000DD0070FD9A04A3F84B0CB6E7D86FEF289BF06208D8FA38041EFCF501B5002D2F
+:2002E60000DE006FFD9C04A1F84F0CB1E7E2700B286FF07108D0FA3D041CFCF601B5002D29
+:2002E70000DE006DFD9E049EF8520CABE7EC70272844F07F08C8FA420419FCF701B5002C2B
+:2002E80000DF006CFDA0049BF8560CA6E7F570422818F08E08C0FA470416FCF801B5002C2A
+:2002E90000DF006BFDA20499F85A0CA0E7FF705E27EDF09D08B8FA4B0414FCFA01B5002C27
+:2002EA0000E00069FDA40496F85D0C9AE809707A27C1F0AB08B0FA500411FCFB01B5002C27
+:2002EB0000E00068FDA60494F8610C95E81370952795F0BA08A8FA55040EFCFC01B5002C25
+:2002EC0000E10066FDA80491F8650C8FE81D70B1276AF0C908A0FA5A040CFCFD01B5002B23
+:2002ED0000E10065FDAA048EF8680C89E82770CC273EF0D70898FA5F0409FCFE01B5002B25
+:2002EE0000E20064FDAC048CF86C0C83E83170E72713F0E60890FA630406FCFF01B5002B23
+:2002EF0000E20062FDAE0489F8700C7DE83B710226E8F0F50887FA680404FD0001B5002B22
+:2002F00000E30061FDB00486F8740C77E846711D26BCF104087FFA6D0401FD0101B5002B1F
+:2002F10000E3005FFDB30484F8780C71E85071382691F1130877FA7203FEFD0201B5002A1F
+:2002F20000E4005EFDB50481F87B0C6BE85A71532665F121086FFA7703FCFD0301B5002A1F
+:2002F30000E5005DFDB7047EF87F0C65E865716E263AF1300867FA7B03F9FD0501B5002A1C
+:2002F40000E5005BFDB9047CF8830C5FE8707189260FF13F085FFA8003F6FD0601B5002A1A
+:2002F50000E6005AFDBB0479F8870C59E87A71A425E3F14E0857FA8503F4FD0701B5002A19
+:2002F60000E60058FDBD0476F88B0C53E88571BE25B8F15D084FFA8A03F1FD0801B500291A
+:2002F70000E70057FDBF0473F88F0C4DE89071D9258DF16C0846FA8F03EEFD0901B5002918
+:2002F80000E70055FDC10470F8930C47E89B71F32561F17B083EFA9403EBFD0A01B5002919
+:2002F90000E80054FDC3046EF8970C40E8A6720D2536F18A0836FA9903E9FD0B01B5002915
+:2002FA0000E80052FDC5046BF89B0C3AE8B17227250BF199082EFA9E03E6FD0D01B5002815
+:2002FB0000E90051FDC70468F89F0C34E8BC724224E0F1A80825FAA203E3FD0E01B5002815
+:2002FC0000E90050FDC90465F8A30C2DE8C7725C24B4F1B7081DFAA703E0FD0F01B5002816
+:2002FD0000EA004EFDCC0462F8A70C27E8D372762489F1C60815FAAC03DEFD1001B5002812
+:2002FE0000EA004DFDCE045FF8AB0C21E8DE728F245EF1D5080DFAB103DBFD1101B5002812
+:2002FF0000EB004BFDD0045DF8AF0C1AE8E972A92433F1E40804FAB603D8FD1201B5002713
+:2003000000EB004AFDD2045AF8B30C14E8F572C32408F1F307FCFABB03D5FD1401B5002710
+:2003010000EC0048FDD40457F8B80C0DE90172DD23DDF20207F4FAC003D2FD1501B500270D
+:2003020000EC0047FDD60454F8BC0C07E90C72F623B2F21107EBFAC503D0FD1601B500270D
+:2003030000ED0045FDD80451F8C00C00E91873102387F22007E3FACA03CDFD1701B500270B
+:2003040000ED0044FDDB044EF8C40BF9E9247329235CF22F07DBFACF03CAFD1801B500260C
+:2003050000EE0042FDDD044BF8C80BF3E93073422331F23F07D2FAD403C7FD1901B500260B
+:2003060000EF0041FDDF0448F8CD0BECE93C735B2306F24E07CAFAD903C4FD1B01B5002608
+:2003070000EF0040FDE10445F8D10BE5E948737422DBF25D07C2FADE03C1FD1C01B400260A
+:2003080000F0003EFDE30442F8D50BDEE954738D22B0F26C07B9FAE303BFFD1D01B400260A
+:2003090000F0003DFDE6043FF8DA0BD7E96073A62285F27B07B1FAE803BCFD1E01B4002509
+:20030A0000F1003BFDE8043CF8DE0BD1E96D73BF225BF28A07A9FAED03B9FD2001B4002505
+:20030B0000F1003AFDEA0439F8E20BCAE97973D82230F29A07A0FAF203B6FD2101B4002505
+:20030C0000F20038FDEC0436F8E70BC3E98573F12205F2A90798FAF703B3FD2201B4002504
+:20030D0000F20037FDEE0433F8EB0BBCE992740921DAF2B8078FFAFC03B0FD2301B4002505
+:20030E0000F30035FDF10430F8EF0BB5E99F742221B0F2C70787FB0103AEFD2401B4002500
+:20030F0000F30034FDF3042DF8F40BAEE9AB743A2185F2D7077EFB0603ABFD2601B4002400
+:2003100000F40032FDF5042AF8F80BA7E9B87452215AF2E60776FB0B03A8FD2701B4002400
+:2003110000F40031FDF70427F8FD0B9FE9C5746A2130F2F5076DFB1003A5FD2801B4002400
+:2003120000F5002FFDFA0424F9010B98E9D274832105F3050765FB1603A2FD2901B40024FA
+:2003130000F5002EFDFC0421F9060B91E9DF749B20DAF314075CFB1B039FFD2B01B40024FA
+:2003140000F6002CFDFE041DF90A0B8AE9EC74B220B0F3230754FB20039CFD2C01B40023FC
+:2003150000F7002BFE00041AF90F0B83E9F974CA2085F333074BFB250399FD2D01B40023F9
+:2003160000F70029FE030417F9140B7BEA0674E2205BF3420743FB2A0396FD2E01B40023F7
+:2003170000F80028FE050414F9180B74EA1474FA2030F352073AFB2F0393FD3001B30023F5
+:2003180000F80026FE070411F91D0B6DEA2175112006F3610732FB340391FD3101B30023F3
+:2003190000F90025FE0A040EF9220B65EA2F75291FDCF3700729FB39038EFD3201B30022F2
+:20031A0000F90023FE0C040AF9260B5EEA3C75401FB1F3800721FB3E038BFD3401B30022F3
+:20031B0000FA0022FE0E0407F92B0B56EA4A75571F87F38F0718FB440388FD3501B30022F1
+:20031C0000FA0020FE110404F9300B4FEA57756F1F5DF39F0710FB490385FD3601B30022EE
+:20031D0000FB001EFE130401F9340B47EA6575861F32F3AE0707FB4E0382FD3701B30022F0
+:20031E0000FB001DFE1503FDF9390B3FEA73759D1F08F3BE06FEFB53037FFD3901B30021F2
+:20031F0000FC001BFE1803FAF93E0B38EA8175B41EDEF3CD06F6FB58037CFD3A01B30021F0
+:2003200000FC001AFE1A03F7F9430B30EA8F75CB1EB4F3DD06EDFB5D0379FD3B01B30021EF
+:2003210000FD0018FE1C03F4F9480B28EA9D75E11E8AF3EC06E5FB630376FD3D01B20021EE
+:2003220000FD0017FE1F03F0F94C0B21EAAB75F81E5FF3FC06DCFB680373FD3E01B20021EE
+:2003230000FE0015FE2103EDF9510B19EAB9760F1E35F40B06D3FB6D0370FD3F01B20021EC
+:2003240000FF0014FE2303EAF9560B11EAC876251E0BF41B06CBFB72036DFD4101B20020E9
+:2003250000FF0012FE2603E6F95B0B09EAD6763B1DE1F42A06C2FB77036AFD4201B20020EC
+:2003260001000011FE2803E3F9600B01EAE576521DB7F43A06B9FB7D0367FD4301B20020E7
+:200327000100000FFE2B03E0F9650AF9EAF376681D8DF44906B1FB820364FD4501B20020E7
+:200328000101000EFE2D03DCF96A0AF1EB02767E1D63F45906A8FB870361FD4601B20020E5
+:200329000101000CFE2F03D9F96F0AE9EB1176941D39F468069FFB8C035EFD4701B20020E6
+:20032A000102000AFE3203D5F9740AE1EB1F76AA1D10F4780697FB91035BFD4901B1001FE5
+:20032B0001020009FE3403D2F9790AD9EB2E76C01CE6F488068EFB970358FD4A01B1001FE4
+:20032C0001030007FE3703CFF97E0AD1EB3D76D61CBCF4970685FB9C0355FD4B01B1001FE3
+:20032D0001030006FE3903CBF9830AC9EB4C76EB1C92F4A7067CFBA10352FD4D01B1001FE3
+:20032E0001040004FE3B03C8F9880AC1EB5B77011C68F4B60674FBA6034FFD4E01B1001FE1
+:20032F0001040003FE3E03C4F98D0AB9EB6B77161C3FF4C6066BFBAC034CFD4F01B1001FDE
+:2003300001050001FE4003C1F9920AB1EB7A772C1C15F4D60662FBB10349FD5101B1001EDD
+:2003310001050000FE4303BDF9980AA8EB8977411BECF4E50659FBB60346FD5201B0001EDF
+:200332000106FFFEFE4503BAF99D0AA0EB9977561BC2F4F50651FBBC0343FD5301B0001EDD
+:200333000107FFFCFE4803B6F9A20A98EBA8776B1B98F5050648FBC10340FD5501B0001EDB
+:200334000107FFFBFE4A03B3F9A70A8FEBB877801B6FF514063FFBC6033DFD5601B0001EDB
+:200335000108FFF9FE4D03AFF9AC0A87EBC777951B45F5240636FBCB033AFD5801B0001DDB
+:200336000108FFF8FE4F03ACF9B20A7EEBD777AA1B1CF534062EFBD10337FD5901B0001DD7
+:200337000109FFF6FE5203A8F9B70A76EBE777BE1AF3F5430625FBD60334FD5A01B0001DD8
+:200338000109FFF5FE5403A5F9BC0A6DEBF777D31AC9F553061CFBDB0331FD5C01AF001DD8
+:20033900010AFFF3FE5703A1F9C10A65EC0777E81AA0F5630613FBE1032DFD5D01AF001DD5
+:20033A00010AFFF1FE59039EF9C70A5CEC1777FC1A76F573060AFBE6032AFD5F01AF001DD5
+:20033B00010BFFF0FE5C039AF9CC0A54EC2778101A4DF5820602FBEB0327FD6001AF001CD3
+:20033C00010BFFEEFE5E0396F9D20A4BEC3778241A24F59205F9FBF10324FD6101AF001CD4
+:20033D00010CFFEDFE610393F9D70A42EC47783919FBF5A205F0FBF60321FD6301AF001CD1
+:20033E00010CFFEBFE63038FF9DC0A39EC58784D19D2F5B105E7FBFB031EFD6401AE001CD4
+:20033F00010DFFE9FE66038CF9E20A31EC68786119A9F5C105DEFC01031BFD6601AE001CCE
+:20034000010EFFE8FE680388F9E70A28EC797874197FF5D105D5FC060318FD6701AE001CCF
+:20034100010EFFE6FE6B0384F9ED0A1FEC8978881956F5E105CDFC0B0315FD6801AE001BCF
+:20034200010FFFE5FE6D0381F9F20A16EC9A789C192DF5F005C4FC110312FD6A01AE001BCC
+:20034300010FFFE3FE70037DF9F80A0DECAA78AF1904F60005BBFC16030FFD6B01AE001BCC
+:200344000110FFE1FE720379F9FD0A04ECBB78C318DCF61005B2FC1B030BFD6D01AD001BCD
+:200345000110FFE0FE750375FA0309FBECCC78D618B3F62005A9FC210308FD6E01AD001BCB
+:200346000111FFDEFE780372FA0809F2ECDD78E9188AF63005A0FC260305FD7001AD001BC9
+:200347000111FFDDFE7A036EFA0E09E9ECEE78FD1861F63F0597FC2C0302FD7101AD001BC8
+:200348000112FFDBFE7D036AFA1409E0ECFF79101838F64F058FFC3102FFFD7201AD001AC7
+:200349000112FFD9FE7F0367FA1909D7ED117923180FF65F0586FC3602FCFD7401AD001AC5
+:20034A000113FFD8FE820363FA1F09CEED22793617E7F66F057DFC3C02F9FD7501AC001AC3
+:20034B000113FFD6FE84035FFA2409C5ED33794817BEF67F0574FC4102F6FD7701AC001AC5
+:20034C000114FFD5FE87035BFA2A09BBED45795B1795F68E056BFC4702F2FD7801AC001AC4
+:20034D000115FFD3FE8A0357FA3009B2ED56796E176DF69E0562FC4C02EFFD7A01AC001AC1
+:20034E000115FFD1FE8C0354FA3609A9ED6879801744F6AE0559FC5102ECFD7B01AC001AC1
+:20034F000116FFD0FE8F0350FA3B09A0ED797993171CF6BE0550FC5702E9FD7D01AB0019BF
+:200350000116FFCEFE92034CFA410996ED8B79A516F3F6CE0547FC5C02E6FD7E01AB0019C1
+:200351000117FFCCFE940348FA47098DED9D79B716CBF6DD053EFC6202E3FD8001AB0019BF
+:200352000117FFCBFE970344FA4D0983EDAF79C916A3F6ED0535FC6702DFFD8101AB0019BF
+:200353000118FFC9FE990340FA52097AEDC179DB167AF6FD052CFC6C02DCFD8201AB0019C0
+:200354000118FFC7FE9C033DFA580971EDD379ED1652F70D0524FC7202D9FD8401AA0019BB
+:200355000119FFC6FE9F0339FA5E0967EDE579FF162AF71D051BFC7702D6FD8501AA0018BA
+:200356000119FFC4FEA10335FA64095DEDF77A111602F72D0512FC7D02D3FD8701AA0018B8
+:20035700011AFFC3FEA40331FA6A0954EE0A7A2215D9F73C0509FC8202D0FD8801AA0018B7
+:20035800011AFFC1FEA7032DFA70094AEE1C7A3415B1F74C0500FC8802CCFD8A01A90018B7
+:20035900011BFFBFFEA90329FA760941EE2E7A451589F75C04F7FC8D02C9FD8B01A90018B8
+:20035A00011BFFBEFEAC0325FA7C0937EE417A561561F76C04EEFC9302C6FD8D01A90018B5
+:20035B00011CFFBCFEAF0321FA82092DEE547A681539F77C04E5FC9802C3FD8E01A90017B4
+:20035C00011DFFBAFEB2031DFA880923EE667A791511F78C04DCFC9D02C0FD9001A90017B3
+:20035D00011DFFB9FEB40319FA8E091AEE797A8A14E9F79C04D3FCA302BCFD9101A80017B4
+:20035E00011EFFB7FEB70315FA940910EE8C7A9B14C1F7AB04CAFCA802B9FD9301A80017B3
+:20035F00011EFFB5FEBA0311FA9A0906EE9F7AAC149AF7BB04C1FCAE02B6FD9401A80017B1
+:20036000011FFFB4FEBC030DFAA008FCEEB27ABC1472F7CB04B8FCB302B3FD9601A80017B1
+:20036100011FFFB2FEBF0309FAA608F2EEC57ACD144AF7DB04AFFCB902B0FD9701A70017B1
+:200362000120FFB0FEC20305FAAC08E8EED87ADD1422F7EB04A6FCBE02ACFD9901A70016B2
+:200363000120FFAFFEC50301FAB208DEEEEB7AEE13FBF7FB049DFCC402A9FD9A01A70016B0
+:200364000121FFADFEC702FDFAB808D4EEFE7AFE13D3F80B0494FCC902A6FD9C01A70016B0
+:200365000121FFACFECA02F9FABE08CAEF127B0E13ACF81A048BFCCF02A3FD9E01A60016AC
+:200366000122FFAAFECD02F5FAC508C0EF257B1F1384F82A0482FCD4029FFD9F01A60016AB
+:200367000122FFA8FECF02F1FACB08B6EF397B2F135DF83A0479FCDA029CFDA101A60016A9
+:200368000123FFA7FED202EDFAD108ACEF4C7B3F1335F84A0470FCDF0299FDA201A60016A8
+:200369000123FFA5FED502E9FAD708A1EF607B4E130EF85A0467FCE50296FDA401A50016A8
+:20036A000124FFA3FED802E5FADD0897EF747B5E12E7F86A045EFCEA0293FDA501A50015A8
+:20036B000125FFA2FEDB02E1FAE4088DEF887B6E12BFF87A0455FCF0028FFDA701A50015A4
+:20036C000125FFA0FEDD02DCFAEA0883EF9C7B7D1298F889044CFCF5028CFDA801A50015A7
+:20036D000126FF9EFEE002D8FAF00878EFB07B8D1271F8990443FCFB0289FDAA01A40015A5
+:20036E000126FF9DFEE302D4FAF7086EEFC47B9C124AF8A9043AFD000286FDAB01A40015A2
+:20036F000127FF9BFEE602D0FAFD0864EFD87BAB1223F8B90431FD060282FDAD01A40015A0
+:200370000127FF99FEE802CCFB030859EFEC7BBA11FBF8C90428FD0B027FFDAE01A40015A3
+:200371000128FF97FEEB02C8FB0A084FF0007BC911D4F8D9041FFD11027CFDB001A300159F
+:200372000128FF96FEEE02C3FB100844F0157BD811AEF8E90416FD160279FDB201A300149E
+:200373000129FF94FEF102BFFB16083AF0297BE71187F8F8040DFD1C0275FDB301A300149E
+:200374000129FF92FEF402BBFB1D082FF03E7BF61160F9080404FD210272FDB501A300149B
+:20037500012AFF91FEF602B7FB230825F0527C051139F91803FBFD27026FFDB601A200149A
+:20037600012AFF8FFEF902B3FB2A081AF0677C131112F92803F2FD2C026CFDB801A2001499
+:20037700012BFF8DFEFC02AEFB30080FF07C7C2110EBF93803E9FD320268FDB901A200149B
+:20037800012BFF8CFEFF02AAFB370805F0917C3010C5F94803E0FD370265FDBB01A2001496
+:20037900012CFF8AFF0202A6FB3D07FAF0A67C3E109EF95703D7FD3D0262FDBD01A1001397
+:20037A00012CFF88FF0502A2FB4407EFF0BB7C4C1078F96703CEFD42025EFDBE01A1001397
+:20037B00012DFF87FF08029DFB4A07E5F0D07C5A1051F97703C5FD48025BFDC001A1001394
+:20037C00012EFF85FF0A0299FB5107DAF0E57C68102AF98703BCFD4D0258FDC101A0001395
+:20037D00012EFF83FF0D0295FB5707CFF0FA7C761004F99703B3FD530255FDC301A0001393
+:20037E00012FFF82FF100290FB5E07C4F10F7C840FDEF9A703AAFD580251FDC401A0001392
+:20037F00012FFF80FF13028CFB6507B9F1257C910FB7F9B603A1FD5E024EFDC601A0001391
+:200380000130FF7EFF160288FB6B07AEF13A7C9F0F91F9C60398FD63024BFDC8019F001291
+:200381000130FF7CFF190283FB7207A3F1507CAC0F6BF9D6038EFD690248FDC9019F001291
+:200382000131FF7BFF1C027FFB790798F1657CB90F45F9E60385FD6E0244FDCB019F00128F
+:200383000131FF79FF1E027BFB7F078DF17B7CC70F1EF9F6037CFD740241FDCC019E001290
+:200384000132FF77FF210276FB860782F1917CD40EF8FA050373FD7A023EFDCE019E00128E
+:200385000132FF76FF240272FB8D0777F1A77CE10ED2FA15036AFD7F023AFDD0019E00128C
+:200386000133FF74FF27026EFB93076CF1BD7CEE0EACFA250361FD850237FDD1019E00128A
+:200387000133FF72FF2A0269FB9A0761F1D37CFA0E86FA350358FD8A0234FDD3019D00128B
+:200388000134FF71FF2D0265FBA10756F1E97D070E61FA45034FFD900230FDD4019D001286
+:200389000134FF6FFF300260FBA8074BF1FF7D140E3BFA540346FD95022DFDD6019D001187
+:20038A000135FF6DFF33025CFBAF073FF2157D200E15FA64033DFD9B022AFDD8019C001185
+:20038B000135FF6BFF360258FBB50734F22B7D2D0DEFFA740334FDA00227FDD9019C001186
+:20038C000136FF6AFF390253FBBC0729F2427D390DC9FA84032BFDA60223FDDB019C001183
+:20038D000137FF68FF3C024FFBC3071DF2587D450DA4FA930322FDAB0220FDDD019B001183
+:20038E000137FF66FF3E024AFBCA0712F26F7D510D7EFAA30319FDB1021DFDDE019B001183
+:20038F000138FF65FF410246FBD10707F2857D5D0D59FAB30310FDB70219FDE0019B00117F
+:200390000138FF63FF440241FBD806FBF29C7D690D33FAC30307FDBC0216FDE1019A001182
+:200391000139FF61FF47023DFBDF06F0F2B37D750D0EFAD202FEFDC20213FDE3019A00117F
+:200392000139FF5FFF4A0238FBE606E4F2C97D800CE8FAE202F5FDC7020FFDE5019A001183
+:20039300013AFF5EFF4D0234FBEC06D9F2E07D8C0CC3FAF202ECFDCD020CFDE60199001081
+:20039400013AFF5CFF50022FFBF306CDF2F77D970C9EFB0202E3FDD20209FDE80199001080
+:20039500013BFF5AFF53022BFBFA06C2F30E7DA30C79FB1102DAFDD80205FDEA019900107C
+:20039600013BFF59FF560226FC0106B6F3267DAE0C53FB2102D1FDDD0202FDEB019900107B
+:20039700013CFF57FF590222FC0806ABF33D7DB90C2EFB3102C8FDE301FFFDED0198001079
+:20039800013CFF55FF5C021DFC0F069FF3547DC40C09FB4002BFFDE801FBFDEE019800107C
+:20039900013DFF53FF5F0219FC170693F36B7DCF0BE4FB5002B6FDEE01F8FDF00198001079
+:20039A00013DFF52FF620214FC1E0687F3837DDA0BBFFB6002ADFDF401F5FDF20197000F78
+:20039B00013EFF50FF650210FC25067CF39A7DE50B9AFB6F02A4FDF901F2FDF30197000F77
+:20039C00013EFF4EFF68020BFC2C0670F3B27DEF0B76FB7F029BFDFF01EEFDF50197000F76
+:20039D00013FFF4CFF6B0206FC330664F3CA7DFA0B51FB8F0292FE0401EBFDF70196000F74
+:20039E00013FFF4BFF6E0202FC3A0658F3E17E040B2CFB9E0289FE0A01E8FDF80196000F73
+:20039F000140FF49FF7101FDFC41064CF3F97E0E0B07FBAE0280FE0F01E4FDFA0196000F74
+:2003A0000140FF47FF7401F9FC480641F4117E190AE3FBBD0277FE1501E1FDFC0195000F71
+:2003A1000141FF46FF7701F4FC4F0635F4297E230ABEFBCD026EFE1A01DEFDFD0195000F70
+:2003A2000141FF44FF7A01EFFC570629F4417E2D0A9AFBDD0265FE2001DAFDFF0195000E6F
+:2003A3000142FF42FF7D01EBFC5E061DF4597E370A75FBEC025CFE2501D7FE010194000E6E
+:2003A4000142FF40FF8001E6FC650611F4717E400A51FBFC0253FE2B01D4FE020194000E6E
+:2003A5000143FF3FFF8301E1FC6C0605F48A7E4A0A2CFC0B024AFE3001D0FE040194000E6C
+:2003A6000143FF3DFF8601DDFC7405F9F4A27E540A08FC1B0241FE3601CDFE060193000E6A
+:2003A7000144FF3BFF8901D8FC7B05EDF4BA7E5D09E4FC2B0238FE3C01CAFE070193000E6A
+:2003A8000145FF39FF8C01D3FC8205E0F4D37E6609C0FC3A022FFE4101C6FE090192000E6C
+:2003A9000145FF38FF8F01CFFC8905D4F4EB7E70099CFC4A0226FE4701C3FE0B0192000E68
+:2003AA000146FF36FF9201CAFC9105C8F5047E790978FC59021DFE4C01C0FE0C0192000E66
+:2003AB000146FF34FF9501C5FC9805BCF51D7E820954FC690214FE5201BCFE0E0191000E66
+:2003AC000147FF32FF9801C0FC9F05B0F5357E8B0930FC78020BFE5701B9FE100191000E66
+:2003AD000147FF31FF9C01BCFCA705A3F54E7E94090CFC880202FE5D01B5FE110191000E63
+:2003AE000148FF2FFF9F01B7FCAE0597F5677E9C08E8FC9701F9FE6201B2FE130190000E66
+:2003AF000148FF2DFFA201B2FCB6058BF5807EA508C4FCA701F0FE6801AFFE150190000E63
+:2003B0000149FF2BFFA501ADFCBD057FF5997EAE08A0FCB601E8FE6D01ABFE160190000D64
+:2003B1000149FF2AFFA801A9FCC40572F5B27EB6087DFCC601DFFE7301A8FE18018F000D62
+:2003B200014AFF28FFAB01A4FCCC0566F5CC7EBE0859FCD501D6FE7801A5FE1A018F000D60
+:2003B300014AFF26FFAE019FFCD30559F5E57EC70835FCE501CDFE7E01A1FE1B018F000D61
+:2003B400014BFF25FFB1019AFCDB054DF5FE7ECF0812FCF401C4FE83019EFE1D018E000D5F
+:2003B500014BFF23FFB40196FCE20540F6187ED707EFFD0301BBFE89019BFE1F018E000D5C
+:2003B600014CFF21FFB70191FCEA0534F6317EDF07CBFD1301B2FE8F0197FE21018D000D5B
+:2003B700014CFF1FFFBA018CFCF10527F64B7EE607A8FD2201A9FE940194FE22018D000D5D
+:2003B800014DFF1EFFBD0187FCF9051BF6657EEE0785FD3201A0FE9A0191FE24018D000C58
+:2003B900014DFF1CFFC10182FD00050EF67E7EF60761FD410197FE9F018DFE26018C000C5A
+:2003BA00014EFF1AFFC4017EFD080502F6987EFD073EFD50018EFEA5018AFE27018C000C57
+:2003BB00014EFF18FFC70179FD0F04F5F6B27F04071BFD600185FEAA0187FE29018C000C57
+:2003BC00014FFF17FFCA0174FD1704E8F6CC7F0C06F8FD6F017DFEB00183FE2B018B000C55
+:2003BD00014FFF15FFCD016FFD1E04DCF6E67F1306D5FD7E0174FEB50180FE2C018B000C56
+:2003BE000150FF13FFD0016AFD2604CFF7007F1A06B2FD8E016BFEBB017DFE2E018A000B54
+:2003BF000150FF11FFD30165FD2E04C2F71A7F21068FFD9D0162FEC00179FE30018A000B55
+:2003C0000151FF10FFD60160FD3504B5F7357F28066CFDAC0159FEC60176FE32018A000B52
+:2003C1000151FF0EFFD9015BFD3D04A9F74F7F2E064AFDBC0150FECB0173FE330189000B52
+:2003C2000152FF0CFFDD0157FD45049CF7697F350627FDCB0147FED1016FFE350189000B4F
+:2003C3000152FF0AFFE00152FD4C048FF7847F3C0604FDDA013EFED6016CFE370189000B4F
+:2003C4000153FF09FFE3014DFD540482F79E7F4205E2FDE90136FEDC0169FE380188000B4E
+:2003C5000153FF07FFE60148FD5C0475F7B97F4805BFFDF9012DFEE10165FE3A0188000B4E
+:2003C6000154FF05FFE90143FD630468F7D47F4E059DFE080124FEE70162FE3C0187000B4C
+:2003C7000154FF03FFEC013EFD6B045BF7EE7F55057AFE17011BFEEC015FFE3E0187000B4C
+:2003C8000155FF01FFF00139FD73044EF8097F5B0558FE260112FEF2015BFE3F0187000B49
+:2003C9000155FF00FFF30134FD7B0441F8247F600536FE350109FEF70158FE410186000B49
+:2003CA000156FEFEFFF6012FFD820434F83F7F660514FE450101FEFD0155FE430186000B46
+:2003CB000156FEFCFFF9012AFD8A0427F85A7F6C04F2FE5400F8FF020151FE440185000B49
+:2003CC000157FEFAFFFC0125FD92041AF8757F7104CFFE6300EFFF08014EFE460185000B48
+:2003CD000157FEF9FFFF0120FD9A040DF8907F7704ADFE7200E6FF0D014AFE480185000B47
+:2003CE000158FEF70002011BFDA20400F8AC7F7C048BFE8100DDFF120147FE4A0184000B45
+:2003CF000158FEF500060116FDA903F3F8C77F82046AFE9000D5FF180144FE4B0184000B43
+:2003D0000159FEF300090111FDB103E6F8E27F870448FE9F00CCFF1D0140FE4D0183000B44
+:2003D1000159FEF2000C010CFDB903D8F8FE7F8C0426FEAE00C3FF23013DFE4F0183000B42
+:2003D200015AFEF0000F0107FDC103CBF9197F910404FEBD00BAFF28013AFE500183000B41
+:2003D300015AFEEE00120102FDC903BEF9357F9503E3FECC00B2FF2E0136FE520182000B41
+:2003D400015BFEEC001600FDFDD103B1F9517F9A03C1FEDB00A9FF330133FE540182000A40
+:2003D500015BFEEB001900F8FDD903A3F96D7F9F039FFEEA00A0FF390130FE560181000A3F
+:2003D600015CFEE9001C00F3FDE10396F9887FA3037EFEF90097FF3E012CFE570181000A40
+:2003D700015CFEE7001F00EEFDE80389F9A47FA8035DFF08008FFF440129FE590181000A3C
+:2003D800015CFEE5002200E9FDF0037BF9C07FAC033BFF170086FF490126FE5B018000093F
+:2003D900015DFEE4002600E4FDF8036EF9DC7FB0031AFF26007DFF4E0122FE5D018000093C
+:2003DA00015DFEE2002900DFFE000360F9F87FB402F9FF350074FF54011FFE5E017F00093D
+:2003DB00015EFEE0002C00DAFE080353FA157FB802D8FF44006CFF59011CFE60017F000839
+:2003DC00015EFEDE002F00D5FE100346FA317FBC02B6FF530063FF5F0118FE62017E00083A
+:2003DD00015FFEDD003200D0FE180338FA4D7FC00295FF62005AFF640115FE63017E000839
+:2003DE00015FFEDB003600CBFE20032BFA6A7FC30274FF710052FF6A0112FE65017E000736
+:2003DF000160FED9003900C6FE28031DFA867FC70254FF800049FF6F010EFE67017D000736
+:2003E0000160FED7003C00C1FE30030FFAA37FCA0233FF8E0040FF74010BFE69017D000737
+:2003E1000161FED5003F00BBFE380302FABF7FCE0212FF9D0038FF7A0108FE6A017C000736
+:2003E2000161FED4004300B6FE4102F4FADC7FD101F1FFAC002FFF7F0104FE6C017C000736
+:2003E3000162FED2004600B1FE4902E7FAF97FD401D1FFBB0026FF850101FE6E017C000732
+:2003E4000162FED0004900ACFE5102D9FB167FD701B0FFCA001EFF8A00FEFE70017B000732
+:2003E5000163FECE004C00A7FE5902CBFB327FDA018FFFD80015FF8F00FAFE71017B000735
+:2003E6000163FECD004F00A2FE6102BEFB4F7FDD016FFFE7000CFF9500F7FE73017A000831
+:2003E7000164FECB0053009DFE6902B0FB6C7FDF014FFFF60004FF9A00F4FE75017A00082E
+:2003E8000164FEC900560098FE7102A2FB8A7FE2012E0005FFFBFFA000F0FE77017900092D
+:2003E9000165FEC700590092FE790294FBA77FE4010E0013FFF3FFA500EDFE78017900092E
+:2003EA000165FEC6005C008DFE820287FBC47FE700EE0022FFEAFFAA00EAFE7A0179000A2A
+:2003EB000166FEC400600088FE8A0279FBE17FE900CE0031FFE2FFB000E6FE7C0178000B27
+:2003EC000166FEC200630083FE92026BFBFF7FEB00AE003FFFD9FFB500E3FE7E0178000C26
+:2003ED000167FEC00066007EFE9A025DFC1C7FED008E004EFFD0FFBA00E0FE7F0177000E24
+:2003EE000167FEBF00690079FEA2024FFC3A7FEF006E005CFFC8FFC000DDFE810177000F20
+:2003EF000167FEBD006D0073FEAA0241FC577FF1004E006BFFBFFFC500D9FE830176001120
+:2003F0000168FEBB0070006EFEB30233FC757FF3002E007AFFB7FFCB00D6FE85017600121A
+:2003F1000168FEB900730069FEBB0225FC937FF4000E0088FFAEFFD000D3FE86017500141B
+:2003F2000169FEB800760064FEC30217FCB07FF6FFEF0097FFA6FFD500CFFE880175001518
+:2003F3000169FEB6007A005FFECB0209FCCE7FF7FFCF00A5FF9DFFDB00CCFE8A0175001715
+:2003F400016AFEB4007D0059FED401FBFCEC7FF8FFAF00B4FF95FFE000C9FE8C0174001913
+:2003F500016AFEB200800054FEDC01EDFD0A7FFAFF9000C2FF8CFFE500C5FE8D0174001A12
+:2003F600016BFEB00084004FFEE401DFFD287FFBFF7100D1FF84FFEB00C2FE8F0173001C0C
+:2003F700016BFEAF0087004AFEED01D1FD467FFCFF5100DFFF7BFFF000BFFE910173001D0A
+:2003F800016CFEAD008A0044FEF501C3FD657FFCFF3200EDFF73FFF500BBFE930172001F09
+:2003F900016CFEAB008D003FFEFD01B5FD837FFDFF1300FCFF6AFFFB00B8FE940172002007
+:2003FA00016DFEA90091003AFF0601A7FDA17FFEFEF4010AFF62000000B5FE960172002100
+:2003FB00016DFEA800940035FF0E0199FDC07FFEFED40118FF59000500B2FE980171002200
+:2003FC00016DFEA60097002FFF16018BFDDE7FFFFEB50127FF51000A00AEFE9A01710023FF
+:2003FD00016EFEA4009A002AFF1F017CFDFD7FFFFE960135FF49001000ABFE9B01700023FE
+:2003FE00016EFEA2009E0025FF27016EFE1B7FFFFE780143FF40001500A8FE9D01700024FB
+:2003FF00016FFEA100A10020FF2F0160FE3A7FFFFE590152FF38001A00A4FE9F016F0024F9
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..38a699af2bed66eccabfeacdecb1aeb8244b47ef
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000024DA
+:02000100016F8D
+:02000200FE9F5F
+:0200030000A457
+:02000400001AE0
+:02000500FF38C2
+:020006000152A5
+:02000700FE59A0
+:020008007FFF78
+:02000900FE3ABD
+:02000A00016093
+:02000B00FF2FC5
+:02000C000020D2
+:02000D0000A150
+:02000E00FEA151
+:02000F00016F7F
+:020010000011DD
+:02001100017676
+:02001200FE836B
+:0200130000D912
+:02001400FFC526
+:02001500FFBF2B
+:02001600006B7D
+:02001700004E99
+:020018007FF176
+:02001900FC5792
+:02001A000241A1
+:02001B00FEAA3B
+:02001C0000736F
+:02001D00006D74
+:02001E00FEBD25
+:02001F00016777
+:020020000007D7
+:02002100017D5F
+:02002200FE6777
+:02002300010ECC
+:02002400FF6F6C
+:02002500004990
+:02002600FF8059
+:02002700025481
+:020028007FC790
+:02002900FA8655
+:02002A00031DB4
+:02002B00FE28AD
+:02002C0000C60C
+:02002D00003998
+:02002E00FED9F9
+:02002F0001606E
+:02003000000BC3
+:02003100018448
+:02003200FE4B83
+:02003300014486
+:02003400FF18B3
+:0200350000D5F4
+:02003600FE903A
+:02003700046A59
+:020038007F82C5
+:02003900F8C706
+:02003A0003F3CE
+:02003B00FDA91D
+:02003C000116AB
+:02003D000006BB
+:02003E00FEF5CD
+:02003F00015866
+:02004000000BB3
+:02004100018A32
+:02004200FE308E
+:02004300017941
+:02004400FEC0FC
+:02004500016256
+:02004600FD9D1E
+:02004700068F22
+:020048007F2116
+:02004900F71AA4
+:02004A0004C2EE
+:02004B00FD2E88
+:02004C0001654C
+:02004D00FFD3DF
+:02004E00FF11A0
+:02004F0001505E
+:02005000000EA0
+:0200510001901C
+:02005200FE1599
+:0200530001AFFB
+:02005400FE6844
+:0200550001F0B8
+:02005600FCA705
+:0200570008C4DB
+:020058007EA583
+:02005900F58030
+:02005A00058B14
+:02005B00FCB6F1
+:02005C0001B2EF
+:02005D00FFA200
+:02005E00FF2D74
+:02005F00014856
+:02006000000F8F
+:02006100019606
+:02006200FDFAA5
+:0200630001E4B6
+:02006400FE0F8D
+:02006500028017
+:02006600FBAEEF
+:020067000B0785
+:020068007E0E0A
+:02006900F3F9A9
+:02006A00064C42
+:02006B00FC4156
+:02006C0001FD94
+:02006D00FF7121
+:02006E00FF4948
+:02006F0001404E
+:0200700000117D
+:02007100019BF1
+:02007200FDE0AF
+:02007300021970
+:02007400FDB7D6
+:02007500031076
+:02007600FAB3DB
+:020077000D5921
+:020078007D5DAC
+:02007900F2850E
+:02007A00070776
+:02007B00FBD1B7
+:02007C0002463A
+:02007D00FF4141
+:02007E00FF651C
+:02007F00013846
+:0200800000136B
+:0200810001A0DC
+:02008200FDC6B9
+:02008300024E2B
+:02008400FD5E1F
+:0200850003A1D5
+:02008600F9B6C9
+:020087000FB7B1
+:020088007C9169
+:02008900F1255F
+:02008A0007B9B4
+:02008B00FB6513
+:02008C00028CE4
+:02008D00FF135F
+:02008E00FF80F1
+:02008F00012F3F
+:02009000001559
+:0200910001A4C8
+:02009200FDADC2
+:020093000282E7
+:02009400FD0667
+:02009500043134
+:02009600F8B9B7
+:02009700122332
+:020098007BAB40
+:02009900EFD89E
+:02009A000864F8
+:02009B00FAFD6C
+:02009C0002D090
+:02009D00FEE67D
+:02009E00FF9BC6
+:02009F00012737
+:0200A000001747
+:0200A10001A8B4
+:0200A200FD94CB
+:0200A30002B6A3
+:0200A400FCAEB0
+:0200A50004C194
+:0200A600F7BBA6
+:0200A700149AA9
+:0200A8007AAC30
+:0200A900EE9FC8
+:0200AA00090645
+:0200AB00FA9ABF
+:0200AC0003113E
+:0200AD00FEBA99
+:0200AE00FFB59C
+:0200AF00011E30
+:0200B000001935
+:0200B10001ABA1
+:0200B200FD7DD2
+:0200B30002E960
+:0200B400FC57F7
+:0200B5000550F4
+:0200B600F6BE94
+:0200B700171C14
+:0200B80079933A
+:0200B900ED79DF
+:0200BA0009A09B
+:0200BB00FA3B0E
+:0200BC000350EF
+:0200BD00FE8FB4
+:0200BE00FFD071
+:0200BF00011628
+:0200C000001C22
+:0200C10001AE8E
+:0200C200FD66D9
+:0200C300031B1D
+:0200C400FC013D
+:0200C50005DE56
+:0200C600F5C182
+:0200C70019A975
+:0200C80078615D
+:0200C900EC68E1
+:0200CA000A31F9
+:0200CB00F9E258
+:0200CC00038CA3
+:0200CD00FE66CD
+:0200CE00FFE948
+:0200CF00010D21
+:0200D000001F0F
+:0200D10001B17B
+:0200D200FD4FE0
+:0200D300034CDC
+:0200D400FBAC83
+:0200D500066BB8
+:0200D600F4C66E
+:0200D7001C3FCC
+:0200D800771699
+:0200D900EB6BCF
+:0200DA000AB961
+:0200DB00F98D9D
+:0200DC0003C45B
+:0200DD00FE3EE5
+:0200DE0000031D
+:0200DF0001041A
+:0200E0000021FD
+:0200E10001B369
+:0200E200FD3AE5
+:0200E300037C9C
+:0200E400FB58C7
+:0200E50006F61D
+:0200E600F3CD58
+:0200E7001EDE1B
+:0200E80075B4ED
+:0200E900EA81AA
+:0200EA000B38D1
+:0200EB00F93EDC
+:0200EC0003FA15
+:0200ED00FE18FB
+:0200EE00001BF5
+:0200EF0000FC13
+:0200F0000024EA
+:0200F10001B458
+:0200F200FD26E9
+:0200F30003AB5D
+:0200F400FB0609
+:0200F500077E84
+:0200F600F2D73F
+:0200F700218561
+:0200F800743A58
+:0200F900E9AB71
+:0200FA000BAE4B
+:0200FB00F8F417
+:0200FC00042DD1
+:0200FD00FDF311
+:0200FE000034CC
+:0200FF0000F30C
+:020100000027D6
+:0201010001B546
+:02010200FD12EC
+:0201030003D81F
+:02010400FAB649
+:020105000804EC
+:02010600F1E422
+:0201070024339F
+:0201080072A9DA
+:02010900E8E923
+:02010A000C1ACD
+:02010B00F8AF4B
+:02010C00045D90
+:02010D00FDD023
+:02010E00004BA4
+:02010F0000EB03
+:02011000002BC2
+:0201110001B536
+:02011200FD00EE
+:020113000404E2
+:02011400FA6887
+:02011500088759
+:02011600F0F502
+:0201170026E8D8
+:02011800710272
+:02011900E83BC1
+:02011A000C7D5A
+:02011B00F8707A
+:02011C00048954
+:02011D00FDAE35
+:02011E0000627D
+:02011F0000E2FC
+:02012000002EAF
+:0201210001B427
+:02012200FCEFF0
+:02012300042EA8
+:02012400FA1CC3
+:020125000907C8
+:02012600F00BDC
+:0201270029A10C
+:020128006F4620
+:02012900E7A14C
+:02012A000CD7F0
+:02012B00F836A4
+:02012C0004B21B
+:02012D00FD8F44
+:02012E00007956
+:02012F0000DAF4
+:0201300000329B
+:0201310001B318
+:02013200FCDFF0
+:02013300045670
+:02013400F9D3FD
+:0201350009833C
+:02013600EF26B2
+:020137002C603A
+:020138006D74E4
+:02013900E71AC3
+:02013A000D278F
+:02013B00F802C8
+:02013C0004D8E5
+:02013D00FD7152
+:02013E00008E31
+:02013F0000D2EC
+:02014000003687
+:0201410001B209
+:02014200FCD0EF
+:02014300047D39
+:02014400F98C34
+:0201450009FCB3
+:02014600EE4782
+:020147002F2166
+:020148006B8FBB
+:02014900E6A529
+:02014A000D6D39
+:02014B00F7D4E7
+:02014C0004FBB2
+:02014D00FD555E
+:02014E0000A30C
+:02014F0000CAE4
+:02015000003A73
+:0201510001AFFC
+:02015200FCC3EC
+:0201530004A204
+:02015400F94967
+:020155000A6F2F
+:02015600ED6F4B
+:0201570031E68F
+:020158006996A6
+:02015900E6447A
+:02015A000DAAEC
+:02015B00F7AB00
+:02015C00051A82
+:02015D00FD3A69
+:02015E0000B8E7
+:02015F0000C2DC
+:02016000003E5F
+:0201610001ACEF
+:02016200FCB7E8
+:0201630004C4D2
+:02016400F90898
+:020165000ADEB0
+:02016600EC9E0D
+:0201670034ACB6
+:02016800678AA4
+:02016900E5F6B9
+:02016A000DDEA8
+:02016B00F78714
+:02016C00053656
+:02016D00FD2271
+:02016E0000CBC4
+:02016F0000BAD4
+:0201700000434A
+:0201710001A8E3
+:02017200FCACE3
+:0201730004E5A1
+:02017400F8CBC6
+:020175000B4835
+:02017600EBD6C6
+:020177003772DD
+:02017800656CB4
+:02017900E5BAE5
+:02017A000E086D
+:02017B00F76A21
+:02017C00054E2E
+:02017D00FD0B78
+:02017E0000DEA1
+:02017F0000B2CC
+:02018000004736
+:0201810001A4D7
+:02018200FCA3DC
+:02018300050372
+:02018400F891F0
+:020185000BADC0
+:02018600EB1577
+:020187003A3903
+:02018800633DD5
+:02018900E590FF
+:02018A000E283D
+:02018B00F75229
+:02018C00056309
+:02018D00FCF77D
+:02018E0000F07F
+:02018F0000AAC4
+:02019000004C21
+:02019100019ECD
+:02019200FC9CD3
+:02019300051E47
+:02019400F85B16
+:020195000C0C50
+:02019600EA5E1F
+:020197003CFE2C
+:0201980060FE07
+:02019900E57807
+:02019A000E3F16
+:02019B00F73F2C
+:02019C000575E7
+:02019D00FCE480
+:02019E0001015D
+:02019F0000A3BB
+:0201A00000510C
+:0201A1000198C3
+:0201A200FC96C9
+:0201A30005371E
+:0201A400F82839
+:0201A5000C64E8
+:0201A600E9B1BD
+:0201A7003FC156
+:0201A8005EAF48
+:0201A900E571FE
+:0201AA000E4DF8
+:0201AB00F73229
+:0201AC000583C9
+:0201AD00FCD381
+:0201AE0001123C
+:0201AF00009BB3
+:0201B0000056F7
+:0201B1000191BA
+:0201B200FC91BE
+:0201B300054EF7
+:0201B400F7FA58
+:0201B5000CB785
+:0201B600E90F4F
+:0201B700428183
+:0201B8005C5198
+:0201B900E57BE4
+:0201BA000E52E3
+:0201BB00F72B20
+:0201BC00058EAE
+:0201BD00FCC480
+:0201BE0001211D
+:0201BF000094AA
+:0201C000005CE1
+:0201C100018AB1
+:0201C200FC8EB1
+:0201C3000562D3
+:0201C400F7D072
+:0201C5000D0229
+:0201C600E877D8
+:0201C700453DB4
+:0201C80059E6F6
+:0201C900E595BA
+:0201CA000E4DD8
+:0201CB00F72912
+:0201CC00059696
+:0201CD00FCB67E
+:0201CE000130FE
+:0201CF00008DA1
+:0201D0000061CC
+:0201D1000181AA
+:0201D200FC8DA2
+:0201D3000573B2
+:0201D400F7AA88
+:0201D5000D47D4
+:0201D600E7EC54
+:0201D70047F3EC
+:0201D800576F5F
+:0201D900E5C07F
+:0201DA000E40D5
+:0201DB00F72DFE
+:0201DC00059A82
+:0201DD00FCAB79
+:0201DE00013EE0
+:0201DF00008698
+:0201E0000067B6
+:0201E1000178A3
+:0201E200FC8E91
+:0201E300058194
+:0201E400F78999
+:0201E5000D8487
+:0201E600E76CC4
+:0201E7004AA329
+:0201E80054EBD6
+:0201E900E5FA35
+:0201EA000E2ADB
+:0201EB00F735E6
+:0201EC00059B71
+:0201ED00FCA272
+:0201EE00014CC2
+:0201EF00007F8F
+:0201F000006DA0
+:0201F100016E9D
+:0201F200FC907F
+:0201F300058C79
+:0201F400F76DA5
+:0201F5000DBA41
+:0201F600E6FA27
+:0201F7004D4C6D
+:0201F800525C57
+:0201F900E643DB
+:0201FA000E0CE9
+:0201FB00F743C8
+:0201FC00059963
+:0201FD00FC9A6A
+:0201FE000158A6
+:0201FF00007985
+:02020000007389
+:02020100016397
+:02020200FC946A
+:02020300059460
+:02020400F755AC
+:020205000DE802
+:02020600E6957B
+:020207004FEDB9
+:020208004FC4E1
+:02020900E69B72
+:02020A000DE500
+:02020B00F756A4
+:02020C00059457
+:02020D00FC945F
+:02020E00016489
+:02020F0000737A
+:02021000007973
+:02021100015793
+:02021200FC9A54
+:0202130005994B
+:02021400F742AF
+:020215000E0ECB
+:02021600E63EC2
+:0202170052850E
+:020218004D2275
+:02021900E701FB
+:02021A000DB71E
+:02021B00F76E7C
+:02021C00058C4F
+:02021D00FC9053
+:02021E00016F6E
+:02021F00006C71
+:0202200000805C
+:02022100014B8F
+:02022200FCA23C
+:02022300059B39
+:02022400F735AC
+:020225000E2C9D
+:02022600E5F6FB
+:0202270055136D
+:020228004A7812
+:02022900E77478
+:02022A000D8144
+:02022B00F78B4F
+:02022C0005804B
+:02022D00FC8E45
+:02022E00017855
+:02022F00006766
+:02023000008745
+:02023100013E8C
+:02023200FCAC22
+:02023300059A2A
+:02023400F72CA5
+:020235000E4178
+:02023600E5BD24
+:020237005796D8
+:0202380047C8B5
+:02023900E7F4E8
+:02023A000D4372
+:02023B00F7AC1E
+:02023C00057249
+:02023D00FC8D36
+:02023E0001823B
+:02023F0000615C
+:02024000008D2F
+:02024100012F8B
+:02024200FCB707
+:0202430005951F
+:02024400F72998
+:020245000E4E5B
+:02024600E5933E
+:020247005A0D4E
+:0202480045115E
+:02024900E8804B
+:02024A000CFEA8
+:02024B00F7D2E8
+:02024C0005614A
+:02024D00FC8F24
+:02024E00018A23
+:02024F00005B52
+:02025000009517
+:0202510001208A
+:02025200FCC5E9
+:02025300058E16
+:02025400F72B86
+:020255000E5247
+:02025600E57A47
+:020257005C78D1
+:0202580042550D
+:02025900E919A1
+:02025A000CB2E4
+:02025B00F7FDAD
+:02025C00054D4E
+:02025D00FC9112
+:02025E0001920B
+:02025F00005647
+:02026000009C00
+:02026100011189
+:02026200FCD4CA
+:02026300058212
+:02026400F7336E
+:020265000E4C3D
+:02026600E57140
+:020267005ED463
+:020268003F95C0
+:02026900E9BCEE
+:02026A000C5F27
+:02026B00F82B6E
+:02026C00053655
+:02026D00FC96FD
+:02026E000199F4
+:02026F0000513C
+:0202700000A3E9
+:0202710001008A
+:02027200FCE5A9
+:02027300057410
+:02027400F74051
+:020275000E3E3B
+:02027600E57928
+:02027700612202
+:020278003CD276
+:02027900EA6930
+:02027A000C0670
+:02027B00F85E2B
+:02027C00051D5E
+:02027D00FC9CE7
+:02027E00019FDE
+:02027F00004C31
+:0202800000ABD1
+:0202810000EF8C
+:02028200FCF886
+:02028300056212
+:02028400F7532E
+:020285000E2643
+:02028600E592FF
+:020287006360B2
+:020288003A0D2D
+:02028900EB2167
+:02028A000BA7C0
+:02028B00F894E5
+:02028C0005016A
+:02028D00FCA4CF
+:02028E0001A4C9
+:02028F00004726
+:0202900000B2BA
+:0202910000DD8E
+:02029200FD0D60
+:02029300054D17
+:02029400F76B06
+:020295000E0554
+:02029600E5BDC4
+:02029700658E72
+:020298003746E7
+:02029900EBE296
+:02029A000B4215
+:02029B00F8CE9B
+:02029C0004E379
+:02029D00FCADB6
+:02029E0001A8B5
+:02029F0000421B
+:0202A00000BAA2
+:0202A10000CA91
+:0202A200FD233A
+:0202A300053420
+:0202A400F789D8
+:0202A5000DDB6F
+:0202A600E5FA77
+:0202A70067AB43
+:0202A800347FA1
+:0202A900ECABBC
+:0202AA000AD870
+:0202AB00F90C4C
+:0202AC0004C28A
+:0202AD00FCB89B
+:0202AE0001ACA1
+:0202AF00003E0F
+:0202B00000C28A
+:0202B10000B695
+:0202B200FD3C11
+:0202B30005182C
+:0202B400F7ADA4
+:0202B5000DA793
+:0202B600E64A16
+:0202B70069B626
+:0202B80031B95A
+:0202B900ED7CDA
+:0202BA000A68D0
+:0202BB00F94DFB
+:0202BC00049F9D
+:0202BD00FCC47F
+:0202BE0001AF8E
+:0202BF00003A03
+:0202C00000CA72
+:0202C10000A299
+:0202C200FD56E7
+:0202C30004F93C
+:0202C400F7D66B
+:0202C5000D69C1
+:0202C600E6ACA4
+:0202C7006BAE1C
+:0202C8002EF511
+:0202C900EE55F0
+:0202CA0009F435
+:0202CB00F991A7
+:0202CC00047BB1
+:0202CD00FCD162
+:0202CE0001B27B
+:0202CF000036F7
+:0202D00000D25A
+:0202D100008D9E
+:0202D200FD73BA
+:0202D30004D64F
+:0202D400F8052B
+:0202D5000D22F8
+:0202D600E7211E
+:0202D7006D9226
+:0202D8002C34C4
+:0202D900EF3400
+:0202DA00097C9D
+:0202DB00F9D751
+:0202DC000454C8
+:0202DD00FCE043
+:0202DE0001B36A
+:0202DF000032EB
+:0202E00000DB41
+:0202E1000077A4
+:0202E200FD918C
+:0202E30004B065
+:0202E400F83AE6
+:0202E5000CD239
+:0202E600E7AA85
+:0202E7006F6244
+:0202E800297675
+:0202E900F0190A
+:0202EA0008FF0B
+:0202EB00FA21F6
+:0202EC00042BE1
+:0202ED00FCF023
+:0202EE0001B558
+:0202EF00002EDF
+:0202F00000E329
+:0202F1000061AA
+:0202F200FDB05D
+:0202F30004867F
+:0202F400F8749C
+:0202F5000C7784
+:0202F600E846D8
+:0202F700711D77
+:0202F80026BC22
+:0202F900F1040E
+:0202FA00087F7B
+:0202FB00FA6D9A
+:0202FC000401FB
+:0202FD00FD0101
+:0202FE0001B548
+:0202FF00002BD2
+:0203000000EB10
+:02030100004AB0
+:02030200FDD22A
+:02030300045A9A
+:02030400F8B34C
+:020305000C14D6
+:02030600E8F518
+:0203070072C3BF
+:020308002408C7
+:02030900F1F30E
+:02030A0007FCEE
+:02030B00FABB3B
+:02030C0003D517
+:02030D00FD14DD
+:02030E0001B537
+:02030F000027C5
+:0203100000F4F7
+:020311000032B8
+:02031200FDF5F7
+:02031300042ABA
+:02031400F8F8F7
+:020315000BA734
+:02031600E9B844
+:0203170074521E
+:02031800215A68
+:02031900F2E60A
+:02031A00077664
+:02031B00FB0BDA
+:02031C0003A834
+:02031D00FD27BA
+:02031E0001B428
+:02031F000024B8
+:0203200000FCDF
+:02032100001AC0
+:02032200FE1AC1
+:0203230003F7DE
+:02032400F9439B
+:020325000B309B
+:02032600EA8F5C
+:0203270075CB94
+:020328001EB401
+:02032900F3DD02
+:02032A0006EDDE
+:02032B00FB5D78
+:02032C00037953
+:02032D00FD3B96
+:02032E0001B319
+:02032F000021AB
+:020330000105C5
+:020331000001C9
+:02033200FE408B
+:0203330003C104
+:02033400F9923C
+:020335000AB10B
+:02033600EB7A60
+:02033700772C21
+:020338001C1592
+:02033900F4D6F8
+:02033A00066259
+:02033B00FBB114
+:02033C00034973
+:02033D00FD5170
+:02033E0001B10B
+:02033F00001E9E
+:02034000010EAC
+:02034100FFE8D3
+:02034200FE6853
+:0203430003882D
+:02034400F9E7D7
+:020345000A2884
+:02034600EC7950
+:020347007874C8
+:02034800197F1B
+:02034900F5D1EC
+:02034A0005D5D7
+:02034B00FC06AE
+:02034C00031894
+:02034D00FD674A
+:02034E0001AEFE
+:02034F00001C90
+:02035000011694
+:02035100FFCEDD
+:02035200FE9219
+:02035300034C59
+:02035400FA416C
+:02035500099607
+:02035600ED8B2D
+:0203570079A586
+:0203580016F39A
+:02035900F6CEDE
+:02035A00054755
+:02035B00FC5C48
+:02035C0002E6B7
+:02035D00FD7E23
+:02035E0001ABF1
+:02035F00001983
+:02036000011F7B
+:02036100FFB4E7
+:02036200FEBCDF
+:02036300030D88
+:02036400FAA0FD
+:0203650008FC92
+:02036600EEB2F5
+:020367007ABC5E
+:0203680014720D
+:02036900F7CBD0
+:02036A0004B8D5
+:02036B00FCB3E1
+:02036C0002B3DA
+:02036D00FD96FB
+:02036E0001A8E4
+:02036F00001775
+:02037000012763
+:02037100FF99F2
+:02037200FEE8A3
+:0203730002CCBA
+:02037400FB0389
+:02037500085925
+:02037600EFECAA
+:020377007BBA4F
+:0203780011FB77
+:02037900F8C9C1
+:02037A00042855
+:02037B00FD0B78
+:02037C00027FFE
+:02037D00FDAED3
+:02037E0001A4D8
+:02037F00001567
+:0203800001304A
+:02038100FF7EFD
+:02038200FF1664
+:020383000288EE
+:02038400FB6B11
+:0203850007AEC1
+:02038600F13A4A
+:020387007C9F59
+:020388000F91D3
+:02038900F9C6B3
+:02038A000398D6
+:02038B00FD6310
+:02038C00024B22
+:02038D00FDC8A9
+:02038E00019FCD
+:02038F0000125A
+:02039000013832
+:02039100FF6308
+:02039200FF4426
+:02039300024125
+:02039400FBD894
+:0203950006FB65
+:02039600F29CD7
+:020397007D697E
+:020398000D3323
+:02039900FAC3A5
+:02039A00030757
+:02039B00FDBCA7
+:02039C00021647
+:02039D00FDE180
+:02039E00019AC2
+:02039F0000114B
+:0203A00001401A
+:0203A100FF4714
+:0203A200FF74E6
+:0203A30001F95E
+:0203A400FC4813
+:0203A50006410F
+:0203A600F41150
+:0203A7007E19BD
+:0203A8000AE366
+:0203A900FBBD9A
+:0203AA000277D8
+:0203AB00FE153D
+:0203AC0001E16D
+:0203AD00FDFC55
+:0203AE000195B7
+:0203AF00000F3D
+:0203B000014901
+:0203B100FF2B20
+:0203B200FFA5A5
+:0203B30001AD9A
+:0203B400FCBD8E
+:0203B500057FC2
+:0203B600F599B7
+:0203B7007EAE18
+:0203B80008A09B
+:0203B900FCB690
+:0203BA0001E858
+:0203BB00FE6DD5
+:0203BC0001AB93
+:0203BD00FE162A
+:0203BE000190AC
+:0203BF00000D2F
+:0203C0000151E9
+:0203C100FF102B
+:0203C200FFD664
+:0203C3000160D7
+:0203C400FD3505
+:0203C50004B57D
+:0203C600F73509
+:0203C7007F288D
+:0203C800066CC1
+:0203C900FDAC89
+:0203CA000159D7
+:0203CB00FEC66C
+:0203CC000176B8
+:0203CD00FE32FE
+:0203CE00018AA2
+:0203CF00000B21
+:0203D0000159D1
+:0203D100FEF339
+:0203D200000920
+:0203D300011116
+:0203D400FDB179
+:0203D50003E63D
+:0203D600F8E24B
+:0203D7007F871E
+:0203D8000448D7
+:0203D900FE9F85
+:0203DA0000CC55
+:0203DB00FF1D04
+:0203DC000140DE
+:0203DD00FE4DD3
+:0203DE00018399
+:0203DF00000B11
+:0203E0000160BA
+:0203E100FED745
+:0203E200003CDD
+:0203E30000C157
+:0203E400FE30E9
+:0203E500030F04
+:0203E600FAA378
+:0203E7007FCACB
+:0203E8000233DE
+:0203E900FF8E85
+:0203EA000040D1
+:0203EB00FF749D
+:0203EC00010B03
+:0203ED00FE69A7
+:0203EE00017D8F
+:0203EF00000705
+:0203F0000168A2
+:0203F100FEBB51
+:0203F200007099
+:0203F300006E9A
+:0203F400FEB356
+:0203F5000233D1
+:0203F600FC7594
+:0203F7007FF392
+:0203F800002ED5
+:0203F900007A88
+:0203FA00FFB74B
+:0203FB00FFCB36
+:0203FC0000D629
+:0203FD00FE857B
+:0203FE00017686
+:0203FF000012EA
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..12b82a340f92d454238553ab2bbc86b6dbdd1c45
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_128pts.hex
@@ -0,0 +1,513 @@
+:020000003EA31D
+:020001000016E7
+:020002000009F3
+:020003000001FA
+:02000400000AF0
+:020005003FEECC
+:020006000014E4
+:020007003FEFC9
+:020008001FFFD8
+:020009003FD0E6
+:02000A000022D2
+:02000B003FE6CE
+:02000C00000FE3
+:02000D003FFDB5
+:02000E00000BE5
+:02000F000015DA
+:020010003FE6C9
+:02001100001FCE
+:020012003FF9B4
+:02001300001BD0
+:020014003FE0CB
+:020015000032B7
+:020016003F9E0B
+:0200170000F1F6
+:020018001FF0D7
+:020019003EE1C6
+:02001A00009252
+:02001B003FA400
+:02001C000038AA
+:02001D003FE4BE
+:02001E00001BC5
+:02001F00000CD3
+:020020003FDAC5
+:020021000029B4
+:020022003FE9B4
+:020023000036A5
+:020024003FB4E7
+:02002500007861
+:020026003F2574
+:020027000202D3
+:020028001FC6F1
+:020029003E0493
+:02002A0000FDD7
+:02002B003F652F
+:02002C00006072
+:02002D003FCCC6
+:02002E00002AA6
+:02002F000003CC
+:020030003FD9B6
+:0200310000329B
+:020032003FD8B5
+:0200330000517A
+:020034003F8803
+:0200350000BF0A
+:020036003EAAE0
+:020037000322A2
+:020038001F8225
+:020039003D3850
+:02003A00016162
+:02003B003F295B
+:02003C0000853D
+:02003D003FB5CD
+:02003E00003888
+:02003F003FFA86
+:020040003FD5AA
+:02004100003C81
+:020042003FC7B6
+:02004300006C4F
+:020044003F5C1F
+:020045000107B1
+:020046003E2D4D
+:02004700044F64
+:020048001F2374
+:020049003C80F9
+:02004A0001BFF4
+:02004B003EF184
+:02004C0000A80A
+:02004D003FA0D2
+:02004E0000456B
+:02004F003FF27E
+:020050003FD29D
+:02005100004568
+:020052003FB7B6
+:02005300008724
+:020054003F303B
+:02005500014E5A
+:020056003DAFBC
+:0200570005881A
+:020058001EABDD
+:020059003BDA90
+:02005A0002158D
+:02005B003EBEA7
+:02005C0000C8DA
+:02005D003F8CD6
+:02005E0000514F
+:02005F003FEB75
+:020060003FCF90
+:02006100004E4F
+:020062003FA6B7
+:0200630000A1FA
+:020064003F0556
+:02006500019404
+:020066003D3328
+:0200670006CCC5
+:020068001E1A5E
+:020069003B4812
+:02006A00026230
+:02006B003E8FC6
+:02006C0000E5AD
+:02006D003F7AD8
+:02006E00005C34
+:02006F003FE46C
+:020070003FCB84
+:02007100005736
+:020072003F96B7
+:0200730000BBD0
+:020074003EDC70
+:0200750001D8B0
+:020076003CB993
+:02007700081867
+:020078001D70F9
+:020079003ACA81
+:02007A0002A7DB
+:02007B003E65E0
+:02007C00010081
+:02007D003F6AD8
+:02007E00006719
+:02007F003FDE62
+:020080003FC976
+:02008100005F1E
+:020082003F87B6
+:0200830000D4A7
+:020084003EB389
+:0200850002195E
+:020086003C41FB
+:02008700096C02
+:020088001CB0AA
+:020089003A5FDC
+:02008A0002E38F
+:02008B003E40F5
+:02008C0001175A
+:02008D003F5CD6
+:02008E00006F01
+:02008F003FD858
+:020090003FC669
+:02009100006706
+:020092003F79B4
+:0200930000EB80
+:020094003E8D9F
+:02009500025710
+:020096003BCF5E
+:020097000AC598
+:020098001BDA71
+:020099003A0823
+:02009A0003164B
+:02009B003E2104
+:02009C00012B36
+:02009D003F50D2
+:02009E000077E9
+:02009F003FD24E
+:0200A0003FC45B
+:0200A100006FEE
+:0200A2003F6BB2
+:0200A300010159
+:0200A4003E6AB2
+:0200A5000292C5
+:0200A6003B62BB
+:0200A7000C2328
+:0200A8001AF04C
+:0200A90039C359
+:0200AA00033F12
+:0200AB003E070E
+:0200AC00013B16
+:0200AD003F46CC
+:0200AE00007ED2
+:0200AF003FCE42
+:0200B0003FC24D
+:0200B1000075D8
+:0200B2003F5FAE
+:0200B300011535
+:0200B4003E49C3
+:0200B50002C780
+:0200B6003AFD11
+:0200B7000D82B8
+:0200B80019F23B
+:0200B90039927A
+:0200BA00035FE2
+:0200BB003DF313
+:0200BC000148F9
+:0200BD003F3EC4
+:0200BE000083BD
+:0200BF003FCA36
+:0200C0003FC13E
+:0200C100007BC2
+:0200C2003F54A9
+:0200C300012713
+:0200C4003E2CD0
+:0200C50002F740
+:0200C6003AA05E
+:0200C7000EE247
+:0200C80018E23C
+:0200C900397389
+:0200CA000375BC
+:0200CB003DE511
+:0200CC000151E0
+:0200CD003F39B9
+:0200CE000087A9
+:0200CF003FC62A
+:0200D0003FBF30
+:0200D1000080AD
+:0200D2003F4AA3
+:0200D3000136F4
+:0200D4003E13D9
+:0200D500032204
+:0200D6003A4DA1
+:0200D7001040D7
+:0200D80017C34C
+:0200D900396587
+:0200DA0003829F
+:0200DB003DDC0A
+:0200DC000157CA
+:0200DD003F35AD
+:0200DE00008997
+:0200DF003FC41C
+:0200E0003FBF20
+:0200E100008598
+:0200E2003F429B
+:0200E3000143D7
+:0200E4003DFEDF
+:0200E5000345D1
+:0200E6003A05D9
+:0200E700119B6B
+:0200E80016956B
+:0200E900396874
+:0200EA0003868B
+:0200EB003DD9FD
+:0200EC000159B8
+:0200ED003F349E
+:0200EE00008B85
+:0200EF003FC20E
+:0200F0003FBF10
+:0200F100008885
+:0200F2003F3C91
+:0200F300014DBD
+:0200F4003DEDE0
+:0200F5000361A5
+:0200F60039C807
+:0200F70012F005
+:0200F800155A97
+:0200F900397C50
+:0200FA00038180
+:0200FB003DDBEB
+:0200FC000158A9
+:0200FD003F358D
+:0200FE00008B75
+:0200FF003FC000
+:020100003FBFFF
+:02010100008A72
+:020102003F3785
+:020103000154A5
+:020104003DE1DB
+:0201050003767F
+:02010600399925
+:02010700143DA5
+:020108001414CD
+:02010900399E1D
+:02010A0003747C
+:02010B003DE3D2
+:02010C0001549C
+:02010D003F3879
+:02010E00008A65
+:02010F003FBFF0
+:020110003FC0EE
+:02011100008B61
+:020112003F3577
+:02011300015891
+:020114003DDBD1
+:02011500038263
+:02011600397935
+:0201170015824F
+:0201180012C60D
+:0201190039CFDC
+:02011A00035E82
+:02011B003DEFB6
+:02011C00014C94
+:02011D003F3D64
+:02011E00008758
+:02011F003FBFE0
+:020120003FC2DC
+:02012100008A52
+:020122003F3468
+:02012300015980
+:020124003DD9C3
+:0201250003864F
+:02012600396737
+:0201270016BB05
+:02012800117054
+:020129003A0D8D
+:02012A0003418F
+:02012B003E0094
+:02012C0001428E
+:02012D003F434E
+:02012E0000844B
+:02012F003FBFD0
+:020130003FC4CA
+:02013100008943
+:020132003F3656
+:02013300015673
+:020134003DDDAF
+:02013500038144
+:02013600396628
+:0201370017E7C8
+:020138001015A0
+:020139003A5733
+:02013A00031DA3
+:02013B003E166E
+:02013C0001348C
+:02013D003F4B36
+:02013E0000803F
+:02013F003FC0BF
+:020140003FC7B7
+:02014100008636
+:020142003F3943
+:02014300015069
+:020144003DE696
+:02014500037342
+:02014600397608
+:02014700190598
+:020148000EB6F1
+:020149003AABCF
+:02014A0002F2BF
+:02014B003E3044
+:02014C0001258B
+:02014D003F551C
+:02014E00007B34
+:02014F003FC1AE
+:020150003FCAA4
+:0201510000822A
+:020152003F3F2D
+:02015300014663
+:020154003DF676
+:02015500035B4A
+:020156003997D7
+:020157001A1379
+:020158000D5642
+:020159003B0960
+:02015A0002C1E0
+:02015B003E4D17
+:02015C0001138D
+:02015D003F6001
+:02015E0000752A
+:02015F003FC29D
+:020160003FCE90
+:02016100007D1F
+:020162003F4715
+:02016300013960
+:020164003E0A51
+:02016500033A5B
+:0201660039CB93
+:020167001B0E6D
+:020168000BF793
+:020169003B70E9
+:02016A00028B06
+:02016B003E6EE6
+:02016C0000FE93
+:02016D003F6DE4
+:02016E00006E21
+:02016F003FC58A
+:020170003FD37B
+:02017100007616
+:020172003F51FB
+:02017300012861
+:020174003E2526
+:02017500031075
+:020176003A123B
+:020177001BF675
+:020178000A9AE1
+:020179003BDD6C
+:02017A00025031
+:02017B003E92B2
+:02017C0000E899
+:02017D003F7AC7
+:02017E00006619
+:02017F003FC679
+:020180003FD866
+:02018100006E0E
+:020182003F5EDE
+:02018300011465
+:020184003E45F6
+:0201850002DC9A
+:020186003A6BD2
+:020187001CC991
+:0201880009412B
+:020189003C50E8
+:02018A00021160
+:02018B003EB87C
+:02018C0000D1A0
+:02018D003F89A8
+:02018E00005E11
+:02018F003FC966
+:020190003FDE50
+:02019100006507
+:020192003F6CC0
+:0201930000FD6D
+:020194003E6AC1
+:02019500029FC7
+:020196003AD954
+:020197001D87C2
+:0201980007EE70
+:020199003CC860
+:02019A0001CF93
+:02019B003EE143
+:02019C0000B8A9
+:02019D003F9889
+:02019E00005609
+:02019F003FCC53
+:0201A0003FE539
+:0201A100005B01
+:0201A2003F7CA0
+:0201A30000E278
+:0201A4003E9586
+:0201A5000259FD
+:0201A6003B5AC2
+:0201A7001E2D0B
+:0201A80006A3AC
+:0201A9003D42D5
+:0201AA00018BC7
+:0201AB003F0B08
+:0201AC00009EB3
+:0201AD003FA869
+:0201AE00004D02
+:0201AF003FCF40
+:0201B0003FEC22
+:0201B1000050FC
+:0201B2003F8E7E
+:0201B30000C486
+:0201B4003EC447
+:0201B500020A3C
+:0201B6003BEE1E
+:0201B7001EBB6D
+:0201B8000560E0
+:0201B9003DBF48
+:0201BA000145FD
+:0201BB003F36CD
+:0201BC000083BE
+:0201BD003FB948
+:0201BE000044FB
+:0201BF003FD22D
+:0201C0003FF30B
+:0201C1000043F9
+:0201C2003FA25A
+:0201C30000A496
+:0201C4003EF803
+:0201C50001B483
+:0201C6003C9665
+:0201C7001F30E7
+:0201C800042908
+:0201C9003E3CBA
+:0201CA0000FE35
+:0201CB003F6291
+:0201CC000068C9
+:0201CD003FC928
+:0201CE00003AF5
+:0201CF003FD619
+:0201D0003FFBF3
+:0201D1000036F6
+:0201D2003FB834
+:0201D3000080AA
+:0201D4003F30BA
+:0201D5000155D2
+:0201D6003D5199
+:0201D7001F8C7B
+:0201D80002FD26
+:0201D9003EB92D
+:0201DA0000B76C
+:0201DB003F8E55
+:0201DC00004DD4
+:0201DD003FDA07
+:0201DE000031EE
+:0201DF003FD807
+:0201E000000419
+:0201E1000028F4
+:0201E2003FCF0D
+:0201E300005BBF
+:0201E4003F6C6E
+:0201E50000F028
+:0201E6003E1EBB
+:0201E7001FCD2A
+:0201E80001DF35
+:0201E9003F35A0
+:0201EA000070A3
+:0201EB003FBA19
+:0201EC000032DF
+:0201ED003FEBE6
+:0201EE000027E8
+:0201EF003FDDF2
+:0201F000000D00
+:0201F1000019F3
+:0201F2003FE7E5
+:0201F3000033D7
+:0201F4003FAC1E
+:0201F500008583
+:0201F6003EFECB
+:0201F7001FF4F3
+:0201F80000D035
+:0201F9003FAD18
+:0201FA00002AD9
+:0201FB003FE5DE
+:0201FC000017EA
+:0201FD003FFBC6
+:0201FE00001EE1
+:0201FF003FDAE5
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..fde77501c3c63d2a2f26ab7c830b88220cbb6607
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_0_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100017F7D
+:02000200FE7E80
+:0200030000B546
+:020004000012E8
+:02000500FF40BA
+:020006000142B5
+:02000700FE8178
+:020008007FFF78
+:02000900FE06F1
+:02000A00017C77
+:02000B00FF1ED6
+:02000C000027CB
+:02000D0000A849
+:02000E00FE866C
+:02000F00017E70
+:02001000000DE1
+:02001100019B51
+:02001200FE0BE3
+:02001300019258
+:02001400FEAF3D
+:02001500017375
+:02001600FD8665
+:0200170006C120
+:020018007F1750
+:02001900F6EA05
+:02001A0004E2FE
+:02001B00FD15D1
+:02001C0001756C
+:02001D00FFD210
+:02001E00FEFBE7
+:02001F00015E80
+:020020000013CB
+:0200210001B12B
+:02002200FD9D42
+:02002300026F6A
+:02002400FD4499
+:0200250003B91D
+:02002600F99946
+:020027000FF0D8
+:020028007C7DDD
+:02002900F0F9EC
+:02002A0007DBF2
+:02002B00FB4692
+:02002C0002A42C
+:02002D00FF0AC8
+:02002E00FF6F62
+:02002F00013B93
+:02003000001DB1
+:0200310001BF0D
+:02003200FD3A95
+:02003300034286
+:02003400FBDFF0
+:0200350005FDC7
+:02003600F59F34
+:0200370019E8C6
+:0200380078440A
+:02003900EC4099
+:02003A000A5565
+:02003B00F9BE0C
+:02003C0003AA15
+:02003D00FE556E
+:02003E00FFDDE4
+:02003F000118A6
+:02004000002995
+:0200410001C5F7
+:02004200FCE5DB
+:020043000404B3
+:02004400FA8F31
+:02004500082988
+:02004600F1BF08
+:0200470024771C
+:020048007285BF
+:02004900E8C706
+:02004A000C4068
+:02004B00F88734
+:02004C0004822C
+:02004D00FDB8FC
+:02004E0000446C
+:02004F0000F4BB
+:02005000003876
+:0200510001C0EC
+:02005200FCA20E
+:0200530004ADFA
+:02005400F96051
+:020055000A247B
+:02005600EE2199
+:020057002F6810
+:020058006B63D8
+:02005900E68837
+:02005A000D9304
+:02005B00F7A903
+:02005C00052479
+:02005D00FD376D
+:02005E0000A1FF
+:02005F0000D2CD
+:02006000004A54
+:0200610001B0EC
+:02006200FC762A
+:02006300053462
+:02006400F86240
+:020065000BD7B7
+:02006600EAEFBF
+:020067003A81DC
+:02006800630B28
+:02006900E57739
+:02006A000E4D39
+:02006B00F72577
+:02006C000590FD
+:02006D00FCD4C1
+:02006E0000F29E
+:02006F0000B1DE
+:02007000005F2F
+:020071000193F9
+:02007200FC632D
+:020073000594F2
+:02007400F7A0F3
+:020075000D2C50
+:02007600E8534D
+:020077004583BF
+:0200780059B07D
+:02007900E5821E
+:02007A000E7105
+:02007B00F6FD90
+:02007C0005C5B8
+:02007D00FC90F5
+:02007E00013649
+:02007F000092ED
+:02008000007806
+:02008100016A12
+:02008200FC6C14
+:0200830005C5B1
+:02008400F7265D
+:020085000E115A
+:02008600E6751D
+:020087005031F6
+:020088004F8A9D
+:02008900E68D02
+:02008A000E0660
+:02008B00F72B51
+:02008C0005C4A9
+:02008D00FC6A0B
+:02008E00016D02
+:02008F000076F9
+:020090000094DA
+:0200910001323A
+:02009200FC93DD
+:0200930005C3A3
+:02009400F6FD77
+:020095000E73E8
+:02009600E5790A
+:020097005A4CC1
+:0200980044D54D
+:02009900E87805
+:02009A000D1A3D
+:02009B00F7AAC2
+:02009C00058FCE
+:02009D00FC6302
+:02009E000196C9
+:02009F00005E01
+:0200A00000B3AB
+:0200A10000ED70
+:0200A200FCD987
+:0200A300058BCB
+:0200A400F72B38
+:0200A5000E4605
+:0200A600E580F3
+:0200A70063995B
+:0200A80039CF4E
+:0200A900EB1E4C
+:0200AA000BBE8B
+:0200AB00F870EB
+:0200AC00052D20
+:0200AD00FC78DD
+:0200AE0001B19E
+:0200AF00004906
+:0200B00000D47A
+:0200B100009BB2
+:0200B200FD3E11
+:0200B300051C2A
+:0200B400F7B49F
+:0200B5000D83B9
+:0200B600E6A2C0
+:0200B7006BDFFD
+:0200B8002EB761
+:0200B900EE58FF
+:0200BA000A0634
+:0200BB00F972D8
+:0200BC0004A39B
+:0200BD00FCA69F
+:0200BE0001C17E
+:0200BF00003708
+:0200C00000F648
+:0200C100003EFF
+:0200C200FDC17E
+:0200C3000476C1
+:0200C400F898AA
+:0200C5000C2607
+:0200C600E8F55B
+:0200C70072EBDA
+:0200C80023CB48
+:0200C900F1FB49
+:0200CA00080725
+:0200CB00FAA396
+:0200CC0003F837
+:0200CD00FCEA4B
+:0200CE0001C56A
+:0200CF00002807
+:0200D000011A13
+:0200D100FFD757
+:0200D200FE5FCF
+:0200D300039B8D
+:0200D400F9D45D
+:0200D5000A32ED
+:0200D600EC83B9
+:0200D70078931C
+:0200D8001943CA
+:0200D900F5DE52
+:0200DA0005DA45
+:0200DB00FBF533
+:0200DC000335EA
+:0200DD00FD40E4
+:0200DE0001BE61
+:0200DF00001C03
+:0200E000013EDF
+:0200E100FF68B6
+:0200E200FF1607
+:0200E300029287
+:0200E400FB61BE
+:0200E50007AF63
+:0200E600F14FD8
+:0200E7007CB3E8
+:0200E8000F57B0
+:0200E900F9D943
+:0200EA0003947D
+:0200EB00FD5ABC
+:0200EC000261AF
+:0200ED00FDA470
+:0200EE0001AF60
+:0200EF000012FD
+:0200F0000160AD
+:0200F100FEF41B
+:0200F200FFDF2E
+:0200F3000161A9
+:0200F400FD34D9
+:0200F50004AE57
+:0200F600F753BE
+:0200F7007F3256
+:0200F8000635CB
+:0200F900FDC444
+:0200FA00014FB4
+:0200FB00FEC540
+:0200FC0001857C
+:0200FD00FE12F1
+:0200FE00019966
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..5ed50238de00cfc02b1d1f9cee2b8dc7853fd33b
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_1024pts.hex
@@ -0,0 +1,1025 @@
+:02000000001AE4
+:02000100017488
+:02000200FE8D71
+:0200030000C536
+:02000400FFE516
+:02000500FF8C6E
+:0200060000C236
+:02000700FF9068
+:020008007FFA7D
+:02000900FD0AEE
+:02000A0001ED06
+:02000B00FEDC19
+:02000C0000549E
+:02000D00008071
+:02000E00FEB240
+:02000F00016A84
+:020010000007E7
+:02001100017B71
+:02001200FE717D
+:0200130000FAF1
+:02001400FF8F5C
+:020015000015D4
+:02001600FFD811
+:02001700018F57
+:020018007FDA8D
+:02001900FB32B8
+:02001A0002CB17
+:02001B00FE598C
+:02001C0000A73B
+:02001D00004C95
+:02001E00FECE14
+:02001F0001637B
+:02002000000AD4
+:0200210001815B
+:02002200FE5688
+:020023000130AA
+:02002400FF39A2
+:0200250000A039
+:02002600FEEAF0
+:02002700039F35
+:020028007F9FB8
+:02002900F96D6F
+:02002A0003A32E
+:02002B00FDD9FD
+:02002C0000F8DA
+:02002D000019B8
+:02002E00FEEBE7
+:02002F00015B73
+:02003000000BC3
+:02003100018844
+:02003200FE3A94
+:02003300016565
+:02003400FEE1EB
+:02003500012D9B
+:02003600FDF9D2
+:0200370005BF03
+:020038007F48FF
+:02003900F7B915
+:02003A0004754B
+:02003B00FD5C6A
+:02003C00014879
+:02003D00FFE6DC
+:02003E00FF07BA
+:02003F0001536B
+:02004000000DB1
+:02004100018E2E
+:02004200FE1F9F
+:02004300019B1F
+:02004400FE8933
+:0200450001BBFD
+:02004600FD03B8
+:0200470007EFC1
+:020048007ED761
+:02004900F618A7
+:02004A0005406F
+:02004B00FCE2D5
+:02004C0001961B
+:02004D00FFB4FE
+:02004E00FF238E
+:02004F00014B63
+:02005000000EA0
+:02005100019418
+:02005200FE04AA
+:0200530001D0DA
+:02005400FE307C
+:02005500024A5D
+:02005600FC0BA1
+:020057000A2C71
+:020058007E4ADE
+:02005900F48A27
+:02005A00060599
+:02005B00FC6C3B
+:02005C0001E1C0
+:02005D00FF831F
+:02005E00FF3F62
+:02005F0001435B
+:0200600000108E
+:02006100019903
+:02006200FDEAB5
+:02006300020594
+:02006400FDD8C5
+:0200650002DABD
+:02006600FB118C
+:020067000C7912
+:020068007DA376
+:02006900F30E94
+:02006A0006C2CC
+:02006B00FBFA9E
+:02006C00022B65
+:02006D00FF533F
+:02006E00FF5A37
+:02006F00013B53
+:0200700000127C
+:02007100019EEE
+:02007200FDD0BF
+:02007300023A4F
+:02007400FD7F0E
+:02007500036A1C
+:02007600FA1579
+:020077000ED2A7
+:020078007CE129
+:02007900F1A7ED
+:02007A00077706
+:02007B00FB8DFB
+:02007C0002720E
+:02007D00FF245E
+:02007E00FF760B
+:02007F0001324C
+:0200800000146A
+:0200810001A2DA
+:02008200FDB6C9
+:02008300026F0A
+:02008400FD2756
+:0200850003FB7B
+:02008600F91867
+:0200870011392D
+:020088007C05F5
+:02008900F05233
+:02008A00082547
+:02008B00FB2355
+:02008C0002B7B9
+:02008D00FEF67D
+:02008E00FF91E0
+:02008F00012A44
+:02009000001658
+:0200910001A6C6
+:02009200FD9ED1
+:0200930002A3C6
+:02009400FCCF9F
+:02009500048BDA
+:02009600F81A56
+:0200970013ACA8
+:020098007B0EDD
+:02009900EF1264
+:02009A0008CA92
+:02009B00FABEAB
+:02009C0002F967
+:02009D00FECA99
+:02009E00FFACB5
+:02009F0001213D
+:0200A000001846
+:0200A10001AAB2
+:0200A200FD85DA
+:0200A30002D683
+:0200A400FC77E7
+:0200A500051B39
+:0200A600F71D44
+:0200A700162A17
+:0200A80079FFDE
+:0200A900EDE583
+:0200AA000967E4
+:0200AB00FA5EFB
+:0200AC00033916
+:0200AD00FE9FB4
+:0200AE00FFC68B
+:0200AF00011935
+:0200B000001B33
+:0200B10001AD9F
+:0200B200FD6EE1
+:0200B300030840
+:0200B400FC212D
+:0200B50005A99B
+:0200B600F62032
+:0200B70018B37C
+:0200B80078D6F8
+:0200B900ECCC8D
+:0200BA0009FB40
+:0200BB00FA0346
+:0200BC000375CA
+:0200BD00FE75CE
+:0200BE00FFE061
+:0200BF0001102E
+:0200C000001D21
+:0200C10001B08C
+:0200C200FD58E7
+:0200C300033AFE
+:0200C400FBCB74
+:0200C5000636FD
+:0200C600F5241F
+:0200C7001B45D7
+:0200C80077952A
+:0200C900EBC783
+:0200CA000A87A3
+:0200CB00F9AC8E
+:0200CC0003AF80
+:0200CD00FE4DE6
+:0200CE00FFF938
+:0200CF00010826
+:0200D00000200E
+:0200D10001B27A
+:0200D200FD42ED
+:0200D300036ABE
+:0200D400FB77B8
+:0200D50006C261
+:0200D600F42A0A
+:0200D7001DE129
+:0200D800763B75
+:0200D900EAD665
+:0200DA000B0910
+:0200DB00F95BCF
+:0200DC0003E639
+:0200DD00FE26FD
+:0200DE0000120E
+:0200DF0000FF20
+:0200E0000023FB
+:0200E10001B468
+:0200E200FD2DF2
+:0200E30003997F
+:0200E400FB25FA
+:0200E500074BC7
+:0200E600F333F2
+:0200E700208572
+:0200E80074CAD8
+:0200E900E9F933
+:0200EA000B8386
+:0200EB00F90F0B
+:0200EC00041AF4
+:0200ED00FE0013
+:0200EE00002BE5
+:0200EF0000F718
+:0200F0000026E8
+:0200F10001B557
+:0200F200FD19F6
+:0200F30003C741
+:0200F400FAD43C
+:0200F50007D230
+:0200F600F23FD7
+:0200F7002331B3
+:0200F800734251
+:0200F900E930EC
+:0200FA000BF306
+:0200FB00F8C843
+:0200FC00044BB3
+:0200FD00FDDD27
+:0200FE000042BE
+:0200FF0000EE11
+:02010000002AD3
+:0201010001B546
+:02010200FD07F7
+:0201030003F403
+:02010400FA857A
+:02010500085799
+:02010600F14EB8
+:0201070025E3EE
+:0201080071A4E0
+:02010900E87A92
+:02010A000C598E
+:02010B00F88773
+:02010C00047974
+:02010D00FDBB38
+:02010E00005A95
+:02010F0000E608
+:02011000002DC0
+:0201110001B536
+:02011200FCF5FA
+:02011300041EC8
+:02011400FA38B7
+:0201150008D808
+:02011600F06295
+:02011700289B23
+:020118006FEF87
+:02011900E7D825
+:02011A000CB621
+:02011B00F84B9F
+:02011C0004A33A
+:02011D00FD9A49
+:02011E0000706F
+:02011F0000DD01
+:020120000031AC
+:0201210001B427
+:02012200FCE5FA
+:0201230004478F
+:02012400F9EEF2
+:0201250009557A
+:02012600EF7B6D
+:020127002B5853
+:020128006E2542
+:02012900E74AA3
+:02012A000D0ABC
+:02012B00F815C5
+:02012C0004CA03
+:02012D00FD7C57
+:02012E00008649
+:02012F0000D5F9
+:02013000003499
+:0201310001B219
+:02013200FCD6F9
+:02013300046F57
+:02013400F9A729
+:0201350009CFF0
+:02013600EE9A3F
+:020137002E1880
+:020138006C4712
+:02013900E6CF0F
+:02013A000D5462
+:02013B00F7E4E7
+:02013C0004EECF
+:02013D00FD5F64
+:02013E00009B24
+:02013F0000CDF1
+:02014000003885
+:0201410001B00B
+:02014200FCC8F7
+:02014300049422
+:02014400F9625E
+:020145000A446A
+:02014600EDBF0B
+:0201470030DCAA
+:020148006A55F6
+:02014900E66767
+:02014A000D9511
+:02014B00F7B902
+:02014C00050F9D
+:02014D00FD446F
+:02014E0000B0FF
+:02014F0000C5E9
+:02015000003C71
+:0201510001ADFE
+:02015200FCBBF4
+:0201530004B8EE
+:02015400F92090
+:020155000AB5E9
+:02015600ECECCF
+:0201570033A1D2
+:020158006850ED
+:02015900E611AD
+:02015A000DCCCA
+:02015B00F79417
+:02015C00052C70
+:02015D00FD2B78
+:02015E0000C4DB
+:02015F0000BDE1
+:0201600000415C
+:0201610001AAF1
+:02016200FCB0EF
+:0201630004D9BD
+:02016400F8E1C0
+:020165000B216C
+:02016600EC208B
+:020167003668F8
+:020168006639F6
+:02016900E5CEE1
+:02016A000DF98D
+:02016B00F77427
+:02016C00054547
+:02016D00FD147F
+:02016E0000D7B8
+:02016F0000B5D9
+:02017000004548
+:0201710001A5E6
+:02017200FCA7E8
+:0201730004F88E
+:02017400F8A6EB
+:020175000B88F5
+:02017600EB5C40
+:02017700392F1E
+:02017800641011
+:02017900E59E01
+:02017A000E1D58
+:02017B00F75A31
+:02017C00055C20
+:02017D00FCFE86
+:02017E0000E996
+:02017F0000ADD1
+:02018000004A33
+:0201810001A0DB
+:02018200FC9EE1
+:02018300051461
+:02018400F86E13
+:020185000BE984
+:02018600EAA2EB
+:020187003BF447
+:0201880061D73D
+:02018900E57F10
+:02018A000E382D
+:02018B00F74635
+:02018C00056FFD
+:02018D00FCEB89
+:02018E0000FB74
+:02018F0000A5C9
+:02019000004F1E
+:02019100019AD1
+:02019200FC98D7
+:02019300052E37
+:02019400F83B36
+:020195000C4418
+:02019600E9F18D
+:020197003EB870
+:020198005F8E78
+:02019900E5720D
+:02019A000E490C
+:02019B00F73734
+:02019C00057EDE
+:02019D00FCD98B
+:02019E00010C52
+:02019F00009EC0
+:0201A000005409
+:0201A1000194C7
+:0201A200FC93CC
+:0201A30005460F
+:0201A400F80B56
+:0201A5000C98B4
+:0201A600E94A24
+:0201A70041799C
+:0201A8005D36C2
+:0201A900E575FA
+:0201AA000E51F4
+:0201AB00F72D2E
+:0201AC00058BC1
+:0201AD00FCC98B
+:0201AE00011C32
+:0201AF000097B7
+:0201B0000059F4
+:0201B100018DBE
+:0201B200FC8FC0
+:0201B300055BEA
+:0201B400F7DF73
+:0201B5000CE755
+:0201B600E8AFB0
+:0201B7004437CB
+:0201B8005AD01B
+:0201B900E58AD5
+:0201BA000E50E5
+:0201BB00F72922
+:0201BC000593A9
+:0201BD00FCBB89
+:0201BE00012B13
+:0201BF000090AE
+:0201C000005FDE
+:0201C1000184B7
+:0201C200FC8EB1
+:0201C300056DC8
+:0201C400F7B88A
+:0201C5000D2EFD
+:0201C600E81F30
+:0201C70046EF01
+:0201C800585D80
+:0201C900E5AEA1
+:0201CA000E46DF
+:0201CB00F72B10
+:0201CC00059993
+:0201CD00FCAF85
+:0201CE000139F5
+:0201CF000089A5
+:0201D0000065C8
+:0201D100017BB0
+:0201D200FC8EA1
+:0201D300057CA9
+:0201D400F7959D
+:0201D5000D6EAD
+:0201D600E79BA5
+:0201D70049A23B
+:0201D80055DEF2
+:0201D900E5E35C
+:0201DA000E33E2
+:0201DB00F732F9
+:0201DC00059B81
+:0201DD00FCA57F
+:0201DE000147D7
+:0201DF0000829C
+:0201E000006BB2
+:0201E1000172A9
+:0201E200FC8F90
+:0201E30005888D
+:0201E400F777AB
+:0201E5000DA764
+:0201E600E7230D
+:0201E7004C4E7C
+:0201E80053536F
+:0201E900E62608
+:0201EA000E18ED
+:0201EB00F73EDD
+:0201EC00059A72
+:0201ED00FC9D77
+:0201EE000153BB
+:0201EF00007B93
+:0201F00000719C
+:0201F1000167A4
+:0201F200FC937C
+:0201F300059273
+:0201F400F75DB5
+:0201F5000DD823
+:0201F600E6B968
+:0201F7004EF2C6
+:0201F80050BEF7
+:0201F900E678A6
+:0201FA000DF501
+:0201FB00F74FBC
+:0201FC00059666
+:0201FD00FC966E
+:0201FE00015F9F
+:0201FF00007589
+:02020000007785
+:02020100015C9E
+:02020200FC9866
+:0202030005985C
+:02020400F749B8
+:020205000E01E8
+:02020600E65DB3
+:02020700518E16
+:020208004E2086
+:02020900E6D934
+:02020A000DC91C
+:02020B00F76595
+:02020C00058F5C
+:02020D00FC9162
+:02020E00016B82
+:02020F00006F7E
+:02021000007D6F
+:0202110001509A
+:02021200FC9F4F
+:02021300059B49
+:02021400F739B8
+:020215000E22B7
+:02021600E60FF1
+:02021700541F72
+:020218004B7920
+:02021900E747B5
+:02021A000D963F
+:02021B00F7806A
+:02021C00058556
+:02021D00FC8E55
+:02021E00017568
+:02021F00006974
+:02022000008458
+:02022100014397
+:02022200FCA836
+:02022300059B39
+:02022400F72FB2
+:020225000E3A8F
+:02022600E5D120
+:0202270056A7D8
+:0202280048CBC1
+:02022900E7C329
+:02022A000D5B6A
+:02022B00F79F3B
+:02022C00057853
+:02022D00FC8D46
+:02022E00017E4F
+:02022F0000636A
+:02023000008B41
+:02023100013595
+:02023200FCB31B
+:0202330005982C
+:02023400F72AA7
+:020235000E4A6F
+:02023600E5A140
+:0202370059224A
+:02023800461668
+:02023900E84A91
+:02023A000D189D
+:02023B00F7C406
+:02023C00056754
+:02023D00FC8E35
+:02023E00018736
+:02023F00005D60
+:0202400000922A
+:02024100012694
+:02024200FCBFFF
+:02024300059123
+:02024400F72A97
+:020245000E5158
+:02024600E58150
+:020247005B91C9
+:02024800435C15
+:02024900E8DEED
+:02024A000CCFD7
+:02024B00F7ECCE
+:02024C00055457
+:02024D00FC9023
+:02024E00018F1E
+:02024F00005855
+:02025000009913
+:02025100011793
+:02025200FCCEE0
+:0202530005871D
+:02025400F73081
+:020255000E4F4A
+:02025600E5724F
+:020257005DF355
+:02025800409DC7
+:02025900E97D3D
+:02025A000C7F17
+:02025B00F81990
+:02025C00053F5C
+:02025D00FC940F
+:02025E00019607
+:02025F0000534A
+:0202600000A0FC
+:02026100010694
+:02026200FCDEC0
+:02026300057A1A
+:02026400F73B66
+:020265000E4445
+:02026600E5743D
+:020267006047EE
+:020268003DDB7C
+:02026900EA2782
+:02026A000C285E
+:02026B00F84A4F
+:02026C00052665
+:02026D00FC9AF9
+:02026E00019CF1
+:02026F00004D40
+:0202700000A8E4
+:0202710000F596
+:02027200FCF19D
+:0202730005691B
+:02027400F74B46
+:020275000E3049
+:02027600E5871A
+:02027700628B98
+:020278003B1732
+:02027900EADBBE
+:02027A000BCBAC
+:02027B00F87F0A
+:02027C00050C6F
+:02027D00FCA1E2
+:02027E0001A2DB
+:02027F00004934
+:0202800000AFCD
+:0202810000E497
+:02028200FD0578
+:0202830005551F
+:02028400F7621F
+:020285000E1356
+:02028600E5ABE6
+:0202870064BF52
+:020288003851EB
+:02028900EB98F0
+:02028A000B68FF
+:02028B00F8B8C1
+:02028C0004EE7E
+:02028D00FCA9CA
+:02028E0001A7C6
+:02028F00004429
+:0202900000B7B5
+:0202910000D19A
+:02029200FD1B52
+:02029300053E26
+:02029400F77DF4
+:020295000DEC6E
+:02029600E5E1A0
+:0202970066E21D
+:02029800358AA5
+:02029900EC5F18
+:02029A000B0057
+:02029B00F8F475
+:02029C0004CF8D
+:02029D00FCB4AF
+:02029E0001ABB2
+:02029F00003F1E
+:0202A00000BF9D
+:0202A10000BE9D
+:0202A200FD332A
+:0202A300052331
+:0202A400F79FC2
+:0202A5000DBB8F
+:0202A600E62A46
+:0202A70068F4F9
+:0202A80032C35F
+:0202A900ED2D39
+:0202AA000A93B5
+:0202AB00F93424
+:0202AC0004AD9F
+:0202AD00FCBF94
+:0202AE0001AE9F
+:0202AF00003B12
+:0202B00000C785
+:0202B10000AAA1
+:0202B200FD4C01
+:0202B30005053F
+:0202B400F7C68B
+:0202B5000D82B8
+:0202B600E685DB
+:0202B7006AF3E8
+:0202B8002FFE17
+:0202B900EE0352
+:0202BA000A2018
+:0202BB00F977D1
+:0202BC000489B3
+:0202BD00FCCC77
+:0202BE0001B18C
+:0202BF00003706
+:0202C00000CF6D
+:0202C1000095A6
+:0202C200FD68D5
+:0202C30004E352
+:0202C400F7F34E
+:0202C5000D3EEC
+:0202C600E6F35D
+:0202C7006CDFEA
+:0202C8002D3CCB
+:0202C900EEE065
+:0202CA0009A980
+:0202CB00F9BD7B
+:0202CC000463C9
+:0202CD00FCDA59
+:0202CE0001B37A
+:0202CF000033FA
+:0202D00000D755
+:0202D100007FAC
+:0202D200FD85A8
+:0202D30004BE67
+:0202D400F8250B
+:0202D5000CF12A
+:0202D600E774CB
+:0202D7006EB700
+:0202D8002A7C7E
+:0202D900EFC371
+:0202DA00092FEA
+:0202DB00FA0522
+:0202DC00043BE1
+:0202DD00FCEA39
+:0202DE0001B469
+:0202DF00002FEE
+:0202E00000E03C
+:0202E1000069B2
+:0202E200FDA479
+:0202E30004967F
+:0202E400F85DC3
+:0202E5000C9A71
+:0202E600E80925
+:0202E700707A2B
+:0202E80027C12C
+:0202E900F0AB78
+:0202EA0008B05A
+:0202EB00FA50C7
+:0202EC000411FB
+:0202ED00FCFB18
+:0202EE0001B558
+:0202EF00002CE1
+:0202F00000E824
+:0202F1000052B9
+:0202F200FDC548
+:0202F300046B9A
+:0202F400F89B75
+:0202F5000C3AC1
+:0202F600E8B16D
+:0202F70072276C
+:0202F800250BD4
+:0202F900F19979
+:0202FA00082ECC
+:0202FB00FA9E69
+:0202FC0003E617
+:0202FD00FD0DF5
+:0202FE0001B548
+:0202FF000028D5
+:0203000000F10A
+:02030100003BBF
+:02030200FDE814
+:02030300043CB8
+:02030400F8DE21
+:020305000BD11A
+:02030600E96D9F
+:0203070073BFC2
+:02030800225B76
+:02030900F28A76
+:02030A0007A941
+:02030B00FAED09
+:02030C0003B933
+:02030D00FD20D1
+:02030E0001B438
+:02030F000025C7
+:0203100000F9F2
+:020311000023C7
+:02031200FE0CDF
+:02031300040ADA
+:02031400F926C8
+:020315000B5E7D
+:02031600EA3CBF
+:0203170075402F
+:020318001FB113
+:02031900F3806F
+:02031A000721B9
+:02031B00FB3EA7
+:02031C00038B51
+:02031D00FD34AD
+:02031E0001B329
+:02031F000022BA
+:020320000102D8
+:02032100000AD0
+:02032200FE32A9
+:0203230003D500
+:02032400F9746A
+:020325000AE1EB
+:02032600EB1FCB
+:0203270076AAB4
+:020328001D10A6
+:02032900F47866
+:02032A00069734
+:02032B00FB9144
+:02032C00035B71
+:02032D00FD4988
+:02032E0001B11B
+:02032F00001FAD
+:02033000010AC0
+:02033100FFF1DA
+:02033200FE5972
+:02033300039E27
+:02033400F9C707
+:020335000A5C60
+:02033600EC17C2
+:0203370077FC51
+:020338001A7633
+:02033900F5735A
+:02033A00060AB1
+:02033B00FBE6DF
+:02033C00032A92
+:02033D00FD5F62
+:02033E0001AF0D
+:02033F00001D9F
+:020340000113A7
+:02034100FFD8E3
+:02034200FE8239
+:02034300036352
+:02034400FA1F9E
+:0203450009CEDF
+:02034600ED22A6
+:02034700793605
+:0203480017E7B5
+:02034900F66F4D
+:02034A00057D2F
+:02034B00FC3C78
+:02034C0002F9B4
+:02034D00FD753C
+:02034E0001AC00
+:02034F00001A92
+:02035000011B8F
+:02035100FFBEED
+:02035200FEACFF
+:02035300032580
+:02035400FA7C31
+:02035500093766
+:02035600EE4176
+:020357007A56D4
+:0203580015612D
+:02035900F76C3F
+:02035A0004EEAF
+:02035B00FC9311
+:02035C0002C6D7
+:02035D00FD8D14
+:02035E0001A9F3
+:02035F00001884
+:02036000012476
+:02036100FFA3F8
+:02036200FED8C3
+:0203630002E5B1
+:02036400FADDC0
+:020365000897F7
+:02036600EF7432
+:020367007B5EBB
+:0203680012E79A
+:02036900F86A30
+:02036A00045E2F
+:02036B00FCEAAA
+:02036C000293FA
+:02036D00FDA5EC
+:02036E0001A5E7
+:02036F00001577
+:02037000012C5E
+:02037100FF8803
+:02037200FF0585
+:0203730002A2E4
+:02037400FB4448
+:0203750007EF90
+:02037600F0BBDA
+:020377007C4CBC
+:020378001078FB
+:02037900F96722
+:02037A0003CEB0
+:02037B00FD4241
+:02037C00025E1F
+:02037D00FDBEC3
+:02037E0001A1DB
+:02037F00001369
+:02038000013545
+:02038100FF6D0E
+:02038200FF3347
+:02038300025C1A
+:02038400FBAFCD
+:02038500073F30
+:02038600F2156E
+:020387007D20D7
+:020388000E1550
+:02038900FA6414
+:02038A00033D31
+:02038B00FD9BD8
+:02038C00022A43
+:02038D00FDD899
+:02038E00019CD0
+:02038F0000115B
+:02039000013D2D
+:02039100FF5219
+:02039200FF6208
+:02039300021452
+:02039400FC1E4D
+:020395000687D9
+:02039600F383EF
+:020397007DDA0D
+:020398000BBF99
+:02039900FB6007
+:02039A0002ADB2
+:02039B00FDF46F
+:02039C0001F569
+:02039D00FDF26F
+:02039E000197C5
+:02039F00000F4D
+:0203A000014614
+:0203A100FF3625
+:0203A200FF92C8
+:0203A30001CA8D
+:0203A400FC91CA
+:0203A50005C889
+:0203A600F5045C
+:0203A7007E795D
+:0203A8000978D2
+:0203A900FC59FD
+:0203AA00021D32
+:0203AB00FE4C06
+:0203AC0001C08E
+:0203AD00FE0C44
+:0203AE000192BA
+:0203AF00000E3E
+:0203B000014EFC
+:0203B100FF1A31
+:0203B200FFC486
+:0203B300017EC9
+:0203B400FD0842
+:0203B50005023F
+:0203B600F698B7
+:0203B7007EFDC9
+:0203B800073EFE
+:0203B900FD50F5
+:0203BA00018EB2
+:0203BB00FEA59D
+:0203BC00018AB4
+:0203BD00FE2719
+:0203BE00018CB0
+:0203BF00000C30
+:0203C0000156E4
+:0203C100FEFE3E
+:0203C200FFF644
+:0203C300012F08
+:0203C400FD82B8
+:0203C5000434FE
+:0203C600F83FFE
+:0203C7007F664F
+:0203C80005141A
+:0203C900FE45EF
+:0203CA0001012F
+:0203CB00FEFD35
+:0203CC000155D9
+:0203CD00FE43ED
+:0203CE000186A6
+:0203CF00000B21
+:0203D000015DCD
+:0203D100FEE24A
+:0203D200002900
+:0203D30000DF49
+:0203D400FE0029
+:0203D5000360C3
+:0203D600F9F834
+:0203D7007FB4F1
+:0203D80002F928
+:0203D900FF35EE
+:0203DA000074AD
+:0203DB00FF54CD
+:0203DC00011FFF
+:0203DD00FE5EC2
+:0203DE00017F9D
+:0203DF00000913
+:0203E0000165B5
+:0203E100FEC656
+:0203E200005CBD
+:0203E300008D8B
+:0203E400FE8297
+:0203E50002878D
+:0203E600FBC456
+:0203E7007FE7AE
+:0203E80000EE25
+:0203E9000022F0
+:0203EA00FFEA28
+:0203EB00FFAA67
+:0203EC0000EA25
+:0203ED00FE7A96
+:0203EE00017993
+:0203EF00000A02
+:0203F000016D9D
+:0203F100FEA963
+:0203F200009178
+:0203F300003ACE
+:0203F400FF0602
+:0203F50001A75E
+:0203F600FDA167
+:0203F7007FFE87
+:0203F800FEF411
+:0203F900010AF7
+:0203FA00FF62A0
+:0203FB00000000
+:0203FC0000B54A
+:0203FD00FE966A
+:0203FE0001728A
+:0203FF000021DB
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..18ddae575cef0c7a707e8a934c41941ded0cf1f2
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_10_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:0200010001916B
+:02000200FE36C8
+:02000300013FBB
+:02000400FF35C6
+:02000500009C5D
+:02000600FEF406
+:02000700038B69
+:020008007FA1D6
+:02000900F97389
+:02000A0003A74A
+:02000B00FDD323
+:02000C0000FBF7
+:02000D000021D0
+:02000E00FECF23
+:02000F00016A84
+:020010000010DE
+:0200110001A943
+:02001200FDC52A
+:02001300021DCC
+:02001400FDCC21
+:0200150002DE09
+:02001600FB16D7
+:020017000C6576
+:020018007DA9C0
+:02001900F30FE3
+:02001A0006CC12
+:02001B00FBECFC
+:02001C000237A9
+:02001D00FF538F
+:02001E00FF449D
+:02001F00014995
+:020020000019C5
+:0200210001BB21
+:02002200FD5E81
+:0200230002F4E5
+:02002400FC637B
+:020025000525AF
+:02002600F71CC5
+:020027001617AA
+:020028007A0953
+:02002900EDE008
+:02002A00097853
+:02002B00FA4891
+:02002C00034D82
+:02002D00FE963D
+:02002E00FFB51C
+:02002F000125A9
+:020030000024AA
+:0200310001C408
+:02003200FD03CC
+:0200330003BE0A
+:02003400FB0AC5
+:02003500075D65
+:02003600F32DA8
+:02003700207334
+:0200380074D87A
+:02003900E9EFED
+:02003A000B9920
+:02003B00F8F2D9
+:02003C00043787
+:02003D00FDF0D4
+:02003E00001FA1
+:02003F000102BC
+:0200400000328C
+:0200410001C3F9
+:02004200FCB907
+:02004300047146
+:02004400F9CDF4
+:02004500096D43
+:02004600EF7158
+:020047002B4646
+:020048006E3711
+:02004900E73B93
+:02004A000D2681
+:02004B00F7F1CB
+:02004C0004EEC0
+:02004D00FD6450
+:02004E00007F31
+:02004F0000DED1
+:0200500000436B
+:0200510001B8F4
+:02005200FC842C
+:020053000506A0
+:02005400F8BBF7
+:020055000B3D61
+:02005600EC12AA
+:0200570036571A
+:02005800664EF2
+:02005900E5BB05
+:02005A000E1A7C
+:02005B00F74C60
+:02005C00056E2F
+:02005D00FCF5B0
+:02005E0000D5CB
+:02005F0000BDE2
+:02006000005747
+:0200610001A0FC
+:02006200FC6739
+:02006300057521
+:02006400F7E1C2
+:020065000CB9D4
+:02006600E93A75
+:020067004169ED
+:020068005D4DEC
+:02006900E55E52
+:02006A000E7511
+:02006B00F7019B
+:02006C0005B8D5
+:02006D00FCA5F0
+:02006E00011E71
+:02006F00009DF2
+:02007000006E20
+:02007100017B11
+:02007200FC652B
+:0200730005B9CD
+:02007400F74A49
+:020075000DC9B3
+:02007600E71091
+:020077004C3EFD
+:02007800536CC7
+:02007900E60D92
+:02007A000E3E38
+:02007B00F7107C
+:02007C0005CAB3
+:02007D00FC7510
+:02007E00015A25
+:02007F000081FE
+:020080000089F5
+:02008100014834
+:02008200FC81FF
+:0200830005CAAC
+:02008400F70281
+:020085000E5E0D
+:02008600E5BBD8
+:0200870056978A
+:0200880048E648
+:02008900E7A8E6
+:02008A000D81E6
+:02008B00F7710B
+:02008C0005A9C4
+:02008D00FC6213
+:02008E000188E7
+:02008F00006708
+:0200900000A7C7
+:02009100010864
+:02009200FCBBB5
+:0200930005A7BF
+:02009400F70F64
+:020095000E68F3
+:02009600E55D26
+:020097006038CF
+:020098003DF732
+:02009900EA0C6F
+:02009A000C4D0B
+:02009B00F81E4D
+:02009C00055706
+:02009D00FC6DF8
+:02009E0001A9B6
+:02009F0000500F
+:0200A00000C797
+:0200A10000BBA2
+:0200A200FD154A
+:0200A300054C0A
+:0200A400F776ED
+:0200A5000DDE6E
+:0200A600E6135F
+:0200A70068E708
+:0200A80032DE46
+:0200A900ED1355
+:0200AA000AB496
+:0200AB00F90B4F
+:0200AC0004DB73
+:0200AD00FC92C3
+:0200AE0001BC93
+:0200AF00003D12
+:0200B00000E965
+:0200B1000062EB
+:0200B200FD8DC2
+:0200B30004BA8D
+:0200B400F8381A
+:0200B5000CBB82
+:0200B600E7F170
+:0200B700706E69
+:0200B80027DB44
+:0200B900F094C1
+:0200BA0008CE6E
+:0200BB00FA2C1D
+:0200BC00043C02
+:0200BD00FCCE77
+:0200BE0001C47B
+:0200BF00002D12
+:0200C000010D30
+:0200C100FFFE40
+:0200C200FE211D
+:0200C30003F345
+:0200C400F954ED
+:0200C5000AFE31
+:0200C600EB0845
+:0200C70076A120
+:0200C8001D27F2
+:0200C900F464DD
+:0200CA0006AF7F
+:0200CB00FB73C5
+:0200CC000381AE
+:0200CD00FD1E16
+:0200CE0001C26D
+:0200CF0000200F
+:0200D0000130FD
+:0200D100FF929C
+:0200D200FECF5F
+:0200D30002FB2E
+:0200D400FAC36D
+:0200D50008B071
+:0200D600EF5DDC
+:0200D7007B5755
+:0200D80012FA1A
+:0200D900F85BD2
+:0200DA000470B0
+:0200DB00FCD354
+:0200DC0002B26E
+:0200DD00FD7DA7
+:0200DE0001B669
+:0200DF00001609
+:0200E0000153CA
+:0200E100FF20FE
+:0200E200FF918C
+:0200E30001D842
+:0200E400FC7EA0
+:0200E50005DC38
+:0200E600F4EE36
+:0200E7007E7524
+:0200E800098885
+:0200E900FC50C9
+:0200EA000227EB
+:0200EB00FE3DD8
+:0200EC0001D839
+:0200ED00FDE82C
+:0200EE0001A26D
+:0200EF00000E01
+:0200F000017499
+:0200F100FEAA65
+:0200F2000064A8
+:0200F300009378
+:0200F400FE7696
+:0200F500029671
+:0200F600FBAF5E
+:0200F7007FE6A2
+:0200F80000F90D
+:0200F900001FE6
+:0200FA00FFEC19
+:0200FB00FFA460
+:0200FC0000FA08
+:0200FD00FE5AA9
+:0200FE00018976
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..697e74290185663ce836bb005b8c674a1d06f4d1
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000019E5
+:02000100017488
+:02000200FE8C72
+:0200030000C932
+:02000400FFE01B
+:02000500FF9565
+:0200060000B444
+:02000700FFAF49
+:020008007FF87F
+:02000900FCEC0D
+:02000A0001FBF8
+:02000B00FED421
+:02000C00005999
+:02000D00007D74
+:02000E00FEB43E
+:02000F00016A84
+:020010000007E7
+:02001100017B71
+:02001200FE707E
+:0200130000FEED
+:02001400FF8A61
+:02001500001ECB
+:02001600FFCA1F
+:0200170001B036
+:020018007FD790
+:02001900FB16D4
+:02001A0002D909
+:02001B00FE5194
+:02001C0000AC36
+:02001D00004998
+:02001E00FED012
+:02001F0001627C
+:02002000000AD4
+:0200210001825A
+:02002200FE548A
+:020023000133A7
+:02002400FF33A8
+:0200250000A930
+:02002600FEDBFF
+:0200270003C113
+:020028007F9ABD
+:02002900F9518B
+:02002A0003B120
+:02002B00FDD105
+:02002C0000FDD5
+:02002D000016BB
+:02002E00FEECE6
+:02002F00015B73
+:02003000000BC3
+:02003100018844
+:02003200FE3896
+:02003300016961
+:02003400FEDCF0
+:02003500013692
+:02003600FDE9E2
+:0200370005E2E0
+:020038007F4205
+:02003900F79E30
+:02003A0004823E
+:02003B00FD5472
+:02003C00014D74
+:02003D00FFE3DF
+:02003E00FF09B8
+:02003F0001536B
+:02004000000DB1
+:02004100018E2E
+:02004200FE1DA1
+:02004300019E1C
+:02004400FE8339
+:0200450001C4F4
+:02004600FCF4C8
+:0200470008129D
+:020048007ECF69
+:02004900F5FEC2
+:02004A00054D62
+:02004B00FCDBDC
+:02004C00019A17
+:02004D00FFB101
+:02004E00FF258C
+:02004F00014B63
+:02005000000EA0
+:02005100019418
+:02005200FE02AC
+:0200530001D4D6
+:02005400FE2B81
+:02005500025354
+:02005600FBFCB1
+:020057000A514C
+:020058007E40E8
+:02005900F47140
+:02005A0006118D
+:02005B00FC6542
+:02005C0001E6BB
+:02005D00FF8022
+:02005E00FF4061
+:02005F0001425C
+:0200600000108E
+:02006100019903
+:02006200FDE8B7
+:02006300020990
+:02006400FDD2CB
+:0200650002E3B4
+:02006600FB029B
+:020067000C9EED
+:020068007D9782
+:02006900F2F7AC
+:02006A0006CDC1
+:02006B00FBF3A5
+:02006C00022F61
+:02006D00FF5042
+:02006E00FF5C35
+:02006F00013A54
+:0200700000127C
+:02007100019EEE
+:02007200FDCEC1
+:02007300023E4B
+:02007400FD7A13
+:02007500037313
+:02007600FA0589
+:020077000EF881
+:020078007CD436
+:02007900F19103
+:02007A000782FB
+:02007B00FB8602
+:02007C0002760A
+:02007D00FF2161
+:02007E00FF770A
+:02007F0001324C
+:0200800000146A
+:0200810001A3D9
+:02008200FDB5CA
+:02008300027207
+:02008400FD215C
+:02008500040471
+:02008600F90877
+:02008700116006
+:020088007BF605
+:02008900F03E47
+:02008A00082F3D
+:02008B00FB1D5B
+:02008C0002BBB5
+:02008D00FEF47F
+:02008E00FF92DF
+:02008F00012945
+:02009000001658
+:0200910001A7C5
+:02009200FD9CD3
+:0200930002A6C3
+:02009400FCC9A5
+:020095000494D1
+:02009600F80B65
+:0200970013D381
+:020098007AFEEE
+:02009900EEFE79
+:02009A0008D488
+:02009B00FAB8B1
+:02009C0002FD63
+:02009D00FEC79C
+:02009E00FFADB4
+:02009F0001213D
+:0200A000001945
+:0200A10001AAB2
+:0200A200FD84DB
+:0200A30002D980
+:0200A400FC72EC
+:0200A500052430
+:0200A600F70D54
+:0200A7001652EF
+:0200A80079EDF0
+:0200A900EDD395
+:0200AA000971DA
+:0200AB00FA5801
+:0200AC00033D12
+:0200AD00FE9CB7
+:0200AE00FFC78A
+:0200AF00011836
+:0200B000001B33
+:0200B10001AD9F
+:0200B200FD6DE2
+:0200B300030B3D
+:0200B400FC1B33
+:0200B50005B292
+:0200B600F61042
+:0200B70018DC53
+:0200B80078C30B
+:0200B900ECBB9E
+:0200BA000A0436
+:0200BB00F9FD4D
+:0200BC000379C6
+:0200BD00FE72D1
+:0200BE00FFE160
+:0200BF0001102E
+:0200C000001E20
+:0200C10001B08C
+:0200C200FD56E9
+:0200C300033DFB
+:0200C400FBC679
+:0200C500063FF4
+:0200C600F5142F
+:0200C7001B6FAD
+:0200C80077803F
+:0200C900EBB892
+:0200CA000A8F9B
+:0200CB00F9A793
+:0200CC0003B37C
+:0200CD00FE4AE9
+:0200CE00FFFB36
+:0200CF00010727
+:0200D00000200E
+:0200D10001B27A
+:0200D200FD41EE
+:0200D300036DBB
+:0200D400FB72BD
+:0200D50006CB58
+:0200D600F41B19
+:0200D7001E0BFE
+:0200D80076258B
+:0200D900EAC873
+:0200DA000B1108
+:0200DB00F956D4
+:0200DC0003EA35
+:0200DD00FE2300
+:0200DE0000140C
+:0200DF0000FF20
+:0200E0000023FB
+:0200E10001B468
+:0200E200FD2CF3
+:0200E300039C7C
+:0200E400FB20FF
+:0200E5000754BE
+:0200E600F32302
+:0200E70020B047
+:0200E80074B2F0
+:0200E900E9EC40
+:0200EA000B8A7F
+:0200EB00F90A10
+:0200EC00041DF1
+:0200ED00FDFE16
+:0200EE00002CE4
+:0200EF0000F619
+:0200F0000026E8
+:0200F10001B557
+:0200F200FD18F7
+:0200F30003CA3E
+:0200F400FACF41
+:0200F50007DB27
+:0200F600F22FE7
+:0200F700235C88
+:0200F80073296A
+:0200F900E924F8
+:0200FA000BF900
+:0200FB00F8C447
+:0200FC00044EB0
+:0200FD00FDDB29
+:0200FE000044BC
+:0200FF0000ED12
+:02010000002AD3
+:0201010001B546
+:02010200FD06F8
+:0201030003F601
+:02010400FA807F
+:02010500085F91
+:02010600F13FC7
+:02010700260FC1
+:020108007189FB
+:02010900E8709C
+:02010A000C5F88
+:02010B00F88377
+:02010C00047C71
+:02010D00FDB93A
+:02010E00005B94
+:02010F0000E509
+:02011000002DC0
+:0201110001B536
+:02011200FCF4FB
+:020113000421C5
+:02011400FA34BB
+:0201150008E000
+:02011600F054A3
+:0201170028C7F7
+:020118006FD3A3
+:02011900E7CF2E
+:02011A000CBC1B
+:02011B00F848A2
+:02011C0004A637
+:02011D00FD994A
+:02011E0000726D
+:02011F0000DD01
+:020120000031AC
+:0201210001B427
+:02012200FCE4FB
+:02012300044A8C
+:02012400F9EAF6
+:02012500095D72
+:02012600EF6D7B
+:020127002B8427
+:020128006E085F
+:02012900E742AB
+:02012A000D0FB7
+:02012B00F812C8
+:02012C0004CD00
+:02012D00FD7A59
+:02012E00008847
+:02012F0000D4FA
+:02013000003598
+:0201310001B219
+:02013200FCD5FA
+:02013300047155
+:02013400F9A22E
+:0201350009D6E9
+:02013600EE8C4D
+:020137002E4454
+:020138006C2930
+:02013900E6C816
+:02013A000D585E
+:02013B00F7E1EA
+:02013C0004F0CD
+:02013D00FD5D66
+:02013E00009D22
+:02013F0000CCF2
+:02014000003984
+:0201410001B00B
+:02014200FCC7F8
+:02014300049620
+:02014400F95D63
+:020145000A4C62
+:02014600EDB218
+:0201470031087D
+:020148006A3516
+:02014900E6616D
+:02014A000D980E
+:02014B00F7B704
+:02014C0005119B
+:02014D00FD4271
+:02014E0000B1FE
+:02014F0000C4EA
+:02015000003D70
+:0201510001ADFE
+:02015200FCBBF4
+:0201530004BAEC
+:02015400F91C94
+:020155000ABCE2
+:02015600ECDFDC
+:0201570033CEA5
+:02015800682F0E
+:02015900E60DB1
+:02015A000DCFC7
+:02015B00F79219
+:02015C00052D6F
+:02015D00FD297A
+:02015E0000C5DA
+:02015F0000BCE2
+:0201600000415C
+:0201610001A9F2
+:02016200FCB0EF
+:0201630004DBBB
+:02016400F8DDC4
+:020165000B2865
+:02016600EC1398
+:020167003694CC
+:02016800661718
+:02016900E5CBE4
+:02016A000DFC8A
+:02016B00F77229
+:02016C00054745
+:02016D00FD1281
+:02016E0000D8B7
+:02016F0000B4DA
+:02017000004647
+:0201710001A5E6
+:02017200FCA6E9
+:0201730004FA8C
+:02017400F8A2EF
+:020175000B8EEF
+:02017600EB504C
+:02017700395BF2
+:0201780063ED35
+:02017900E59B04
+:02017A000E1F56
+:02017B00F75932
+:02017C00055D1F
+:02017D00FCFD87
+:02017E0000EA95
+:02017F0000ADD1
+:02018000004A33
+:0201810001A0DB
+:02018200FC9EE1
+:0201830005165F
+:02018400F86B16
+:020185000BEF7E
+:02018600EA96F7
+:020187003C2119
+:0201880061B361
+:02018900E57E11
+:02018A000E392C
+:02018B00F74437
+:02018C000570FC
+:02018D00FCE98B
+:02018E0000FC73
+:02018F0000A5C9
+:02019000004F1E
+:02019100019AD1
+:02019200FC97D8
+:02019300053035
+:02019400F83839
+:020195000C4913
+:02019600E9E698
+:020197003EE444
+:020198005F699D
+:02019900E5710E
+:02019A000E4A0B
+:02019B00F73635
+:02019C00057FDD
+:02019D00FCD88C
+:02019E00010D51
+:02019F00009EC0
+:0201A000005508
+:0201A1000193C8
+:0201A200FC92CD
+:0201A30005470E
+:0201A400F80859
+:0201A5000C9EAE
+:0201A600E9402E
+:0201A70041A570
+:0201A8005D10E8
+:0201A900E576F9
+:0201AA000E51F4
+:0201AB00F72D2E
+:0201AC00058BC1
+:0201AD00FCC88C
+:0201AE00011D31
+:0201AF000096B8
+:0201B000005AF3
+:0201B100018CBF
+:0201B200FC8FC0
+:0201B300055CE9
+:0201B400F7DD75
+:0201B5000CEB51
+:0201B600E8A5BA
+:0201B7004462A0
+:0201B8005AA942
+:0201B900E58BD4
+:0201BA000E50E5
+:0201BB00F72922
+:0201BC000594A8
+:0201BD00FCBA8A
+:0201BE00012C12
+:0201BF00008FAF
+:0201C000005FDE
+:0201C1000184B7
+:0201C200FC8EB1
+:0201C300056EC7
+:0201C400F7B68C
+:0201C5000D32F9
+:0201C600E81639
+:0201C700471BD4
+:0201C8005835A8
+:0201C900E5B19E
+:0201CA000E45E0
+:0201CB00F72B10
+:0201CC00059993
+:0201CD00FCAE86
+:0201CE00013AF4
+:0201CF000088A6
+:0201D0000065C8
+:0201D100017BB0
+:0201D200FC8EA1
+:0201D300057DA8
+:0201D400F7939F
+:0201D5000D72A9
+:0201D600E793AD
+:0201D70049CD10
+:0201D80055B51B
+:0201D900E5E659
+:0201DA000E32E3
+:0201DB00F732F9
+:0201DC00059B81
+:0201DD00FCA480
+:0201DE000148D6
+:0201DF0000829C
+:0201E000006BB2
+:0201E1000171AA
+:0201E200FC8F90
+:0201E30005898C
+:0201E400F775AD
+:0201E5000DAA61
+:0201E600E71C14
+:0201E7004C7852
+:0201E800532A98
+:0201E900E62B03
+:0201EA000E16EF
+:0201EB00F73EDD
+:0201EC00059A72
+:0201ED00FC9C78
+:0201EE000154BA
+:0201EF00007B93
+:0201F00000719C
+:0201F1000166A5
+:0201F200FC937C
+:0201F300059273
+:0201F400F75CB6
+:0201F5000DDB20
+:0201F600E6B36E
+:0201F7004F1C9B
+:0201F800509421
+:0201F900E67EA0
+:0201FA000DF204
+:0201FB00F750BB
+:0201FC00059666
+:0201FD00FC966E
+:0201FE0001609E
+:0201FF00007589
+:02020000007785
+:02020100015B9F
+:02020200FC9866
+:0202030005985C
+:02020400F748B9
+:020205000E03E6
+:02020600E658B8
+:0202070051B7ED
+:020208004DF5B2
+:02020900E6DF2E
+:02020A000DC61F
+:02020B00F76694
+:02020C00058E5D
+:02020D00FC9162
+:02020E00016B82
+:02020F00006E7F
+:02021000007E6E
+:02021100014F9B
+:02021200FCA04E
+:02021300059B49
+:02021400F738B9
+:020215000E24B5
+:02021600E60BF5
+:02021700544849
+:020218004B4E4B
+:02021900E74FAD
+:02021A000D9243
+:02021B00F78268
+:02021C00058457
+:02021D00FC8E55
+:02021E00017568
+:02021F00006875
+:02022000008458
+:02022100014298
+:02022200FCA935
+:02022300059B39
+:02022400F72EB3
+:020225000E3B8E
+:02022600E5CD24
+:0202270056CFB0
+:0202280048A0EC
+:02022900E7CB21
+:02022A000D576E
+:02022B00F7A238
+:02022C00057754
+:02022D00FC8D46
+:02022E00017F4E
+:02022F0000636A
+:02023000008B41
+:02023100013496
+:02023200FCB31B
+:0202330005972D
+:02023400F72AA7
+:020235000E4B6E
+:02023600E59F42
+:02023700594A22
+:0202380045EB94
+:02023900E85388
+:02023A000D14A1
+:02023B00F7C604
+:02023C00056655
+:02023D00FC8E35
+:02023E00018835
+:02023F00005D60
+:0202400000922A
+:02024100012595
+:02024200FCC0FE
+:02024300059024
+:02024400F72A97
+:020245000E5158
+:02024600E58051
+:020247005BB8A2
+:02024800433041
+:02024900E8E8E3
+:02024A000CCADC
+:02024B00F7EFCB
+:02024C00055358
+:02024D00FC9023
+:02024E00018F1E
+:02024F00005756
+:02025000009913
+:02025100011694
+:02025200FCCFDF
+:0202530005861E
+:02025400F73081
+:020255000E4F4A
+:02025600E5724F
+:020257005E192E
+:020258004071F3
+:02025900E98832
+:02025A000C791D
+:02025B00F81C8D
+:02025C00053D5E
+:02025D00FC940F
+:02025E00019607
+:02025F0000524B
+:0202600000A1FB
+:02026100010595
+:02026200FCDFBF
+:0202630005791B
+:02026400F73C65
+:020265000E4346
+:02026600E5753C
+:02026700606BCA
+:020268003DAFA8
+:02026900EA3277
+:02026A000C2264
+:02026B00F84E4B
+:02026C00052566
+:02026D00FC9AF9
+:02026E00019DF0
+:02026F00004D40
+:0202700000A8E4
+:0202710000F497
+:02027200FCF29C
+:0202730005681C
+:02027400F74D44
+:020275000E2F4A
+:02027600E58819
+:0202770062AE75
+:020278003AEA60
+:02027900EAE7B2
+:02027A000BC5B2
+:02027B00F88306
+:02027C00050A71
+:02027D00FCA1E2
+:02027E0001A2DB
+:02027F00004835
+:0202800000B0CC
+:0202810000E398
+:02028200FD0677
+:02028300055420
+:02028400F7631E
+:020285000E1158
+:02028600E5AEE3
+:0202870064E22F
+:02028800382418
+:02028900EBA5E3
+:02028A000B6205
+:02028B00F8BCBD
+:02028C0004EC80
+:02028D00FCAAC9
+:02028E0001A7C6
+:02028F00004429
+:0202900000B8B4
+:0202910000D09B
+:02029200FD1C51
+:02029300053C28
+:02029400F77FF2
+:020295000DE971
+:02029600E5E59C
+:020297006704FA
+:02029800355DD2
+:02029900EC6B0C
+:02029A000AF95F
+:02029B00F8F871
+:02029C0004CD8F
+:02029D00FCB4AF
+:02029E0001ABB2
+:02029F00003F1E
+:0202A00000C09C
+:0202A10000BD9E
+:0202A200FD3429
+:0202A300052133
+:0202A400F7A1C0
+:0202A5000DB892
+:0202A600E62F41
+:0202A7006914D8
+:0202A80032978B
+:0202A900ED3A2C
+:0202AA000A8CBC
+:0202AB00F93820
+:0202AC0004ABA1
+:0202AD00FCC093
+:0202AE0001AE9F
+:0202AF00003B12
+:0202B00000C884
+:0202B10000A8A3
+:0202B200FD4EFF
+:0202B300050341
+:0202B400F7C988
+:0202B5000D7EBC
+:0202B600E68BD5
+:0202B7006B12C8
+:0202B8002FD243
+:0202B900EE1144
+:0202BA000A191F
+:0202BB00F97BCD
+:0202BC000486B6
+:0202BD00FCCD76
+:0202BE0001B18C
+:0202BF00003706
+:0202C00000D06C
+:0202C1000094A7
+:0202C200FD6AD3
+:0202C30004E154
+:0202C400F7F64B
+:0202C5000D39F1
+:0202C600E6FB55
+:0202C7006CFDCC
+:0202C8002D10F7
+:0202C900EEEE57
+:0202CA0009A287
+:0202CB00F9C177
+:0202CC000460CC
+:0202CD00FCDB58
+:0202CE0001B37A
+:0202CF000033FA
+:0202D00000D854
+:0202D100007EAD
+:0202D200FD87A6
+:0202D30004BC69
+:0202D400F82907
+:0202D5000CEC2F
+:0202D600E77DC2
+:0202D7006ED3E4
+:0202D8002A50AA
+:0202D900EFD163
+:0202DA000927F2
+:0202DB00FA0A1D
+:0202DC000438E4
+:0202DD00FCEB38
+:0202DE0001B469
+:0202DF00002FEE
+:0202E00000E03C
+:0202E1000068B3
+:0202E200FDA677
+:0202E300049481
+:0202E400F861BF
+:0202E5000C9576
+:0202E600E8131B
+:0202E700709510
+:0202E800279558
+:0202E900F0BA69
+:0202EA0008A862
+:0202EB00FA55C2
+:0202EC00040EFE
+:0202ED00FCFC17
+:0202EE0001B558
+:0202EF00002CE1
+:0202F00000E923
+:0202F1000051BA
+:0202F200FDC746
+:0202F30004689D
+:0202F400F89F71
+:0202F5000C34C7
+:0202F600E8BC62
+:0202F700724251
+:0202F80024E000
+:0202F900F1A86A
+:0202FA000825D5
+:0202FB00FAA265
+:0202FC0003E31A
+:0202FD00FD0EF4
+:0202FE0001B548
+:0202FF000028D5
+:0203000000F10A
+:02030100003AC0
+:02030200FDEA12
+:020303000439BB
+:02030400F8E21D
+:020305000BCA21
+:02030600E97993
+:0203070073D8A9
+:020308002230A1
+:02030900F29A66
+:02030A0007A04A
+:02030B00FAF204
+:02030C0003B636
+:02030D00FD21D0
+:02030E0001B438
+:02030F000025C7
+:0203100000FAF1
+:020311000022C8
+:02031200FE0EDD
+:020313000407DD
+:02031400F92BC3
+:020315000B5685
+:02031600EA4AB1
+:02031700755718
+:020318001F873D
+:02031900F38F60
+:02031A000718C2
+:02031B00FB44A1
+:02031C00038854
+:02031D00FD35AC
+:02031E0001B329
+:02031F000022BA
+:020320000102D8
+:020321000009D1
+:02032200FE34A7
+:0203230003D203
+:02032400F97965
+:020325000AD9F3
+:02032600EB2EBC
+:0203270076C09E
+:020328001CE6D1
+:02032900F48856
+:02032A00068E3D
+:02032B00FB973E
+:02032C00035874
+:02032D00FD4A87
+:02032E0001B11B
+:02032F00001FAD
+:02033000010BBF
+:02033100FFF0DB
+:02033200FE5C6F
+:02033300039A2B
+:02033400F9CC02
+:020335000A5468
+:02033600EC27B2
+:0203370078103C
+:020338001A4D5C
+:02033900F5824B
+:02033A000602B9
+:02033B00FBEBDA
+:02033C00032795
+:02033D00FD6061
+:02033E0001AF0D
+:02033F00001CA0
+:020340000113A7
+:02034100FFD6E5
+:02034200FE8437
+:02034300035F56
+:02034400FA2499
+:0203450009C5E8
+:02034600ED3395
+:020347007948F3
+:0203480017BEDE
+:02034900F67F3D
+:02034A00057438
+:02034B00FC4173
+:02034C0002F6B7
+:02034D00FD773A
+:02034E0001AC00
+:02034F00001A92
+:02035000011C8E
+:02035100FFBCEF
+:02035200FEAFFC
+:02035300032184
+:02035400FA822B
+:02035500092D70
+:02035600EE5463
+:020357007A68C2
+:02035800153955
+:02035900F77C2F
+:02035A0004E5B8
+:02035B00FC980C
+:02035C0002C3DA
+:02035D00FD8E13
+:02035E0001A9F3
+:02035F00001785
+:02036000012575
+:02036100FFA2F9
+:02036200FEDBC0
+:0203630002E1B5
+:02036400FAE4B9
+:02036500088D01
+:02036600EF881E
+:020367007B6EAB
+:0203680012BFC2
+:02036900F87A20
+:02036A00045538
+:02036B00FCF0A4
+:02036C00028FFE
+:02036D00FDA7EA
+:02036E0001A5E7
+:02036F00001577
+:02037000012D5D
+:02037100FF8704
+:02037200FF0882
+:02037300029DE9
+:02037400FB4A42
+:0203750007E59A
+:02037600F0D0C5
+:020377007C5AAE
+:02037800105122
+:02037900F97712
+:02037A0003C5B9
+:02037B00FD483B
+:02037C00025B22
+:02037D00FDC0C1
+:02037E0001A1DB
+:02037F00001369
+:02038000013545
+:02038100FF6B10
+:02038200FF3644
+:0203830002581E
+:02038400FBB5C7
+:0203850007343B
+:02038600F22B58
+:020387007D2DCA
+:020388000DEF77
+:02038900FA7404
+:02038A0003343A
+:02038B00FDA0D3
+:02038C00022746
+:02038D00FDD998
+:02038E00019CD0
+:02038F0000115B
+:02039000013E2C
+:02039100FF501B
+:02039200FF6505
+:02039300021056
+:02039400FC2546
+:02039500067CE4
+:02039600F39AD8
+:020397007DE502
+:020398000B9ABE
+:02039900FB6FF8
+:02039A0002A4BB
+:02039B00FDF96A
+:02039C0001F26C
+:02039D00FDF36E
+:02039E000197C5
+:02039F00000F4D
+:0203A000014614
+:0203A100FF3427
+:0203A200FF95C5
+:0203A30001C592
+:0203A400FC98C3
+:0203A50005BC95
+:0203A600F51D43
+:0203A7007E8254
+:0203A8000954F6
+:0203A900FC69ED
+:0203AA0002143B
+:0203AB00FE5200
+:0203AC0001BC92
+:0203AD00FE0E42
+:0203AE000191BB
+:0203AF00000E3E
+:0203B000014EFC
+:0203B100FF1833
+:0203B200FFC783
+:0203B3000179CE
+:0203B400FD0F3B
+:0203B50004F54D
+:0203B600F6B29D
+:0203B7007F04C1
+:0203B800071B21
+:0203B900FD60E5
+:0203BA000185BB
+:0203BB00FEAA98
+:0203BC000187B7
+:0203BD00FE2917
+:0203BE00018CB0
+:0203BF00000C30
+:0203C0000156E4
+:0203C100FEFC40
+:0203C200FFF941
+:0203C300012A0D
+:0203C400FD8AB0
+:0203C50004270B
+:0203C600F85AE3
+:0203C7007F6C49
+:0203C80004F23D
+:0203C900FE54E0
+:0203CA0000F839
+:0203CB00FF022F
+:0203CC000151DD
+:0203CD00FE44EC
+:0203CE000185A7
+:0203CF00000B21
+:0203D000015ECC
+:0203D100FEE04C
+:0203D200002CFD
+:0203D30000DA4E
+:0203D400FE0821
+:0203D5000353D0
+:0203D600FA1516
+:0203D7007FB8ED
+:0203D80002D849
+:0203D900FF44DF
+:0203DA00006CB5
+:0203DB00FF59C8
+:0203DC00011C02
+:0203DD00FE60C0
+:0203DE00017F9D
+:0203DF00000814
+:0203E0000166B4
+:0203E100FEC458
+:0203E2000060B9
+:0203E300008890
+:0203E400FE8A8F
+:0203E50002799B
+:0203E600FBE139
+:0203E7007FE9AC
+:0203E80000CE45
+:0203E9000031E1
+:0203EA00FFE230
+:0203EB00FFB061
+:0203EC0000E629
+:0203ED00FE7C94
+:0203EE00017894
+:0203EF00000B01
+:0203F000016D9D
+:0203F100FEA864
+:0203F200009475
+:0203F3000035D3
+:0203F400FF0EFA
+:0203F50001996C
+:0203F600FDC048
+:0203F7007FFE87
+:0203F800FED431
+:0203F9000118E9
+:0203FA00FF59A9
+:0203FB000005FB
+:0203FC0000B24D
+:0203FD00FE9868
+:0203FE0001718B
+:0203FF000022DA
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..917422345ea23241a9b8bbe1e4a2b51f80ab1b47
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_11_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100019369
+:02000200FE2ED0
+:02000300014DAD
+:02000400FF1FDC
+:0200050000C039
+:02000600FEB842
+:020007000411E2
+:020008007F8EE9
+:02000900F904F8
+:02000A0003DC15
+:02000B00FDB244
+:02000C000110E1
+:02000D000013DE
+:02000E00FED61C
+:02000F00016886
+:020010000010DE
+:0200110001AB41
+:02001200FDBF30
+:02001300022ABF
+:02001400FDB538
+:020015000302E4
+:02001600FAD618
+:020017000CFAE1
+:020018007D7BEE
+:02001900F2B340
+:02001A0006FAE4
+:02001B00FBD018
+:02001C00024997
+:02001D00FF469C
+:02001E00FF4B96
+:02001F00014698
+:020020000019C5
+:0200210001BB21
+:02002200FD5887
+:020023000301D7
+:02002400FC4D91
+:02002500054A8A
+:02002600F6DC06
+:0200270016B809
+:0200280079C19C
+:02002900ED9850
+:02002A00099E2D
+:02002B00FA30A9
+:02002C00035D72
+:02002D00FE8B48
+:02002E00FFBB16
+:02002F000123AB
+:020030000025A9
+:0200310001C408
+:02003200FCFED2
+:0200330003CAFE
+:02003400FAF5DB
+:02003500077F43
+:02003600F2F0E6
+:02003700211D89
+:020038007478DA
+:02003900E9BA22
+:02003A000BB702
+:02003B00F8DFEC
+:02003C0004447A
+:02003D00FDE6DE
+:02003E0000259B
+:02003F0000FFC0
+:0200400000338B
+:0200410001C3F9
+:02004200FCB50B
+:02004300047B3C
+:02004400F9BA07
+:02004500098C24
+:02004600EF3891
+:020047002BF696
+:020048006DC188
+:02004900E71AB4
+:02004A000D3A6D
+:02004B00F7E4D8
+:02004C0004F7B7
+:02004D00FD5C58
+:02004E0000852B
+:02004F0000DCD3
+:0200500000446A
+:0200510001B6F6
+:02005200FC812F
+:02005300050E98
+:02005400F8AB07
+:020055000B5846
+:02005600EBE0DD
+:02005700370868
+:0200580065C57C
+:02005900E5AD13
+:02005A000E2472
+:02005B00F74468
+:02005C00057528
+:02005D00FCEFB6
+:02005E0000DAC6
+:02005F0000BBE4
+:02006000005846
+:02006100019EFE
+:02006200FC663A
+:02006300057B1B
+:02006400F7D5CE
+:020065000CCDC0
+:02006600E9119E
+:0200670042183D
+:020068005CB585
+:02006900E5624E
+:02006A000E7511
+:02006B00F7009C
+:02006C0005BBD2
+:02006D00FCA1F4
+:02006E0001226D
+:02006F00009BF4
+:0200700000701E
+:02007100017814
+:02007200FC662A
+:0200730005BBCB
+:02007400F7444F
+:020075000DD6A6
+:02007600E6F4AE
+:020077004CE853
+:0200780052C86C
+:02007900E6207F
+:02007A000E3640
+:02007B00F71478
+:02007C0005CAB3
+:02007D00FC7312
+:02007E00015D22
+:02007F00007F00
+:02008000008BF3
+:02008100014537
+:02008200FC83FD
+:0200830005CAAC
+:02008400F70083
+:020085000E6308
+:02008600E5AEE5
+:020087005737E9
+:020088004839F5
+:02008900E7C9C5
+:02008A000D71F6
+:02008B00F77A02
+:02008C0005A5C8
+:02008D00FC6213
+:02008E00018AE5
+:02008F0000650A
+:0200900000A9C5
+:02009100010468
+:02009200FCC0B0
+:0200930005A3C3
+:02009400F71360
+:020095000E64F7
+:02009600E56023
+:0200970060CB3C
+:020098003D46E3
+:02009900EA3843
+:02009A000C3622
+:02009B00F82B40
+:02009C0005500D
+:02009D00FC6EF7
+:02009E0001AAB5
+:02009F00004F10
+:0200A00000C995
+:0200A10000B6A7
+:0200A200FD1B44
+:0200A300054511
+:0200A400F77FE4
+:0200A5000DD07C
+:0200A600E6284A
+:0200A700696886
+:0200A800322DF7
+:0200A900ED4820
+:0200AA000A98B2
+:0200AB00F91C3E
+:0200AC0004D27C
+:0200AD00FC95C0
+:0200AE0001BD92
+:0200AF00003C13
+:0200B00000EB63
+:0200B100005CF1
+:0200B200FD95BA
+:0200B30004AF98
+:0200B400F8470B
+:0200B5000CA39A
+:0200B600E81A46
+:0200B70070DCFB
+:0200B800272CF3
+:0200B900F0CF86
+:0200BA0008AD8F
+:0200BB00FA3F0A
+:0200BC0004310D
+:0200BD00FCD273
+:0200BE0001C57A
+:0200BF00002C13
+:0200C000010F2E
+:0200C100FFF846
+:0200C200FE2B13
+:0200C30003E553
+:0200C400F968D9
+:0200C5000ADE51
+:0200C600EB4409
+:0200C70076F7CA
+:0200C8001C809A
+:0200C900F4A39E
+:0200CA00068CA2
+:0200CB00FB89AF
+:0200CC000374BB
+:0200CD00FD2311
+:0200CE0001C16E
+:0200CF00001F10
+:0200D0000133FA
+:0200D100FF8BA3
+:0200D200FEDA54
+:0200D30002EA3F
+:0200D400FADD53
+:0200D50008879A
+:0200D600EFAD8C
+:0200D7007B9517
+:0200D800125DB7
+:0200D900F89B92
+:0200DA00044BD5
+:0200DB00FCEA3D
+:0200DC0002A47C
+:0200DD00FD83A1
+:0200DE0001B56A
+:0200DF0000150A
+:0200E0000155C8
+:0200E100FF1806
+:0200E200FF9E7F
+:0200E30001C456
+:0200E400FC9B83
+:0200E50005AB69
+:0200E600F551D2
+:0200E7007E9900
+:0200E80008F816
+:0200E900FC8E8B
+:0200EA0002030F
+:0200EB00FE54C1
+:0200EC0001CA47
+:0200ED00FDEF25
+:0200EE0001A16E
+:0200EF00000E01
+:0200F000017697
+:0200F100FEA36C
+:0200F20000719B
+:0200F300007D8E
+:0200F400FE9775
+:0200F500025EA9
+:0200F600FC24E8
+:0200F7007FEE9A
+:0200F80000798D
+:0200F900005AAB
+:0200FA00FFC93C
+:0200FB00FFBA4A
+:0200FC0000EC16
+:0200FD00FE61A2
+:0200FE00018778
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..7b83fbdee022e66937e6de7f9072f4233de05aad
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000017E7
+:02000100017587
+:02000200FE8A74
+:0200030000CC2F
+:02000400FFDB20
+:02000500FF9D5D
+:0200060000A553
+:02000700FFCF29
+:020008007FF780
+:02000900FCCE2B
+:02000A000209E9
+:02000B00FECB2A
+:02000C00005F93
+:02000D00007A77
+:02000E00FEB63C
+:02000F00016985
+:020010000007E7
+:02001100017C70
+:02001200FE6E80
+:020013000101E9
+:02001400FF8566
+:020015000026C3
+:02001600FFBB2E
+:0200170001D115
+:020018007FD493
+:02001900FAF9F2
+:02001A0002E7FB
+:02001B00FE499C
+:02001C0000B131
+:02001D0000469B
+:02001E00FED210
+:02001F0001627C
+:02002000000BD3
+:0200210001825A
+:02002200FE528C
+:020023000136A4
+:02002400FF2EAD
+:0200250000B227
+:02002600FECC0E
+:0200270003E3F1
+:020028007F95C2
+:02002900F935A7
+:02002A0003BE13
+:02002B00FDC90D
+:02002C000102CF
+:02002D000012BF
+:02002E00FEEEE4
+:02002F00015A74
+:02003000000BC3
+:02003100018943
+:02003200FE3797
+:02003300016C5E
+:02003400FED6F6
+:02003500013E8A
+:02003600FDDAF1
+:020037000604BD
+:020038007F3C0B
+:02003900F7844A
+:02003A00048F31
+:02003B00FD4C7A
+:02003C0001526F
+:02003D00FFE0E2
+:02003E00FF0AB7
+:02003F0001526C
+:02004000000DB1
+:02004100018F2D
+:02004200FE1BA3
+:0200430001A119
+:02004400FE7E3E
+:0200450001CDEB
+:02004600FCE5D7
+:0200470008357A
+:020048007EC771
+:02004900F5E5DB
+:02004A00055956
+:02004B00FCD3E4
+:02004C00019F12
+:02004D00FFAE04
+:02004E00FF268B
+:02004F00014A64
+:02005000000EA0
+:02005100019418
+:02005200FE01AD
+:0200530001D7D3
+:02005400FE2587
+:02005500025C4B
+:02005600FBECC1
+:020057000A7528
+:020058007E37F1
+:02005900F45958
+:02005A00061D81
+:02005B00FC5E49
+:02005C0001EBB6
+:02005D00FF7D25
+:02005E00FF425F
+:02005F0001425C
+:0200600000108E
+:02006100019903
+:02006200FDE6B9
+:02006300020C8D
+:02006400FDCDD0
+:0200650002ECAB
+:02006600FAF2AC
+:020067000CC3C8
+:020068007D8C8D
+:02006900F2E0C3
+:02006A0006D9B5
+:02006B00FBECAC
+:02006C0002345C
+:02006D00FF4D45
+:02006E00FF5E33
+:02006F00013A54
+:0200700000127C
+:02007100019EEE
+:02007200FDCCC3
+:02007300024148
+:02007400FD7419
+:02007500037C0A
+:02007600F9F699
+:020077000F1E5A
+:020078007CC743
+:02007900F17B19
+:02007A00078DF0
+:02007B00FB7F09
+:02007C00027B05
+:02007D00FF1E64
+:02007E00FF7908
+:02007F0001314D
+:0200800000146A
+:0200810001A3D9
+:02008200FDB3CC
+:02008300027504
+:02008400FD1C61
+:02008500040D68
+:02008600F8F888
+:020087001187DF
+:020088007BE714
+:02008900F0295C
+:02008A00083A32
+:02008B00FB1662
+:02008C0002BFB1
+:02008D00FEF182
+:02008E00FF94DD
+:02008F00012945
+:02009000001658
+:0200910001A7C5
+:02009200FD9AD5
+:0200930002A9C0
+:02009400FCC4AA
+:02009500049DC8
+:02009600F7FB76
+:0200970013FB59
+:020098007AEEFE
+:02009900EEEB8C
+:02009A0008DE7E
+:02009B00FAB2B7
+:02009C0003015E
+:02009D00FEC59E
+:02009E00FFAFB2
+:02009F0001203E
+:0200A000001945
+:0200A10001ABB1
+:0200A200FD82DD
+:0200A30002DC7D
+:0200A400FC6CF2
+:0200A500052C28
+:0200A600F6FD65
+:0200A700167AC7
+:0200A80079DB02
+:0200A900EDC1A7
+:0200AA00097AD1
+:0200AB00FA5207
+:0200AC0003400F
+:0200AD00FE99BA
+:0200AE00FFC988
+:0200AF00011836
+:0200B000001B33
+:0200B10001AE9E
+:0200B200FD6BE4
+:0200B300030F39
+:0200B400FC1638
+:0200B50005BB89
+:0200B600F60052
+:0200B70019042A
+:0200B80078AF1F
+:0200B900ECAAAF
+:0200BA000A0D2D
+:0200BB00F9F852
+:0200BC00037DC2
+:0200BD00FE70D3
+:0200BE00FFE35E
+:0200BF00010F2F
+:0200C000001E20
+:0200C10001B08C
+:0200C200FD55EA
+:0200C3000340F8
+:0200C400FBC17E
+:0200C5000648EB
+:0200C600F5053E
+:0200C7001B9884
+:0200C800776B54
+:0200C900EBA8A2
+:0200CA000A9892
+:0200CB00F9A298
+:0200CC0003B679
+:0200CD00FE48EB
+:0200CE00FFFC35
+:0200CF00010727
+:0200D00000210D
+:0200D10001B27A
+:0200D200FD3FF0
+:0200D3000370B8
+:0200D400FB6DC2
+:0200D50006D350
+:0200D600F40B29
+:0200D7001E35D4
+:0200D800760FA1
+:0200D900EAB982
+:0200DA000B1900
+:0200DB00F951D9
+:0200DC0003ED32
+:0200DD00FE2102
+:0200DE0000150B
+:0200DF0000FE21
+:0200E0000024FA
+:0200E10001B468
+:0200E200FD2BF4
+:0200E300039F79
+:0200E400FB1B04
+:0200E500075CB6
+:0200E600F31411
+:0200E70020DA1D
+:0200E800749B07
+:0200E900E9DF4D
+:0200EA000B9178
+:0200EB00F90614
+:0200EC000421ED
+:0200ED00FDFC18
+:0200EE00002EE2
+:0200EF0000F51A
+:0200F0000027E7
+:0200F10001B557
+:0200F200FD17F8
+:0200F30003CD3B
+:0200F400FACA46
+:0200F50007E31F
+:0200F600F220F6
+:0200F70023875D
+:0200F800731083
+:0200F900E91804
+:0200FA000C00F8
+:0200FB00F8C04B
+:0200FC000451AD
+:0200FD00FDD82C
+:0200FE000045BB
+:0200FF0000ED12
+:02010000002AD3
+:0201010001B546
+:02010200FD05F9
+:0201030003F9FE
+:02010400FA7B84
+:02010500086789
+:02010600F130D6
+:02010700263A96
+:02010800716E16
+:02010900E865A7
+:02010A000C6582
+:02010B00F87F7B
+:02010C00047E6F
+:02010D00FDB73C
+:02010E00005D92
+:02010F0000E509
+:02011000002DC0
+:0201110001B536
+:02011200FCF3FC
+:020113000424C2
+:02011400FA2FC0
+:0201150008E8F8
+:02011600F045B2
+:0201170028F2CC
+:020118006FB7BF
+:02011900E7C637
+:02011A000CC116
+:02011B00F844A6
+:02011C0004A835
+:02011D00FD974C
+:02011E0000736C
+:02011F0000DC02
+:020120000031AC
+:0201210001B427
+:02012200FCE3FC
+:02012300044C8A
+:02012400F9E5FB
+:0201250009656A
+:02012600EF5F89
+:020127002BB0FB
+:020128006DEB7D
+:02012900E739B4
+:02012A000D14B2
+:02012B00F80FCB
+:02012C0004CFFE
+:02012D00FD785B
+:02012E00008946
+:02012F0000D4FA
+:02013000003598
+:0201310001B219
+:02013200FCD4FB
+:02013300047452
+:02013400F99E32
+:0201350009DEE1
+:02013600EE7E5B
+:020137002E7127
+:020138006C0A4F
+:02013900E6C11D
+:02013A000D5D59
+:02013B00F7DFEC
+:02013C0004F2CB
+:02013D00FD5C67
+:02013E00009E21
+:02013F0000CCF2
+:02014000003984
+:0201410001B00B
+:02014200FCC6F9
+:0201430004991D
+:02014400F95967
+:020145000A535B
+:02014600EDA525
+:02014700313451
+:020148006A1635
+:02014900E65B73
+:02014A000D9C0A
+:02014B00F7B407
+:02014C0005129A
+:02014D00FD4172
+:02014E0000B3FC
+:02014F0000C4EA
+:02015000003D70
+:0201510001ADFE
+:02015200FCBAF5
+:0201530004BCEA
+:02015400F91898
+:020155000AC3DB
+:02015600ECD2E9
+:0201570033FA79
+:02015800680E2F
+:02015900E608B6
+:02015A000DD2C4
+:02015B00F7901B
+:02015C00052F6D
+:02015D00FD287B
+:02015E0000C6D9
+:02015F0000BCE2
+:0201600000415C
+:0201610001A9F2
+:02016200FCAFF0
+:0201630004DDB9
+:02016400F8DAC7
+:020165000B2E5F
+:02016600EC07A4
+:0201670036C19F
+:0201680065F53B
+:02016900E5C7E8
+:02016A000DFE88
+:02016B00F7712A
+:02016C00054844
+:02016D00FD1182
+:02016E0000D9B6
+:02016F0000B4DA
+:02017000004647
+:0201710001A5E6
+:02017200FCA5EA
+:0201730004FB8B
+:02017400F89FF2
+:020175000B94E9
+:02017600EB4458
+:020177003987C6
+:0201780063CA58
+:02017900E59906
+:02017A000E2154
+:02017B00F75734
+:02017C00055E1E
+:02017D00FCFC88
+:02017E0000EC93
+:02017F0000ACD2
+:02018000004B32
+:0201810001A0DB
+:02018200FC9DE2
+:0201830005185D
+:02018400F86819
+:020185000BF479
+:02018600EA8B02
+:020187003C4DED
+:02018800618F85
+:02018900E57C13
+:02018A000E3A2B
+:02018B00F74338
+:02018C000571FB
+:02018D00FCE88C
+:02018E0000FD72
+:02018F0000A5C9
+:0201900000501D
+:02019100019AD1
+:02019200FC97D8
+:02019300053134
+:02019400F8343D
+:020195000C4F0D
+:02019600E9DCA2
+:020197003F1116
+:020198005F44C2
+:02019900E5710E
+:02019A000E4A0B
+:02019B00F73536
+:02019C000580DC
+:02019D00FCD78D
+:02019E00010E50
+:02019F00009DC1
+:0201A000005508
+:0201A1000193C8
+:0201A200FC92CD
+:0201A30005490C
+:0201A400F8055C
+:0201A5000CA3A9
+:0201A600E93638
+:0201A70041D144
+:0201A8005CEA0F
+:0201A900E577F8
+:0201AA000E51F4
+:0201AB00F72C2F
+:0201AC00058CC0
+:0201AD00FCC78D
+:0201AE00011E30
+:0201AF000096B8
+:0201B000005AF3
+:0201B100018CBF
+:0201B200FC8FC0
+:0201B300055DE8
+:0201B400F7DA78
+:0201B5000CF04C
+:0201B600E89CC3
+:0201B700448E74
+:0201B8005A8269
+:0201B900E58DD2
+:0201BA000E4FE6
+:0201BB00F72922
+:0201BC000594A8
+:0201BD00FCBA8A
+:0201BE00012D11
+:0201BF00008FAF
+:0201C0000060DD
+:0201C1000183B8
+:0201C200FC8DB2
+:0201C300056FC6
+:0201C400F7B38F
+:0201C5000D36F5
+:0201C600E80D42
+:0201C7004746A9
+:0201C800580ECF
+:0201C900E5B49B
+:0201CA000E44E1
+:0201CB00F72B10
+:0201CC00059993
+:0201CD00FCAE86
+:0201CE00013BF3
+:0201CF000088A6
+:0201D0000065C8
+:0201D100017AB1
+:0201D200FC8EA1
+:0201D300057EA7
+:0201D400F791A1
+:0201D5000D76A5
+:0201D600E78BB5
+:0201D70049F8E5
+:0201D800558D43
+:0201D900E5EA55
+:0201DA000E31E4
+:0201DB00F733F8
+:0201DC00059B81
+:0201DD00FCA480
+:0201DE000148D6
+:0201DF0000819D
+:0201E000006BB2
+:0201E1000170AB
+:0201E200FC908F
+:0201E300058A8B
+:0201E400F773AF
+:0201E5000DAD5E
+:0201E600E7151B
+:0201E7004CA327
+:0201E8005301C1
+:0201E900E630FE
+:0201EA000E14F1
+:0201EB00F73FDC
+:0201EC00059A72
+:0201ED00FC9C78
+:0201EE000155B9
+:0201EF00007B93
+:0201F00000719C
+:0201F1000166A5
+:0201F200FC937C
+:0201F300059372
+:0201F400F75AB8
+:0201F5000DDD1E
+:0201F600E6AD74
+:0201F7004F4671
+:0201F800506B4A
+:0201F900E6849A
+:0201FA000DF006
+:0201FB00F751BA
+:0201FC00059567
+:0201FD00FC956F
+:0201FE0001619D
+:0201FF0000748A
+:02020000007884
+:02020100015AA0
+:02020200FC9965
+:0202030005985C
+:02020400F747BA
+:020205000E05E4
+:02020600E653BD
+:0202070051E0C4
+:020208004DCBDC
+:02020900E6E627
+:02020A000DC322
+:02020B00F76892
+:02020C00058E5D
+:02020D00FC9162
+:02020E00016C81
+:02020F00006E7F
+:02021000007E6E
+:02021100014E9C
+:02021200FCA04E
+:02021300059B49
+:02021400F738B9
+:020215000E25B4
+:02021600E607F9
+:02021700547120
+:020218004B2475
+:02021900E756A6
+:02021A000D8F46
+:02021B00F78367
+:02021C00058358
+:02021D00FC8E55
+:02021E00017667
+:02021F00006875
+:02022000008557
+:02022100014199
+:02022200FCA935
+:02022300059B39
+:02022400F72EB3
+:020225000E3D8C
+:02022600E5CA27
+:0202270056F788
+:02022800487517
+:02022900E7D319
+:02022A000D5372
+:02022B00F7A436
+:02022C00057655
+:02022D00FC8D46
+:02022E00017F4E
+:02022F0000626B
+:02023000008C40
+:02023100013397
+:02023200FCB41A
+:0202330005972D
+:02023400F729A8
+:020235000E4B6E
+:02023600E59C45
+:020237005971FB
+:0202380045BFC0
+:02023900E85C7F
+:02023A000D10A5
+:02023B00F7C802
+:02023C00056556
+:02023D00FC8E35
+:02023E00018835
+:02023F00005D60
+:02024000009329
+:02024100012496
+:02024200FCC1FD
+:02024300059024
+:02024400F72A97
+:020245000E5158
+:02024600E57F52
+:020247005BDE7C
+:0202480043046D
+:02024900E8F1DA
+:02024A000CC5E1
+:02024B00F7F2C8
+:02024C00055259
+:02024D00FC9122
+:02024E0001901D
+:02024F00005756
+:02025000009A12
+:02025100011595
+:02025200FCD0DE
+:0202530005861E
+:02025400F73180
+:020255000E4F4A
+:02025600E5724F
+:020257005E3E09
+:0202580040451F
+:02025900E99228
+:02025A000C7422
+:02025B00F81F8A
+:02025C00053C5F
+:02025D00FC950E
+:02025E00019706
+:02025F0000524B
+:0202600000A1FB
+:02026100010496
+:02026200FCE0BE
+:0202630005781C
+:02026400F73C65
+:020265000E4247
+:02026600E5753C
+:020267006090A5
+:020268003D83D4
+:02026900EA3D6C
+:02026A000C1D69
+:02026B00F85148
+:02026C00052368
+:02026D00FC9AF9
+:02026E00019DF0
+:02026F00004D40
+:0202700000A9E3
+:0202710000F398
+:02027200FCF39B
+:0202730005671D
+:02027400F74E43
+:020275000E2D4C
+:02027600E58A17
+:0202770062D251
+:020278003ABE8C
+:02027900EAF2A7
+:02027A000BBFB8
+:02027B00F88603
+:02027C00050873
+:02027D00FCA2E1
+:02027E0001A3DA
+:02027F00004835
+:0202800000B0CC
+:0202810000E19A
+:02028200FD0776
+:02028300055222
+:02028400F7651C
+:020285000E0E5B
+:02028600E5B1E0
+:0202870065040C
+:0202880037F845
+:02028900EBB1D7
+:02028A000B5C0B
+:02028B00F8BFBA
+:02028C0004EA82
+:02028D00FCABC8
+:02028E0001A7C6
+:02028F0000432A
+:0202900000B8B4
+:0202910000CF9C
+:02029200FD1E4F
+:02029300053B29
+:02029400F781F0
+:020295000DE674
+:02029600E5E998
+:020297006725D9
+:020298003531FE
+:02029900EC78FF
+:02029A000AF365
+:02029B00F8FC6D
+:02029C0004CB91
+:02029D00FCB5AE
+:02029E0001ABB2
+:02029F00003F1E
+:0202A00000C09C
+:0202A10000BBA0
+:0202A200FD3627
+:0202A300051F35
+:0202A400F7A4BD
+:0202A5000DB595
+:0202A600E6343C
+:0202A7006935B7
+:0202A800326BB7
+:0202A900ED471F
+:0202AA000A84C4
+:0202AB00F93C1C
+:0202AC0004A8A4
+:0202AD00FCC192
+:0202AE0001AF9E
+:0202AF00003B12
+:0202B00000C884
+:0202B10000A7A4
+:0202B200FD50FD
+:0202B300050143
+:0202B400F7CB86
+:0202B5000D7AC0
+:0202B600E692CE
+:0202B7006B31A9
+:0202B8002FA66F
+:0202B900EE1E37
+:0202BA000A1226
+:0202BB00F97FC9
+:0202BC000484B8
+:0202BD00FCCE75
+:0202BE0001B18C
+:0202BF00003706
+:0202C00000D06C
+:0202C1000092A9
+:0202C200FD6BD2
+:0202C30004DF56
+:0202C400F7F948
+:0202C5000D35F5
+:0202C600E7024D
+:0202C7006D1BAD
+:0202C8002CE424
+:0202C900EEFC49
+:0202CA00099A8F
+:0202CB00F9C672
+:0202CC00045ECE
+:0202CD00FCDC57
+:0202CE0001B37A
+:0202CF000033FA
+:0202D00000D953
+:0202D100007DAE
+:0202D200FD89A4
+:0202D30004BA6B
+:0202D400F82C04
+:0202D5000CE734
+:0202D600E786B9
+:0202D7006EF0C7
+:0202D8002A25D5
+:0202D900EFE054
+:0202DA00091FFA
+:0202DB00FA0E19
+:0202DC000436E6
+:0202DD00FCEC37
+:0202DE0001B469
+:0202DF00002FEE
+:0202E00000E13B
+:0202E1000066B5
+:0202E200FDA875
+:0202E300049184
+:0202E400F865BB
+:0202E5000C8F7C
+:0202E600E81D11
+:0202E70070B1F4
+:0202E800276A83
+:0202E900F0C95A
+:0202EA0008A06A
+:0202EB00FA5ABD
+:0202EC00040C00
+:0202ED00FCFD16
+:0202EE0001B558
+:0202EF00002BE2
+:0202F00000E923
+:0202F1000050BB
+:0202F200FDC944
+:0202F3000465A0
+:0202F400F8A36D
+:0202F5000C2DCE
+:0202F600E8C757
+:0202F700725C37
+:0202F80024B42C
+:0202F900F1B75B
+:0202FA00081DDD
+:0202FB00FAA760
+:0202FC0003E01D
+:0202FD00FD0FF3
+:0202FE0001B548
+:0202FF000028D5
+:0203000000F209
+:020301000038C2
+:02030200FDEC10
+:020303000436BE
+:02030400F8E718
+:020305000BC328
+:02030600E98587
+:0203070073F190
+:020308002205CC
+:02030900F2A957
+:02030A00079852
+:02030B00FAF7FF
+:02030C0003B339
+:02030D00FD22CF
+:02030E0001B438
+:02030F000025C7
+:0203100000FAF1
+:020311000020CA
+:02031200FE11DA
+:020313000404E0
+:02031400F930BE
+:020315000B4F8C
+:02031600EA57A4
+:02031700756F00
+:020318001F5D67
+:02031900F39F50
+:02031A000710CA
+:02031B00FB499C
+:02031C00038557
+:02031D00FD36AB
+:02031E0001B329
+:02031F000022BA
+:020320000103D7
+:020321000007D3
+:02032200FE37A4
+:0203230003CF06
+:02032400F97E60
+:020325000AD1FB
+:02032600EB3DAD
+:0203270076D688
+:020328001CBCFB
+:02032900F49747
+:02032A00068546
+:02032B00FB9C39
+:02032C00035577
+:02032D00FD4B86
+:02032E0001B11B
+:02032F00001FAD
+:02033000010BBF
+:02033100FFEEDD
+:02033200FE5E6D
+:0203330003962F
+:02033400F9D2FC
+:020335000A4B71
+:02033600EC37A2
+:02033700782428
+:020338001A2485
+:02033900F5923B
+:02033A0005F9C3
+:02033B00FBF1D4
+:02033C00032498
+:02033D00FD6160
+:02033E0001AF0D
+:02033F00001CA0
+:020340000114A6
+:02034100FFD5E6
+:02034200FE8734
+:02034300035B5A
+:02034400FA2A93
+:0203450009BBF2
+:02034600ED4583
+:02034700795BE0
+:02034800179507
+:02034900F68E2E
+:02034A00056B41
+:02034B00FC476D
+:02034C0002F2BB
+:02034D00FD7839
+:02034E0001AC00
+:02034F00001A92
+:02035000011D8D
+:02035100FFBAF1
+:02035200FEB2F9
+:02035300031D88
+:02035400FA8825
+:0203550009237A
+:02035600EE6651
+:020357007A79B1
+:0203580015117D
+:02035900F78C1F
+:02035A0004DCC1
+:02035B00FC9D07
+:02035C0002C0DD
+:02035D00FD9011
+:02035E0001A9F3
+:02035F00001785
+:02036000012575
+:02036100FFA0FB
+:02036200FEDDBE
+:0203630002DCBA
+:02036400FAEAB3
+:0203650008830B
+:02036600EF9C0A
+:020367007B7D9C
+:020368001298E9
+:02036900F88911
+:02036A00044C41
+:02036B00FCF59F
+:02036C00028C01
+:02036D00FDA8E9
+:02036E0001A5E7
+:02036F00001577
+:02037000012E5C
+:02037100FF8506
+:02037200FF0A80
+:020373000299ED
+:02037400FB513B
+:0203750007DAA5
+:02037600F0E5B0
+:020377007C68A0
+:02037800102A49
+:02037900F98702
+:02037A0003BCC2
+:02037B00FD4D36
+:02037C00025825
+:02037D00FDC1C0
+:02037E0001A0DC
+:02037F00001369
+:02038000013644
+:02038100FF6A11
+:02038200FF3941
+:02038300025323
+:02038400FBBCC0
+:02038500072946
+:02038600F24241
+:020387007D39BE
+:020388000DC99D
+:02038900FA84F4
+:02038A00032B43
+:02038B00FDA6CD
+:02038C0002234A
+:02038D00FDDB96
+:02038E00019CD0
+:02038F0000115B
+:02039000013E2C
+:02039100FF4E1D
+:02039200FF6802
+:02039300020B5B
+:02039400FC2C3F
+:020395000670F0
+:02039600F3B2C0
+:020397007DEFF8
+:020398000B76E2
+:02039900FB7FE8
+:02039A00029BC4
+:02039B00FDFF64
+:02039C0001EE70
+:02039D00FDF56C
+:02039E000197C5
+:02039F00000F4D
+:0203A000014713
+:0203A100FF3229
+:0203A200FF98C2
+:0203A30001C097
+:0203A400FC9FBC
+:0203A50005B0A1
+:0203A600F5352B
+:0203A7007E8B4B
+:0203A80009301A
+:0203A900FC78DE
+:0203AA00020B44
+:0203AB00FE57FB
+:0203AC0001B995
+:0203AD00FE1040
+:0203AE000191BB
+:0203AF00000E3E
+:0203B000014FFB
+:0203B100FF1734
+:0203B200FFCA80
+:0203B3000174D3
+:0203B400FD1733
+:0203B50004E85A
+:0203B600F6CC83
+:0203B7007F0CB9
+:0203B80006F845
+:0203B900FD6FD6
+:0203BA00017DC3
+:0203BB00FEB092
+:0203BC000183BB
+:0203BD00FE2B15
+:0203BE00018BB1
+:0203BF00000C30
+:0203C0000157E3
+:0203C100FEFA42
+:0203C200FFFC3E
+:0203C300012512
+:0203C400FD92A8
+:0203C500041A18
+:0203C600F875C8
+:0203C7007F7144
+:0203C80004CF60
+:0203C900FE63D1
+:0203CA0000EF42
+:0203CB00FF0829
+:0203CC00014EE0
+:0203CD00FE46EA
+:0203CE000185A7
+:0203CF00000B21
+:0203D000015ECC
+:0203D100FEDE4E
+:0203D200002FFA
+:0203D30000D553
+:0203D400FE1019
+:0203D5000346DD
+:0203D600FA31FA
+:0203D7007FBCE9
+:0203D80002B66B
+:0203D900FF53D0
+:0203DA000063BE
+:0203DB00FF5FC2
+:0203DC00011806
+:0203DD00FE62BE
+:0203DE00017E9E
+:0203DF00000814
+:0203E0000166B4
+:0203E100FEC25A
+:0203E2000063B6
+:0203E300008395
+:0203E400FE9287
+:0203E500026BA9
+:0203E600FBFF1B
+:0203E7007FEBAA
+:0203E80000AE65
+:0203E900003FD3
+:0203EA00FFD939
+:0203EB00FFB55C
+:0203EC0000E32C
+:0203ED00FE7E92
+:0203EE00017894
+:0203EF00000C00
+:0203F000016D9D
+:0203F100FEA666
+:0203F200009772
+:0203F300002FD9
+:0203F400FF16F2
+:0203F500018B7A
+:0203F600FDDE2A
+:0203F7007FFF86
+:0203F800FEB550
+:0203F9000127DA
+:0203FA00FF51B1
+:0203FB00000AF6
+:0203FC0000AE51
+:0203FD00FE9A66
+:0203FE0001718B
+:0203FF000023D9
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..f8d36ee673f030441eb4a7785fc8e22ddccb7763
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_12_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100019468
+:02000200FE27D7
+:02000300015B9F
+:02000400FF09F2
+:0200050000E316
+:02000600FE7B7F
+:0200070004995A
+:020008007F7AFD
+:02000900F89667
+:02000A000411DF
+:02000B00FD9363
+:02000C000124CD
+:02000D000006EB
+:02000E00FEDE14
+:02000F00016688
+:020010000011DD
+:0200110001AC40
+:02001200FDB837
+:020013000238B1
+:02001400FD9F4E
+:020015000327BF
+:02001600FA9757
+:020017000D904A
+:020018007D4C1D
+:02001900F2589B
+:02001A000728B5
+:02001B00FBB434
+:02001C00025C84
+:02001D00FF3AA8
+:02001E00FF528F
+:02001F0001449A
+:02002000001AC4
+:0200210001BC20
+:02002200FD528D
+:02002300030ECA
+:02002400FC37A7
+:02002500056E66
+:02002600F69D45
+:02002700175A66
+:020028007978E5
+:02002900ED5197
+:02002A0009C407
+:02002B00FA19C0
+:02002C00036D62
+:02002D00FE8053
+:02002E00FFC20F
+:02002F000121AD
+:020030000025A9
+:0200310001C408
+:02003200FCF9D7
+:0200330003D5F3
+:02003400FAE0F0
+:0200350007A220
+:02003600F2B224
+:0200370021C8DE
+:0200380074173B
+:02003900E98755
+:02003A000BD3E6
+:02003B00F8CDFE
+:02003C0004506E
+:02003D00FDDDE7
+:02003E00002B95
+:02003F0000FDC2
+:0200400000348A
+:0200410001C2FA
+:02004200FCB10F
+:02004300048532
+:02004400F9A819
+:0200450009AB05
+:02004600EEFFCB
+:020047002CA6E5
+:020048006D4BFE
+:02004900E6FAD5
+:02004A000D4D5A
+:02004B00F7D8E4
+:02004C000501AC
+:02004D00FD555F
+:02004E00008B25
+:02004F0000DAD5
+:02005000004569
+:0200510001B5F7
+:02005200FC7F31
+:02005300051690
+:02005400F89C16
+:020055000B722C
+:02005600EBAF0E
+:0200570037BAB6
+:02005800653C05
+:02005900E5A020
+:02005A000E2D69
+:02005B00F73D6F
+:02005C00057B22
+:02005D00FCEABB
+:02005E0000DFC1
+:02005F0000B9E6
+:02006000005A44
+:02006100019C00
+:02006200FC653B
+:02006300058016
+:02006400F7CAD9
+:020065000CE1AC
+:02006600E8EAC6
+:0200670042C88D
+:020068005C1C1E
+:02006900E5664A
+:02006A000E7610
+:02006B00F6FE9F
+:02006C0005BDD0
+:02006D00FC9EF7
+:02006E00012669
+:02006F00009AF5
+:0200700000721C
+:02007100017517
+:02007200FC6729
+:0200730005BEC8
+:02007400F73D56
+:020075000DE399
+:02007600E6D9C9
+:020077004D91A9
+:02007800522311
+:02007900E6346B
+:02007A000E2D49
+:02007B00F71874
+:02007C0005C9B4
+:02007D00FC7114
+:02007E0001601F
+:02007F00007D02
+:02008000008DF1
+:0200810001413B
+:02008200FC86FA
+:0200830005C9AD
+:02008400F6FF85
+:020085000E6704
+:02008600E5A1F2
+:0200870057D749
+:02008800478CA3
+:02008900E7EAA4
+:02008A000D6007
+:02008B00F783F9
+:02008C0005A1CC
+:02008D00FC6213
+:02008E00018DE2
+:02008F0000640B
+:0200900000ABC3
+:0200910001006C
+:02009200FCC5AB
+:02009300059FC7
+:02009400F7175C
+:020095000E5FFC
+:02009600E5641F
+:02009700615CAA
+:020098003C9595
+:02009900EA6516
+:02009A000C1F39
+:02009B00F83833
+:02009C00054A13
+:02009D00FC70F5
+:02009E0001ACB3
+:02009F00004E11
+:0200A00000CB93
+:0200A10000B1AC
+:0200A200FD223D
+:0200A300053D19
+:0200A400F789DA
+:0200A5000DC28A
+:0200A600E63E34
+:0200A70069E905
+:0200A800317BAA
+:0200A900ED7EEA
+:0200AA000A7BCF
+:0200AB00F92C2E
+:0200AC0004C985
+:0200AD00FC98BD
+:0200AE0001BE91
+:0200AF00003B14
+:0200B00000EE60
+:0200B1000056F7
+:0200B200FD9EB1
+:0200B30004A4A3
+:0200B400F857FB
+:0200B5000C8BB2
+:0200B600E8431D
+:0200B70071488E
+:0200B800267FA1
+:0200B900F10B49
+:0200BA00088CB0
+:0200BB00FA53F6
+:0200BC00042618
+:0200BD00FCD76E
+:0200BE0001C57A
+:0200BF00002B14
+:0200C00001112C
+:0200C100FFF14D
+:0200C200FE3608
+:0200C30003D761
+:0200C400F97DC4
+:0200C5000ABC73
+:0200C600EB81CC
+:0200C700774D73
+:0200C8001BD942
+:0200C900F4E25F
+:0200CA000668C6
+:0200CB00FB9E9A
+:0200CC000368C7
+:0200CD00FD290B
+:0200CE0001C16E
+:0200CF00001F10
+:0200D0000135F8
+:0200D100FF84AA
+:0200D200FEE648
+:0200D30002D950
+:0200D400FAF739
+:0200D500085DC4
+:0200D600EFFE3B
+:0200D7007BD2DA
+:0200D80011C154
+:0200D900F8DA53
+:0200DA000427F9
+:0200DB00FD0026
+:0200DC00029789
+:0200DD00FD8A9A
+:0200DE0001B46B
+:0200DF0000140B
+:0200E0000158C5
+:0200E100FF110D
+:0200E200FFAB72
+:0200E30001B169
+:0200E400FCBA64
+:0200E50005799B
+:0200E600F5B66D
+:0200E7007EBBDE
+:0200E8000868A6
+:0200E900FCCC4D
+:0200EA0001DF34
+:0200EB00FE6BAA
+:0200EC0001BC55
+:0200ED00FDF61E
+:0200EE00019F70
+:0200EF00000E01
+:0200F000017895
+:0200F100FE9C73
+:0200F200007F8D
+:0200F3000068A3
+:0200F400FEB854
+:0200F5000226E1
+:0200F600FC9B71
+:0200F7007FF593
+:0200F800FFF90E
+:0200F900009471
+:0200FA00FFA75E
+:0200FB00FFD034
+:0200FC0000DE24
+:0200FD00FE689B
+:0200FE0001857A
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..2ced91ad7324deb65f8922acf33333d80f611db7
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000015E9
+:02000100017587
+:02000200FE8876
+:0200030000CF2C
+:02000400FFD526
+:02000500FFA654
+:02000600009761
+:02000700FFEF09
+:020008007FF681
+:02000900FCB049
+:02000A000217DB
+:02000B00FEC332
+:02000C0000648E
+:02000D0000767B
+:02000E00FEB83A
+:02000F00016985
+:020010000007E7
+:02001100017C70
+:02001200FE6C82
+:020013000104E6
+:02001400FF7F6C
+:02001500002FBA
+:02001600FFAC3D
+:0200170001F1F5
+:020018007FD196
+:02001900FADC0F
+:02001A0002F4EE
+:02001B00FE41A4
+:02001C0000B62C
+:02001D0000439E
+:02001E00FED40E
+:02001F0001617D
+:02002000000BD3
+:02002100018359
+:02002200FE508E
+:02002300013AA0
+:02002400FF28B3
+:0200250000BA1F
+:02002600FEBD1D
+:020027000404CF
+:020028007F91C6
+:02002900F919C3
+:02002A0003CB06
+:02002B00FDC115
+:02002C000107CA
+:02002D00000FC2
+:02002E00FEF0E2
+:02002F00015A74
+:02003000000BC3
+:02003100018943
+:02003200FE3599
+:02003300016F5B
+:02003400FED1FB
+:02003500014781
+:02003600FDCB00
+:0200370006279A
+:020038007F3512
+:02003900F76965
+:02003A00049C24
+:02003B00FD4581
+:02003C0001576A
+:02003D00FFDDE5
+:02003E00FF0CB5
+:02003F0001526C
+:02004000000DB1
+:02004100018F2D
+:02004200FE1AA4
+:0200430001A515
+:02004400FE7844
+:0200450001D6E2
+:02004600FCD5E7
+:02004700085956
+:020048007EBE7A
+:02004900F5CCF4
+:02004A00056649
+:02004B00FCCCEB
+:02004C0001A40D
+:02004D00FFAB07
+:02004E00FF2889
+:02004F00014A64
+:02005000000EA0
+:02005100019517
+:02005200FDFFB0
+:0200530001DAD0
+:02005400FE208C
+:02005500026542
+:02005600FBDDD0
+:020057000A9A03
+:020058007E2DFB
+:02005900F44170
+:02005A00062975
+:02005B00FC5750
+:02005C0001EFB2
+:02005D00FF7A28
+:02005E00FF445D
+:02005F0001415D
+:0200600000118D
+:02006100019A02
+:02006200FDE5BA
+:02006300020F8A
+:02006400FDC7D6
+:0200650002F5A2
+:02006600FAE2BC
+:020067000CE8A3
+:020068007D8099
+:02006900F2C9DA
+:02006A0006E4AA
+:02006B00FBE6B2
+:02006C00023858
+:02006D00FF4A48
+:02006E00FF5F32
+:02006F00013955
+:0200700000127C
+:02007100019FED
+:02007200FDCBC4
+:02007300024445
+:02007400FD6E1F
+:02007500038501
+:02007600F9E6A9
+:020077000F4533
+:020078007CB951
+:02007900F1652F
+:02007A000798E5
+:02007B00FB790F
+:02007C00027F01
+:02007D00FF1C66
+:02007E00FF7B06
+:02007F0001314D
+:0200800000146A
+:0200810001A3D9
+:02008200FDB2CD
+:02008300027900
+:02008400FD1667
+:0200850004165F
+:02008600F8E997
+:0200870011AEB8
+:020088007BD823
+:02008900F01570
+:02008A00084428
+:02008B00FB1068
+:02008C0002C3AD
+:02008D00FEEE85
+:02008E00FF96DB
+:02008F00012846
+:02009000001658
+:0200910001A7C5
+:02009200FD99D6
+:0200930002ACBD
+:02009400FCBEB0
+:0200950004A6BF
+:02009600F7EB86
+:02009700142231
+:020098007ADD0F
+:02009900EED89F
+:02009A0008E874
+:02009B00FAACBD
+:02009C0003055A
+:02009D00FEC2A1
+:02009E00FFB0B1
+:02009F0001203E
+:0200A000001945
+:0200A10001ABB1
+:0200A200FD81DE
+:0200A30002DF7A
+:0200A400FC67F7
+:0200A50005351F
+:0200A600F6ED75
+:0200A70016A39E
+:0200A80079C914
+:0200A900EDAFB9
+:0200AA000983C8
+:0200AB00FA4D0C
+:0200AC0003440B
+:0200AD00FE97BC
+:0200AE00FFCB86
+:0200AF00011737
+:0200B000001B33
+:0200B10001AE9E
+:0200B200FD6AE5
+:0200B300031236
+:0200B400FC113D
+:0200B50005C480
+:0200B600F5F063
+:0200B700192D01
+:0200B800789C32
+:0200B900EC9ABF
+:0200BA000A1624
+:0200BB00F9F258
+:0200BC000381BE
+:0200BD00FE6DD6
+:0200BE00FFE55C
+:0200BF00010F2F
+:0200C000001E20
+:0200C10001B08C
+:0200C200FD53EC
+:0200C3000343F5
+:0200C400FBBC83
+:0200C5000651E2
+:0200C600F4F54F
+:0200C7001BC25A
+:0200C800775669
+:0200C900EB99B1
+:0200CA000AA08A
+:0200CB00F99D9D
+:0200CC0003BA75
+:0200CD00FE45EE
+:0200CE00FFFE33
+:0200CF00010628
+:0200D00000210D
+:0200D10001B27A
+:0200D200FD3EF1
+:0200D3000373B5
+:0200D400FB68C7
+:0200D50006DC47
+:0200D600F3FC39
+:0200D7001E5FAA
+:0200D80075F8B9
+:0200D900EAAB90
+:0200DA000B21F8
+:0200DB00F94CDE
+:0200DC0003F02F
+:0200DD00FE1F04
+:0200DE00001709
+:0200DF0000FD22
+:0200E0000024FA
+:0200E10001B468
+:0200E200FD29F6
+:0200E30003A276
+:0200E400FB1609
+:0200E5000765AD
+:0200E600F30520
+:0200E7002105F1
+:0200E80074831F
+:0200E900E9D25A
+:0200EA000B9871
+:0200EB00F90119
+:0200EC000424EA
+:0200ED00FDFA1A
+:0200EE00002FE1
+:0200EF0000F51A
+:0200F0000027E7
+:0200F10001B557
+:0200F200FD16F9
+:0200F30003D038
+:0200F400FAC54B
+:0200F50007EB17
+:0200F600F21105
+:0200F70023B232
+:0200F80072F69E
+:0200F900E90C10
+:0200FA000C07F1
+:0200FB00F8BC4F
+:0200FC000454AA
+:0200FD00FDD62E
+:0200FE000047B9
+:0200FF0000EC13
+:02010000002AD3
+:0201010001B546
+:02010200FD03FB
+:0201030003FCFB
+:02010400FA7788
+:02010500086F81
+:02010600F121E5
+:0201070026656B
+:02010800715331
+:02010900E85AB2
+:02010A000C6B7C
+:02010B00F87B7F
+:02010C0004816C
+:02010D00FDB53E
+:02010E00005E91
+:02010F0000E40A
+:02011000002EBF
+:0201110001B536
+:02011200FCF2FD
+:020113000426C0
+:02011400FA2AC5
+:0201150008F0F0
+:02011600F036C1
+:02011700291E9F
+:020118006F9BDB
+:02011900E7BC41
+:02011A000CC710
+:02011B00F841A9
+:02011C0004AB32
+:02011D00FD954E
+:02011E0000746B
+:02011F0000DC02
+:020120000031AC
+:0201210001B427
+:02012200FCE2FD
+:02012300044F87
+:02012400F9E1FF
+:02012500096C63
+:02012600EF5197
+:020127002BDCCF
+:020128006DCD9B
+:02012900E731BC
+:02012A000D19AD
+:02012B00F80BCF
+:02012C0004D1FC
+:02012D00FD765D
+:02012E00008A45
+:02012F0000D3FB
+:02013000003598
+:0201310001B219
+:02013200FCD3FC
+:02013300047650
+:02013400F99937
+:0201350009E5DA
+:02013600EE7168
+:020137002E9DFB
+:020138006BEB6F
+:02013900E6BA24
+:02013A000D6155
+:02013B00F7DCEF
+:02013C0004F4C9
+:02013D00FD5A69
+:02013E00009F20
+:02013F0000CBF3
+:02014000003984
+:0201410001B00B
+:02014200FCC5FA
+:02014300049B1B
+:02014400F9556B
+:020145000A5A54
+:02014600ED9733
+:02014700316124
+:0201480069F656
+:02014900E65579
+:02014A000DA006
+:02014B00F7B209
+:02014C00051498
+:02014D00FD3F74
+:02014E0000B4FB
+:02014F0000C3EB
+:02015000003D70
+:0201510001ADFE
+:02015200FCB9F6
+:0201530004BEE8
+:02015400F9149C
+:020155000ACAD4
+:02015600ECC5F6
+:0201570034274B
+:0201580067ED51
+:02015900E603BB
+:02015A000DD5C1
+:02015B00F78E1D
+:02015C0005316B
+:02015D00FD267D
+:02015E0000C8D7
+:02015F0000BBE3
+:0201600000425B
+:0201610001A9F2
+:02016200FCAEF1
+:0201630004DFB7
+:02016400F8D6CB
+:020165000B3558
+:02016600EBFBB1
+:0201670036ED73
+:0201680065D35D
+:02016900E5C4EB
+:02016A000E0184
+:02016B00F76F2C
+:02016C00054A42
+:02016D00FD0F84
+:02016E0000DAB5
+:02016F0000B3DB
+:02017000004647
+:0201710001A4E7
+:02017200FCA5EA
+:0201730004FD89
+:02017400F89BF6
+:020175000B9AE3
+:02017600EB3963
+:0201770039B499
+:0201780063A77B
+:02017900E59708
+:02017A000E2352
+:02017B00F75635
+:02017C0005601C
+:02017D00FCFA8A
+:02017E0000ED92
+:02017F0000ACD2
+:02018000004B32
+:02018100019FDC
+:02018200FC9DE2
+:0201830005195C
+:02018400F8641D
+:020185000BFA73
+:02018600EA800D
+:020187003C79C1
+:02018800616BA9
+:02018900E57B14
+:02018A000E3C29
+:02018B00F74239
+:02018C000572FA
+:02018D00FCE78D
+:02018E0000FE71
+:02018F0000A4CA
+:0201900000501D
+:020191000199D2
+:02019200FC97D8
+:02019300053332
+:02019400F83140
+:020195000C5408
+:02019600E9D1AD
+:020197003F3DEA
+:020198005F1FE7
+:02019900E5710E
+:02019A000E4B0A
+:02019B00F73437
+:02019C000581DB
+:02019D00FCD68E
+:02019E00010F4F
+:02019F00009DC1
+:0201A000005508
+:0201A1000193C8
+:0201A200FC92CD
+:0201A300054A0B
+:0201A400F8025F
+:0201A5000CA8A4
+:0201A600E92C42
+:0201A70041FD18
+:0201A8005CC435
+:0201A900E578F7
+:0201AA000E51F4
+:0201AB00F72C2F
+:0201AC00058CC0
+:0201AD00FCC68E
+:0201AE00011F2F
+:0201AF000095B9
+:0201B000005AF3
+:0201B100018BC0
+:0201B200FC8FC0
+:0201B300055EE7
+:0201B400F7D77B
+:0201B5000CF547
+:0201B600E893CC
+:0201B70044BA48
+:0201B8005A5B90
+:0201B900E58FD0
+:0201BA000E4FE6
+:0201BB00F72922
+:0201BC000595A7
+:0201BD00FCB98B
+:0201BE00012E10
+:0201BF00008EB0
+:0201C0000060DD
+:0201C1000183B8
+:0201C200FC8DB2
+:0201C3000570C5
+:0201C400F7B191
+:0201C5000D3BF0
+:0201C600E8054A
+:0201C70047717E
+:0201C80057E6F8
+:0201C900E5B798
+:0201CA000E43E2
+:0201CB00F72C0F
+:0201CC00059A92
+:0201CD00FCAD87
+:0201CE00013CF2
+:0201CF000087A7
+:0201D0000066C7
+:0201D100017AB1
+:0201D200FC8EA1
+:0201D300057EA7
+:0201D400F78FA3
+:0201D5000D79A2
+:0201D600E783BD
+:0201D7004A23B9
+:0201D80055646C
+:0201D900E5EE51
+:0201DA000E2FE6
+:0201DB00F733F8
+:0201DC00059B81
+:0201DD00FCA381
+:0201DE000149D5
+:0201DF0000819D
+:0201E000006CB1
+:0201E1000170AB
+:0201E200FC908F
+:0201E300058A8B
+:0201E400F772B0
+:0201E5000DB15A
+:0201E600E70E22
+:0201E7004CCDFD
+:0201E80052D8EB
+:0201E900E634FA
+:0201EA000E12F3
+:0201EB00F740DB
+:0201EC00059A72
+:0201ED00FC9B79
+:0201EE000156B8
+:0201EF00007A94
+:0201F00000729B
+:0201F1000165A6
+:0201F200FC947B
+:0201F300059372
+:0201F400F759B9
+:0201F5000DE01B
+:0201F600E6A77A
+:0201F7004F7047
+:0201F800504174
+:0201F900E68995
+:0201FA000DED09
+:0201FB00F752B9
+:0201FC00059567
+:0201FD00FC956F
+:0201FE0001629C
+:0201FF0000748A
+:02020000007884
+:02020100015AA0
+:02020200FC9965
+:0202030005995B
+:02020400F745BC
+:020205000E08E1
+:02020600E64DC3
+:02020700520A99
+:020208004DA106
+:02020900E6ED20
+:02020A000DC025
+:02020B00F76991
+:02020C00058D5E
+:02020D00FC9162
+:02020E00016D80
+:02020F00006E7F
+:02021000007F6D
+:02021100014D9D
+:02021200FCA14D
+:02021300059B49
+:02021400F737BA
+:020215000E27B2
+:02021600E602FE
+:02021700549AF7
+:020218004AF9A1
+:02021900E75D9F
+:02021A000D8B4A
+:02021B00F78565
+:02021C00058259
+:02021D00FC8E55
+:02021E00017766
+:02021F00006875
+:02022000008557
+:0202210001409A
+:02022200FCAA34
+:02022300059A3A
+:02022400F72DB4
+:020225000E3E8B
+:02022600E5C62B
+:02022700571F5F
+:02022800484943
+:02022900E7DB11
+:02022A000D4F76
+:02022B00F7A634
+:02022C00057556
+:02022D00FC8D46
+:02022E0001804D
+:02022F0000626B
+:02023000008C40
+:02023100013298
+:02023200FCB519
+:0202330005972D
+:02023400F729A8
+:020235000E4C6D
+:02023600E59A47
+:020237005998D4
+:020238004594EB
+:02023900E86576
+:02023A000D0BAA
+:02023B00F7CBFF
+:02023C00056457
+:02023D00FC8E35
+:02023E00018934
+:02023F00005C61
+:02024000009329
+:02024100012397
+:02024200FCC2FC
+:02024300058F25
+:02024400F72B96
+:020245000E5257
+:02024600E57D54
+:020247005C0554
+:0202480042D999
+:02024900E8FBD0
+:02024A000CC0E6
+:02024B00F7F4C6
+:02024C0005515A
+:02024D00FC9122
+:02024E0001901D
+:02024F00005756
+:02025000009A12
+:02025100011496
+:02025200FCD1DD
+:0202530005851F
+:02025400F73180
+:020255000E4E4B
+:02025600E57150
+:020257005E64E3
+:0202580040194B
+:02025900E99C1E
+:02025A000C6F27
+:02025B00F82287
+:02025C00053A61
+:02025D00FC950E
+:02025E00019706
+:02025F0000524B
+:0202600000A2FA
+:02026100010397
+:02026200FCE2BC
+:0202630005771D
+:02026400F73D64
+:020265000E4148
+:02026600E5763B
+:0202670060B481
+:020268003D5700
+:02026900EA4861
+:02026A000C176F
+:02026B00F85445
+:02026C00052269
+:02026D00FC9BF8
+:02026E00019DF0
+:02026F00004D40
+:0202700000A9E3
+:0202710000F299
+:02027200FCF49A
+:0202730005661E
+:02027400F74F42
+:020275000E2B4E
+:02027600E58C15
+:0202770062F62D
+:020278003A92B8
+:02027900EAFE9B
+:02027A000BB9BE
+:02027B00F88AFF
+:02027C00050675
+:02027D00FCA2E1
+:02027E0001A3DA
+:02027F00004835
+:0202800000B1CB
+:0202810000E09B
+:02028200FD0974
+:02028300055123
+:02028400F7661B
+:020285000E0C5D
+:02028600E5B4DD
+:020287006527E9
+:0202880037CB72
+:02028900EBBDCB
+:02028A000B5512
+:02028B00F8C3B6
+:02028C0004E983
+:02028D00FCABC8
+:02028E0001A8C5
+:02028F0000432A
+:0202900000B9B3
+:0202910000CE9D
+:02029200FD1F4E
+:0202930005392B
+:02029400F783EE
+:020295000DE476
+:02029600E5EE93
+:020297006747B7
+:0202980035052A
+:02029900EC85F2
+:02029A000AEC6C
+:02029B00F90068
+:02029C0004C894
+:02029D00FCB6AD
+:02029E0001ACB1
+:02029F00003F1E
+:0202A00000C19B
+:0202A10000BAA1
+:0202A200FD3726
+:0202A300051E36
+:0202A400F7A6BB
+:0202A5000DB199
+:0202A600E63A36
+:0202A700695597
+:0202A800323EE4
+:0202A900ED5511
+:0202AA000A7DCB
+:0202AB00F94018
+:0202AC0004A6A6
+:0202AD00FCC192
+:0202AE0001AF9E
+:0202AF00003A13
+:0202B00000C983
+:0202B10000A6A5
+:0202B200FD51FC
+:0202B30004FF46
+:0202B400F7CE83
+:0202B5000D76C4
+:0202B600E698C8
+:0202B7006B5189
+:0202B8002F7A9B
+:0202B900EE2C29
+:0202BA000A0A2E
+:0202BB00F984C4
+:0202BC000482BA
+:0202BD00FCCF74
+:0202BE0001B18C
+:0202BF00003607
+:0202C00000D16B
+:0202C1000091AA
+:0202C200FD6DD0
+:0202C30004DD58
+:0202C400F7FC45
+:0202C5000D30FA
+:0202C600E70A45
+:0202C7006D398F
+:0202C8002CB850
+:0202C900EF0A3A
+:0202CA00099396
+:0202CB00F9CA6E
+:0202CC00045BD1
+:0202CD00FCDD56
+:0202CE0001B37A
+:0202CF000032FB
+:0202D00000D953
+:0202D100007BB0
+:0202D200FD8BA2
+:0202D30004B76E
+:0202D400F82F01
+:0202D5000CE13A
+:0202D600E78FB0
+:0202D7006F0DA9
+:0202D80029F902
+:0202D900EFEE46
+:0202DA00091702
+:0202DB00FA1314
+:0202DC000433E9
+:0202DD00FCED36
+:0202DE0001B469
+:0202DF00002FEE
+:0202E00000E13B
+:0202E1000065B6
+:0202E200FDAA73
+:0202E300048E87
+:0202E400F868B8
+:0202E5000C8982
+:0202E600E82707
+:0202E70070CCD9
+:0202E800273EAF
+:0202E900F0D74C
+:0202EA00089872
+:0202EB00FA5FB8
+:0202EC00040903
+:0202ED00FCFE15
+:0202EE0001B558
+:0202EF00002BE2
+:0202F00000EA22
+:0202F100004EBD
+:0202F200FDCC41
+:0202F3000462A3
+:0202F400F8A769
+:0202F5000C27D4
+:0202F600E8D34B
+:0202F70072761D
+:0202F800248957
+:0202F900F1C64C
+:0202FA000815E5
+:0202FB00FAAC5B
+:0202FC0003DE1F
+:0202FD00FD10F2
+:0202FE0001B548
+:0202FF000028D5
+:0203000000F209
+:020301000037C3
+:02030200FDEE0E
+:020303000433C1
+:02030400F8EB14
+:020305000BBC2F
+:02030600E9927A
+:02030700740977
+:0203080021DAF8
+:02030900F2B848
+:02030A00078F5B
+:02030B00FAFCFA
+:02030C0003B03C
+:02030D00FD23CE
+:02030E0001B438
+:02030F000025C7
+:0203100000FBF0
+:02031100001ECC
+:02031200FE13D8
+:020313000401E3
+:02031400F934BA
+:020315000B4794
+:02031600EA6596
+:020317007586E9
+:020318001F3292
+:02031900F3AE41
+:02031A000707D3
+:02031B00FB4E97
+:02031C0003825A
+:02031D00FD37AA
+:02031E0001B329
+:02031F000022BA
+:020320000103D7
+:020321000006D4
+:02032200FE39A2
+:0203230003CB0A
+:02032400F9835B
+:020325000AC903
+:02032600EB4C9E
+:0203270076EB73
+:020328001C9225
+:02032900F4A737
+:02032A00067C4F
+:02032B00FBA134
+:02032C0003527A
+:02032D00FD4D84
+:02032E0001B11B
+:02032F00001FAD
+:02033000010CBE
+:02033100FFEDDE
+:02033200FE616A
+:02033300039332
+:02033400F9D7F7
+:020335000A427A
+:02033600EC4792
+:02033700783913
+:0203380019FBAF
+:02033900F5A22B
+:02033A0005F0CC
+:02033B00FBF6CF
+:02033C0003219B
+:02033D00FD635E
+:02033E0001AF0D
+:02033F00001CA0
+:020340000115A5
+:02034100FFD3E8
+:02034200FE8A31
+:0203430003575E
+:02034400FA308D
+:0203450009B2FB
+:02034600ED5672
+:02034700796ECD
+:02034800176D2F
+:02034900F69E1E
+:02034A0005624A
+:02034B00FC4C68
+:02034C0002EFBE
+:02034D00FD7A37
+:02034E0001AC00
+:02034F00001A92
+:02035000011D8D
+:02035100FFB9F2
+:02035200FEB4F7
+:0203530003198C
+:02035400FA8E1F
+:02035500091A83
+:02035600EE793E
+:020357007A8AA0
+:0203580014E9A6
+:02035900F79C0F
+:02035A0004D3CA
+:02035B00FCA301
+:02035C0002BCE1
+:02035D00FD9110
+:02035E0001A8F4
+:02035F00001785
+:02036000012674
+:02036100FF9EFD
+:02036200FEE0BB
+:0203630002D8BE
+:02036400FAF0AD
+:02036500087816
+:02036600EFB0F6
+:020367007B8D8C
+:02036800127110
+:02036900F89901
+:02036A0004434A
+:02036B00FCFB99
+:02036C00028904
+:02036D00FDAAE7
+:02036E0001A4E8
+:02036F00001577
+:02037000012E5C
+:02037100FF8308
+:02037200FF0D7D
+:020373000295F1
+:02037400FB5735
+:0203750007CFB0
+:02037600F0FA9B
+:020377007C7692
+:0203780010046F
+:02037900F997F2
+:02037A0003B3CB
+:02037B00FD5330
+:02037C00025528
+:02037D00FDC3BE
+:02037E0001A0DC
+:02037F00001369
+:02038000013743
+:02038100FF6813
+:02038200FF3C3E
+:02038300024F27
+:02038400FBC3B9
+:02038500071D52
+:02038600F2582B
+:020387007D45B2
+:020388000DA4C2
+:02038900FA93E5
+:02038A0003224C
+:02038B00FDABC8
+:02038C0002204D
+:02038D00FDDD94
+:02038E00019BD1
+:02038F0000115B
+:02039000013F2B
+:02039100FF4C1F
+:02039200FF6BFF
+:02039300020660
+:02039400FC3338
+:020395000664FC
+:02039600F3CAA8
+:020397007DFAED
+:020398000B5107
+:02039900FB8FD8
+:02039A000292CD
+:02039B00FE045E
+:02039C0001EB73
+:02039D00FDF76A
+:02039E000196C6
+:02039F00000F4D
+:0203A000014713
+:0203A100FF312A
+:0203A200FF9CBE
+:0203A30001BC9B
+:0203A400FCA7B4
+:0203A50005A3AE
+:0203A600F54E12
+:0203A7007E9442
+:0203A800090C3E
+:0203A900FC88CE
+:0203AA0002024D
+:0203AB00FE5DF5
+:0203AC0001B599
+:0203AD00FE113F
+:0203AE000191BB
+:0203AF00000E3E
+:0203B000014FFB
+:0203B100FF1536
+:0203B200FFCD7D
+:0203B300016FD8
+:0203B400FD1E2C
+:0203B50004DC66
+:0203B600F6E669
+:0203B7007F13B2
+:0203B80006D568
+:0203B900FD7EC7
+:0203BA000174CC
+:0203BB00FEB58D
+:0203BC000180BE
+:0203BD00FE2C14
+:0203BE00018BB1
+:0203BF00000C30
+:0203C0000157E3
+:0203C100FEF943
+:0203C200FFFF3B
+:0203C300012017
+:0203C400FD9AA0
+:0203C500040D25
+:0203C600F890AD
+:0203C7007F773E
+:0203C80004AD82
+:0203C900FE72C2
+:0203CA0000E64B
+:0203CB00FF0D24
+:0203CC00014AE4
+:0203CD00FE48E8
+:0203CE000185A7
+:0203CF00000B21
+:0203D000015FCB
+:0203D100FEDD4F
+:0203D2000032F7
+:0203D30000D058
+:0203D400FE1811
+:0203D5000338EB
+:0203D600FA4DDE
+:0203D7007FC0E5
+:0203D80002958C
+:0203D900FF62C1
+:0203DA00005AC7
+:0203DB00FF64BD
+:0203DC00011509
+:0203DD00FE63BD
+:0203DE00017E9E
+:0203DF00000814
+:0203E0000167B3
+:0203E100FEC05C
+:0203E2000066B3
+:0203E300007E9A
+:0203E400FE9A7F
+:0203E500025DB7
+:0203E600FC1CFD
+:0203E7007FEDA8
+:0203E800008E85
+:0203E900004EC4
+:0203EA00FFD042
+:0203EB00FFBA57
+:0203EC0000E02F
+:0203ED00FE7F91
+:0203EE00017795
+:0203EF00000EFE
+:0203F000016E9C
+:0203F100FEA468
+:0203F200009A6F
+:0203F300002ADE
+:0203F400FF1FE9
+:0203F500017C89
+:0203F600FDFD0B
+:0203F7007FFF86
+:0203F800FE966F
+:0203F9000135CC
+:0203FA00FF49B9
+:0203FB000010F0
+:0203FC0000AB54
+:0203FD00FE9B65
+:0203FE0001708C
+:0203FF000023D9
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..975a02077a212b7b535c348f73154ddde8054cd4
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_13_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100019666
+:02000200FE20DE
+:02000300016991
+:02000400FEF20A
+:020005000107F1
+:02000600FE3EBC
+:020007000521D1
+:020008007F6413
+:02000900F829D4
+:02000A000446AA
+:02000B00FD7383
+:02000C000139B8
+:02000D00FFF9F9
+:02000E00FEE50D
+:02000F0001648A
+:020010000011DD
+:0200110001AD3F
+:02001200FDB13E
+:020013000246A3
+:02001400FD8865
+:02001500034B9B
+:02001600FA5896
+:020017000E27B2
+:020018007D1B4E
+:02001900F1FEF6
+:02001A00075687
+:02001B00FB9850
+:02001C00026E72
+:02001D00FF2EB4
+:02001E00FF5988
+:02001F0001429C
+:02002000001BC3
+:0200210001BD1F
+:02002200FD4C93
+:02002300031BBD
+:02002400FC21BD
+:02002500059242
+:02002600F65D85
+:0200270017FCC4
+:02002800792D30
+:02002900ED0BDD
+:02002A0009E9E2
+:02002B00FA02D7
+:02002C00037D52
+:02002D00FE755E
+:02002E00FFC908
+:02002F00011FAF
+:020030000026A8
+:0200310001C408
+:02003200FCF4DC
+:0200330003E1E7
+:02003400FACC04
+:0200350007C4FE
+:02003600F27561
+:02003700227332
+:0200380073B59E
+:02003900E95587
+:02003A000BEFCA
+:02003B00F8BB10
+:02003C00045D61
+:02003D00FDD4F0
+:02003E0000328E
+:02003F0000FBC4
+:02004000003589
+:0200410001C2FA
+:02004200FCAD13
+:02004300048F28
+:02004400F9962B
+:0200450009C9E7
+:02004600EEC703
+:020047002D5634
+:020048006CD377
+:02004900E6DCF3
+:02004A000D6047
+:02004B00F7CBF1
+:02004C00050AA3
+:02004D00FD4D67
+:02004E00009020
+:02004F0000D8D7
+:02005000004668
+:0200510001B4F8
+:02005200FC7C34
+:02005300051E88
+:02005400F88D25
+:020055000B8C12
+:02005600EB7E3F
+:02005700386C03
+:0200580064B191
+:02005900E5942C
+:02005A000E3660
+:02005B00F73775
+:02005C0005801D
+:02005D00FCE4C1
+:02005E0000E4BC
+:02005F0000B7E8
+:02006000005B43
+:02006100019A02
+:02006200FC643C
+:02006300058511
+:02006400F7BFE4
+:020065000CF499
+:02006600E8C3ED
+:020067004377DD
+:020068005B83B8
+:02006900E56C44
+:02006A000E7511
+:02006B00F6FDA0
+:02006C0005BFCE
+:02006D00FC9AFB
+:02006E00012A65
+:02006F000098F7
+:0200700000731B
+:0200710001721A
+:02007200FC6828
+:0200730005C0C6
+:02007400F7375C
+:020075000DEF8D
+:02007600E6BFE3
+:020077004E3AFF
+:02007800517EB7
+:02007900E64956
+:02007A000E2452
+:02007B00F71C70
+:02007C0005C8B5
+:02007D00FC6F16
+:02007E0001631C
+:02007F00007B04
+:02008000008FEF
+:02008100013D3F
+:02008200FC89F7
+:0200830005C8AE
+:02008400F6FE86
+:020085000E6B00
+:02008600E596FD
+:020087005875AA
+:0200880046DF51
+:02008900E80C81
+:02008A000D4F18
+:02008B00F78CF0
+:02008C00059DD0
+:02008D00FC6213
+:02008E00018FE0
+:02008F0000620D
+:0200900000ADC1
+:0200910000FB72
+:02009200FCCAA6
+:02009300059ACC
+:02009400F71B58
+:020095000E5A01
+:02009600E56A19
+:0200970061ED19
+:020098003BE348
+:02009900EA92E9
+:02009A000C0751
+:02009B00F84625
+:02009C0005431A
+:02009D00FC72F3
+:02009E0001ADB2
+:02009F00004C13
+:0200A00000CD91
+:0200A10000ACB1
+:0200A200FD2936
+:0200A300053521
+:0200A400F793D0
+:0200A5000DB399
+:0200A600E6551D
+:0200A7006A6885
+:0200A80030CA5C
+:0200A900EDB4B4
+:0200AA000A5EEC
+:0200AB00F93D1D
+:0200AC0004C08E
+:0200AD00FC9BBA
+:0200AE0001BF90
+:0200AF00003A15
+:0200B00000F05E
+:0200B1000050FD
+:0200B200FDA6A9
+:0200B3000499AE
+:0200B400F866EC
+:0200B5000C73CA
+:0200B600E86EF2
+:0200B70071B323
+:0200B80025D150
+:0200B900F1460E
+:0200BA00086BD1
+:0200BB00FA67E2
+:0200BC00041B23
+:0200BD00FCDB6A
+:0200BE0001C57A
+:0200BF00002A15
+:0200C00001132A
+:0200C100FFEB53
+:0200C200FE40FE
+:0200C30003C870
+:0200C400F992AF
+:0200C5000A9B94
+:0200C600EBC08D
+:0200C70077A11F
+:0200C8001B33E8
+:0200C900F5211F
+:0200CA000645E9
+:0200CB00FBB484
+:0200CC00035BD4
+:0200CD00FD2E06
+:0200CE0001C06F
+:0200CF00001E11
+:0200D0000137F6
+:0200D100FF7DB1
+:0200D200FEF23C
+:0200D30002C762
+:0200D400FB111E
+:0200D5000832EF
+:0200D600F050E8
+:0200D7007C0D9E
+:0200D8001125F0
+:0200D900F91A12
+:0200DA0004021E
+:0200DB00FD170F
+:0200DC00028A96
+:0200DD00FD9094
+:0200DE0001B36C
+:0200DF0000140B
+:0200E000015AC3
+:0200E100FF0A14
+:0200E200FFB865
+:0200E300019D7D
+:0200E400FCD846
+:0200E5000547CD
+:0200E600F61B07
+:0200E7007EDBBE
+:0200E80007DA35
+:0200E900FD0B0D
+:0200EA0001BB58
+:0200EB00FE8194
+:0200EC0001AE63
+:0200ED00FDFD17
+:0200EE00019E71
+:0200EF00000D02
+:0200F000017A93
+:0200F100FE947B
+:0200F200008C80
+:0200F3000053B8
+:0200F400FEDA32
+:0200F50001ED1B
+:0200F600FD13F8
+:0200F7007FFA8E
+:0200F800FF7B8C
+:0200F90000CE37
+:0200FA00FF8481
+:0200FB00FFE61E
+:0200FC0000D131
+:0200FD00FE7093
+:0200FE0001837C
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..f5991a17766ecfcfa9d2f76476ed18f887ff5f91
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000014EA
+:02000100017587
+:02000200FE8678
+:0200030000D328
+:02000400FFD02B
+:02000500FFAE4C
+:02000600008870
+:02000700000EE9
+:020008007FF483
+:02000900FC9366
+:02000A000225CD
+:02000B00FEBB3A
+:02000C00006989
+:02000D0000737E
+:02000E00FEB939
+:02000F00016886
+:020010000007E7
+:02001100017C70
+:02001200FE6A84
+:020013000108E2
+:02001400FF7A71
+:020015000038B1
+:02001600FF9D4C
+:020017000212D3
+:020018007FCE99
+:02001900FABF2C
+:02001A000302DF
+:02001B00FE38AD
+:02001C0000BB27
+:02001D00003FA2
+:02001E00FED50D
+:02001F0001617D
+:02002000000BD3
+:02002100018359
+:02002200FE4F8F
+:02002300013D9D
+:02002400FF23B8
+:0200250000C316
+:02002600FEAE2C
+:020027000426AD
+:020028007F8CCB
+:02002900F8FEDF
+:02002A0003D8F9
+:02002B00FDB91D
+:02002C00010CC5
+:02002D00000CC5
+:02002E00FEF2E0
+:02002F00015975
+:02003000000BC3
+:02003100018943
+:02003200FE339B
+:02003300017357
+:02003400FECB01
+:02003500015078
+:02003600FDBC0F
+:02003700064A77
+:020038007F2E19
+:02003900F74F7F
+:02003A0004A917
+:02003B00FD3D89
+:02003C00015B66
+:02003D00FFD9E9
+:02003E00FF0EB3
+:02003F0001516D
+:02004000000DB1
+:02004100018F2D
+:02004200FE18A6
+:0200430001A812
+:02004400FE7349
+:0200450001DFD9
+:02004600FCC6F6
+:02004700087D32
+:020048007EB682
+:02004900F5B20E
+:02004A0005723D
+:02004B00FCC4F3
+:02004C0001A908
+:02004D00FFA80A
+:02004E00FF2A87
+:02004F00014965
+:02005000000F9F
+:02005100019517
+:02005200FDFDB2
+:0200530001DECC
+:02005400FE1A92
+:02005500026E39
+:02005600FBCDE0
+:020057000ABEDF
+:020058007E2305
+:02005900F42988
+:02005A00063569
+:02005B00FC4F58
+:02005C0001F4AD
+:02005D00FF772B
+:02005E00FF465B
+:02005F0001415D
+:0200600000118D
+:02006100019A02
+:02006200FDE3BC
+:02006300021386
+:02006400FDC2DB
+:0200650002FE99
+:02006600FAD2CC
+:020067000D0E7C
+:020068007D75A4
+:02006900F2B3F0
+:02006A0006F09E
+:02006B00FBDFB9
+:02006C00023D53
+:02006D00FF474B
+:02006E00FF6130
+:02006F00013955
+:0200700000127C
+:02007100019FED
+:02007200FDC9C6
+:02007300024841
+:02007400FD6924
+:02007500038EF8
+:02007600F9D6B9
+:020077000F6B0D
+:020078007CAC5E
+:02007900F15044
+:02007A0007A3DA
+:02007B00FB7216
+:02007C000283FD
+:02007D00FF1969
+:02007E00FF7C05
+:02007F0001304E
+:02008000001569
+:0200810001A3D9
+:02008200FDB0CF
+:02008300027CFD
+:02008400FD116C
+:02008500041F56
+:02008600F8D9A7
+:0200870011D492
+:020088007BC932
+:02008900F00085
+:02008A00084F1D
+:02008B00FB0A6E
+:02008C0002C8A8
+:02008D00FEEB88
+:02008E00FF97DA
+:02008F00012846
+:02009000001757
+:0200910001A7C5
+:02009200FD97D8
+:0200930002B0B9
+:02009400FCB9B5
+:0200950004AFB6
+:02009600F7DB96
+:02009700144A09
+:020098007ACD1F
+:02009900EEC5B2
+:02009A0008F26A
+:02009B00FAA6C3
+:02009C00030956
+:02009D00FEBFA4
+:02009E00FFB2AF
+:02009F00011F3F
+:0200A000001945
+:0200A10001ABB1
+:0200A200FD80DF
+:0200A30002E376
+:0200A400FC62FC
+:0200A500053E16
+:0200A600F6DD85
+:0200A70016CB76
+:0200A80079B726
+:0200A900ED9DCB
+:0200AA00098DBE
+:0200AB00FA4712
+:0200AC00034807
+:0200AD00FE94BF
+:0200AE00FFCC85
+:0200AF00011737
+:0200B000001B33
+:0200B10001AE9E
+:0200B200FD68E7
+:0200B300031533
+:0200B400FC0B43
+:0200B50005CD77
+:0200B600F5E172
+:0200B7001956D8
+:0200B800788846
+:0200B900EC89D0
+:0200BA000A1F1B
+:0200BB00F9ED5D
+:0200BC000384BB
+:0200BD00FE6BD8
+:0200BE00FFE65B
+:0200BF00010E30
+:0200C000001E20
+:0200C10001B08C
+:0200C200FD52ED
+:0200C3000346F2
+:0200C400FBB689
+:0200C5000659DA
+:0200C600F4E55F
+:0200C7001BEC30
+:0200C80077417E
+:0200C900EB89C1
+:0200CA000AA882
+:0200CB00F998A2
+:0200CC0003BD72
+:0200CD00FE43F0
+:0200CE00000030
+:0200CF00010529
+:0200D00000210D
+:0200D10001B27A
+:0200D200FD3DF2
+:0200D3000376B2
+:0200D400FB63CC
+:0200D50006E53E
+:0200D600F3EC49
+:0200D7001E8A7F
+:0200D80075E1D0
+:0200D900EA9D9E
+:0200DA000B28F1
+:0200DB00F948E2
+:0200DC0003F42B
+:0200DD00FE1C07
+:0200DE00001808
+:0200DF0000FD22
+:0200E0000024FA
+:0200E10001B468
+:0200E200FD28F7
+:0200E30003A573
+:0200E400FB100F
+:0200E500076DA5
+:0200E600F2F531
+:0200E7002130C6
+:0200E800746A38
+:0200E900E9C567
+:0200EA000B9F6A
+:0200EB00F8FD1E
+:0200EC000427E7
+:0200ED00FDF71D
+:0200EE000031DF
+:0200EF0000F41B
+:0200F0000027E7
+:0200F10001B557
+:0200F200FD15FA
+:0200F30003D236
+:0200F400FAC050
+:0200F50007F40E
+:0200F600F20214
+:0200F70023DD07
+:0200F80072DDB7
+:0200F900E9011B
+:0200FA000C0DEB
+:0200FB00F8B853
+:0200FC000457A7
+:0200FD00FDD430
+:0200FE000048B8
+:0200FF0000EC13
+:02010000002AD3
+:0201010001B546
+:02010200FD02FC
+:0201030003FEF9
+:02010400FA728D
+:02010500087779
+:02010600F113F3
+:0201070026913F
+:0201080071384C
+:02010900E850BC
+:02010A000C7176
+:02010B00F87882
+:02010C00048469
+:02010D00FDB340
+:02010E00005F90
+:02010F0000E30B
+:02011000002EBF
+:0201110001B536
+:02011200FCF1FE
+:020113000429BD
+:02011400FA26C9
+:0201150008F8E8
+:02011600F028CF
+:02011700294A73
+:020118006F7FF7
+:02011900E7B34A
+:02011A000CCC0B
+:02011B00F83DAD
+:02011C0004AD30
+:02011D00FD9350
+:02011E00007669
+:02011F0000DB03
+:020120000032AB
+:0201210001B427
+:02012200FCE1FE
+:02012300045185
+:02012400F9DC04
+:0201250009745B
+:02012600EF42A6
+:020127002C08A2
+:020128006DB0B8
+:02012900E729C4
+:02012A000D1DA9
+:02012B00F808D2
+:02012C0004D4F9
+:02012D00FD755E
+:02012E00008C43
+:02012F0000D3FB
+:02013000003598
+:0201310001B219
+:02013200FCD2FD
+:0201330004784E
+:02013400F9953B
+:0201350009EDD2
+:02013600EE6376
+:020137002EC9CF
+:020138006BCD8D
+:02013900E6B32B
+:02013A000D6551
+:02013B00F7D9F2
+:02013C0004F7C6
+:02013D00FD586B
+:02013E0000A11E
+:02013F0000CBF3
+:02014000003984
+:0201410001B00B
+:02014200FCC5FA
+:02014300049D19
+:02014400F9516F
+:020145000A614D
+:02014600ED8A40
+:02014700318DF8
+:0201480069D676
+:02014900E6507E
+:02014A000DA303
+:02014B00F7AF0C
+:02014C00051696
+:02014D00FD3E75
+:02014E0000B5FA
+:02014F0000C3EB
+:02015000003E6F
+:0201510001ACFF
+:02015200FCB8F7
+:0201530004C0E6
+:02015400F910A0
+:020155000AD1CD
+:02015600ECB803
+:0201570034531F
+:0201580067CC72
+:02015900E5FFC0
+:02015A000DD8BE
+:02015B00F78B20
+:02015C0005326A
+:02015D00FD257E
+:02015E0000C9D6
+:02015F0000BBE3
+:0201600000425B
+:0201610001A9F2
+:02016200FCAEF1
+:0201630004E1B5
+:02016400F8D2CF
+:020165000B3B52
+:02016600EBEEBE
+:02016700371A45
+:0201680065B17F
+:02016900E5C1EE
+:02016A000E0382
+:02016B00F76D2E
+:02016C00054B41
+:02016D00FD0E85
+:02016E0000DCB3
+:02016F0000B3DB
+:02017000004746
+:0201710001A4E7
+:02017200FCA4EB
+:0201730004FF87
+:02017400F898F9
+:020175000BA1DC
+:02017600EB2D6F
+:0201770039E06D
+:0201780063849E
+:02017900E5940B
+:02017A000E2550
+:02017B00F75437
+:02017C0005611B
+:02017D00FCF98B
+:02017E0000EE91
+:02017F0000ABD3
+:02018000004B32
+:02018100019FDC
+:02018200FC9DE2
+:02018300051B5A
+:02018400F86120
+:020185000C006C
+:02018600EA7518
+:020187003CA694
+:020188006146CE
+:02018900E57A15
+:02018A000E3D28
+:02018B00F7413A
+:02018C000573F9
+:02018D00FCE68E
+:02018E0000FF70
+:02018F0000A4CA
+:0201900000501D
+:020191000199D2
+:02019200FC96D9
+:02019300053431
+:02019400F82E43
+:020195000C5A02
+:02019600E9C6B8
+:020197003F69BE
+:020198005EF90E
+:02019900E5710E
+:02019A000E4C09
+:02019B00F73437
+:02019C000582DA
+:02019D00FCD58F
+:02019E0001104E
+:02019F00009CC2
+:0201A000005508
+:0201A1000192C9
+:0201A200FC92CD
+:0201A300054B0A
+:0201A400F7FF63
+:0201A5000CAD9F
+:0201A600E9224C
+:0201A7004229EB
+:0201A8005C9E5B
+:0201A900E579F6
+:0201AA000E52F3
+:0201AB00F72C2F
+:0201AC00058DBF
+:0201AD00FCC58F
+:0201AE0001202E
+:0201AF000095B9
+:0201B000005BF2
+:0201B100018BC0
+:0201B200FC8FC0
+:0201B300055FE6
+:0201B400F7D57D
+:0201B5000CF943
+:0201B600E88AD5
+:0201B70044E51D
+:0201B8005A34B7
+:0201B900E591CE
+:0201BA000E4EE7
+:0201BB00F72922
+:0201BC000595A7
+:0201BD00FCB88C
+:0201BE00012E10
+:0201BF00008EB0
+:0201C0000060DD
+:0201C1000182B9
+:0201C200FC8DB2
+:0201C3000571C4
+:0201C400F7AF93
+:0201C5000D3FEC
+:0201C600E7FC54
+:0201C700479C53
+:0201C80057BE20
+:0201C900E5BA95
+:0201CA000E42E3
+:0201CB00F72C0F
+:0201CC00059A92
+:0201CD00FCAC88
+:0201CE00013DF1
+:0201CF000087A7
+:0201D0000066C7
+:0201D1000179B2
+:0201D200FC8EA1
+:0201D300057FA6
+:0201D400F78DA5
+:0201D5000D7D9E
+:0201D600E77CC4
+:0201D7004A4E8E
+:0201D800553C94
+:0201D900E5F24D
+:0201DA000E2EE7
+:0201DB00F734F7
+:0201DC00059B81
+:0201DD00FCA381
+:0201DE00014AD4
+:0201DF0000809E
+:0201E000006CB1
+:0201E100016FAC
+:0201E200FC908F
+:0201E300058B8A
+:0201E400F770B2
+:0201E5000DB457
+:0201E600E70828
+:0201E7004CF8D2
+:0201E80052AF14
+:0201E900E639F5
+:0201EA000E10F5
+:0201EB00F741DA
+:0201EC00059A72
+:0201ED00FC9B79
+:0201EE000157B7
+:0201EF00007A94
+:0201F00000729B
+:0201F1000164A7
+:0201F200FC947B
+:0201F300059372
+:0201F400F758BA
+:0201F5000DE318
+:0201F600E6A180
+:0201F7004F9A1D
+:0201F80050179E
+:0201F900E68F8F
+:0201FA000DEB0B
+:0201FB00F754B7
+:0201FC00059567
+:0201FD00FC956F
+:0201FE0001629C
+:0201FF0000738B
+:02020000007983
+:020201000159A1
+:02020200FC9A64
+:0202030005995B
+:02020400F744BD
+:020205000E0ADF
+:02020600E648C8
+:02020700523370
+:020208004D7730
+:02020900E6F31A
+:02020A000DBD28
+:02020B00F76B8F
+:02020C00058D5E
+:02020D00FC9162
+:02020E00016D80
+:02020F00006D80
+:02021000007F6D
+:02021100014C9E
+:02021200FCA14D
+:02021300059B49
+:02021400F736BB
+:020215000E29B0
+:02021600E5FE03
+:0202170054C2CF
+:020218004ACECC
+:02021900E76597
+:02021A000D884D
+:02021B00F78763
+:02021C00058259
+:02021D00FC8E55
+:02021E00017766
+:02021F00006776
+:02022000008656
+:02022100013F9B
+:02022200FCAA34
+:02022300059A3A
+:02022400F72DB4
+:020225000E3F8A
+:02022600E5C32E
+:02022700574737
+:02022800481E6E
+:02022900E7E309
+:02022A000D4B7A
+:02022B00F7A832
+:02022C00057457
+:02022D00FC8D46
+:02022E0001814C
+:02022F0000616C
+:02023000008D3F
+:02023100013199
+:02023200FCB618
+:0202330005962E
+:02023400F729A8
+:020235000E4D6C
+:02023600E59849
+:0202370059BFAD
+:02023800456817
+:02023900E86E6D
+:02023A000D07AE
+:02023B00F7CDFD
+:02023C00056358
+:02023D00FC8E35
+:02023E00018934
+:02023F00005C61
+:02024000009428
+:02024100012298
+:02024200FCC3FB
+:02024300058F25
+:02024400F72B96
+:020245000E5257
+:02024600E57C55
+:020247005C2B2E
+:0202480042ADC5
+:02024900E905C5
+:02024A000CBCEA
+:02024B00F7F7C3
+:02024C00054F5C
+:02024D00FC9122
+:02024E0001911C
+:02024F00005657
+:02025000009B11
+:02025100011397
+:02025200FCD2DC
+:02025300058420
+:02025400F7327F
+:020255000E4E4B
+:02025600E57150
+:020257005E89BE
+:020258003FED78
+:02025900E9A713
+:02025A000C6A2C
+:02025B00F82584
+:02025C00053962
+:02025D00FC950E
+:02025E00019805
+:02025F0000514C
+:0202600000A2FA
+:02026100010298
+:02026200FCE3BB
+:0202630005761E
+:02026400F73E63
+:020265000E4049
+:02026600E5773A
+:0202670060D95C
+:020268003D2A2D
+:02026900EA5356
+:02026A000C1175
+:02026B00F85742
+:02026C0005206B
+:02026D00FC9BF8
+:02026E00019EEF
+:02026F00004C41
+:0202700000AAE2
+:0202710000F19A
+:02027200FCF599
+:02027300056420
+:02027400F75041
+:020275000E2A4F
+:02027600E58E13
+:02027700631909
+:020278003A65E5
+:02027900EB0A8E
+:02027A000BB3C4
+:02027B00F88DFC
+:02027C00050477
+:02027D00FCA3E0
+:02027E0001A3DA
+:02027F00004736
+:0202800000B1CB
+:0202810000DF9C
+:02028200FD0A73
+:02028300055024
+:02028400F76819
+:020285000E0A5F
+:02028600E5B7DA
+:020287006549C7
+:02028800379F9E
+:02028900EBC9BF
+:02028A000B4F18
+:02028B00F8C7B2
+:02028C0004E785
+:02028D00FCACC7
+:02028E0001A8C5
+:02028F0000432A
+:0202900000B9B3
+:0202910000CC9F
+:02029200FD214C
+:0202930005372D
+:02029400F785EC
+:020295000DE179
+:02029600E5F28F
+:02029700676896
+:0202980034D858
+:02029900EC92E5
+:02029A000AE573
+:02029B00F90464
+:02029C0004C696
+:02029D00FCB6AD
+:02029E0001ACB1
+:02029F00003E1F
+:0202A00000C19B
+:0202A10000B9A2
+:0202A200FD3924
+:0202A300051C38
+:0202A400F7A8B9
+:0202A5000DAE9C
+:0202A600E63F31
+:0202A700697577
+:0202A800321210
+:0202A900ED6204
+:0202AA000A76D2
+:0202AB00F94414
+:0202AC0004A4A8
+:0202AD00FCC291
+:0202AE0001AF9E
+:0202AF00003A13
+:0202B00000C983
+:0202B10000A5A6
+:0202B200FD53FA
+:0202B30004FD48
+:0202B400F7D180
+:0202B5000D72C8
+:0202B600E69FC1
+:0202B7006B706A
+:0202B8002F4EC7
+:0202B900EE3A1B
+:0202BA000A0335
+:0202BB00F988C0
+:0202BC00047FBD
+:0202BD00FCD073
+:0202BE0001B18C
+:0202BF00003607
+:0202C00000D16B
+:0202C1000090AB
+:0202C200FD6FCE
+:0202C30004DA5B
+:0202C400F7FF42
+:0202C5000D2CFE
+:0202C600E7123D
+:0202C7006D5771
+:0202C8002C8C7C
+:0202C900EF182C
+:0202CA00098B9E
+:0202CB00F9CE6A
+:0202CC000459D3
+:0202CD00FCDE55
+:0202CE0001B37A
+:0202CF000032FB
+:0202D00000DA52
+:0202D100007AB1
+:0202D200FD8DA0
+:0202D30004B570
+:0202D400F833FD
+:0202D5000CDC3F
+:0202D600E798A7
+:0202D7006F298D
+:0202D80029CD2E
+:0202D900EFFC38
+:0202DA00090F0A
+:0202DB00FA180F
+:0202DC000431EB
+:0202DD00FCEE35
+:0202DE0001B469
+:0202DF00002EEF
+:0202E00000E23A
+:0202E1000064B7
+:0202E200FDAC71
+:0202E300048C89
+:0202E400F86CB4
+:0202E5000C8388
+:0202E600E831FD
+:0202E70070E7BE
+:0202E8002713DA
+:0202E900F0E63D
+:0202EA0008907A
+:0202EB00FA63B4
+:0202EC00040606
+:0202ED00FCFF14
+:0202EE0001B558
+:0202EF00002BE2
+:0202F00000EA22
+:0202F100004DBE
+:0202F200FDCE3F
+:0202F300045FA6
+:0202F400F8AB65
+:0202F5000C21DA
+:0202F600E8DE40
+:0202F700728F04
+:0202F800245E82
+:0202F900F1D53D
+:0202FA00080DED
+:0202FB00FAB156
+:0202FC0003DB22
+:0202FD00FD11F1
+:0202FE0001B548
+:0202FF000028D5
+:0203000000F308
+:020301000035C5
+:02030200FDF10B
+:020303000430C4
+:02030400F8EF10
+:020305000BB536
+:02030600E99F6D
+:0203070074225E
+:0203080021B022
+:02030900F2C739
+:02030A00078763
+:02030B00FB01F4
+:02030C0003AE3E
+:02030D00FD24CD
+:02030E0001B438
+:02030F000025C7
+:0203100000FBF0
+:02031100001DCD
+:02031200FE15D6
+:0203130003FDE8
+:02031400F939B5
+:020315000B3F9C
+:02031600EA7388
+:02031700759DD2
+:020318001F08BC
+:02031900F3BE31
+:02031A0006FEDD
+:02031B00FB5392
+:02031C00037F5D
+:02031D00FD39A8
+:02031E0001B329
+:02031F000021BB
+:020320000104D6
+:020321000004D6
+:02032200FE3BA0
+:0203230003C80D
+:02032400F98856
+:020325000AC10B
+:02032600EB5B8F
+:0203270077015C
+:020328001C684F
+:02032900F4B628
+:02032A00067457
+:02032B00FBA62F
+:02032C00034F7D
+:02032D00FD4E83
+:02032E0001B11B
+:02032F00001FAD
+:02033000010CBE
+:02033100FFEBE0
+:02033200FE6368
+:02033300038F36
+:02033400F9DCF2
+:020335000A3983
+:02033600EC5881
+:02033700784DFF
+:0203380019D2D8
+:02033900F5B11C
+:02033A0005E7D5
+:02033B00FBFBCA
+:02033C00031E9E
+:02033D00FD645D
+:02033E0001AE0E
+:02033F00001CA0
+:020340000115A5
+:02034100FFD1EA
+:02034200FE8C2F
+:02034300035461
+:02034400FA3687
+:0203450009A904
+:02034600ED6860
+:020347007980BB
+:02034800174458
+:02034900F6AE0E
+:02034A00055953
+:02034B00FC5163
+:02034C0002ECC1
+:02034D00FD7B36
+:02034E0001AC00
+:02034F00001A92
+:02035000011E8C
+:02035100FFB7F4
+:02035200FEB7F4
+:02035300031590
+:02035400FA9419
+:0203550009108D
+:02035600EE8C2B
+:020357007A9B8F
+:0203580014C1CE
+:02035900F7AB00
+:02035A0004CAD3
+:02035B00FCA8FC
+:02035C0002B9E4
+:02035D00FD930E
+:02035E0001A8F4
+:02035F00001785
+:02036000012674
+:02036100FF9DFE
+:02036200FEE3B8
+:0203630002D4C2
+:02036400FAF7A6
+:02036500086E20
+:02036600EFC4E2
+:020367007B9C7D
+:02036800124A37
+:02036900F8A9F1
+:02036A00043A53
+:02036B00FD0093
+:02036C00028607
+:02036D00FDABE6
+:02036E0001A4E8
+:02036F00001577
+:02037000012F5B
+:02037100FF8209
+:02037200FF107A
+:020373000290F6
+:02037400FB5E2E
+:0203750007C4BB
+:02037600F10F85
+:020377007C8484
+:020378000FDE96
+:02037900F9A7E2
+:02037A0003AAD4
+:02037B00FD582B
+:02037C0002512C
+:02037D00FDC4BD
+:02037E0001A0DC
+:02037F00001369
+:02038000013743
+:02038100FF6615
+:02038200FF3E3C
+:02038300024A2C
+:02038400FBCAB2
+:0203850007125D
+:02038600F26F14
+:020387007D51A6
+:020388000D7EE8
+:02038900FAA3D5
+:02038A00031955
+:02038B00FDB1C2
+:02038C00021D50
+:02038D00FDDE93
+:02038E00019BD1
+:02038F0000115B
+:02039000013F2B
+:02039100FF4B20
+:02039200FF6EFC
+:02039300020264
+:02039400FC3A31
+:02039500065808
+:02039600F3E191
+:020397007E04E2
+:020398000B2C2C
+:02039900FB9EC9
+:02039A000289D6
+:02039B00FE0A58
+:02039C0001E876
+:02039D00FDF869
+:02039E000196C6
+:02039F00000F4D
+:0203A000014812
+:0203A100FF2F2C
+:0203A200FF9FBB
+:0203A30001B7A0
+:0203A400FCAEAD
+:0203A5000597BA
+:0203A600F567F9
+:0203A7007E9C3A
+:0203A80008E863
+:0203A900FC97BF
+:0203AA0001F957
+:0203AB00FE62F0
+:0203AC0001B29C
+:0203AD00FE133D
+:0203AE000190BC
+:0203AF00000E3E
+:0203B0000150FA
+:0203B100FF1338
+:0203B200FFD07A
+:0203B300016ADD
+:0203B400FD2624
+:0203B50004CF73
+:0203B600F7004E
+:0203B7007F1AAB
+:0203B80006B28B
+:0203B900FD8EB7
+:0203BA00016BD5
+:0203BB00FEBB87
+:0203BC00017DC1
+:0203BD00FE2E12
+:0203BE00018AB2
+:0203BF00000B31
+:0203C0000158E2
+:0203C100FEF745
+:0203C200000237
+:0203C300011B1C
+:0203C400FDA298
+:0203C500040032
+:0203C600F8AC91
+:0203C7007F7C39
+:0203C800048BA4
+:0203C900FE81B3
+:0203CA0000DD54
+:0203CB00FF121F
+:0203CC000147E7
+:0203CD00FE4AE6
+:0203CE000184A8
+:0203CF00000B21
+:0203D000015FCB
+:0203D100FEDB51
+:0203D2000036F3
+:0203D30000CB5D
+:0203D400FE2009
+:0203D500032BF8
+:0203D600FA6AC1
+:0203D7007FC3E2
+:0203D8000274AD
+:0203D900FF71B2
+:0203DA000052CF
+:0203DB00FF6AB7
+:0203DC0001120C
+:0203DD00FE65BB
+:0203DE00017E9E
+:0203DF00000715
+:0203E0000167B3
+:0203E100FEBF5D
+:0203E2000069B0
+:0203E30000799F
+:0203E400FEA277
+:0203E500024FC5
+:0203E600FC3ADF
+:0203E7007FEFA6
+:0203E800006EA5
+:0203E900005CB6
+:0203EA00FFC84A
+:0203EB00FFC051
+:0203EC0000DD32
+:0203ED00FE818F
+:0203EE00017795
+:0203EF00000FFD
+:0203F000016E9C
+:0203F100FEA26A
+:0203F200009E6B
+:0203F3000025E3
+:0203F400FF27E1
+:0203F500016E97
+:0203F600FE1BEC
+:0203F7007FFF86
+:0203F800FE788D
+:0203F9000143BE
+:0203FA00FF40C2
+:0203FB000015EB
+:0203FC0000A857
+:0203FD00FE9D63
+:0203FE0001708C
+:0203FF000024D8
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..2d5b414a28f589b37eec407602214f0a5fea7abb
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_14_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100019864
+:02000200FE19E5
+:02000300017783
+:02000400FEDC20
+:02000500012BCD
+:02000600FE01F9
+:0200070005AB47
+:020008007F4C2B
+:02000900F7BE40
+:02000A00047A76
+:02000B00FD53A3
+:02000C00014DA4
+:02000D00FFEC06
+:02000E00FEEC06
+:02000F0001628C
+:020010000012DC
+:0200110001AE3E
+:02001200FDAA45
+:02001300025396
+:02001400FD717C
+:02001500037076
+:02001600FA18D6
+:020017000EBF1A
+:020018007CE882
+:02001900F1A64E
+:02001A0007835A
+:02001B00FB7C6C
+:02001C00028060
+:02001D00FF22C0
+:02001E00FF6081
+:02001F0001409E
+:02002000001BC3
+:0200210001BE1E
+:02002200FD4699
+:020023000328B0
+:02002400FC0BD3
+:0200250005B61E
+:02002600F61EC4
+:0200270018A01F
+:0200280078E17D
+:02002900ECC623
+:02002A000A0DBD
+:02002B00F9EBEF
+:02002C00038C43
+:02002D00FE6A69
+:02002E00FFD001
+:02002F00011CB2
+:020030000027A7
+:0200310001C507
+:02003200FCEFE1
+:0200330003EDDB
+:02003400FAB719
+:0200350007E6DC
+:02003600F2389E
+:02003700231F85
+:02003800735102
+:02003900E924B8
+:02003A000C0BAD
+:02003B00F8A922
+:02003C00046955
+:02003D00FDCAFA
+:02003E00003888
+:02003F0000F9C6
+:02004000003688
+:0200410001C1FB
+:02004200FCA917
+:0200430004991E
+:02004400F9843D
+:0200450009E8C8
+:02004600EE8F3B
+:020047002E0782
+:020048006C59F1
+:02004900E6BF10
+:02004A000D7136
+:02004B00F7BFFD
+:02004C0005139A
+:02004D00FD466E
+:02004E0000961A
+:02004F0000D6D9
+:02005000004866
+:0200510001B3F9
+:02005200FC7A36
+:02005300052680
+:02005400F87E34
+:020055000BA5F9
+:02005600EB4E6F
+:02005700391D51
+:0200580064261C
+:02005900E58937
+:02005A000E3E58
+:02005B00F7317B
+:02005C00058617
+:02005D00FCDEC7
+:02005E0000E8B8
+:02005F0000B5EA
+:02006000005D41
+:02006100019804
+:02006200FC643C
+:02006300058A0C
+:02006400F7B4EF
+:020065000D0785
+:02006600E89D13
+:0200670044262D
+:020068005AE854
+:02006900E5723E
+:02006A000E7412
+:02006B00F6FDA0
+:02006C0005C2CB
+:02006D00FC96FF
+:02006E00012E61
+:02006F000096F9
+:02007000007519
+:02007100016F1D
+:02007200FC6927
+:0200730005C2C4
+:02007400F73162
+:020075000DFB81
+:02007600E6A5FD
+:020077004EE257
+:0200780050D85E
+:02007900E65F40
+:02007A000E1B5B
+:02007B00F7216B
+:02007C0005C7B6
+:02007D00FC6D18
+:02007E00016619
+:02007F00007A05
+:020080000090EE
+:02008100013A42
+:02008200FC8CF4
+:0200830005C7AF
+:02008400F6FD87
+:020085000E6EFD
+:02008600E58B08
+:0200870059130B
+:020088004631FF
+:02008900E82F5E
+:02008A000D3E29
+:02008B00F796E6
+:02008C000598D5
+:02008D00FC6213
+:02008E000191DE
+:02008F0000610E
+:0200900000AFBF
+:0200910000F677
+:02009200FCCFA1
+:020093000595D1
+:02009400F72053
+:020095000E5407
+:02009600E57013
+:02009700627D88
+:020098003B32F9
+:02009900EAC0BB
+:02009A000BEF6A
+:02009B00F85417
+:02009C00053C21
+:02009D00FC74F1
+:02009E0001AFB0
+:02009F00004B14
+:0200A00000CF8F
+:0200A10000A6B7
+:0200A200FD302F
+:0200A300052D29
+:0200A400F79EC5
+:0200A5000DA4A8
+:0200A600E66E04
+:0200A7006AE607
+:0200A80030190D
+:0200A900EDEA7E
+:0200AA000A4109
+:0200AB00F94F0B
+:0200AC0004B698
+:0200AD00FC9FB6
+:0200AE0001C08F
+:0200AF00003916
+:0200B00000F25C
+:0200B100004A03
+:0200B200FDAFA0
+:0200B300048DBA
+:0200B400F877DB
+:0200B5000C5AE3
+:0200B600E899C7
+:0200B700721DB8
+:0200B8002524FD
+:0200B900F182D2
+:0200BA00084AF2
+:0200BB00FA7BCE
+:0200BC00040F2F
+:0200BD00FCE065
+:0200BE0001C57A
+:0200BF00002916
+:0200C000011627
+:0200C100FFE45A
+:0200C200FE4AF4
+:0200C30003B97F
+:0200C400F9A899
+:0200C5000A78B7
+:0200C600EBFF4E
+:0200C70077F3CD
+:0200C8001A8D8F
+:0200C900F560E0
+:0200CA0006210D
+:0200CB00FBC96F
+:0200CC00034FE0
+:0200CD00FD3400
+:0200CE0001C06F
+:0200CF00001D12
+:0200D0000139F4
+:0200D100FF76B8
+:0200D200FEFE30
+:0200D30002B673
+:0200D400FB2B04
+:0200D50008071A
+:0200D600F0A494
+:0200D7007C4665
+:0200D800108B8B
+:0200D900F95AD2
+:0200DA0003DE43
+:0200DB00FD2DF9
+:0200DC00027CA4
+:0200DD00FD978D
+:0200DE0001B26D
+:0200DF0000130C
+:0200E000015CC1
+:0200E100FF021C
+:0200E200FFC558
+:0200E300018991
+:0200E400FCF628
+:0200E5000515FF
+:0200E600F682A0
+:0200E7007EFA9F
+:0200E800074DC2
+:0200E900FD48D0
+:0200EA0001977C
+:0200EB00FE987D
+:0200EC0001A071
+:0200ED00FE040F
+:0200EE00019C73
+:0200EF00000D02
+:0200F000017C91
+:0200F100FE8D82
+:0200F200009A72
+:0200F300003DCE
+:0200F400FEFC10
+:0200F50001B553
+:0200F600FD8C7F
+:0200F7007FFE8A
+:0200F800FEFE0A
+:0200F9000108FC
+:0200FA00FF62A3
+:0200FB00FFFC08
+:0200FC0000C33F
+:0200FD00FE778C
+:0200FE0001817E
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..732a0167e3bf1bb01a4b15032efd738703dbe6ee
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000012EC
+:02000100017686
+:02000200FE8579
+:0200030000D625
+:02000400FFCB30
+:02000500FFB743
+:02000600007A7E
+:02000700002EC9
+:020008007FF384
+:02000900FC7584
+:02000A000233BF
+:02000B00FEB342
+:02000C00006E84
+:02000D00007081
+:02000E00FEBB37
+:02000F00016886
+:020010000007E7
+:02001100017D6F
+:02001200FE6985
+:02001300010BDF
+:02001400FF7477
+:020015000040A9
+:02001600FF8E5B
+:020017000233B2
+:020018007FCA9D
+:02001900FAA348
+:02001A00030FD2
+:02001B00FE30B5
+:02001C0000C121
+:02001D00003CA5
+:02001E00FED70B
+:02001F0001607E
+:02002000000BD3
+:02002100018359
+:02002200FE4D91
+:0200230001409A
+:02002400FF1DBE
+:0200250000CC0D
+:02002600FE9F3B
+:0200270004488B
+:020028007F87D0
+:02002900F8E2FB
+:02002A0003E6EB
+:02002B00FDB125
+:02002C000111C0
+:02002D000009C8
+:02002E00FEF3DF
+:02002F00015975
+:02003000000BC3
+:02003100018A42
+:02003200FE329C
+:02003300017654
+:02003400FEC606
+:0200350001596F
+:02003600FDAC1F
+:02003700066C55
+:020038007F281F
+:02003900F73599
+:02003A0004B50B
+:02003B00FD3591
+:02003C00016061
+:02003D00FFD6EC
+:02003E00FF10B1
+:02003F0001516D
+:02004000000DB1
+:0200410001902C
+:02004200FE16A8
+:0200430001AB0F
+:02004400FE6D4F
+:0200450001E8D0
+:02004600FCB606
+:0200470008A00F
+:020048007EAE8A
+:02004900F59927
+:02004A00057F30
+:02004B00FCBDFA
+:02004C0001AD04
+:02004D00FFA50D
+:02004E00FF2B86
+:02004F00014965
+:02005000000F9F
+:02005100019517
+:02005200FDFCB3
+:0200530001E1C9
+:02005400FE1597
+:02005500027730
+:02005600FBBDF0
+:020057000AE3BA
+:020058007E190F
+:02005900F411A0
+:02005A0006415D
+:02005B00FC485F
+:02005C0001F9A8
+:02005D00FF742E
+:02005E00FF475A
+:02005F0001405E
+:0200600000118D
+:02006100019A02
+:02006200FDE1BE
+:02006300021683
+:02006400FDBCE1
+:0200650003078F
+:02006600FAC3DB
+:020067000D3357
+:020068007D69B0
+:02006900F29C07
+:02006A0006FB93
+:02006B00FBD8C0
+:02006C0002414F
+:02006D00FF444E
+:02006E00FF632E
+:02006F00013856
+:0200700000127C
+:02007100019FED
+:02007200FDC8C7
+:02007300024B3E
+:02007400FD632A
+:020075000398EE
+:02007600F9C6C9
+:020077000F91E7
+:020078007C9F6B
+:02007900F13A5A
+:02007A0007AECF
+:02007B00FB6B1D
+:02007C000288F8
+:02007D00FF166C
+:02007E00FF7E03
+:02007F0001304E
+:02008000001569
+:0200810001A4D8
+:02008200FDAED1
+:02008300027FFA
+:02008400FD0B72
+:0200850004284D
+:02008600F8C9B7
+:0200870011FB6B
+:020088007BBA41
+:02008900EFEC9A
+:02008A00085913
+:02008B00FB0375
+:02008C0002CCA4
+:02008D00FEE88B
+:02008E00FF99D8
+:02008F00012747
+:02009000001757
+:0200910001A8C4
+:02009200FD96D9
+:0200930002B3B6
+:02009400FCB3BB
+:0200950004B8AD
+:02009600F7CBA6
+:020097001472E1
+:020098007ABC30
+:02009900EEB2C5
+:02009A0008FC60
+:02009B00FAA0C9
+:02009C00030D52
+:02009D00FEBCA7
+:02009E00FFB4AD
+:02009F00011F3F
+:0200A000001945
+:0200A10001ABB1
+:0200A200FD7EE1
+:0200A30002E673
+:0200A400FC5C02
+:0200A50005470D
+:0200A600F6CE94
+:0200A70016F34E
+:0200A80079A538
+:0200A900ED8BDD
+:0200AA000996B5
+:0200AB00FA4118
+:0200AC00034C03
+:0200AD00FE92C1
+:0200AE00FFCE83
+:0200AF00011638
+:0200B000001C32
+:0200B10001AE9E
+:0200B200FD67E8
+:0200B300031830
+:0200B400FC0648
+:0200B50005D56F
+:0200B600F5D182
+:0200B700197FAF
+:0200B80078745A
+:0200B900EC79E0
+:0200BA000A2812
+:0200BB00F9E763
+:0200BC000388B7
+:0200BD00FE68DB
+:0200BE00FFE859
+:0200BF00010E30
+:0200C000001E20
+:0200C10001B18B
+:0200C200FD51EE
+:0200C3000349EF
+:0200C400FBB18E
+:0200C5000662D1
+:0200C600F4D66E
+:0200C7001C1506
+:0200C800772C93
+:0200C900EB7AD0
+:0200CA000AB179
+:0200CB00F992A8
+:0200CC0003C16E
+:0200CD00FE40F3
+:0200CE0000012F
+:0200CF00010529
+:0200D00000210D
+:0200D10001B379
+:0200D200FD3BF4
+:0200D3000379AF
+:0200D400FB5DD2
+:0200D50006ED36
+:0200D600F3DD58
+:0200D7001EB455
+:0200D80075CBE6
+:0200D900EA8FAC
+:0200DA000B30E9
+:0200DB00F943E7
+:0200DC0003F728
+:0200DD00FE1A09
+:0200DE00001A06
+:0200DF0000FC23
+:0200E0000024FA
+:0200E10001B468
+:0200E200FD27F8
+:0200E30003A870
+:0200E400FB0B14
+:0200E50007769C
+:0200E600F2E640
+:0200E700215A9C
+:0200E800745250
+:0200E900E9B874
+:0200EA000BA762
+:0200EB00F8F823
+:0200EC00042AE4
+:0200ED00FDF51F
+:0200EE000032DE
+:0200EF0000F41B
+:0200F0000027E7
+:0200F10001B557
+:0200F200FD14FB
+:0200F30003D533
+:0200F400FABB55
+:0200F50007FC06
+:0200F600F1F324
+:0200F7002408DB
+:0200F80072C3D1
+:0200F900E8F528
+:0200FA000C14E4
+:0200FB00F8B358
+:0200FC00045AA4
+:0200FD00FDD232
+:0200FE00004AB6
+:0200FF0000EB14
+:02010000002BD2
+:0201010001B546
+:02010200FD01FD
+:020103000401F5
+:02010400FA6D92
+:02010500087F71
+:02010600F10402
+:0201070026BC14
+:02010800711D67
+:02010900E846C6
+:02010A000C7770
+:02010B00F87486
+:02010C00048667
+:02010D00FDB043
+:02010E0000618E
+:02010F0000E30B
+:02011000002EBF
+:0201110001B536
+:02011200FCF0FF
+:02011300042BBB
+:02011400FA21CE
+:0201150008FFE1
+:02011600F019DE
+:02011700297647
+:020118006F6214
+:02011900E7AA53
+:02011A000CD205
+:02011B00F83AB0
+:02011C0004B02D
+:02011D00FD9152
+:02011E00007768
+:02011F0000DB03
+:020120000032AB
+:0201210001B328
+:02012200FCE0FF
+:02012300045482
+:02012400F9D709
+:02012500097C53
+:02012600EF34B4
+:020127002C3476
+:020128006D92D6
+:02012900E721CC
+:02012A000D22A4
+:02012B00F805D5
+:02012C0004D6F7
+:02012D00FD7360
+:02012E00008D42
+:02012F0000D2FC
+:02013000003697
+:0201310001B219
+:02013200FCD1FE
+:02013300047B4B
+:02013400F9913F
+:0201350009F4CB
+:02013600EE5584
+:020137002EF5A3
+:020138006BAEAC
+:02013900E6AC32
+:02013A000D694D
+:02013B00F7D6F5
+:02013C0004F9C4
+:02013D00FD566D
+:02013E0000A21D
+:02013F0000CAF4
+:02014000003A83
+:0201410001AF0C
+:02014200FCC4FB
+:02014300049F17
+:02014400F94D73
+:020145000A6846
+:02014600ED7C4E
+:0201470031B9CC
+:0201480069B696
+:02014900E64A84
+:02014A000DA7FF
+:02014B00F7AD0E
+:02014C00051894
+:02014D00FD3C77
+:02014E0000B6F9
+:02014F0000C2EC
+:02015000003E6F
+:0201510001ACFF
+:02015200FCB8F7
+:0201530004C2E4
+:02015400F90CA4
+:020155000AD8C6
+:02015600ECAB10
+:02015700347FF3
+:0201580067AB93
+:02015900E5FAC5
+:02015A000DDBBB
+:02015B00F78922
+:02015C00053468
+:02015D00FD2380
+:02015E0000CAD5
+:02015F0000BAE4
+:0201600000425B
+:0201610001A8F3
+:02016200FCADF2
+:0201630004E3B3
+:02016400F8CED3
+:020165000B424B
+:02016600EBE2CA
+:02016700374619
+:02016800658EA2
+:02016900E5BDF2
+:02016A000E0580
+:02016B00F76B30
+:02016C00054D3F
+:02016D00FD0D86
+:02016E0000DDB2
+:02016F0000B2DC
+:02017000004746
+:0201710001A4E7
+:02017200FCA4EB
+:02017300050184
+:02017400F894FD
+:020175000BA7D6
+:02017600EB217B
+:020177003A0D3F
+:020178006360C2
+:02017900E5920D
+:02017A000E264F
+:02017B00F75338
+:02017C0005621A
+:02017D00FCF88C
+:02017E0000EF90
+:02017F0000ABD3
+:02018000004C31
+:02018100019FDC
+:02018200FC9CE3
+:02018300051D58
+:02018400F85E23
+:020185000C0666
+:02018600EA6924
+:020187003CD268
+:020188006122F2
+:02018900E57916
+:02018A000E3E27
+:02018B00F7403B
+:02018C000574F8
+:02018D00FCE58F
+:02018E0001006E
+:02018F0000A3CB
+:0201900000511C
+:020191000199D2
+:02019200FC96D9
+:0201930005362F
+:02019400F82B46
+:020195000C5FFD
+:02019600E9BCC2
+:020197003F9592
+:020198005ED433
+:02019900E5710E
+:02019A000E4C09
+:02019B00F73338
+:02019C000582DA
+:02019D00FCD490
+:02019E0001114D
+:02019F00009CC2
+:0201A000005607
+:0201A1000192C9
+:0201A200FC91CE
+:0201A300054D08
+:0201A400F7FD65
+:0201A5000CB29A
+:0201A600E91955
+:0201A7004255BF
+:0201A8005C7881
+:0201A900E57AF5
+:0201AA000E52F3
+:0201AB00F72B30
+:0201AC00058EBE
+:0201AD00FCC58F
+:0201AE0001202E
+:0201AF000095B9
+:0201B000005BF2
+:0201B100018AC1
+:0201B200FC8FC0
+:0201B3000561E4
+:0201B400F7D280
+:0201B5000CFE3E
+:0201B600E880DF
+:0201B7004511F0
+:0201B8005A0DDE
+:0201B900E593CC
+:0201BA000E4EE7
+:0201BB00F72922
+:0201BC000595A7
+:0201BD00FCB78D
+:0201BE00012F0F
+:0201BF00008DB1
+:0201C0000061DC
+:0201C1000182B9
+:0201C200FC8DB2
+:0201C3000572C3
+:0201C400F7AC96
+:0201C5000D43E8
+:0201C600E7F45C
+:0201C70047C827
+:0201C800579648
+:0201C900E5BD92
+:0201CA000E41E4
+:0201CB00F72C0F
+:0201CC00059A92
+:0201CD00FCAC88
+:0201CE00013EF0
+:0201CF000087A7
+:0201D0000067C6
+:0201D1000178B3
+:0201D200FC8EA1
+:0201D3000580A5
+:0201D400F78BA7
+:0201D5000D819A
+:0201D600E774CC
+:0201D7004A7864
+:0201D8005513BD
+:0201D900E5F649
+:0201DA000E2CE9
+:0201DB00F735F6
+:0201DC00059B81
+:0201DD00FCA282
+:0201DE00014BD3
+:0201DF0000809E
+:0201E000006CB1
+:0201E100016FAC
+:0201E200FC908F
+:0201E300058C89
+:0201E400F76EB4
+:0201E5000DB754
+:0201E600E7012F
+:0201E7004D22A7
+:0201E80052853E
+:0201E900E63EF0
+:0201EA000E0EF7
+:0201EB00F742D9
+:0201EC00059973
+:0201ED00FC9A7A
+:0201EE000157B7
+:0201EF00007995
+:0201F00000739A
+:0201F1000164A7
+:0201F200FC947B
+:0201F300059471
+:0201F400F756BC
+:0201F5000DE516
+:0201F600E69B86
+:0201F7004FC4F3
+:0201F8004FEDC9
+:0201F900E69589
+:0201FA000DE80E
+:0201FB00F755B6
+:0201FC00059468
+:0201FD00FC9470
+:0201FE0001639B
+:0201FF0000738B
+:02020000007983
+:020201000158A2
+:02020200FC9A64
+:0202030005995B
+:02020400F743BE
+:020205000E0CDD
+:02020600E643CD
+:02020700525C47
+:020208004D4C5B
+:02020900E6FA13
+:02020A000DBA2B
+:02020B00F76D8D
+:02020C00058C5F
+:02020D00FC9063
+:02020E00016E7F
+:02020F00006D80
+:02021000007F6D
+:02021100014C9E
+:02021200FCA24C
+:02021300059B49
+:02021400F735BC
+:020215000E2AAF
+:02021600E5FA07
+:0202170054EBA6
+:020218004AA3F7
+:02021900E76C90
+:02021A000D8451
+:02021B00F78961
+:02021C0005815A
+:02021D00FC8E55
+:02021E00017865
+:02021F00006776
+:02022000008656
+:02022100013E9C
+:02022200FCAB33
+:02022300059A3A
+:02022400F72DB4
+:020225000E4089
+:02022600E5C031
+:02022700576F0F
+:0202280047F39A
+:02022900E7EC00
+:02022A000D477E
+:02022B00F7AA30
+:02022C00057358
+:02022D00FC8D46
+:02022E0001814C
+:02022F0000616C
+:02023000008D3F
+:0202310001309A
+:02023200FCB618
+:0202330005962E
+:02023400F729A8
+:020235000E4D6C
+:02023600E5954C
+:0202370059E686
+:02023800453D42
+:02023900E87764
+:02023A000D02B3
+:02023B00F7D0FA
+:02023C00056259
+:02023D00FC8E35
+:02023E00018A33
+:02023F00005C61
+:02024000009428
+:02024100012199
+:02024200FCC4FA
+:02024300058E26
+:02024400F72B96
+:020245000E5257
+:02024600E57B56
+:020247005C5108
+:020248004281F1
+:02024900E90FBB
+:02024A000CB7EF
+:02024B00F7FAC0
+:02024C00054E5D
+:02024D00FC9122
+:02024E0001911C
+:02024F00005657
+:02025000009B11
+:02025100011298
+:02025200FCD3DB
+:02025300058321
+:02025400F7327F
+:020255000E4D4C
+:02025600E57150
+:020257005EAF98
+:020258003FC1A4
+:02025900E9B109
+:02025A000C6432
+:02025B00F82881
+:02025C00053764
+:02025D00FC960D
+:02025E00019805
+:02025F0000514C
+:0202600000A3F9
+:02026100010199
+:02026200FCE4BA
+:0202630005751F
+:02026400F73F62
+:020265000E3F4A
+:02026600E57839
+:0202670060FE37
+:020268003CFE5A
+:02026900EA5E4B
+:02026A000C0C7A
+:02026B00F85B3E
+:02026C00051E6D
+:02026D00FC9CF7
+:02026E00019EEF
+:02026F00004C41
+:0202700000AAE2
+:0202710000F09B
+:02027200FCF797
+:02027300056321
+:02027400F7523F
+:020275000E2851
+:02027600E59011
+:02027700633DE5
+:020278003A3911
+:02027900EB1583
+:02027A000BADCA
+:02027B00F891F8
+:02027C00050378
+:02027D00FCA3E0
+:02027E0001A4D9
+:02027F00004736
+:0202800000B2CA
+:0202810000DE9D
+:02028200FD0B72
+:02028300054E26
+:02028400F76A17
+:020285000E0861
+:02028600E5BAD7
+:02028700656CA4
+:020288003772CB
+:02028900EBD6B2
+:02028A000B481F
+:02028B00F8CBAE
+:02028C0004E587
+:02028D00FCACC7
+:02028E0001A8C5
+:02028F0000432A
+:0202900000BAB2
+:0202910000CBA0
+:02029200FD224B
+:0202930005362E
+:02029400F787EA
+:020295000DDE7C
+:02029600E5F68B
+:02029700678A74
+:0202980034AC84
+:02029900EC9ED9
+:02029A000ADE7A
+:02029B00F90860
+:02029C0004C498
+:02029D00FCB7AC
+:02029E0001ACB1
+:02029F00003E1F
+:0202A00000C29A
+:0202A10000B8A3
+:0202A200FD3A23
+:0202A300051A3A
+:0202A400F7ABB6
+:0202A5000DAAA0
+:0202A600E6442C
+:0202A700699656
+:0202A80031E63D
+:0202A900ED6FF7
+:0202AA000A6FD9
+:0202AB00F9490F
+:0202AC0004A2AA
+:0202AD00FCC390
+:0202AE0001AF9E
+:0202AF00003A13
+:0202B00000CA82
+:0202B10000A3A8
+:0202B200FD55F8
+:0202B30004FB4A
+:0202B400F7D47D
+:0202B5000D6DCD
+:0202B600E6A5BB
+:0202B7006B8F4B
+:0202B8002F21F4
+:0202B900EE470E
+:0202BA0009FC3D
+:0202BB00F98CBC
+:0202BC00047DBF
+:0202BD00FCD073
+:0202BE0001B28B
+:0202BF00003607
+:0202C00000D26A
+:0202C100008EAD
+:0202C200FD71CC
+:0202C30004D85D
+:0202C400F8023E
+:0202C5000D2703
+:0202C600E71A35
+:0202C7006D7454
+:0202C8002C60A8
+:0202C900EF261E
+:0202CA000983A6
+:0202CB00F9D365
+:0202CC000456D6
+:0202CD00FCDF54
+:0202CE0001B37A
+:0202CF000032FB
+:0202D00000DA52
+:0202D1000079B2
+:0202D200FD8F9E
+:0202D30004B273
+:0202D400F836FA
+:0202D5000CD744
+:0202D600E7A19E
+:0202D7006F4670
+:0202D80029A15A
+:0202D900F00B28
+:0202DA00090712
+:0202DB00FA1C0B
+:0202DC00042EEE
+:0202DD00FCEF34
+:0202DE0001B469
+:0202DF00002EEF
+:0202E00000E23A
+:0202E1000062B9
+:0202E200FDAE6F
+:0202E30004898C
+:0202E400F870B0
+:0202E5000C7D8E
+:0202E600E83BF3
+:0202E7007102A2
+:0202E80026E806
+:0202E900F0F52E
+:0202EA00088783
+:0202EB00FA68AF
+:0202EC00040408
+:0202ED00FD0012
+:0202EE0001B558
+:0202EF00002BE2
+:0202F00000EB21
+:0202F100004BC0
+:0202F200FDD03D
+:0202F300045DA8
+:0202F400F8AF61
+:0202F5000C1AE1
+:0202F600E8E935
+:0202F70072A9EA
+:0202F8002433AD
+:0202F900F1E42E
+:0202FA000804F6
+:0202FB00FAB651
+:0202FC0003D825
+:0202FD00FD12F0
+:0202FE0001B548
+:0202FF000027D6
+:0203000000F308
+:020301000034C6
+:02030200FDF309
+:02030300042DC7
+:02030400F8F40B
+:020305000BAE3D
+:02030600E9AB61
+:02030700743A46
+:0203080021854D
+:02030900F2D729
+:02030A00077E6C
+:02030B00FB06EF
+:02030C0003AB41
+:02030D00FD26CB
+:02030E0001B438
+:02030F000024C8
+:0203100000FCEF
+:02031100001BCF
+:02031200FE18D3
+:0203130003FAEB
+:02031400F93EB0
+:020315000B38A3
+:02031600EA817A
+:0203170075B4BB
+:020318001EDEE7
+:02031900F3CD22
+:02031A0006F6E5
+:02031B00FB588D
+:02031C00037C60
+:02031D00FD3AA7
+:02031E0001B329
+:02031F000021BB
+:020320000104D6
+:020321000003D7
+:02032200FE3E9D
+:0203230003C411
+:02032400F98D51
+:020325000AB913
+:02032600EB6B7F
+:02032700771647
+:020328001C3F78
+:02032900F4C618
+:02032A00066B60
+:02032B00FBAC29
+:02032C00034C80
+:02032D00FD4F82
+:02032E0001B11B
+:02032F00001FAD
+:02033000010DBD
+:02033100FFE9E2
+:02033200FE6665
+:02033300038C39
+:02033400F9E2EC
+:020335000A318B
+:02033600EC6871
+:020337007861EB
+:0203380019A901
+:02033900F5C10C
+:02033A0005DEDE
+:02033B00FC01C3
+:02033C00031BA1
+:02033D00FD665B
+:02033E0001AE0E
+:02033F00001CA0
+:020340000116A4
+:02034100FFD0EB
+:02034200FE8F2C
+:02034300035065
+:02034400FA3B82
+:0203450009A00D
+:02034600ED794F
+:020347007993A8
+:02034800171C80
+:02034900F6BEFE
+:02034A0005505C
+:02034B00FC575D
+:02034C0002E9C4
+:02034D00FD7D34
+:02034E0001AB01
+:02034F00001993
+:02035000011E8C
+:02035100FFB5F6
+:02035200FEBAF1
+:02035300031194
+:02035400FA9A13
+:02035500090697
+:02035600EE9F18
+:020357007AAC7E
+:02035800149AF5
+:02035900F7BBF0
+:02035A0004C1DC
+:02035B00FCAEF6
+:02035C0002B6E7
+:02035D00FD940D
+:02035E0001A8F4
+:02035F00001785
+:02036000012773
+:02036100FF9B00
+:02036200FEE6B5
+:0203630002D0C6
+:02036400FAFDA0
+:0203650008642A
+:02036600EFD8CE
+:020367007BAB6E
+:0203680012235E
+:02036900F8B9E1
+:02036A0004315C
+:02036B00FD068D
+:02036C0002820B
+:02036D00FDADE4
+:02036E0001A4E8
+:02036F00001577
+:02037000012F5B
+:02037100FF800B
+:02037200FF1377
+:02037300028CFA
+:02037400FB6527
+:0203750007B9C6
+:02037600F1256F
+:020377007C9177
+:020378000FB7BD
+:02037900F9B6D3
+:02037A0003A1DD
+:02037B00FD5E25
+:02037C00024E2F
+:02037D00FDC6BB
+:02037E0001A0DC
+:02037F00001369
+:02038000013842
+:02038100FF6516
+:02038200FF4139
+:02038300024630
+:02038400FBD1AB
+:02038500070768
+:02038600F285FE
+:020387007D5D9A
+:020388000D590D
+:02038900FAB3C5
+:02038A0003105E
+:02038B00FDB7BC
+:02038C00021954
+:02038D00FDE091
+:02038E00019BD1
+:02038F0000115B
+:0203900001402A
+:02039100FF4922
+:02039200FF71F9
+:0203930001FD6A
+:02039400FC412A
+:02039500064C14
+:02039600F3F979
+:020397007E0ED8
+:020398000B0751
+:02039900FBAEB9
+:02039A000280DF
+:02039B00FE0F53
+:02039C0001E47A
+:02039D00FDFA67
+:02039E000196C6
+:02039F00000F4D
+:0203A000014812
+:0203A100FF2D2E
+:0203A200FFA2B8
+:0203A30001B2A5
+:0203A400FCB6A5
+:0203A500058BC6
+:0203A600F580E0
+:0203A7007EA531
+:0203A80008C487
+:0203A900FCA7AF
+:0203AA0001F060
+:0203AB00FE68EA
+:0203AC0001AF9F
+:0203AD00FE153B
+:0203AE000190BC
+:0203AF00000E3E
+:0203B0000150FA
+:0203B100FF113A
+:0203B200FFD377
+:0203B3000165E2
+:0203B400FD2E1C
+:0203B50004C280
+:0203B600F71A34
+:0203B7007F21A4
+:0203B800068FAE
+:0203B900FD9DA8
+:0203BA000162DE
+:0203BB00FEC082
+:0203BC000179C5
+:0203BD00FE3010
+:0203BE00018AB2
+:0203BF00000B31
+:0203C0000158E2
+:0203C100FEF547
+:0203C200000633
+:0203C300011621
+:0203C400FDA991
+:0203C50003F340
+:0203C600F8C776
+:0203C7007F8233
+:0203C800046AC5
+:0203C900FE90A4
+:0203CA0000D55C
+:0203CB00FF1819
+:0203CC000144EA
+:0203CD00FE4BE5
+:0203CE000184A8
+:0203CF00000B21
+:0203D0000160CA
+:0203D100FED953
+:0203D2000039F0
+:0203D30000C662
+:0203D400FE2801
+:0203D500031D06
+:0203D600FA86A5
+:0203D7007FC7DE
+:0203D8000254CD
+:0203D900FF80A3
+:0203DA000049D8
+:0203DB00FF6FB2
+:0203DC00010E10
+:0203DD00FE67B9
+:0203DE00017D9F
+:0203DF00000715
+:0203E0000167B3
+:0203E100FEBD5F
+:0203E200006DAC
+:0203E3000073A5
+:0203E400FEAA6F
+:0203E5000241D3
+:0203E600FC57C2
+:0203E7007FF1A4
+:0203E800004EC5
+:0203E900006BA7
+:0203EA00FFBF53
+:0203EB00FFC54C
+:0203EC0000D936
+:0203ED00FE838D
+:0203EE00017696
+:0203EF000011FB
+:0203F000016F9B
+:0203F100FEA16B
+:0203F20000A168
+:0203F3000020E8
+:0203F400FF2FD9
+:0203F5000160A5
+:0203F600FE3ACD
+:0203F7007FFF86
+:0203F800FE59AC
+:0203F9000152AF
+:0203FA00FF38CA
+:0203FB00001AE6
+:0203FC0000A45B
+:0203FD00FE9F61
+:0203FE00016F8D
+:0203FF000024D8
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..b914ea73f163e9ae32b257e58dd8985b87a80147
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_15_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100019963
+:02000200FE12EC
+:02000300018575
+:02000400FEC537
+:02000500014FA9
+:02000600FDC437
+:020007000635BC
+:020008007F3245
+:02000900F753AB
+:02000A0004AE42
+:02000B00FD34C2
+:02000C00016190
+:02000D00FFDF13
+:02000E00FEF4FE
+:02000F0001608E
+:020010000012DC
+:0200110001AF3D
+:02001200FDA44B
+:02001300026188
+:02001400FD5A93
+:02001500039452
+:02001600F9D916
+:020017000F5781
+:020018007CB3B7
+:02001900F14FA5
+:02001A0007AF2E
+:02001B00FB6187
+:02001C0002924E
+:02001D00FF16CC
+:02001E00FF6879
+:02001F00013EA0
+:02002000001CC2
+:0200210001BE1E
+:02002200FD409F
+:020023000335A3
+:02002400FBF5EA
+:0200250005DAFA
+:02002600F5DE05
+:0200270019437B
+:020028007893CB
+:02002900EC8366
+:02002A000A3298
+:02002B00F9D406
+:02002C00039B34
+:02002D00FE5F74
+:02002E00FFD7FA
+:02002F00011AB4
+:020030000028A6
+:0200310001C507
+:02003200FCEAE6
+:0200330003F8D0
+:02003400FAA32D
+:020035000807BA
+:02003600F1FBDC
+:0200370023CBD9
+:0200380072EB69
+:02003900E8F5E8
+:02003A000C2692
+:02003B00F89833
+:02003C00047648
+:02003D00FDC103
+:02003E00003E82
+:02003F0000F6C9
+:02004000003787
+:0200410001C1FB
+:02004200FCA61A
+:0200430004A314
+:02004400F9724F
+:020045000A06A9
+:02004600EE5872
+:020047002EB7D2
+:020048006BDF6C
+:02004900E6A22D
+:02004A000D8324
+:02004B00F7B408
+:02004C00051C91
+:02004D00FD3E76
+:02004E00009B15
+:02004F0000D4DB
+:02005000004965
+:0200510001B1FB
+:02005200FC7838
+:02005300052D79
+:02005400F87042
+:020055000BBEE0
+:02005600EB1E9F
+:0200570039CF9F
+:020058006399AA
+:02005900E58040
+:02005A000E4650
+:02005B00F72B81
+:02005C00058B12
+:02005D00FCD9CC
+:02005E0000EDB3
+:02005F0000B3EC
+:02006000005E40
+:02006100019606
+:02006200FC633D
+:02006300058F07
+:02006400F7AAF9
+:020065000D1A72
+:02006600E87838
+:0200670044D57E
+:020068005A4CF0
+:02006900E57937
+:02006A000E7313
+:02006B00F6FDA0
+:02006C0005C3CA
+:02006D00FC9302
+:02006E0001325D
+:02006F000094FB
+:02007000007618
+:02007100016D1F
+:02007200FC6A26
+:0200730005C4C2
+:02007400F72B68
+:020075000E0675
+:02007600E68D15
+:020077004F8AAE
+:02007800503105
+:02007900E6752A
+:02007A000E1165
+:02007B00F72666
+:02007C0005C5B8
+:02007D00FC6C19
+:02007E00016A15
+:02007F00007807
+:020080000092EC
+:02008100013646
+:02008200FC90F0
+:0200830005C5B1
+:02008400F6FD87
+:020085000E71FA
+:02008600E58211
+:0200870059B06E
+:020088004583AE
+:02008900E8533A
+:02008A000D2C3B
+:02008B00F7A0DC
+:02008C000594D9
+:02008D00FC6312
+:02008E000193DC
+:02008F00005F10
+:0200900000B1BD
+:0200910000F27B
+:02009200FCD49C
+:020093000590D6
+:02009400F7254E
+:020095000E4D0E
+:02009600E5770C
+:02009700630BF9
+:020098003A81AB
+:02009900EAEF8C
+:02009A000BD782
+:02009B00F86209
+:02009C00053429
+:02009D00FC76EF
+:02009E0001B0AF
+:02009F00004A15
+:0200A00000D28C
+:0200A10000A1BC
+:0200A200FD3728
+:0200A300052432
+:0200A400F7A9BA
+:0200A5000D93B9
+:0200A600E688EA
+:0200A7006B6389
+:0200A8002F68BF
+:0200A900EE2146
+:0200AA000A2426
+:0200AB00F960FA
+:0200AC0004ADA1
+:0200AD00FCA2B3
+:0200AE0001C08F
+:0200AF00003817
+:0200B00000F45A
+:0200B100004409
+:0200B200FDB897
+:0200B3000482C5
+:0200B400F887CB
+:0200B5000C40FD
+:0200B600E8C799
+:0200B700728550
+:0200B8002477AB
+:0200B900F1BF95
+:0200BA00082913
+:0200BB00FA8FBA
+:0200BC0004043A
+:0200BD00FCE560
+:0200BE0001C57A
+:0200BF00002916
+:0200C000011825
+:0200C100FFDD61
+:0200C200FE55E9
+:0200C30003AA8E
+:0200C400F9BE83
+:0200C5000A55DA
+:0200C600EC400C
+:0200C70078447B
+:0200C80019E835
+:0200C900F59FA1
+:0200CA0005FD32
+:0200CB00FBDF59
+:0200CC000342ED
+:0200CD00FD3AFA
+:0200CE0001BF70
+:0200CF00001D12
+:0200D000013BF2
+:0200D100FF6FBF
+:0200D200FF0A23
+:0200D30002A485
+:0200D400FB46E9
+:0200D50007DB47
+:0200D600F0F93F
+:0200D7007C7D2E
+:0200D8000FF027
+:0200D900F99993
+:0200DA0003B968
+:0200DB00FD44E2
+:0200DC00026FB1
+:0200DD00FD9D87
+:0200DE0001B16E
+:0200DF0000130C
+:0200E000015EBF
+:0200E100FEFB24
+:0200E200FFD24B
+:0200E3000175A5
+:0200E400FD1508
+:0200E50004E233
+:0200E600F6EA38
+:0200E7007F1781
+:0200E80006C14F
+:0200E900FD8692
+:0200EA000173A0
+:0200EB00FEAF66
+:0200EC0001927F
+:0200ED00FE0B08
+:0200EE00019B74
+:0200EF00000D02
+:0200F000017E8F
+:0200F100FE8689
+:0200F20000A864
+:0200F3000027E4
+:0200F400FF1EED
+:0200F500017C8C
+:0200F600FE0604
+:0200F7007FFF89
+:0200F800FE8187
+:0200F9000142C2
+:0200FA00FF40C5
+:0200FB000012F1
+:0200FC0000B54D
+:0200FD00FE7E85
+:0200FE00017F80
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..4d65f92cb7b4f19f195fb865901f40212aae5ff2
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000024DA
+:0200010001708C
+:02000200FE9D61
+:0200030000A853
+:020004000015E5
+:02000500FF40BA
+:020006000143B4
+:02000700FE7881
+:020008007FFF78
+:02000900FE1BDC
+:02000A00016E85
+:02000B00FF27CD
+:02000C000025CD
+:02000D00009E53
+:02000E00FEA250
+:02000F00016E80
+:02001000000FDF
+:02001100017775
+:02001200FE816D
+:0200130000DD0E
+:02001400FFC02B
+:02001500FFC822
+:02001600005C8C
+:02001700006E79
+:020018007FEF78
+:02001900FC3AAF
+:02001A00024F93
+:02001B00FEA243
+:02001C00007969
+:02001D00006978
+:02001E00FEBF23
+:02001F00016777
+:020020000007D7
+:02002100017E5E
+:02002200FE6579
+:020023000112C8
+:02002400FF6A71
+:02002500005287
+:02002600FF7168
+:02002700027461
+:020028007FC394
+:02002900FA6A71
+:02002A00032BA6
+:02002B00FE20B5
+:02002C0000CB07
+:02002D0000369B
+:02002E00FEDBF7
+:02002F00015F6F
+:02003000000BC3
+:02003100018448
+:02003200FE4A84
+:02003300014783
+:02003400FF12B9
+:0200350000DDEC
+:02003600FE8149
+:02003700048B38
+:020038007F7CCB
+:02003900F8AC21
+:02003A000400C0
+:02003B00FDA224
+:02003C00011BA6
+:02003D000002BF
+:02003E00FEF7CB
+:02003F00015866
+:02004000000BB3
+:02004100018A32
+:02004200FE2E90
+:02004300017D3D
+:02004400FEBB01
+:02004500016B4D
+:02004600FD8E2D
+:0200470006B2FF
+:020048007F1A1D
+:02004900F700BE
+:02004A0004CFE1
+:02004B00FD2690
+:02004C00016A47
+:02004D00FFD0E2
+:02004E00FF139E
+:02004F0001505E
+:02005000000EA0
+:0200510001901C
+:02005200FE139B
+:0200530001B2F8
+:02005400FE624A
+:0200550001F9AF
+:02005600FC9715
+:0200570008E8B7
+:020058007E9C8C
+:02005900F56749
+:02005A00059708
+:02005B00FCAEF9
+:02005C0001B7EA
+:02005D00FF9F03
+:02005E00FF2F72
+:02005F00014856
+:02006000000F8F
+:02006100019606
+:02006200FDF8A7
+:0200630001E8B2
+:02006400FE0A92
+:0200650002890E
+:02006600FB9EFF
+:020067000B2C60
+:020068007E0414
+:02006900F3E1C1
+:02006A00065836
+:02006B00FC3A5D
+:02006C0002028E
+:02006D00FF6E24
+:02006E00FF4B46
+:02006F00013F4F
+:0200700000117D
+:02007100019BF1
+:02007200FDDEB1
+:02007300021D6C
+:02007400FDB1DC
+:0200750003196D
+:02007600FAA3EB
+:020077000D7EFC
+:020078007D51B8
+:02007900F26F24
+:02007A0007126B
+:02007B00FBCABE
+:02007C00024A36
+:02007D00FF3E44
+:02007E00FF661B
+:02007F00013747
+:0200800000136B
+:0200810001A0DC
+:02008200FDC4BB
+:02008300025128
+:02008400FD5825
+:0200850003AACC
+:02008600F9A7D8
+:020087000FDE8A
+:020088007C8476
+:02008900F10F75
+:02008A0007C4A9
+:02008B00FB5E1A
+:02008C000290E0
+:02008D00FF1062
+:02008E00FF82EF
+:02008F00012F3F
+:02009000001559
+:0200910001A4C8
+:02009200FDABC4
+:020093000286E3
+:02009400FD006D
+:02009500043A2B
+:02009600F8A9C7
+:02009700124A0B
+:020098007B9C4F
+:02009900EFC4B2
+:02009A00086EEE
+:02009B00FAF772
+:02009C0002D48C
+:02009D00FEE380
+:02009E00FF9DC4
+:02009F00012638
+:0200A000001747
+:0200A10001A8B4
+:0200A200FD93CC
+:0200A30002B9A0
+:0200A400FCA8B6
+:0200A50004CA8B
+:0200A600F7ABB6
+:0200A70014C182
+:0200A8007A9B41
+:0200A900EE8CDB
+:0200AA0009103B
+:0200AB00FA94C5
+:0200AC0003153A
+:0200AD00FEB79C
+:0200AE00FFB79A
+:0200AF00011E30
+:0200B000001A34
+:0200B10001ACA0
+:0200B200FD7BD4
+:0200B30002EC5D
+:0200B400FC51FD
+:0200B5000559EB
+:0200B600F6AEA4
+:0200B7001744EC
+:0200B80079804D
+:0200B900ED68F0
+:0200BA0009A992
+:0200BB00FA3613
+:0200BC000354EB
+:0200BD00FE8CB7
+:0200BE00FFD170
+:0200BF00011529
+:0200C000001C22
+:0200C10001AE8E
+:0200C200FD64DB
+:0200C300031E1A
+:0200C400FBFB44
+:0200C50005E74D
+:0200C600F5B192
+:0200C70019D24C
+:0200C800784D71
+:0200C900EC58F1
+:0200CA000A39F1
+:0200CB00F9DC5E
+:0200CC00038FA0
+:0200CD00FE63D0
+:0200CE00FFEB46
+:0200CF00010C22
+:0200D000001F0F
+:0200D10001B17B
+:0200D200FD4EE1
+:0200D300034FD9
+:0200D400FBA689
+:0200D5000674AF
+:0200D600F4B67E
+:0200D7001C68A3
+:0200D8007701AE
+:0200D900EB5BDF
+:0200DA000AC159
+:0200DB00F988A2
+:0200DC0003C857
+:0200DD00FE3BE8
+:0200DE0000041C
+:0200DF0001041A
+:0200E0000021FD
+:0200E10001B369
+:0200E200FD39E6
+:0200E300037F99
+:0200E400FB53CC
+:0200E50006FE15
+:0200E600F3BE67
+:0200E7001F08F0
+:0200E800759D04
+:0200E900EA73B8
+:0200EA000B3FCA
+:0200EB00F939E1
+:0200EC0003FD12
+:0200ED00FE15FE
+:0200EE00001DF3
+:0200EF0000FB14
+:0200F0000025E9
+:0200F10001B458
+:0200F200FD24EB
+:0200F30003AE5A
+:0200F400FB010E
+:0200F50007877B
+:0200F600F2C74F
+:0200F70021B036
+:0200F800742270
+:0200F900E99F7D
+:0200FA000BB544
+:0200FB00F8EF1C
+:0200FC000430CE
+:0200FD00FDF113
+:0200FE000035CB
+:0200FF0000F30C
+:020100000028D5
+:0201010001B546
+:02010200FD11ED
+:0201030003DB1C
+:02010400FAB14E
+:02010500080DE3
+:02010600F1D531
+:02010700245E74
+:02010800728FF4
+:02010900E8DE2E
+:02010A000C21C6
+:02010B00F8AB4F
+:02010C00045F8E
+:02010D00FDCE25
+:02010E00004DA2
+:02010F0000EA04
+:02011000002BC2
+:0201110001B536
+:02011200FCFFF0
+:020113000406E0
+:02011400FA638C
+:02011500089050
+:02011600F0E611
+:020117002713AC
+:0201180070E78E
+:02011900E831CB
+:02011A000C8354
+:02011B00F86C7E
+:02011C00048C51
+:02011D00FDAC37
+:02011E0000647B
+:02011F0000E2FC
+:02012000002EAF
+:0201210001B427
+:02012200FCEEF1
+:020123000431A5
+:02012400FA18C7
+:02012500090FC0
+:02012600EFFCEC
+:0201270029CDE0
+:020128006F293D
+:02012900E79855
+:02012A000CDCEB
+:02012B00F833A7
+:02012C0004B518
+:02012D00FD8D46
+:02012E00007A55
+:02012F0000DAF4
+:0201300000329B
+:0201310001B318
+:02013200FCDEF1
+:0201330004596D
+:02013400F9CE02
+:02013500098B34
+:02013600EF18C0
+:020137002C8C0E
+:020138006D5701
+:02013900E712CB
+:02013A000D2C8A
+:02013B00F7FFCC
+:02013C0004DAE3
+:02013D00FD6F54
+:02013E0000902F
+:02013F0000D1ED
+:02014000003687
+:0201410001B10A
+:02014200FCD0EF
+:02014300047F37
+:02014400F98838
+:020145000A03AB
+:02014600EE3A8F
+:020147002F4E39
+:020148006B70DA
+:02014900E69F2F
+:02014A000D7234
+:02014B00F7D1EA
+:02014C0004FDB0
+:02014D00FD5360
+:02014E0000A50A
+:02014F0000C9E5
+:02015000003A73
+:0201510001AFFC
+:02015200FCC2ED
+:0201530004A402
+:02015400F9446C
+:020155000A7628
+:02015600ED6258
+:02015700321262
+:020158006975C7
+:02015900E63F7F
+:02015A000DAEE8
+:02015B00F7A803
+:02015C00051C80
+:02015D00FD396A
+:02015E0000B9E6
+:02015F0000C1DD
+:02016000003E5F
+:0201610001ACEF
+:02016200FCB6E9
+:0201630004C6D0
+:02016400F9049C
+:020165000AE5A9
+:02016600EC9219
+:0201670034D88A
+:020168006768C6
+:02016900E5F2BD
+:02016A000DE1A5
+:02016B00F78516
+:02016C00053755
+:02016D00FD2172
+:02016E0000CCC3
+:02016F0000B9D5
+:0201700000434A
+:0201710001A8E3
+:02017200FCACE3
+:0201730004E79F
+:02017400F8C7CA
+:020175000B4F2E
+:02017600EBC9D3
+:02017700379FB0
+:020178006549D7
+:02017900E5B7E8
+:02017A000E0A6B
+:02017B00F76823
+:02017C0005502C
+:02017D00FD0A79
+:02017E0000DFA0
+:02017F0000B1CD
+:02018000004736
+:0201810001A3D8
+:02018200FCA3DC
+:02018300050471
+:02018400F88DF4
+:020185000BB3BA
+:02018600EB0A82
+:020187003A65D7
+:020188006319F9
+:02018900E58E01
+:02018A000E2A3B
+:02018B00F7502B
+:02018C00056408
+:02018D00FCF57F
+:02018E0000F17E
+:02018F0000AAC4
+:02019000004C21
+:02019100019ECD
+:02019200FC9BD4
+:02019300052045
+:02019400F8571A
+:020195000C114B
+:02019600EA532A
+:020197003D2AFF
+:0201980060D92C
+:02019900E57708
+:02019A000E4015
+:02019B00F73E2D
+:02019C000576E6
+:02019D00FCE381
+:02019E0001025C
+:02019F0000A2BC
+:0201A00000510C
+:0201A1000198C3
+:0201A200FC95CA
+:0201A30005391C
+:0201A400F8253C
+:0201A5000C6AE2
+:0201A600E9A7C7
+:0201A7003FED2A
+:0201A8005E896E
+:0201A900E571FE
+:0201AA000E4EF7
+:0201AB00F73229
+:0201AC000584C8
+:0201AD00FCD282
+:0201AE0001133B
+:0201AF00009BB3
+:0201B0000056F7
+:0201B1000191BA
+:0201B200FC91BE
+:0201B300054FF6
+:0201B400F7F75B
+:0201B5000CBC80
+:0201B600E90559
+:0201B70042AD57
+:0201B8005C2BBE
+:0201B900E57CE3
+:0201BA000E52E3
+:0201BB00F72B20
+:0201BC00058FAD
+:0201BD00FCC381
+:0201BE0001221C
+:0201BF000094AA
+:0201C000005CE1
+:0201C1000189B2
+:0201C200FC8EB1
+:0201C3000563D2
+:0201C400F7CD75
+:0201C5000D0724
+:0201C600E86EE1
+:0201C700456889
+:0201C80059BF1D
+:0201C900E598B7
+:0201CA000E4DD8
+:0201CB00F72912
+:0201CC00059696
+:0201CD00FCB67E
+:0201CE000131FD
+:0201CF00008DA1
+:0201D0000061CC
+:0201D1000181AA
+:0201D200FC8DA2
+:0201D3000574B1
+:0201D400F7A88A
+:0201D5000D4BD0
+:0201D600E7E35D
+:0201D700481EC0
+:0201D800574787
+:0201D900E5C37C
+:0201DA000E3FD6
+:0201DB00F72DFE
+:0201DC00059A82
+:0201DD00FCAA7A
+:0201DE00013FDF
+:0201DF00008698
+:0201E0000067B6
+:0201E1000177A4
+:0201E200FC8E91
+:0201E300058293
+:0201E400F7879B
+:0201E5000D8883
+:0201E600E765CB
+:0201E7004ACEFE
+:0201E80054C2FF
+:0201E900E5FE31
+:0201EA000E29DC
+:0201EB00F736E5
+:0201EC00059B71
+:0201ED00FCA173
+:0201EE00014CC2
+:0201EF00007F8F
+:0201F000006DA0
+:0201F100016D9E
+:0201F200FC917E
+:0201F300058D78
+:0201F400F76BA7
+:0201F5000DBD3E
+:0201F600E6F32E
+:0201F7004D7742
+:0201F800523380
+:0201F900E648D6
+:0201FA000E0AEB
+:0201FB00F744C7
+:0201FC00059963
+:0201FD00FC9A6A
+:0201FE000159A5
+:0201FF00007985
+:02020000007389
+:02020100016298
+:02020200FC9569
+:0202030005955F
+:02020400F754AD
+:020205000DEBFF
+:02020600E68F81
+:0202070050178E
+:020208004F9A0B
+:02020900E6A16C
+:02020A000DE302
+:02020B00F758A2
+:02020C00059358
+:02020D00FC945F
+:02020E00016489
+:02020F0000727B
+:02021000007A72
+:02021100015793
+:02021200FC9B53
+:02021300059A4A
+:02021400F741B0
+:020215000E10C9
+:02021600E639C7
+:0202170052AFE4
+:020218004CF8A0
+:02021900E708F4
+:02021A000DB421
+:02021B00F7707A
+:02021C00058B50
+:02021D00FC9053
+:02021E00016F6E
+:02021F00006C71
+:0202200000805C
+:02022100014A90
+:02022200FCA33B
+:02022300059B39
+:02022400F734AD
+:020225000E2E9B
+:02022600E5F2FF
+:02022700553C44
+:020228004A4E3C
+:02022900E77C70
+:02022A000D7D48
+:02022B00F78D4D
+:02022C00057F4C
+:02022D00FC8E45
+:02022E00017954
+:02022F00006667
+:02023000008745
+:02023100013D8D
+:02023200FCAC22
+:02023300059A2A
+:02023400F72CA5
+:020235000E4277
+:02023600E5BA27
+:0202370057BEB0
+:02023800479CE1
+:02023900E7FCE0
+:02023A000D3F76
+:02023B00F7AF1B
+:02023C0005714A
+:02023D00FC8D36
+:02023E0001823B
+:02023F0000605D
+:02024000008E2E
+:02024100012E8C
+:02024200FCB806
+:0202430005951F
+:02024400F72998
+:020245000E4E5B
+:02024600E59140
+:020247005A3427
+:0202480044E58B
+:02024900E88A41
+:02024A000CF9AD
+:02024B00F7D5E5
+:02024C00055F4C
+:02024D00FC8F24
+:02024E00018B22
+:02024F00005B52
+:02025000009517
+:0202510001208A
+:02025200FCC5E9
+:02025300058D17
+:02025400F72C85
+:020255000E5247
+:02025600E57948
+:020257005C9EAB
+:02025800422939
+:02025900E92298
+:02025A000CADE9
+:02025B00F7FFAB
+:02025C00054B50
+:02025D00FC9211
+:02025E0001920B
+:02025F00005548
+:02026000009C00
+:0202610001108A
+:02026200FCD5C9
+:02026300058212
+:02026400F7346D
+:020265000E4C3D
+:02026600E57140
+:020267005EF93E
+:020268003F69EC
+:02026900E9C6E4
+:02026A000C5A2C
+:02026B00F82E6B
+:02026C00053457
+:02026D00FC96FD
+:02026E000199F4
+:02026F0000503D
+:0202700000A4E8
+:0202710000FF8C
+:02027200FCE6A8
+:02027300057311
+:02027400F74150
+:020275000E3D3C
+:02027600E57A27
+:020277006146DE
+:020278003CA6A2
+:02027900EA7524
+:02027A000C0076
+:02027B00F86128
+:02027C00051B60
+:02027D00FC9DE6
+:02027E00019FDE
+:02027F00004B32
+:0202800000ABD1
+:0202810000EE8D
+:02028200FCF985
+:02028300056113
+:02028400F7542D
+:020285000E2544
+:02028600E594FD
+:0202870063848E
+:0202880039E05B
+:02028900EB2D5B
+:02028A000BA1C6
+:02028B00F898E1
+:02028C0004FF6D
+:02028D00FCA4CF
+:02028E0001A4C9
+:02028F00004726
+:0202900000B3B9
+:0202910000DC8F
+:02029200FD0E5F
+:02029300054B19
+:02029400F76D04
+:020295000E0356
+:02029600E5C1C0
+:0202970065B14F
+:02029800371A13
+:02029900EBEE8A
+:02029A000B3B1C
+:02029B00F8D297
+:02029C0004E17B
+:02029D00FCAEB5
+:02029E0001A9B4
+:02029F0000421B
+:0202A00000BBA1
+:0202A10000C992
+:0202A200FD2538
+:0202A300053222
+:0202A400F78BD6
+:0202A5000DD872
+:0202A600E5FF72
+:0202A70067CC22
+:0202A8003453CD
+:0202A900ECB8AF
+:0202AA000AD177
+:0202AB00F91048
+:0202AC0004C08C
+:0202AD00FCB89B
+:0202AE0001ACA1
+:0202AF00003E0F
+:0202B00000C389
+:0202B10000B596
+:0202B200FD3E0F
+:0202B30005162E
+:0202B400F7AFA2
+:0202B5000DA397
+:0202B600E65010
+:0202B70069D606
+:0202B800318D86
+:0202B900ED8ACC
+:0202BA000A61D7
+:0202BB00F951F7
+:0202BC00049D9F
+:0202BD00FCC57E
+:0202BE0001B08D
+:0202BF00003904
+:0202C00000CB71
+:0202C10000A19A
+:0202C200FD58E5
+:0202C30004F73E
+:0202C400F7D968
+:0202C5000D65C5
+:0202C600E6B39D
+:0202C7006BCDFD
+:0202C8002EC93D
+:0202C900EE63E2
+:0202CA0009ED3C
+:0202CB00F995A3
+:0202CC000478B4
+:0202CD00FCD261
+:0202CE0001B27B
+:0202CF000035F8
+:0202D00000D359
+:0202D100008C9F
+:0202D200FD75B8
+:0202D30004D451
+:0202D400F80828
+:0202D5000D1DFD
+:0202D600E72916
+:0202D7006DB008
+:0202D8002C08F0
+:0202D900EF42F2
+:0202DA000974A5
+:0202DB00F9DC4C
+:0202DC000451CB
+:0202DD00FCE142
+:0202DE0001B469
+:0202DF000032EB
+:0202E00000DB41
+:0202E1000076A5
+:0202E200FD938A
+:0202E30004AD68
+:0202E400F83DE3
+:0202E5000CCC3F
+:0202E600E7B37C
+:0202E7006F7F27
+:0202E800294AA1
+:0202E900F028FB
+:0202EA0008F812
+:0202EB00FA26F1
+:0202EC000429E3
+:0202ED00FCF122
+:0202EE0001B558
+:0202EF00002EDF
+:0202F00000E329
+:0202F100005FAC
+:0202F200FDB35A
+:0202F300048481
+:0202F400F87898
+:0202F5000C718A
+:0202F600E850CE
+:0202F70071385C
+:0202F80026914D
+:0202F900F113FF
+:0202FA00087783
+:0202FB00FA7295
+:0202FC0003FEFF
+:0202FD00FD0200
+:0202FE0001B548
+:0202FF00002AD3
+:0203000000EC0F
+:020301000048B2
+:02030200FDD428
+:0203030004579D
+:02030400F8B847
+:020305000C0DDD
+:02030600E9010B
+:0203070072DDA5
+:0203080023DDF3
+:02030900F202FE
+:02030A0007F4F6
+:02030B00FAC036
+:02030C0003D21A
+:02030D00FD15DC
+:02030E0001B537
+:02030F000027C5
+:0203100000F4F7
+:020311000031B9
+:02031200FDF7F5
+:020313000427BD
+:02031400F8FDF2
+:020315000B9F3C
+:02031600E9C537
+:02031700746A06
+:02031800213092
+:02031900F2F5FB
+:02031A00076D6D
+:02031B00FB10D5
+:02031C0003A537
+:02031D00FD28B9
+:02031E0001B428
+:02031F000024B8
+:0203200000FDDE
+:020321000018C2
+:02032200FE1CBF
+:0203230003F4E1
+:02032400F94896
+:020325000B28A3
+:02032600EA9D4E
+:0203270075E17E
+:020328001E8A2B
+:02032900F3ECF3
+:02032A0006E5E6
+:02032B00FB6372
+:02032C00037656
+:02032D00FD3D94
+:02032E0001B21A
+:02032F000021AB
+:020330000105C5
+:020331000000CA
+:02033200FE4388
+:0203330003BD08
+:02033400F99836
+:020335000AA814
+:02033600EB8951
+:0203370077410C
+:020338001BECBC
+:02033900F4E5E9
+:02033A00065962
+:02033B00FBB60F
+:02033C00034676
+:02033D00FD526F
+:02033E0001B00C
+:02033F00001E9E
+:02034000010EAC
+:02034100FFE6D5
+:02034200FE6B50
+:02034300038431
+:02034400F9EDD1
+:020345000A1F8D
+:02034600EC8940
+:020347007888B4
+:02034800195644
+:02034900F5E1DC
+:02034A0005CDDF
+:02034B00FC0BA9
+:02034C00031597
+:02034D00FD6849
+:02034E0001AEFE
+:02034F00001B91
+:02035000011793
+:02035100FFCCDF
+:02035200FE9417
+:0203530003485D
+:02035400FA4766
+:02035500098D10
+:02035600ED9D1B
+:0203570079B774
+:0203580016CBC2
+:02035900F6DDCF
+:02035A00053E5E
+:02035B00FC6242
+:02035C0002E3BA
+:02035D00FD8021
+:02035E0001ABF1
+:02035F00001983
+:02036000011F7B
+:02036100FFB2E9
+:02036200FEBFDC
+:0203630003098C
+:02036400FAA6F7
+:0203650008F29C
+:02036600EEC5E2
+:020367007ACD4D
+:02036800144A35
+:02036900F7DBC0
+:02036A0004AFDE
+:02036B00FCB9DB
+:02036C0002B0DD
+:02036D00FD97FA
+:02036E0001A7E5
+:02036F00001775
+:02037000012862
+:02037100FF97F4
+:02037200FEEBA0
+:0203730002C8BE
+:02037400FB0A82
+:02037500084F2F
+:02037600F00095
+:020377007BC940
+:0203780011D49E
+:02037900F8D9B1
+:02037A00041F5E
+:02037B00FD1172
+:02037C00027C01
+:02037D00FDB0D1
+:02037E0001A3D9
+:02037F00001567
+:0203800001304A
+:02038100FF7CFF
+:02038200FF1961
+:020383000283F3
+:02038400FB720A
+:0203850007A3CC
+:02038600F15034
+:020387007CAC4C
+:020388000F6BF9
+:02038900F9D6A3
+:02038A00038EE0
+:02038B00FD690A
+:02038C00024825
+:02038D00FDC9A8
+:02038E00019FCD
+:02038F0000125A
+:02039000013931
+:02039100FF610A
+:02039200FF4723
+:02039300023D29
+:02039400FBDF8D
+:0203950006F070
+:02039600F2B3C0
+:020397007D7572
+:020398000D0E48
+:02039900FAD296
+:02039A0002FE61
+:02039B00FDC2A1
+:02039C0002134A
+:02039D00FDE37E
+:02039E00019AC2
+:02039F0000114B
+:0203A000014119
+:0203A100FF4615
+:0203A200FF77E3
+:0203A30001F463
+:0203A400FC4F0C
+:0203A50006351B
+:0203A600F42938
+:0203A7007E23B3
+:0203A8000ABE8B
+:0203A900FBCD8A
+:0203AA00026EE1
+:0203AB00FE1A38
+:0203AC0001DE70
+:0203AD00FDFD54
+:0203AE000195B7
+:0203AF00000F3D
+:0203B000014901
+:0203B100FF2A21
+:0203B200FFA8A2
+:0203B30001A99E
+:0203B400FCC487
+:0203B5000572CF
+:0203B600F5B29E
+:0203B7007EB610
+:0203B800087DBE
+:0203B900FCC680
+:0203BA0001DF61
+:0203BB00FE73CF
+:0203BC0001A896
+:0203BD00FE1828
+:0203BE00018FAD
+:0203BF00000D2F
+:0203C0000151E9
+:0203C100FF0E2D
+:0203C200FFD961
+:0203C300015BDC
+:0203C400FD3DFD
+:0203C50004A989
+:0203C600F74FEF
+:0203C7007F2E87
+:0203C800064AE3
+:0203C900FDBC79
+:0203CA000150E0
+:0203CB00FECB67
+:0203CC000173BB
+:0203CD00FE33FD
+:0203CE000189A3
+:0203CF00000B21
+:0203D0000159D1
+:0203D100FEF23A
+:0203D200000C1D
+:0203D300010C1B
+:0203D400FDB971
+:0203D50003D84B
+:0203D600F8FE2F
+:0203D7007F8C19
+:0203D8000426F9
+:0203D900FEAE76
+:0203DA0000C35E
+:0203DB00FF23FE
+:0203DC00013DE1
+:0203DD00FE4FD1
+:0203DE00018399
+:0203DF00000B11
+:0203E0000161B9
+:0203E100FED547
+:0203E200003FDA
+:0203E30000BB5D
+:0203E400FE38E1
+:0203E500030211
+:0203E600FABF5C
+:0203E7007FCEC7
+:0203E8000212FF
+:0203E900FF9D76
+:0203EA000038D9
+:0203EB00FF7A97
+:0203EC00010806
+:0203ED00FE6AA6
+:0203EE00017C90
+:0203EF00000705
+:0203F0000168A2
+:0203F100FEB953
+:0203F200007396
+:0203F30000699F
+:0203F400FEBB4E
+:0203F5000225DF
+:0203F600FC9376
+:0203F7007FF491
+:0203F800000EF5
+:0203F90000887A
+:0203FA00FFAE54
+:0203FB00FFD031
+:0203FC0000D32C
+:0203FD00FE867A
+:0203FE00017587
+:0203FF000014E8
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..0fb9d7b4ae2df725ca96ae4951bc389469968375
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_128pts.hex
@@ -0,0 +1,513 @@
+:020000003EEBD5
+:020001000017E6
+:020002000007F5
+:020003000004F7
+:020004000005F5
+:020005003FF6C4
+:020006000005F3
+:02000700000FE8
+:020008001FFFD8
+:020009003FB105
+:02000A000030C4
+:02000B003FDDD7
+:02000C000015DD
+:02000D003FFAB8
+:02000E00000DE3
+:02000F000013DC
+:020010003FECC3
+:020011000020CD
+:020012003FF7B6
+:02001300001ECD
+:020014003FDAD1
+:02001500003BAE
+:020016003F8F1A
+:020017000112D4
+:020018001FEDDA
+:020019003EC4E3
+:02001A0000A044
+:02001B003F9C08
+:02001C00003DA5
+:02001D003FE1C1
+:02001E00001DC3
+:02001F00000BD4
+:020020003FD7C8
+:02002100002AB3
+:020022003FE6B7
+:020023000039A2
+:020024003FAFEC
+:02002500008158
+:020026003F1683
+:020027000225B0
+:020028001FBFF8
+:020029003DE9AF
+:02002A00010AC9
+:02002B003F5D37
+:02002C0000656D
+:02002D003FC9C9
+:02002E00002BA5
+:02002F000002CD
+:020030003FDAB5
+:0200310000339A
+:020032003FD6B7
+:02003300005477
+:020034003F8308
+:0200350000C801
+:020036003E9AF0
+:0200370003477D
+:020038001F7730
+:020039003D2068
+:02003A00016E55
+:02003B003F2262
+:02003C00008939
+:02003D003FB2D0
+:02003E00003987
+:02003F003FF987
+:020040003FD4AB
+:02004100003D80
+:020042003FC5B8
+:02004300006F4C
+:020044003F5724
+:020045000110A8
+:020046003E1D5D
+:0200470004763D
+:020048001F1582
+:020049003C6A0F
+:02004A0001CAE9
+:02004B003EEB8A
+:02004C0000AC06
+:02004D003F9DD5
+:02004E00004769
+:02004F003FF17F
+:020050003FD29D
+:02005100004667
+:020052003FB5B8
+:02005300008A21
+:020054003F2B40
+:02005500015751
+:020056003DA0CB
+:0200570005B0F2
+:020058001E9AEE
+:020059003BC7A3
+:02005A00021F83
+:02005B003EB8AD
+:02005C0000CCD6
+:02005D003F8AD8
+:02005E0000534D
+:02005F003FEA76
+:020060003FCE91
+:02006100004F4E
+:020062003FA4B9
+:0200630000A5F6
+:020064003F005B
+:02006500019CFC
+:020066003D2437
+:0200670006F59C
+:020068001E0672
+:020069003B3822
+:02006A00026B27
+:02006B003E89CC
+:02006C0000E9A9
+:02006D003F78DA
+:02006E00005E32
+:02006F003FE36D
+:020070003FCB84
+:02007100005835
+:020072003F94B9
+:0200730000BECD
+:020074003ED676
+:0200750001E0A8
+:020076003CA9A3
+:0200770008423D
+:020078001D5A0F
+:020079003ABC8F
+:02007A0002AFD3
+:02007B003E60E5
+:02007C0001037E
+:02007D003F68DA
+:02007E00006818
+:02007F003FDD63
+:020080003FC877
+:0200810000601D
+:020082003F85B8
+:0200830000D7A4
+:020084003EAF8D
+:02008500022156
+:020086003C3309
+:020087000997D7
+:020088001C97C3
+:020089003A53E8
+:02008A0002EA88
+:02008B003E3CF9
+:02008C00011A57
+:02008D003F5AD8
+:02008E00007000
+:02008F003FD759
+:020090003FC669
+:02009100006805
+:020092003F77B6
+:0200930000EE7D
+:020094003E89A3
+:02009500025F08
+:020096003BC16C
+:020097000AF16C
+:020098001BBE8D
+:0200990039FE2E
+:02009A00031B46
+:02009B003E1E07
+:02009C00012D34
+:02009D003F4FD3
+:02009E000078E8
+:02009F003FD24E
+:0200A0003FC45B
+:0200A1000070ED
+:0200A2003F6AB3
+:0200A300010456
+:0200A4003E66B6
+:0200A5000299BE
+:0200A6003B55C8
+:0200A7000C4FFC
+:0200A8001AD16B
+:0200A90039BC60
+:0200AA0003430E
+:0200AB003E0510
+:0200AC00013D14
+:0200AD003F45CD
+:0200AE00007ED2
+:0200AF003FCD43
+:0200B0003FC24D
+:0200B1000076D7
+:0200B2003F5DB0
+:0200B300011733
+:0200B4003E46C6
+:0200B50002CE79
+:0200B6003AF11D
+:0200B7000DAE8C
+:0200B80019D15C
+:0200B900398D7F
+:0200BA000362DF
+:0200BB003DF115
+:0200BC000149F8
+:0200BD003F3DC5
+:0200BE000083BD
+:0200BF003FC937
+:0200C0003FC13E
+:0200C100007CC1
+:0200C2003F53AA
+:0200C300012911
+:0200C4003E29D3
+:0200C50002FD3A
+:0200C6003A9569
+:0200C7000F0E1A
+:0200C80018BF5F
+:0200C90039708C
+:0200CA000377BA
+:0200CB003DE412
+:0200CC000152DF
+:0200CD003F38BA
+:0200CE000087A9
+:0200CF003FC62A
+:0200D0003FBF30
+:0200D1000081AC
+:0200D2003F49A4
+:0200D3000138F2
+:0200D4003E10DC
+:0200D500032600
+:0200D6003A43AB
+:0200D700106CAB
+:0200D800179E71
+:0200D900396587
+:0200DA0003839E
+:0200DB003DDC0A
+:0200DC000157CA
+:0200DD003F35AD
+:0200DE00008997
+:0200DF003FC31D
+:0200E0003FBF20
+:0200E100008598
+:0200E2003F419C
+:0200E3000145D5
+:0200E4003DFCE1
+:0200E5000349CD
+:0200E60039FCE3
+:0200E70011C640
+:0200E800166E92
+:0200E900396A72
+:0200EA0003868B
+:0200EB003DD9FD
+:0200EC000159B8
+:0200ED003F349E
+:0200EE00008B85
+:0200EF003FC10F
+:0200F0003FBF10
+:0200F100008885
+:0200F2003F3B92
+:0200F300014EBC
+:0200F4003DECE1
+:0200F5000364A2
+:0200F60039C20D
+:0200F700131ADA
+:0200F8001532BF
+:0200F900397F4D
+:0200FA00038081
+:0200FB003DDCEA
+:0200FC000158A9
+:0200FD003F358D
+:0200FE00008B75
+:0200FF003FC000
+:020100003FBFFF
+:02010100008A72
+:020102003F3785
+:020103000155A4
+:020104003DE0DC
+:0201050003787D
+:0201060039942A
+:0201070014677B
+:0201080013EBF7
+:0201090039A417
+:02010A0003727E
+:02010B003DE4D1
+:02010C0001539D
+:02010D003F3879
+:02010E00008966
+:02010F003FBFF0
+:020110003FC0EE
+:02011100008B61
+:020112003F3577
+:02011300015990
+:020114003DDAD2
+:02011500038362
+:02011600397638
+:0201170015AA27
+:02011800129B38
+:0201190039D6D5
+:02011A00035B85
+:02011B003DF1B4
+:02011C00014B95
+:02011D003F3D64
+:02011E00008758
+:02011F003FBFE0
+:020120003FC2DC
+:02012100008A52
+:020122003F3468
+:02012300015980
+:020124003DD9C3
+:0201250003864F
+:02012600396638
+:0201270016E1DF
+:0201280011457F
+:020129003A1684
+:02012A00033D93
+:02012B003E0391
+:02012C00014090
+:02012D003F444D
+:02012E0000844B
+:02012F003FBFD0
+:020130003FC4CA
+:02013100008943
+:020132003F3656
+:02013300015673
+:020134003DDEAE
+:02013500038045
+:02013600396727
+:02013700180CA2
+:020138000FE9CD
+:020139003A6129
+:02013A000318A8
+:02013B003E196B
+:02013C0001338D
+:02013D003F4C35
+:02013E00007F40
+:02013F003FC0BF
+:020140003FC7B7
+:02014100008636
+:020142003F3A42
+:02014300014F6A
+:020144003DE894
+:02014500037045
+:02014600397905
+:02014700192875
+:020148000E8A1D
+:020149003AB6C4
+:02014A0002ECC5
+:02014B003E3341
+:02014C0001238D
+:02014D003F561B
+:02014E00007A35
+:02014F003FC1AE
+:020150003FCBA3
+:0201510000822A
+:020152003F402C
+:02015300014564
+:020154003DF874
+:0201550003584D
+:02015600399DD1
+:020157001A3359
+:020158000D2A6E
+:020159003B1653
+:02015A0002BAE7
+:02015B003E5113
+:02015C00011090
+:02015D003F62FF
+:02015E0000742B
+:02015F003FC29D
+:020160003FCF8F
+:02016100007C20
+:020162003F4814
+:02016300013762
+:020164003E0D4E
+:02016500033560
+:0201660039D38B
+:020167001B2C4F
+:020168000BCBBF
+:020169003B7DDC
+:02016A0002840D
+:02016B003E73E1
+:02016C0000FC95
+:02016D003F6EE3
+:02016E00006D22
+:02016F003FC58A
+:020170003FD47A
+:02017100007517
+:020172003F53F9
+:02017300012663
+:020174003E2823
+:02017500030A7B
+:020176003A1C31
+:020177001C1258
+:020178000A6F0C
+:020179003BEB5E
+:02017A00024839
+:02017B003E97AD
+:02017C0000E59C
+:02017D003F7CC5
+:02017E0000651A
+:02017F003FC778
+:020180003FD965
+:02018100006D0F
+:020182003F5FDD
+:02018300011168
+:020184003E49F2
+:0201850002D5A1
+:020186003A78C5
+:020187001CE278
+:02018800091656
+:020189003C5FD9
+:02018A00020968
+:02018B003EBD77
+:02018C0000CEA3
+:02018D003F8BA6
+:02018E00005D12
+:02018F003FCA65
+:020190003FDF4F
+:02019100006408
+:020192003F6EBE
+:0201930000FA70
+:020194003E6FBC
+:020195000297CF
+:020196003AE845
+:020197001D9DAC
+:0201980007C49A
+:020199003CD751
+:02019A0001C79B
+:02019B003EE63E
+:02019C0000B5AC
+:02019D003F9A87
+:02019E0000550A
+:02019F003FCC53
+:0201A0003FE638
+:0201A100005A02
+:0201A2003F7E9E
+:0201A30000DE7C
+:0201A4003E9A81
+:0201A500024F07
+:0201A6003B6BB1
+:0201A7001E40F8
+:0201A800067AD5
+:0201A9003D52C5
+:0201AA000182D0
+:0201AB003F1003
+:0201AC00009BB6
+:0201AD003FAA67
+:0201AE00004C03
+:0201AF003FD03F
+:0201B0003FED21
+:0201B100004EFE
+:0201B2003F917B
+:0201B30000C08A
+:0201B4003ECA41
+:0201B500020046
+:0201B6003C0209
+:0201B7001ECB5D
+:0201B800053907
+:0201B9003DCF38
+:0201BA00013C06
+:0201BB003F3BC8
+:0201BC000080C1
+:0201BD003FBB46
+:0201BE000043FC
+:0201BF003FD22D
+:0201C0003FF40A
+:0201C1000042FA
+:0201C2003FA557
+:0201C300009F9B
+:0201C4003EFFFC
+:0201C50001A88F
+:0201C6003CAC4F
+:0201C7001F3DDA
+:0201C80004032E
+:0201C9003E4CAA
+:0201CA0000F53E
+:0201CB003F678C
+:0201CC000065CC
+:0201CD003FCB26
+:0201CE000039F6
+:0201CF003FD718
+:0201D0003FFDF1
+:0201D1000034F8
+:0201D2003FBB31
+:0201D300007CAE
+:0201D4003F38B2
+:0201D5000149DE
+:0201D6003D6981
+:0201D7001F9572
+:0201D80002D94A
+:0201D9003EC91D
+:0201DA0000AE75
+:0201DB003F9350
+:0201DC00004AD7
+:0201DD003FDC05
+:0201DE000030EF
+:0201DF003FD708
+:0201E000000518
+:0201E1000026F6
+:0201E2003FD20A
+:0201E3000056C4
+:0201E4003F7466
+:0201E50000E335
+:0201E6003E39A0
+:0201E7001FD324
+:0201E80001BC58
+:0201E9003F4491
+:0201EA000067AC
+:0201EB003FBF14
+:0201EC00002FE2
+:0201ED003FEDE4
+:0201EE000026E9
+:0201EF003FE2ED
+:0201F000000EFF
+:0201F1000017F5
+:0201F2003FEAE2
+:0201F300002EDC
+:0201F4003FB416
+:0201F500007791
+:0201F6003F1BAD
+:0201F7001FF7F0
+:0201F80000AF56
+:0201F9003FBC09
+:0201FA000021E2
+:0201FB003FEAD9
+:0201FC000014ED
+:0201FD003FFDC4
+:0201FE00001DE2
+:0201FF003FC7F8
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..3a2f0352bf13d9bc7b059267dadb2a7ec4cdf1a1
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_16pts.hex
@@ -0,0 +1,17 @@
+:020000000032cc
+:020001003ffac4
+:020002003fcbf2
+:0200030000b843
+:020004003e526a
+:02000500035e98
+:0200060039328d
+:02000700144c97
+:0200080018904e
+:0200090039ead2
+:02000a00026a88
+:02000b003f2c88
+:02000c00000ee4
+:02000d000044ad
+:02000e003fac05
+:02000f00005a95
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..cf08fa635406f6366edd49d7403660d2edb8386a
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_1_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:0200010001817B
+:02000200FE7787
+:0200030000C338
+:02000400FFFCFF
+:02000500FF6298
+:020006000108EF
+:02000700FEFEFB
+:020008007FFE79
+:02000900FD8C6C
+:02000A0001B53E
+:02000B00FEFCF9
+:02000C00003DB5
+:02000D00009A57
+:02000E00FE8D65
+:02000F00017C72
+:02001000000DE1
+:02001100019C50
+:02001200FE04EA
+:0200130001A04A
+:02001400FE9854
+:02001500019751
+:02001600FD48A3
+:02001700074D93
+:020018007EFA6E
+:02001900F6826D
+:02001A000515CA
+:02001B00FCF6F1
+:02001C00018958
+:02001D00FFC51D
+:02001E00FF02DF
+:02001F00015C82
+:020020000013CB
+:0200210001B22A
+:02002200FD9748
+:02002300027C5D
+:02002400FD2DB0
+:0200250003DEF8
+:02002600F95A85
+:02002700108B3C
+:020028007C4614
+:02002900F0A441
+:02002A000807C5
+:02002B00FB2BAD
+:02002C0002B61A
+:02002D00FEFED5
+:02002E00FF765B
+:02002F00013995
+:02003000001DB1
+:0200310001C00C
+:02003200FD349B
+:02003300034F79
+:02003400FBC906
+:020035000621A2
+:02003600F56073
+:020037001A8D20
+:0200380077F35C
+:02003900EBFFDB
+:02003A000A7842
+:02003B00F9A822
+:02003C0003B906
+:02003D00FE4A79
+:02003E00FFE4DD
+:02003F000116A8
+:02004000002995
+:0200410001C5F7
+:02004200FCE0E0
+:02004300040FA8
+:02004400FA7B45
+:02004500084A67
+:02004600F18245
+:0200470025246E
+:02004800721D27
+:02004900E89934
+:02004A000C5A4E
+:02004B00F87744
+:02004C00048D21
+:02004D00FDAF05
+:02004E00004A66
+:02004F0000F2BD
+:02005000003975
+:0200510001C0EC
+:02005200FC9F11
+:0200530004B6F1
+:02005400F94F62
+:020055000A415E
+:02005600EDEAD1
+:0200570030195E
+:020058006AE656
+:02005900E66E51
+:02005A000DA4F3
+:02005B00F79E0E
+:02005C00052D70
+:02005D00FD3074
+:02005E0000A6FA
+:02005F0000CFD0
+:02006000004B53
+:0200610001AFED
+:02006200FC742C
+:02006300053C5A
+:02006400F8544E
+:020065000BEF9F
+:02006600EAC0EE
+:020067003B322A
+:02006800627DB7
+:02006900E57040
+:02006A000E5432
+:02006B00F7207C
+:02006C000595F8
+:02006D00FCCFC6
+:02006E0000F69A
+:02006F0000AFE0
+:0200700000612D
+:020071000191FB
+:02007200FC622E
+:020073000598EE
+:02007400F796FD
+:020075000D3E3E
+:02007600E82F71
+:02007700463110
+:0200780059131A
+:02007900E58B15
+:02007A000E6E08
+:02007B00F6FD90
+:02007C0005C7B6
+:02007D00FC8CF9
+:02007E00013A45
+:02007F000090EF
+:02008000007A04
+:02008100016616
+:02008200FC6D13
+:0200830005C7AF
+:02008400F72162
+:020085000E1B50
+:02008600E65F33
+:0200870050D84F
+:020088004EE246
+:02008900E6A5EA
+:02008A000DFB6C
+:02008B00F7314B
+:02008C0005C2AB
+:02008D00FC690C
+:02008E00016F00
+:02008F000075FA
+:020090000096D8
+:02009100012E3E
+:02009200FC96DA
+:0200930005C2A4
+:02009400F6FD77
+:020095000E74E7
+:02009600E57211
+:020097005AE825
+:020098004426FC
+:02009900E89DE0
+:02009A000D0750
+:02009B00F7B4B8
+:02009C00058AD3
+:02009D00FC6401
+:02009E000198C7
+:02009F00005D02
+:0200A00000B5A9
+:0200A10000E875
+:0200A200FCDE82
+:0200A3000586D0
+:0200A400F73132
+:0200A5000E3E0D
+:0200A600E589EA
+:0200A7006426CD
+:0200A800391D00
+:0200A900EB4E1C
+:0200AA000BA5A4
+:0200AB00F87EDD
+:0200AC00052627
+:0200AD00FC7ADB
+:0200AE0001B39C
+:0200AF00004807
+:0200B00000D678
+:0200B1000096B7
+:0200B200FD4609
+:0200B300051333
+:0200B400F7BF94
+:0200B5000D71CB
+:0200B600E6BFA3
+:0200B7006C5982
+:0200B8002E0711
+:0200B900EE8FC8
+:0200BA0009E853
+:0200BB00F984C6
+:0200BC000499A5
+:0200BD00FCA99C
+:0200BE0001C17E
+:0200BF00003609
+:0200C00000F945
+:0200C100003805
+:0200C200FDCA75
+:0200C3000469CE
+:0200C400F8A999
+:0200C5000C0B22
+:0200C600E9242B
+:0200C700735173
+:0200C800231FF4
+:0200C900F2380B
+:0200CA0007E647
+:0200CB00FAB782
+:0200CC0003ED42
+:0200CD00FCEF46
+:0200CE0001C56A
+:0200CF00002708
+:0200D000011C11
+:0200D100FFD05E
+:0200D200FE6AC4
+:0200D300038C9C
+:0200D400F9EB46
+:0200D5000A0D12
+:0200D600ECC676
+:0200D70078E1CE
+:0200D80018A06E
+:0200D900F61E11
+:0200DA0005B669
+:0200DB00FC0B1C
+:0200DC000328F7
+:0200DD00FD46DE
+:0200DE0001BE61
+:0200DF00001B04
+:0200E0000140DD
+:0200E100FF60BE
+:0200E200FF22FB
+:0200E300028099
+:0200E400FB7CA3
+:0200E50007838F
+:0200E600F1A681
+:0200E7007CE8B3
+:0200E8000EBF49
+:0200E900FA1803
+:0200EA000370A1
+:0200EB00FD71A5
+:0200EC000253BD
+:0200ED00FDAA6A
+:0200EE0001AE61
+:0200EF000012FD
+:0200F0000162AB
+:0200F100FEEC23
+:0200F200FFEC21
+:0200F300014DBD
+:0200F400FD53BA
+:0200F500047A8B
+:0200F600F7BE53
+:0200F7007F4C3C
+:0200F80005AB56
+:0200F900FE0106
+:0200FA00012BD8
+:0200FB00FEDC29
+:0200FC0001778A
+:0200FD00FE19EA
+:0200FE00019867
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..43b9df4d4c239e90a010bdda744d9ccdc5ee8684
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000023DB
+:0200010001708C
+:02000200FE9B63
+:0200030000AB50
+:020004000010EA
+:02000500FF49B1
+:020006000135C2
+:02000700FE9663
+:020008007FFF78
+:02000900FDFDFB
+:02000A00017C77
+:02000B00FF1FD5
+:02000C00002AC8
+:02000D00009A57
+:02000E00FEA44E
+:02000F00016E80
+:02001000000EE0
+:02001100017775
+:02001200FE7F6F
+:0200130000E00B
+:02001400FFBA31
+:02001500FFD01A
+:02001600004E9A
+:02001700008E59
+:020018007FED7A
+:02001900FC1CCD
+:02001A00025D85
+:02001B00FE9A4B
+:02001C00007E64
+:02001D0000667B
+:02001E00FEC022
+:02001F00016777
+:020020000008D6
+:02002100017E5E
+:02002200FE637B
+:020023000115C5
+:02002400FF6477
+:02002500005A7F
+:02002600FF6277
+:02002700029540
+:020028007FC097
+:02002900FA4D8E
+:02002A00033899
+:02002B00FE18BD
+:02002C0000D002
+:02002D0000329F
+:02002E00FEDDF5
+:02002F00015F6F
+:02003000000BC3
+:02003100018547
+:02003200FE4886
+:02003300014A80
+:02003400FF0DBE
+:0200350000E6E3
+:02003600FE7258
+:0200370004AD16
+:020038007F77D0
+:02003900F8903D
+:02003A00040DB3
+:02003B00FD9A2C
+:02003C000120A1
+:02003D00FFFFC3
+:02003E00FEF9C9
+:02003F00015767
+:02004000000CB2
+:02004100018B31
+:02004200FE2C92
+:0200430001803A
+:02004400FEB507
+:02004500017444
+:02004600FD7E3D
+:0200470006D5DC
+:020048007F1324
+:02004900F6E6D9
+:02004A0004DCD4
+:02004B00FD1E98
+:02004C00016F42
+:02004D00FFCDE5
+:02004E00FF159C
+:02004F00014F5F
+:02005000000EA0
+:0200510001911B
+:02005200FE119D
+:0200530001B5F5
+:02005400FE5D4F
+:020055000202A5
+:02005600FC8824
+:02005700090C92
+:020058007E9494
+:02005900F54E62
+:02005A0005A3FC
+:02005B00FCA700
+:02005C0001BCE5
+:02005D00FF9C06
+:02005E00FF3170
+:02005F00014757
+:02006000000F8F
+:02006100019606
+:02006200FDF7A8
+:0200630001EBAF
+:02006400FE0498
+:02006500029205
+:02006600FB8F0E
+:020067000B513B
+:020068007DFA1F
+:02006900F3CAD8
+:02006A0006642A
+:02006B00FC3364
+:02006C0002068A
+:02006D00FF6B27
+:02006E00FF4C45
+:02006F00013F4F
+:0200700000117D
+:02007100019BF1
+:02007200FDDDB2
+:02007300022069
+:02007400FDABE2
+:02007500032264
+:02007600FA93FB
+:020077000DA4D6
+:020078007D45C4
+:02007900F2583B
+:02007A00071D60
+:02007B00FBC3C5
+:02007C00024F31
+:02007D00FF3C46
+:02007E00FF6819
+:02007F00013747
+:0200800000136B
+:0200810001A0DC
+:02008200FDC3BC
+:02008300025524
+:02008400FD532A
+:0200850003B3C3
+:02008600F997E8
+:02008700100463
+:020088007C7684
+:02008900F0FA8B
+:02008A0007CF9E
+:02008B00FB5721
+:02008C000295DB
+:02008D00FF0D65
+:02008E00FF83EE
+:02008F00012E40
+:02009000001559
+:0200910001A4C8
+:02009200FDAAC5
+:020093000289E0
+:02009400FCFB73
+:02009500044322
+:02009600F899D7
+:020097001271E4
+:020098007B8D5E
+:02009900EFB0C6
+:02009A000878E4
+:02009B00FAF079
+:02009C0002D888
+:02009D00FEE083
+:02009E00FF9EC3
+:02009F00012638
+:0200A000001747
+:0200A10001A8B4
+:0200A200FD91CE
+:0200A30002BC9D
+:0200A400FCA3BB
+:0200A50004D382
+:0200A600F79CC5
+:0200A70014E95A
+:0200A8007A8A52
+:0200A900EE79EE
+:0200AA00091A31
+:0200AB00FA8ECB
+:0200AC00031936
+:0200AD00FEB49F
+:0200AE00FFB998
+:0200AF00011D31
+:0200B000001A34
+:0200B10001ACA0
+:0200B200FD7AD5
+:0200B30002EF5A
+:0200B400FC4C02
+:0200B5000562E2
+:0200B600F69EB4
+:0200B700176DC3
+:0200B800796E5F
+:0200B900ED5602
+:0200BA0009B289
+:0200BB00FA3019
+:0200BC000357E8
+:0200BD00FE8AB9
+:0200BE00FFD36E
+:0200BF00011529
+:0200C000001C22
+:0200C10001AF8D
+:0200C200FD63DC
+:0200C300032117
+:0200C400FBF649
+:0200C50005F044
+:0200C600F5A2A1
+:0200C70019FB23
+:0200C800783985
+:0200C900EC4702
+:0200CA000A42E8
+:0200CB00F9D763
+:0200CC0003939C
+:0200CD00FE61D2
+:0200CE00FFED44
+:0200CF00010C22
+:0200D000001F0F
+:0200D10001B17B
+:0200D200FD4DE2
+:0200D3000352D6
+:0200D400FBA18E
+:0200D500067CA7
+:0200D600F4A78D
+:0200D7001C9279
+:0200D80076EBC5
+:0200D900EB4CEE
+:0200DA000AC951
+:0200DB00F983A7
+:0200DC0003CB54
+:0200DD00FE39EA
+:0200DE0000061A
+:0200DF0001031B
+:0200E0000022FC
+:0200E10001B369
+:0200E200FD37E8
+:0200E300038296
+:0200E400FB4ED1
+:0200E50007070B
+:0200E600F3AE77
+:0200E7001F32C6
+:0200E80075861B
+:0200E900EA65C6
+:0200EA000B47C2
+:0200EB00F934E6
+:0200EC0004010D
+:0200ED00FE1300
+:0200EE00001EF2
+:0200EF0000FB14
+:0200F0000025E9
+:0200F10001B458
+:0200F200FD23EC
+:0200F30003B058
+:0200F400FAFC14
+:0200F500078F73
+:0200F600F2B85E
+:0200F70021DA0C
+:0200F800740989
+:0200F900E9928A
+:0200FA000BBC3D
+:0200FB00F8EB20
+:0200FC000433CB
+:0200FD00FDEE16
+:0200FE000037C9
+:0200FF0000F20D
+:020100000028D5
+:0201010001B546
+:02010200FD10EE
+:0201030003DE19
+:02010400FAAC53
+:020105000815DB
+:02010600F1C640
+:02010700248949
+:0201080072760D
+:02010900E8D339
+:02010A000C27C0
+:02010B00F8A753
+:02010C0004628B
+:02010D00FDCC27
+:02010E00004EA1
+:02010F0000EA04
+:02011000002BC2
+:0201110001B536
+:02011200FCFEF1
+:020113000409DD
+:02011400FA5F90
+:02011500089848
+:02011600F0D720
+:02011700273E81
+:0201180070CCA9
+:02011900E827D5
+:02011A000C894E
+:02011B00F86882
+:02011C00048E4F
+:02011D00FDAA39
+:02011E0000657A
+:02011F0000E1FD
+:02012000002FAE
+:0201210001B427
+:02012200FCEDF2
+:020123000433A3
+:02012400FA13CC
+:020125000917B8
+:02012600EFEEFA
+:0201270029F9B4
+:020128006F0D59
+:02012900E78F5E
+:02012A000CE1E6
+:02012B00F82FAB
+:02012C0004B716
+:02012D00FD8B48
+:02012E00007B54
+:02012F0000D9F5
+:0201300000329B
+:0201310001B318
+:02013200FCDDF2
+:02013300045B6B
+:02013400F9CA06
+:0201350009932C
+:02013600EF0ACE
+:020137002CB8E2
+:020138006D391F
+:02013900E70AD3
+:02013A000D3086
+:02013B00F7FCCF
+:02013C0004DDE0
+:02013D00FD6D56
+:02013E0000912E
+:02013F0000D1ED
+:02014000003687
+:0201410001B10A
+:02014200FCCFF0
+:02014300048234
+:02014400F9843C
+:020145000A0AA4
+:02014600EE2C9D
+:020147002F7A0D
+:020148006B51F9
+:02014900E69836
+:02014A000D7630
+:02014B00F7CEED
+:02014C0004FFAE
+:02014D00FD5162
+:02014E0000A609
+:02014F0000C9E5
+:02015000003A73
+:0201510001AFFC
+:02015200FCC1EE
+:0201530004A600
+:02015400F94070
+:020155000A7D21
+:02015600ED5565
+:02015700323E36
+:020158006955E7
+:02015900E63A84
+:02015A000DB1E5
+:02015B00F7A605
+:02015C00051E7E
+:02015D00FD376C
+:02015E0000BAE5
+:02015F0000C1DD
+:02016000003F5E
+:0201610001ACEF
+:02016200FCB6E9
+:0201630004C8CE
+:02016400F900A0
+:020165000AECA2
+:02016600EC8526
+:0201670035055C
+:020168006747E7
+:02016900E5EEC1
+:02016A000DE4A2
+:02016B00F78318
+:02016C00053953
+:02016D00FD1F74
+:02016E0000CEC1
+:02016F0000B9D5
+:0201700000434A
+:0201710001A8E3
+:02017200FCABE4
+:0201730004E99D
+:02017400F8C3CE
+:020175000B5528
+:02017600EBBDDF
+:0201770037CB84
+:020178006527F9
+:02017900E5B4EB
+:02017A000E0C69
+:02017B00F76625
+:02017C0005512B
+:02017D00FD097A
+:02017E0000E09F
+:02017F0000B1CD
+:02018000004835
+:0201810001A3D8
+:02018200FCA2DD
+:0201830005066F
+:02018400F88AF7
+:020185000BB9B4
+:02018600EAFE8F
+:020187003A92AA
+:0201880062F61D
+:02018900E58C03
+:02018A000E2B3A
+:02018B00F74F2C
+:02018C00056606
+:02018D00FCF480
+:02018E0000F27D
+:02018F0000A9C5
+:02019000004D20
+:02019100019DCE
+:02019200FC9BD4
+:02019300052243
+:02019400F8541D
+:020195000C1745
+:02019600EA4835
+:020197003D57D2
+:0201980060B451
+:02019900E57609
+:02019A000E4114
+:02019B00F73D2E
+:02019C000577E5
+:02019D00FCE282
+:02019E0001035B
+:02019F0000A2BC
+:0201A00000520B
+:0201A1000197C4
+:0201A200FC95CA
+:0201A300053A1B
+:0201A400F8223F
+:0201A5000C6FDD
+:0201A600E99CD2
+:0201A7004019FD
+:0201A8005E6493
+:0201A900E571FE
+:0201AA000E4EF7
+:0201AB00F7312A
+:0201AC000585C7
+:0201AD00FCD183
+:0201AE0001143A
+:0201AF00009AB4
+:0201B0000057F6
+:0201B1000190BB
+:0201B200FC91BE
+:0201B3000551F4
+:0201B400F7F45E
+:0201B5000CC07C
+:0201B600E8FB64
+:0201B70042D92B
+:0201B8005C05E4
+:0201B900E57DE2
+:0201BA000E52E3
+:0201BB00F72B20
+:0201BC00058FAD
+:0201BD00FCC282
+:0201BE0001231B
+:0201BF000093AB
+:0201C000005CE1
+:0201C1000189B2
+:0201C200FC8EB1
+:0201C3000564D1
+:0201C400F7CB77
+:0201C5000D0B20
+:0201C600E865EA
+:0201C70045945D
+:0201C800599844
+:0201C900E59AB5
+:0201CA000E4CD9
+:0201CB00F72912
+:0201CC00059795
+:0201CD00FCB57F
+:0201CE000132FC
+:0201CF00008CA2
+:0201D0000062CB
+:0201D1000180AB
+:0201D200FC8DA2
+:0201D3000575B0
+:0201D400F7A68C
+:0201D5000D4FCC
+:0201D600E7DB65
+:0201D700484995
+:0201D800571FAF
+:0201D900E5C679
+:0201DA000E3ED7
+:0201DB00F72DFE
+:0201DC00059A82
+:0201DD00FCAA7A
+:0201DE000140DE
+:0201DF00008599
+:0201E0000068B5
+:0201E1000177A4
+:0201E200FC8E91
+:0201E300058293
+:0201E400F7859D
+:0201E5000D8B80
+:0201E600E75DD3
+:0201E7004AF9D3
+:0201E800549A27
+:0201E900E6022C
+:0201EA000E27DE
+:0201EB00F737E4
+:0201EC00059B71
+:0201ED00FCA173
+:0201EE00014DC1
+:0201EF00007F8F
+:0201F000006E9F
+:0201F100016D9E
+:0201F200FC917E
+:0201F300058D78
+:0201F400F769A9
+:0201F5000DC03B
+:0201F600E6ED34
+:0201F7004DA118
+:0201F800520AA9
+:0201F900E64DD1
+:0201FA000E08ED
+:0201FB00F745C6
+:0201FC00059963
+:0201FD00FC996B
+:0201FE00015AA4
+:0201FF00007886
+:02020000007488
+:02020100016298
+:02020200FC9569
+:0202030005955F
+:02020400F752AF
+:020205000DEDFD
+:02020600E68987
+:02020700504164
+:020208004F7035
+:02020900E6A766
+:02020A000DE005
+:02020B00F759A1
+:02020C00059358
+:02020D00FC945F
+:02020E00016588
+:02020F0000727B
+:02021000007A72
+:02021100015694
+:02021200FC9B53
+:02021300059A4A
+:02021400F740B1
+:020215000E12C7
+:02021600E634CC
+:0202170052D8BB
+:020218004CCDCB
+:02021900E70EEE
+:02021A000DB124
+:02021B00F77278
+:02021C00058A51
+:02021D00FC9053
+:02021E0001706D
+:02021F00006C71
+:0202200000815B
+:02022100014991
+:02022200FCA33B
+:02022300059B39
+:02022400F733AE
+:020225000E2F9A
+:02022600E5EE03
+:0202270055641C
+:020228004A2367
+:02022900E78369
+:02022A000D794C
+:02022B00F78F4B
+:02022C00057E4D
+:02022D00FC8E45
+:02022E00017A53
+:02022F00006667
+:02023000008745
+:02023100013C8E
+:02023200FCAD21
+:02023300059A2A
+:02023400F72CA5
+:020235000E4376
+:02023600E5B72A
+:0202370057E688
+:0202380047710C
+:02023900E805D6
+:02023A000D3B7A
+:02023B00F7B119
+:02023C0005704B
+:02023D00FC8D36
+:02023E0001833A
+:02023F0000605D
+:02024000008E2E
+:02024100012E8C
+:02024200FCB905
+:0202430005951F
+:02024400F72998
+:020245000E4F5A
+:02024600E58F42
+:020247005A5B00
+:0202480044BAB6
+:02024900E89338
+:02024A000CF5B1
+:02024B00F7D7E3
+:02024C00055E4D
+:02024D00FC8F24
+:02024E00018B22
+:02024F00005A53
+:02025000009517
+:02025100011F8B
+:02025200FCC6E8
+:02025300058C18
+:02025400F72C85
+:020255000E5148
+:02025600E57849
+:020257005CC485
+:0202580041FD66
+:02025900E92C8E
+:02025A000CA8EE
+:02025B00F802A7
+:02025C00054A51
+:02025D00FC9211
+:02025E0001930A
+:02025F00005548
+:02026000009DFF
+:02026100010F8B
+:02026200FCD6C8
+:02026300058113
+:02026400F7346D
+:020265000E4B3E
+:02026600E57140
+:020267005F1F17
+:020268003F3D18
+:02026900E9D1D9
+:02026A000C5432
+:02026B00F83168
+:02026C00053358
+:02026D00FC97FC
+:02026E000199F4
+:02026F0000503D
+:0202700000A4E8
+:0202710000FE8D
+:02027200FCE7A7
+:02027300057212
+:02027400F7424F
+:020275000E3C3D
+:02027600E57B26
+:02027700616BB9
+:020278003C79CF
+:02027900EA8019
+:02027A000BFA7D
+:02027B00F86425
+:02027C00051962
+:02027D00FC9DE6
+:02027E00019FDE
+:02027F00004B32
+:0202800000ACD0
+:0202810000ED8E
+:02028200FCFA84
+:02028300056014
+:02028400F7562B
+:020285000E2346
+:02028600E597FA
+:0202870063A76B
+:0202880039B487
+:02028900EB394F
+:02028A000B9ACD
+:02028B00F89BDE
+:02028C0004FD6F
+:02028D00FCA5CE
+:02028E0001A4C9
+:02028F00004627
+:0202900000B3B9
+:0202910000DA91
+:02029200FD0F5E
+:02029300054A1A
+:02029400F76F02
+:020295000E0158
+:02029600E5C4BD
+:0202970065D32D
+:0202980036ED41
+:02029900EBFB7D
+:02029A000B3522
+:02029B00F8D693
+:02029C0004DF7D
+:02029D00FCAEB5
+:02029E0001A9B4
+:02029F0000421B
+:0202A00000BBA1
+:0202A10000C893
+:0202A200FD2637
+:0202A300053123
+:0202A400F78ED3
+:0202A5000DD575
+:0202A600E6036D
+:0202A70067ED01
+:0202A8003427F9
+:0202A900ECC5A2
+:0202AA000ACA7E
+:0202AB00F91444
+:0202AC0004BE8E
+:0202AD00FCB99A
+:0202AE0001ADA0
+:0202AF00003D10
+:0202B00000C389
+:0202B10000B497
+:0202B200FD3F0E
+:0202B300051430
+:0202B400F7B29F
+:0202B5000DA09A
+:0202B600E6550B
+:0202B70069F6E6
+:0202B8003161B2
+:0202B900ED97BF
+:0202BA000A5ADE
+:0202BB00F955F3
+:0202BC00049BA1
+:0202BD00FCC57E
+:0202BE0001B08D
+:0202BF00003904
+:0202C00000CB71
+:0202C100009F9C
+:0202C200FD5AE3
+:0202C30004F441
+:0202C400F7DC65
+:0202C5000D61C9
+:0202C600E6BA96
+:0202C7006BEBDF
+:0202C8002E9D69
+:0202C900EE71D4
+:0202CA0009E544
+:0202CB00F9999F
+:0202CC000476B6
+:0202CD00FCD360
+:0202CE0001B27B
+:0202CF000035F8
+:0202D00000D359
+:0202D100008AA1
+:0202D200FD76B7
+:0202D30004D154
+:0202D400F80B25
+:0202D5000D1901
+:0202D600E7310E
+:0202D7006DCDEB
+:0202D8002BDC1D
+:0202D900EF51E3
+:0202DA00096CAD
+:0202DB00F9E147
+:0202DC00044FCD
+:0202DD00FCE241
+:0202DE0001B469
+:0202DF000031EC
+:0202E00000DC40
+:0202E1000074A7
+:0202E200FD9588
+:0202E30004AB6A
+:0202E400F841DF
+:0202E5000CC744
+:0202E600E7BC73
+:0202E7006F9B0B
+:0202E800291ECD
+:0202E900F036ED
+:0202EA0008F01A
+:0202EB00FA2AED
+:0202EC000426E6
+:0202ED00FCF221
+:0202EE0001B558
+:0202EF00002EDF
+:0202F00000E428
+:0202F100005EAD
+:0202F200FDB558
+:0202F300048184
+:0202F400F87B95
+:0202F5000C6B90
+:0202F600E85AC4
+:0202F700715341
+:0202F800266579
+:0202F900F121F1
+:0202FA00086F8B
+:0202FB00FA7790
+:0202FC0003FC01
+:0202FD00FD03FF
+:0202FE0001B548
+:0202FF00002AD3
+:0203000000EC0F
+:020301000047B3
+:02030200FDD626
+:020303000454A0
+:02030400F8BC43
+:020305000C07E3
+:02030600E90C00
+:0203070072F68C
+:0203080023B21E
+:02030900F211EF
+:02030A0007EBFF
+:02030B00FAC531
+:02030C0003D01C
+:02030D00FD16DB
+:02030E0001B537
+:02030F000027C5
+:0203100000F5F6
+:02031100002FBB
+:02031200FDFAF2
+:020313000424C0
+:02031400F901ED
+:020315000B9843
+:02031600E9D22A
+:020317007483ED
+:020318002105BD
+:02031900F305EA
+:02031A00076575
+:02031B00FB16CF
+:02031C0003A23A
+:02031D00FD29B8
+:02031E0001B428
+:02031F000024B8
+:0203200000FDDE
+:020321000017C3
+:02032200FE1FBC
+:0203230003F0E5
+:02032400F94C92
+:020325000B21AA
+:02032600EAAB40
+:0203270075F867
+:020328001E5F56
+:02032900F3FCE3
+:02032A0006DCEF
+:02032B00FB686D
+:02032C00037359
+:02032D00FD3E93
+:02032E0001B21A
+:02032F000021AB
+:020330000106C4
+:02033100FFFECD
+:02033200FE4586
+:0203330003BA0B
+:02033400F99D31
+:020335000AA01C
+:02033600EB9941
+:020337007756F7
+:020338001BC2E6
+:02033900F4F5D9
+:02033A0006516A
+:02033B00FBBC09
+:02033C00034379
+:02033D00FD536E
+:02033E0001B00C
+:02033F00001E9E
+:02034000010FAB
+:02034100FFE5D6
+:02034200FE6D4E
+:02034300038134
+:02034400F9F2CC
+:020345000A1696
+:02034600EC9A2F
+:02034700789CA0
+:02034800192D6D
+:02034900F5F0CD
+:02034A0005C4E8
+:02034B00FC11A3
+:02034C0003129A
+:02034D00FD6A47
+:02034E0001AEFE
+:02034F00001B91
+:02035000011793
+:02035100FFCBE0
+:02035200FE9714
+:02035300034461
+:02035400FA4D60
+:0203550009831A
+:02035600EDAF09
+:0203570079C962
+:0203580016A3EA
+:02035900F6EDBF
+:02035A00053567
+:02035B00FC673D
+:02035C0002DFBE
+:02035D00FD8120
+:02035E0001ABF1
+:02035F00001983
+:0203600001207A
+:02036100FFB0EB
+:02036200FEC2D9
+:02036300030590
+:02036400FAACF1
+:0203650008E8A6
+:02036600EED8CF
+:020367007ADD3D
+:0203680014225D
+:02036900F7EBB0
+:02036A0004A6E7
+:02036B00FCBED6
+:02036C0002ACE1
+:02036D00FD99F8
+:02036E0001A7E5
+:02036F00001676
+:02037000012862
+:02037100FF96F5
+:02037200FEEE9D
+:0203730002C3C3
+:02037400FB107C
+:0203750008443A
+:02037600F01580
+:020377007BD831
+:0203780011AEC4
+:02037900F8E9A1
+:02037A00041667
+:02037B00FD166D
+:02037C00027904
+:02037D00FDB2CF
+:02037E0001A3D9
+:02037F00001468
+:02038000013149
+:02038100FF7B00
+:02038200FF1C5E
+:02038300027FF7
+:02038400FB7903
+:020385000798D7
+:02038600F1651F
+:020387007CB93F
+:020388000F451F
+:02038900F9E693
+:02038A000385E9
+:02038B00FD6E05
+:02038C00024429
+:02038D00FDCBA6
+:02038E00019FCD
+:02038F0000125A
+:02039000013931
+:02039100FF5F0C
+:02039200FF4A20
+:0203930002382E
+:02039400FBE686
+:0203950006E47C
+:02039600F2C9AA
+:020397007D8067
+:020398000CE86F
+:02039900FAE286
+:02039A0002F56A
+:02039B00FDC79C
+:02039C00020F4E
+:02039D00FDE57C
+:02039E00019AC2
+:02039F0000114B
+:0203A000014119
+:0203A100FF4417
+:0203A200FF7AE0
+:0203A30001EF68
+:0203A400FC5704
+:0203A500062927
+:0203A600F44120
+:0203A7007E2DA9
+:0203A8000A9AAF
+:0203A900FBDD7A
+:0203AA000265EA
+:0203AB00FE2032
+:0203AC0001DA74
+:0203AD00FDFF52
+:0203AE000195B7
+:0203AF00000E3E
+:0203B000014A00
+:0203B100FF2823
+:0203B200FFAB9F
+:0203B30001A4A3
+:0203B400FCCC7F
+:0203B5000566DB
+:0203B600F5CC84
+:0203B7007EBE08
+:0203B8000859E2
+:0203B900FCD571
+:0203BA0001D66A
+:0203BB00FE78CA
+:0203BC0001A599
+:0203BD00FE1A26
+:0203BE00018FAD
+:0203BF00000D2F
+:0203C0000152E8
+:0203C100FF0C2F
+:0203C200FFDD5D
+:0203C3000157E0
+:0203C400FD45F5
+:0203C500049C96
+:0203C600F769D5
+:0203C7007F3580
+:0203C800062706
+:0203C900FDCB6A
+:0203CA000147E9
+:0203CB00FED161
+:0203CC00016FBF
+:0203CD00FE35FB
+:0203CE000189A3
+:0203CF00000B21
+:0203D000015AD0
+:0203D100FEF03C
+:0203D200000F1A
+:0203D300010720
+:0203D400FDC169
+:0203D50003CB58
+:0203D600F91913
+:0203D7007F9114
+:0203D80004041B
+:0203D900FEBD67
+:0203DA0000BA67
+:0203DB00FF28F9
+:0203DC00013AE4
+:0203DD00FE50D0
+:0203DE00018399
+:0203DF00000B11
+:0203E0000161B9
+:0203E100FED448
+:0203E2000043D6
+:0203E30000B662
+:0203E400FE41D8
+:0203E50002F420
+:0203E600FADC3F
+:0203E7007FD1C4
+:0203E80001F121
+:0203E900FFAC67
+:0203EA00002FE2
+:0203EB00FF7F92
+:0203EC0001040A
+:0203ED00FE6CA4
+:0203EE00017C90
+:0203EF00000705
+:0203F0000169A1
+:0203F100FEB854
+:0203F200007693
+:0203F3000064A4
+:0203F400FEC346
+:0203F5000217ED
+:0203F600FCB059
+:0203F7007FF68F
+:0203F800FFEF15
+:0203F90000976B
+:0203FA00FFA65C
+:0203FB00FFD52C
+:0203FC0000CF30
+:0203FD00FE8878
+:0203FE00017587
+:0203FF000015E7
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..7f62e08eb5a1294a5f4eba780e17e8be5eb57571
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_128pts.hex
@@ -0,0 +1,513 @@
+:020000003F2A95
+:020001000018E5
+:020002000005F7
+:020003000007F4
+:020004000000FA
+:020005003FFFBB
+:020006003FF7C2
+:02000700002EC9
+:020008001FFED9
+:020009003F9323
+:02000A00003FB5
+:02000B003FD5DF
+:02000C00001AD8
+:02000D003FF7BB
+:02000E00000FE1
+:02000F000012DD
+:020010003FEEC1
+:020011000021CC
+:020012003FF5B8
+:020013000021CA
+:020014003FD5D6
+:020015000044A5
+:020016003F8029
+:020017000134B2
+:020018001FE8DF
+:020019003EA8FF
+:02001A0000AE36
+:02001B003F9410
+:02001C000042A0
+:02001D003FDEC4
+:02001E00001EC2
+:02001F000009D6
+:020020003FD6C9
+:02002100002BB2
+:020022003FE4B9
+:02002300003C9F
+:020024003FA9F2
+:02002500008A4F
+:020026003F0792
+:0200270002498C
+:020028001FB8FF
+:020029003DCFC9
+:02002A000117BC
+:02002B003F553F
+:02002C00006969
+:02002D003FC6CC
+:02002E00002DA3
+:02002F000001CE
+:020030003FDAB5
+:02003100003499
+:020032003FD4B9
+:02003300005774
+:020034003F7D0E
+:0200350000D1F8
+:020036003E8BFF
+:02003700036C58
+:020038001F6D3A
+:020039003D0880
+:02003A0001794A
+:02003B003F1B69
+:02003C00008E34
+:02003D003FB0D2
+:02003E00003B85
+:02003F003FF888
+:020040003FD3AC
+:02004100003E7F
+:020042003FC3BA
+:02004300007348
+:020044003F512A
+:0200450001199F
+:020046003E0D6D
+:02004700049C17
+:020048001F0790
+:020049003C5524
+:02004A0001D5DE
+:02004B003EE491
+:02004C0000B002
+:02004D003F9BD7
+:02004E00004868
+:02004F003FF080
+:020050003FD29D
+:02005100004766
+:020052003FB2BB
+:02005300008D1E
+:020054003F2645
+:02005500015F49
+:020056003D90DB
+:0200570005D8CA
+:020058001E89FF
+:020059003BB4B6
+:02005A00022979
+:02005B003EB2B3
+:02005C0000D0D2
+:02005D003F87DB
+:02005E0000544C
+:02005F003FE977
+:020060003FCD92
+:0200610000504D
+:020062003FA2BB
+:0200630000A8F3
+:020064003EFB61
+:0200650001A5F3
+:020066003D1447
+:02006700071E72
+:020068001DF287
+:020069003B2733
+:02006A0002741E
+:02006B003E84D1
+:02006C0000ECA6
+:02006D003F76DC
+:02006E00005F31
+:02006F003FE26E
+:020070003FCB84
+:02007100005934
+:020072003F93BA
+:0200730000C1CA
+:020074003ED17B
+:0200750001E8A0
+:020076003C9AB2
+:02007700086C13
+:020078001D4227
+:020079003AAD9E
+:02007A0002B7CB
+:02007B003E5BEA
+:02007C0001067B
+:02007D003F66DC
+:02007E00006917
+:02007F003FDC64
+:020080003FC877
+:0200810000611C
+:020082003F83BA
+:0200830000DAA1
+:020084003EAA92
+:0200850002294E
+:020086003C2418
+:0200870009C2AC
+:020088001C7DDD
+:020089003A47F4
+:02008A0002F082
+:02008B003E38FD
+:02008C00011C55
+:02008D003F59D9
+:02008E000071FF
+:02008F003FD65A
+:020090003FC669
+:02009100006904
+:020092003F75B8
+:0200930000F17A
+:020094003E84A8
+:02009500026601
+:020096003BB37A
+:020097000B1D3F
+:020098001BA1AA
+:0200990039F537
+:02009A00032140
+:02009B003E1A0B
+:02009C00012F32
+:02009D003F4DD5
+:02009E000079E7
+:02009F003FD14F
+:0200A0003FC45B
+:0200A1000070ED
+:0200A2003F68B5
+:0200A300010654
+:0200A4003E62BA
+:0200A50002A0B7
+:0200A6003B48D5
+:0200A7000C7BD0
+:0200A8001AB28A
+:0200A90039B567
+:0200AA00034809
+:0200AB003E0213
+:0200AC00013E13
+:0200AD003F44CE
+:0200AE00007FD1
+:0200AF003FCD43
+:0200B0003FC24D
+:0200B1000077D6
+:0200B2003F5CB1
+:0200B300011A30
+:0200B4003E42CA
+:0200B50002D473
+:0200B6003AE529
+:0200B7000DDA60
+:0200B80019B07D
+:0200B900398884
+:0200BA000365DC
+:0200BB003DEF17
+:0200BC00014AF7
+:0200BD003F3DC5
+:0200BE000084BC
+:0200BF003FC937
+:0200C0003FC03F
+:0200C100007DC0
+:0200C2003F51AC
+:0200C300012B0F
+:0200C4003E26D6
+:0200C500030333
+:0200C6003A8A74
+:0200C7000F3AEE
+:0200C800189C82
+:0200C900396E8E
+:0200CA000379B8
+:0200CB003DE214
+:0200CC000153DE
+:0200CD003F38BA
+:0200CE000087A9
+:0200CF003FC62A
+:0200D0003FBF30
+:0200D1000082AB
+:0200D2003F48A5
+:0200D300013AF0
+:0200D4003E0DDF
+:0200D500032BFB
+:0200D6003A3AB4
+:0200D700109780
+:0200D800177897
+:0200D900396488
+:0200DA0003849D
+:0200DB003DDB0B
+:0200DC000158C9
+:0200DD003F35AD
+:0200DE00008A96
+:0200DF003FC31D
+:0200E0003FBF20
+:0200E100008598
+:0200E2003F409D
+:0200E3000146D4
+:0200E4003DF9E4
+:0200E500034DC9
+:0200E60039F4EB
+:0200E70011F115
+:0200E8001647B9
+:0200E900396C70
+:0200EA0003868B
+:0200EB003DD9FD
+:0200EC000159B8
+:0200ED003F349E
+:0200EE00008B85
+:0200EF003FC10F
+:0200F0003FBF10
+:0200F100008885
+:0200F2003F3B92
+:0200F300014FBB
+:0200F4003DEAE3
+:0200F50003679F
+:0200F60039BB14
+:0200F7001344B0
+:0200F8001509E8
+:0200F900398349
+:0200FA00037F82
+:0200FB003DDDE9
+:0200FC000157AA
+:0200FD003F358D
+:0200FE00008A76
+:0200FF003FC000
+:020100003FC0FE
+:02010100008A72
+:020102003F3686
+:020103000156A3
+:020104003DDFDD
+:02010500037A7B
+:0201060039902E
+:02010700149052
+:0201080013C121
+:0201090039A912
+:02010A00036F81
+:02010B003DE5D0
+:02010C0001529E
+:02010D003F3978
+:02010E00008966
+:02010F003FBFF0
+:020110003FC1ED
+:02011100008B61
+:020112003F3478
+:02011300015990
+:020114003DDAD2
+:02011500038461
+:0201160039733B
+:0201170015D100
+:02011800127162
+:0201190039DDCE
+:02011A00035888
+:02011B003DF3B2
+:02011C00014A96
+:02011D003F3E63
+:02011E00008758
+:02011F003FBFE0
+:020120003FC2DC
+:02012100008A52
+:020122003F3468
+:02012300015980
+:020124003DDAC2
+:0201250003864F
+:02012600396539
+:020127001707B8
+:020128001119AB
+:020129003A1E7C
+:02012A00033997
+:02012B003E058F
+:02012C00013F91
+:02012D003F454C
+:02012E0000834C
+:02012F003FBFD0
+:020130003FC5C9
+:02013100008844
+:020132003F3656
+:02013300015574
+:020134003DDFAD
+:02013500037E47
+:02013600396826
+:0201370018307E
+:020138000FBDF9
+:020139003A6B1F
+:02013A000313AD
+:02013B003E1C68
+:02013C0001318F
+:02013D003F4E33
+:02013E00007F40
+:02013F003FC0BF
+:020140003FC8B6
+:02014100008537
+:020142003F3B41
+:02014300014E6B
+:020144003DEA92
+:02014500036E47
+:02014600397C02
+:02014700194A53
+:020148000E5E49
+:020149003AC2B8
+:02014A0002E6CB
+:02014B003E373D
+:02014C00012090
+:02014D003F5819
+:02014E00007936
+:02014F003FC1AE
+:020150003FCBA3
+:0201510000812B
+:020152003F412B
+:02015300014366
+:020154003DFA72
+:02015500035451
+:0201560039A2CC
+:020157001A5339
+:020158000CFF9A
+:020159003B2247
+:02015A0002B4ED
+:02015B003E550F
+:02015C00010E92
+:02015D003F63FE
+:02015E0000732C
+:02015F003FC39C
+:020160003FD08E
+:02016100007B21
+:020162003F4913
+:02016300013564
+:020164003E104B
+:02016500033065
+:0201660039DB83
+:020167001B4A31
+:020168000BA0EA
+:020169003B8ACF
+:02016A00027C15
+:02016B003E77DD
+:02016C0000F998
+:02016D003F70E1
+:02016E00006C23
+:02016F003FC58A
+:020170003FD47A
+:02017100007418
+:020172003F54F8
+:02017300012465
+:020174003E2C1F
+:02017500030481
+:020176003A2627
+:020177001C2D3D
+:020178000A4338
+:020179003BF950
+:02017A00024140
+:02017B003E9BA9
+:02017C0000E39E
+:02017D003F7EC3
+:02017E0000641B
+:02017F003FC778
+:020180003FDA64
+:02018100006C10
+:020182003F61DB
+:02018300010F6A
+:020184003E4EED
+:0201850002CDA9
+:020186003A85B8
+:020187001CFB5F
+:0201880008EC81
+:020189003C6ECA
+:02018A00020170
+:02018B003EC272
+:02018C0000CBA6
+:02018D003F8DA4
+:02018E00005C13
+:02018F003FCA65
+:020190003FE04E
+:02019100006309
+:020192003F70BC
+:0201930000F674
+:020194003E74B7
+:02019500028ED8
+:020196003AF736
+:020197001DB396
+:02019800079AC4
+:020199003CE642
+:02019A0001BEA4
+:02019B003EEB39
+:02019C0000B1B0
+:02019D003F9C85
+:02019E0000540B
+:02019F003FCC53
+:0201A0003FE737
+:0201A100005804
+:0201A2003F819B
+:0201A30000DB7F
+:0201A4003EA07B
+:0201A500024610
+:0201A6003B7D9F
+:0201A7001E53E5
+:0201A8000651FE
+:0201A9003D61B6
+:0201AA00017AD8
+:0201AB003F15FE
+:0201AC000097BA
+:0201AD003FAC65
+:0201AE00004B04
+:0201AF003FD13E
+:0201B0003FEE20
+:0201B100004DFF
+:0201B2003F9379
+:0201B30000BC8E
+:0201B4003ED13A
+:0201B50001F552
+:0201B6003C16F5
+:0201B7001EDB4D
+:0201B80005112F
+:0201B9003DDE29
+:0201BA0001330F
+:0201BB003F41C2
+:0201BC00007DC4
+:0201BD003FBD44
+:0201BE000041FE
+:0201BF003FD22D
+:0201C0003FF509
+:0201C1000040FC
+:0201C2003FA854
+:0201C300009B9F
+:0201C4003F06F4
+:0201C500019D9A
+:0201C6003CC338
+:0201C7001F4ACD
+:0201C80003DD55
+:0201C9003E5C9A
+:0201CA0000EC47
+:0201CB003F6D86
+:0201CC000062CF
+:0201CD003FCD24
+:0201CE000038F7
+:0201CF003FD817
+:0201D0003FFEF0
+:0201D1000032FA
+:0201D2003FBE2E
+:0201D3000077B3
+:0201D4003F3FAB
+:0201D500013DEA
+:0201D6003D8268
+:0201D7001F9F68
+:0201D80002B46F
+:0201D9003ED80E
+:0201DA0000A57E
+:0201DB003F994A
+:0201DC000046DB
+:0201DD003FDE03
+:0201DE00002FF0
+:0201DF003FD609
+:0201E000000617
+:0201E1000024F8
+:0201E2003FD507
+:0201E3000051C9
+:0201E4003F7C5E
+:0201E50000D642
+:0201E6003E5584
+:0201E7001FD91E
+:0201E800019A7A
+:0201E9003F5382
+:0201EA00005EB5
+:0201EB003FC50E
+:0201EC00002BE6
+:0201ED003FEFE2
+:0201EE000025EA
+:0201EF003FE6E9
+:0201F000000FFE
+:0201F1000015F7
+:0201F2003FEDDF
+:0201F3000029E1
+:0201F4003FBC0E
+:0201F50000699F
+:0201F6003F398F
+:0201F7001FF9EE
+:0201F800008E77
+:0201F9003FCBFA
+:0201FA000018EB
+:0201FB003FF0D3
+:0201FC000011F0
+:0201FD003FFFC2
+:0201FE00001CE3
+:0201FF003FAD12
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..e504424a4ee18c9e0a0b89b4b077371d2c366b12
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_16pts.hex
@@ -0,0 +1,17 @@
+:02000000001ee0
+:02000100001fde
+:020002003f9528
+:0200030000fffc
+:020004003e00bc
+:0200050003a94d
+:02000600392c93
+:0200070011f8ee
+:020008001a6d6f
+:020009003aa219
+:02000a0001c42f
+:02000b003fae06
+:02000c003fb003
+:02000d0000826f
+:02000e003f852c
+:02000f00006e81
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..afbb8e159e70d08fdbd3590c21909d33e2042871
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_2_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018379
+:02000200FE708E
+:0200030000D12A
+:02000400FFE615
+:02000500FF8476
+:0200060000CE2A
+:02000700FF7B7D
+:020008007FFA7D
+:02000900FD13E5
+:02000A0001ED06
+:02000B00FEDA1B
+:02000C0000539F
+:02000D00008C65
+:02000E00FE945E
+:02000F00017A74
+:02001000000DE1
+:02001100019E4E
+:02001200FDFDF2
+:0200130001AE3C
+:02001400FE816B
+:0200150001BB2D
+:02001600FD0BE0
+:0200170007DA06
+:020018007EDB8D
+:02001900F61BD4
+:02001A00054798
+:02001B00FCD80F
+:02001C00019D44
+:02001D00FFB82A
+:02001E00FF0AD7
+:02001F00015A84
+:020020000014CA
+:0200210001B329
+:02002200FD904F
+:02002300028A4F
+:02002400FD17C6
+:020025000402D3
+:02002600F91AC5
+:020027001125A1
+:020028007C0D4D
+:02002900F05095
+:02002A0008329A
+:02002B00FB11C7
+:02002C0002C709
+:02002D00FEF2E1
+:02002E00FF7D54
+:02002F00013797
+:02003000001EB0
+:0200310001C00C
+:02003200FD2EA1
+:02003300035B6D
+:02003400FBB41B
+:0200350006457E
+:02003600F521B2
+:020037001B3379
+:0200380077A1AE
+:02003900EBC01A
+:02003A000A9B1F
+:02003B00F99238
+:02003C0003C8F7
+:02003D00FE4083
+:02003E00FFEBD6
+:02003F000113AB
+:02004000002A94
+:0200410001C5F7
+:02004200FCDBE5
+:02004300041B9C
+:02004400FA6759
+:02004500086B46
+:02004600F14681
+:0200470025D1C1
+:0200480071B392
+:02004900E86E5F
+:02004A000C7335
+:02004B00F86655
+:02004C00049915
+:02004D00FDA60E
+:02004E00005060
+:02004F0000F0BF
+:02005000003A74
+:0200510001BFED
+:02005200FC9B15
+:0200530004C0E7
+:02005400F93D74
+:020055000A5E41
+:02005600EDB407
+:0200570030CAAD
+:020058006A68D4
+:02005900E6556A
+:02005A000DB3E4
+:02005B00F79319
+:02005C00053568
+:02005D00FD297B
+:02005E0000ACF4
+:02005F0000CDD2
+:02006000004C52
+:0200610001ADEF
+:02006200FC722E
+:02006300054353
+:02006400F8465C
+:020065000C0786
+:02006600EA921C
+:020067003BE379
+:0200680061ED48
+:02006900E56A46
+:02006A000E5A2C
+:02006B00F71B81
+:02006C00059AF3
+:02006D00FCCACB
+:02006E0000FB95
+:02006F0000ADE2
+:0200700000622C
+:02007100018FFD
+:02007200FC622E
+:02007300059DE9
+:02007400F78C07
+:020075000D4F2D
+:02007600E80C94
+:0200770046DF62
+:020078005875B9
+:02007900E5960A
+:02007A000E6B0B
+:02007B00F6FE8F
+:02007C0005C8B5
+:02007D00FC89FC
+:02007E00013D42
+:02007F00008FF0
+:02008000007B03
+:02008100016319
+:02008200FC6F11
+:0200830005C8AE
+:02008400F71C67
+:020085000E2447
+:02008600E64949
+:02008700517EA8
+:020088004E3AEE
+:02008900E6BFD0
+:02008A000DEF78
+:02008B00F73745
+:02008C0005C0AD
+:02008D00FC680D
+:02008E000172FD
+:02008F000073FC
+:020090000098D6
+:02009100012A42
+:02009200FC9AD6
+:0200930005BFA7
+:02009400F6FD77
+:020095000E75E6
+:02009600E56C17
+:020097005B8389
+:020098004377AC
+:02009900E8C3BA
+:02009A000CF464
+:02009B00F7BFAD
+:02009C000585D8
+:02009D00FC6401
+:02009E00019AC5
+:02009F00005B04
+:0200A00000B7A7
+:0200A10000E479
+:0200A200FCE47C
+:0200A3000580D6
+:0200A400F7372C
+:0200A5000E3615
+:0200A600E594DF
+:0200A70064B142
+:0200A800386CB2
+:0200A900EB7EEC
+:0200AA000B8CBD
+:0200AB00F88DCE
+:0200AC00051E2F
+:0200AD00FC7CD9
+:0200AE0001B49B
+:0200AF00004609
+:0200B00000D876
+:0200B1000090BD
+:0200B200FD4D02
+:0200B300050A3C
+:0200B400F7CB88
+:0200B5000D60DC
+:0200B600E6DC86
+:0200B7006CD308
+:0200B8002D56C3
+:0200B900EEC790
+:0200BA0009C972
+:0200BB00F996B4
+:0200BC00048FAF
+:0200BD00FCAD98
+:0200BE0001C27D
+:0200BF0000350A
+:0200C00000FB43
+:0200C10000320B
+:0200C200FDD46B
+:0200C300045DDA
+:0200C400F8BB87
+:0200C5000BEF3F
+:0200C600E955FA
+:0200C70073B50F
+:0200C8002273A1
+:0200C900F275CE
+:0200CA0007C469
+:0200CB00FACC6D
+:0200CC0003E14E
+:0200CD00FCF441
+:0200CE0001C46B
+:0200CF00002609
+:0200D000011F0E
+:0200D100FFC965
+:0200D200FE75B9
+:0200D300037DAB
+:0200D400FA022E
+:0200D50009E937
+:0200D600ED0B30
+:0200D700792D81
+:0200D80017FC13
+:0200D900F65DD2
+:0200DA0005928D
+:0200DB00FC2106
+:0200DC00031B04
+:0200DD00FD4CD8
+:0200DE0001BD62
+:0200DF00001B04
+:0200E0000142DB
+:0200E100FF59C5
+:0200E200FF2EEF
+:0200E300026EAB
+:0200E400FB9887
+:0200E5000756BC
+:0200E600F1FE29
+:0200E7007D1B7F
+:0200E8000E27E1
+:0200E900FA58C3
+:0200EA00034BC6
+:0200EB00FD888E
+:0200EC000246CA
+:0200ED00FDB163
+:0200EE0001AD62
+:0200EF000011FE
+:0200F0000164A9
+:0200F100FEE52A
+:0200F200FFF914
+:0200F3000139D1
+:0200F400FD739A
+:0200F5000446BF
+:0200F600F829E7
+:0200F7007F6424
+:0200F8000521E0
+:0200F900FE3EC9
+:0200FA000107FC
+:0200FB00FEF213
+:0200FC00016998
+:0200FD00FE20E3
+:0200FE00019669
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..b3a5cc00ff0780dcdd55053bfc468e764e0bf8d8
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000023DB
+:0200010001718B
+:02000200FE9A64
+:0200030000AE4D
+:02000400000AF0
+:02000500FF51A9
+:020006000127D0
+:02000700FEB544
+:020008007FFF78
+:02000900FDDE1A
+:02000A00018B68
+:02000B00FF16DE
+:02000C00002FC3
+:02000D0000975A
+:02000E00FEA64C
+:02000F00016D81
+:02001000000CE2
+:02001100017874
+:02001200FE7E70
+:0200130000E308
+:02001400FFB536
+:02001500FFD911
+:02001600003FA9
+:0200170000AE39
+:020018007FEB7C
+:02001900FBFFEB
+:02001A00026B77
+:02001B00FE9253
+:02001C0000835F
+:02001D0000637E
+:02001E00FEC220
+:02001F00016678
+:020020000008D6
+:02002100017E5E
+:02002200FE627C
+:020023000118C2
+:02002400FF5F7C
+:02002500006376
+:02002600FF5386
+:0200270002B61F
+:020028007FBC9B
+:02002900FA31AA
+:02002A0003468B
+:02002B00FE10C5
+:02002C0000D5FD
+:02002D00002FA2
+:02002E00FEDEF4
+:02002F00015E70
+:02003000000BC3
+:02003100018547
+:02003200FE4688
+:02003300014E7C
+:02003400FF08C3
+:0200350000EFDA
+:02003600FE6367
+:0200370004CFF4
+:020038007F71D6
+:02003900F87558
+:02003A00041AA6
+:02003B00FD9234
+:02003C0001259C
+:02003D00FFFCC6
+:02003E00FEFAC8
+:02003F00015767
+:02004000000CB2
+:02004100018B31
+:02004200FE2B93
+:02004300018337
+:02004400FEB00C
+:02004500017D3B
+:02004600FD6F4C
+:0200470006F8B9
+:020048007F0C2B
+:02004900F6CCF3
+:02004A0004E8C8
+:02004B00FD179F
+:02004C0001743D
+:02004D00FFCAE8
+:02004E00FF179A
+:02004F00014F5F
+:02005000000EA0
+:0200510001911B
+:02005200FE109E
+:0200530001B9F1
+:02005400FE5755
+:02005500020B9C
+:02005600FC7834
+:0200570009306E
+:020058007E8B9D
+:02005900F5357B
+:02005A0005B0EF
+:02005B00FC9F08
+:02005C0001C0E1
+:02005D00FF980A
+:02005E00FF326F
+:02005F00014757
+:02006000000F8F
+:02006100019705
+:02006200FDF5AA
+:0200630001EEAC
+:02006400FDFF9E
+:02006500029BFC
+:02006600FB7F1E
+:020067000B7616
+:020068007DEF2A
+:02006900F3B2F0
+:02006A0006701E
+:02006B00FC2C6B
+:02006C00020B85
+:02006D00FF682A
+:02006E00FF4E43
+:02006F00013E50
+:0200700000117D
+:02007100019CF0
+:02007200FDDBB4
+:02007300022366
+:02007400FDA6E7
+:02007500032B5B
+:02007600FA840A
+:020077000DC9B1
+:020078007D39D0
+:02007900F24251
+:02007A00072954
+:02007B00FBBCCC
+:02007C0002532D
+:02007D00FF3949
+:02007E00FF6A17
+:02007F00013648
+:0200800000136B
+:0200810001A0DC
+:02008200FDC1BE
+:02008300025821
+:02008400FD4D30
+:0200850003BCBA
+:02008600F987F8
+:02008700102A3D
+:020088007C6892
+:02008900F0E5A0
+:02008A0007DA93
+:02008B00FB5127
+:02008C000299D7
+:02008D00FF0A68
+:02008E00FF85EC
+:02008F00012E40
+:02009000001559
+:0200910001A5C7
+:02009200FDA8C7
+:02009300028CDD
+:02009400FCF579
+:02009500044C19
+:02009600F889E7
+:020097001298BD
+:020098007B7D6E
+:02009900EF9CDA
+:02009A000883D9
+:02009B00FAEA7F
+:02009C0002DC84
+:02009D00FEDD86
+:02009E00FFA0C1
+:02009F00012539
+:0200A000001747
+:0200A10001A9B3
+:0200A200FD90CF
+:0200A30002C099
+:0200A400FC9DC1
+:0200A50004DC79
+:0200A600F78CD5
+:0200A700151131
+:0200A8007A7963
+:0200A900EE6601
+:0200AA00092328
+:0200AB00FA88D1
+:0200AC00031D32
+:0200AD00FEB2A1
+:0200AE00FFBA97
+:0200AF00011D31
+:0200B000001A34
+:0200B10001ACA0
+:0200B200FD78D7
+:0200B30002F257
+:0200B400FC4707
+:0200B500056BD9
+:0200B600F68EC4
+:0200B70017959B
+:0200B800795B72
+:0200B900ED4513
+:0200BA0009BB80
+:0200BB00FA2A1F
+:0200BC00035BE4
+:0200BD00FE87BC
+:0200BE00FFD56C
+:0200BF0001142A
+:0200C000001C22
+:0200C10001AF8D
+:0200C200FD61DE
+:0200C300032414
+:0200C400FBF14E
+:0200C50005F93B
+:0200C600F592B1
+:0200C7001A24F9
+:0200C80078249A
+:0200C900EC3712
+:0200CA000A4BDF
+:0200CB00F9D268
+:0200CC00039699
+:0200CD00FE5ED5
+:0200CE00FFEE43
+:0200CF00010B23
+:0200D000001F0F
+:0200D10001B17B
+:0200D200FD4BE4
+:0200D3000355D3
+:0200D400FB9C93
+:0200D50006859E
+:0200D600F4979D
+:0200D7001CBC4F
+:0200D80076D6DA
+:0200D900EB3DFD
+:0200DA000AD149
+:0200DB00F97EAC
+:0200DC0003CF50
+:0200DD00FE37EC
+:0200DE00000719
+:0200DF0001031B
+:0200E0000022FC
+:0200E10001B369
+:0200E200FD36E9
+:0200E300038593
+:0200E400FB49D6
+:0200E500071002
+:0200E600F39F86
+:0200E7001F5D9B
+:0200E800756F32
+:0200E900EA57D4
+:0200EA000B4FBA
+:0200EB00F930EA
+:0200EC0004040A
+:0200ED00FE1102
+:0200EE000020F0
+:0200EF0000FA15
+:0200F0000025E9
+:0200F10001B458
+:0200F200FD22ED
+:0200F30003B355
+:0200F400FAF719
+:0200F50007986A
+:0200F600F2A96D
+:0200F7002205E0
+:0200F80073F1A2
+:0200F900E98597
+:0200FA000BC336
+:0200FB00F8E724
+:0200FC000436C8
+:0200FD00FDEC18
+:0200FE000038C8
+:0200FF0000F20D
+:020100000028D5
+:0201010001B546
+:02010200FD0FEF
+:0201030003E017
+:02010400FAA758
+:02010500081DD3
+:02010600F1B74F
+:0201070024B41E
+:02010800725C27
+:02010900E8C745
+:02010A000C2DBA
+:02010B00F8A357
+:02010C00046588
+:02010D00FDC92A
+:02010E0000509F
+:02010F0000E905
+:02011000002BC2
+:0201110001B536
+:02011200FCFDF2
+:02011300040CDA
+:02011400FA5A95
+:0201150008A040
+:02011600F0C92E
+:02011700276A55
+:0201180070B1C4
+:02011900E81DDF
+:02011A000C8F48
+:02011B00F86585
+:02011C0004914C
+:02011D00FDA83B
+:02011E00006679
+:02011F0000E1FD
+:02012000002FAE
+:0201210001B427
+:02012200FCECF3
+:020123000436A0
+:02012400FA0ED1
+:02012500091FB0
+:02012600EFE008
+:020127002A2587
+:020128006EF077
+:02012900E78667
+:02012A000CE7E0
+:02012B00F82CAE
+:02012C0004BA13
+:02012D00FD894A
+:02012E00007D52
+:02012F0000D9F5
+:0201300000339A
+:0201310001B318
+:02013200FCDCF3
+:02013300045E68
+:02013400F9C60A
+:02013500099A25
+:02013600EEFCDD
+:020137002CE4B6
+:020138006D1B3D
+:02013900E702DB
+:02013A000D3581
+:02013B00F7F9D2
+:02013C0004DFDE
+:02013D00FD6B58
+:02013E0000922D
+:02013F0000D0EE
+:02014000003786
+:0201410001B10A
+:02014200FCCEF1
+:02014300048432
+:02014400F97F41
+:020145000A129C
+:02014600EE1EAB
+:020147002FA6E1
+:020148006B3119
+:02014900E6923C
+:02014A000D7A2C
+:02014B00F7CBF0
+:02014C000501AB
+:02014D00FD5063
+:02014E0000A708
+:02014F0000C8E6
+:02015000003B72
+:0201510001AFFC
+:02015200FCC1EE
+:0201530004A8FE
+:02015400F93C74
+:020155000A841A
+:02015600ED4773
+:02015700326B09
+:02015800693507
+:02015900E6348A
+:02015A000DB5E1
+:02015B00F7A407
+:02015C00051F7D
+:02015D00FD366D
+:02015E0000BBE4
+:02015F0000C0DE
+:02016000003F5E
+:0201610001ABF0
+:02016200FCB5EA
+:0201630004CBCB
+:02016400F8FCA5
+:020165000AF39B
+:02016600EC7833
+:02016700353130
+:02016800672509
+:02016900E5E9C6
+:02016A000DE6A0
+:02016B00F7811A
+:02016C00053B51
+:02016D00FD1E75
+:02016E0000CFC0
+:02016F0000B8D6
+:0201700000434A
+:0201710001A7E4
+:02017200FCABE4
+:0201730004EA9C
+:02017400F8BFD2
+:020175000B5C21
+:02017600EBB1EB
+:0201770037F857
+:0201780065041C
+:02017900E5B1EE
+:02017A000E0E67
+:02017B00F76526
+:02017C0005522A
+:02017D00FD077C
+:02017E0000E19E
+:02017F0000B0CE
+:02018000004835
+:0201810001A3D8
+:02018200FCA2DD
+:0201830005086D
+:02018400F886FB
+:020185000BBFAE
+:02018600EAF29B
+:020187003ABE7E
+:0201880062D241
+:02018900E58A05
+:02018A000E2D38
+:02018B00F74E2D
+:02018C00056705
+:02018D00FCF381
+:02018E0000F37C
+:02018F0000A9C5
+:02019000004D20
+:02019100019DCE
+:02019200FC9AD5
+:02019300052342
+:02019400F85120
+:020195000C1D3F
+:02019600EA3D40
+:020197003D83A6
+:02019800609075
+:02019900E5750A
+:02019A000E4213
+:02019B00F73C2F
+:02019C000578E4
+:02019D00FCE084
+:02019E0001045A
+:02019F0000A1BD
+:0201A00000520B
+:0201A1000197C4
+:0201A200FC95CA
+:0201A300053C19
+:0201A400F81F42
+:0201A5000C74D8
+:0201A600E992DC
+:0201A7004045D1
+:0201A8005E3EB9
+:0201A900E572FD
+:0201AA000E4FF6
+:0201AB00F7312A
+:0201AC000586C6
+:0201AD00FCD084
+:0201AE00011539
+:0201AF00009AB4
+:0201B0000057F6
+:0201B1000190BB
+:0201B200FC91BE
+:0201B3000552F3
+:0201B400F7F260
+:0201B5000CC577
+:0201B600E8F16E
+:0201B7004304FF
+:0201B8005BDE0C
+:0201B900E57FE0
+:0201BA000E51E4
+:0201BB00F72A21
+:0201BC000590AC
+:0201BD00FCC183
+:0201BE0001241A
+:0201BF000093AB
+:0201C000005DE0
+:0201C1000188B3
+:0201C200FC8EB1
+:0201C3000565D0
+:0201C400F7C87A
+:0201C5000D101B
+:0201C600E85CF3
+:0201C70045BF32
+:0201C80059716B
+:0201C900E59CB3
+:0201CA000E4BDA
+:0201CB00F72912
+:0201CC00059795
+:0201CD00FCB480
+:0201CE000133FB
+:0201CF00008CA2
+:0201D0000062CB
+:0201D100017FAC
+:0201D200FC8DA2
+:0201D3000576AF
+:0201D400F7A48E
+:0201D5000D53C8
+:0201D600E7D36D
+:0201D700487569
+:0201D80056F7D8
+:0201D900E5CA75
+:0201DA000E3DD8
+:0201DB00F72EFD
+:0201DC00059B81
+:0201DD00FCA97B
+:0201DE000141DD
+:0201DF00008599
+:0201E0000068B5
+:0201E1000176A5
+:0201E200FC8E91
+:0201E300058392
+:0201E400F7839F
+:0201E5000D8F7C
+:0201E600E756DA
+:0201E7004B24A7
+:0201E800547150
+:0201E900E60727
+:0201EA000E25E0
+:0201EB00F738E3
+:0201EC00059B71
+:0201ED00FCA074
+:0201EE00014EC0
+:0201EF00007E90
+:0201F000006E9F
+:0201F100016C9F
+:0201F200FC917E
+:0201F300058E77
+:0201F400F768AA
+:0201F5000DC338
+:0201F600E6E63B
+:0201F7004DCBEE
+:0201F80051E0D4
+:0201F900E653CB
+:0201FA000E05F0
+:0201FB00F747C4
+:0201FC00059864
+:0201FD00FC996B
+:0201FE00015AA4
+:0201FF00007886
+:02020000007488
+:02020100016199
+:02020200FC9569
+:0202030005955F
+:02020400F751B0
+:020205000DF0FA
+:02020600E6848C
+:02020700506B3A
+:020208004F465F
+:02020900E6AD60
+:02020A000DDD08
+:02020B00F75AA0
+:02020C00059358
+:02020D00FC9360
+:02020E00016687
+:02020F0000717C
+:02021000007B71
+:02021100015595
+:02021200FC9C52
+:02021300059A4A
+:02021400F73FB2
+:020215000E14C5
+:02021600E630D0
+:02021700530191
+:020218004CA3F5
+:02021900E715E7
+:02021A000DAD28
+:02021B00F77377
+:02021C00058A51
+:02021D00FC9053
+:02021E0001706D
+:02021F00006B72
+:0202200000815B
+:02022100014892
+:02022200FCA43A
+:02022300059B39
+:02022400F733AE
+:020225000E3198
+:02022600E5EA07
+:02022700558DF3
+:0202280049F893
+:02022900E78B61
+:02022A000D764F
+:02022B00F79149
+:02022C00057E4D
+:02022D00FC8E45
+:02022E00017A53
+:02022F00006568
+:02023000008844
+:02023100013B8F
+:02023200FCAE20
+:0202330005992B
+:02023400F72BA6
+:020235000E4475
+:02023600E5B42D
+:02023700580E5F
+:02023800474637
+:02023900E80DCE
+:02023A000D367F
+:02023B00F7B317
+:02023C00056F4C
+:02023D00FC8D36
+:02023E0001833A
+:02023F0000605D
+:02024000008F2D
+:02024100012D8D
+:02024200FCBA04
+:02024300059420
+:02024400F72998
+:020245000E4F5A
+:02024600E58D44
+:020247005A82D9
+:02024800448EE2
+:02024900E89C2F
+:02024A000CF0B6
+:02024B00F7DAE0
+:02024C00055D4E
+:02024D00FC8F24
+:02024E00018C21
+:02024F00005A53
+:02025000009616
+:02025100011E8C
+:02025200FCC7E7
+:02025300058C18
+:02025400F72C85
+:020255000E5148
+:02025600E5774A
+:020257005CEA5F
+:0202580041D192
+:02025900E93684
+:02025A000CA3F3
+:02025B00F805A4
+:02025C00054952
+:02025D00FC9211
+:02025E0001930A
+:02025F00005548
+:02026000009DFF
+:02026100010E8C
+:02026200FCD7C7
+:02026300058014
+:02026400F7356C
+:020265000E4A3F
+:02026600E57140
+:020267005F44F2
+:020268003F1144
+:02026900E9DCCE
+:02026A000C4F37
+:02026B00F83465
+:02026C0005315A
+:02026D00FC97FC
+:02026E00019AF3
+:02026F0000503D
+:0202700000A5E7
+:0202710000FD8E
+:02027200FCE8A6
+:02027300057113
+:02027400F7434E
+:020275000E3A3F
+:02027600E57C25
+:02027700618F95
+:020278003C4DFB
+:02027900EA8B0E
+:02027A000BF483
+:02027B00F86821
+:02027C00051863
+:02027D00FC9DE6
+:02027E0001A0DD
+:02027F00004B32
+:0202800000ACD0
+:0202810000EC8F
+:02028200FCFC82
+:02028300055E16
+:02028400F7572A
+:020285000E2148
+:02028600E599F8
+:0202870063CA48
+:020288003987B4
+:02028900EB4444
+:02028A000B94D3
+:02028B00F89FDA
+:02028C0004FB71
+:02028D00FCA5CE
+:02028E0001A5C8
+:02028F00004627
+:0202900000B4B8
+:0202910000D992
+:02029200FD115C
+:0202930005481C
+:02029400F77100
+:020295000DFE5C
+:02029600E5C7BA
+:0202970065F50B
+:0202980036C16D
+:02029900EC0770
+:02029A000B2E29
+:02029B00F8DA8F
+:02029C0004DD7F
+:02029D00FCAFB4
+:02029E0001A9B4
+:02029F0000411C
+:0202A00000BCA0
+:0202A10000C695
+:0202A200FD2835
+:0202A300052F25
+:0202A400F790D1
+:0202A5000DD278
+:0202A600E60868
+:0202A700680EDF
+:0202A80033FA27
+:0202A900ECD295
+:0202AA000AC385
+:0202AB00F91840
+:0202AC0004BC90
+:0202AD00FCBA99
+:0202AE0001ADA0
+:0202AF00003D10
+:0202B00000C488
+:0202B10000B398
+:0202B200FD410C
+:0202B300051232
+:0202B400F7B49D
+:0202B5000D9C9E
+:0202B600E65B05
+:0202B7006A16C5
+:0202B8003134DF
+:0202B900EDA5B1
+:0202BA000A53E5
+:0202BB00F959EF
+:0202BC000499A3
+:0202BD00FCC67D
+:0202BE0001B08D
+:0202BF00003904
+:0202C00000CC70
+:0202C100009E9D
+:0202C200FD5CE1
+:0202C30004F243
+:0202C400F7DF62
+:0202C5000D5DCD
+:0202C600E6C18F
+:0202C7006C0ABF
+:0202C8002E7195
+:0202C900EE7EC7
+:0202CA0009DE4B
+:0202CB00F99E9A
+:0202CC000474B8
+:0202CD00FCD45F
+:0202CE0001B27B
+:0202CF000035F8
+:0202D00000D458
+:0202D1000089A2
+:0202D200FD78B5
+:0202D30004CF56
+:0202D400F80F21
+:0202D5000D1406
+:0202D600E73906
+:0202D7006DEBCD
+:0202D8002BB049
+:0202D900EF5FD5
+:0202DA000965B4
+:0202DB00F9E543
+:0202DC00044CD0
+:0202DD00FCE340
+:0202DE0001B469
+:0202DF000031EC
+:0202E00000DC40
+:0202E1000073A8
+:0202E200FD9786
+:0202E30004A86D
+:0202E400F844DC
+:0202E5000CC14A
+:0202E600E7C669
+:0202E7006FB7EF
+:0202E80028F2FA
+:0202E900F045DE
+:0202EA0008E822
+:0202EB00FA2FE8
+:0202EC000424E8
+:0202ED00FCF320
+:0202EE0001B558
+:0202EF00002DE0
+:0202F00000E527
+:0202F100005DAE
+:0202F200FDB756
+:0202F300047E87
+:0202F400F87F91
+:0202F5000C6596
+:0202F600E865B9
+:0202F700716E26
+:0202F800263AA4
+:0202F900F130E2
+:0202FA00086793
+:0202FB00FA7B8C
+:0202FC0003F904
+:0202FD00FD05FD
+:0202FE0001B548
+:0202FF00002AD3
+:0203000000ED0E
+:020301000045B5
+:02030200FDD824
+:020303000451A3
+:02030400F8C03F
+:020305000C00EA
+:02030600E918F4
+:02030700731071
+:02030800238749
+:02030900F220E0
+:02030A0007E307
+:02030B00FACA2C
+:02030C0003CD1F
+:02030D00FD17DA
+:02030E0001B537
+:02030F000027C5
+:0203100000F5F6
+:02031100002EBC
+:02031200FDFCF0
+:020313000421C3
+:02031400F906E8
+:020315000B914A
+:02031600E9DF1D
+:02031700749BD5
+:0203180020DAE9
+:02031900F314DB
+:02031A00075C7E
+:02031B00FB1BCA
+:02031C00039F3D
+:02031D00FD2BB6
+:02031E0001B428
+:02031F000024B8
+:0203200000FEDD
+:020321000015C5
+:02032200FE21BA
+:0203230003EDE8
+:02032400F9518D
+:020325000B19B2
+:02032600EAB932
+:02032700760F4F
+:020328001E3580
+:02032900F40BD3
+:02032A0006D3F8
+:02032B00FB6D68
+:02032C0003705C
+:02032D00FD3F92
+:02032E0001B21A
+:02032F000021AB
+:020330000107C3
+:02033100FFFCCF
+:02033200FE4883
+:0203330003B60F
+:02033400F9A22C
+:020335000A9824
+:02033600EBA832
+:02033700776BE2
+:020338001B9810
+:02033900F505C8
+:02033A00064873
+:02033B00FBC104
+:02033C0003407C
+:02033D00FD556C
+:02033E0001B00C
+:02033F00001E9E
+:02034000010FAB
+:02034100FFE3D8
+:02034200FE704B
+:02034300037D38
+:02034400F9F8C6
+:020345000A0D9F
+:02034600ECAA1F
+:0203470078AF8D
+:02034800190496
+:02034900F600BC
+:02034A0005BBF1
+:02034B00FC169E
+:02034C00030F9D
+:02034D00FD6B46
+:02034E0001AEFE
+:02034F00001B91
+:02035000011892
+:02035100FFC9E2
+:02035200FE9912
+:02035300034065
+:02035400FA525B
+:02035500097A23
+:02035600EDC1F7
+:0203570079DB50
+:02035800167A13
+:02035900F6FDAF
+:02035A00052C70
+:02035B00FC6C38
+:02035C0002DCC1
+:02035D00FD821F
+:02035E0001ABF1
+:02035F00001983
+:0203600001207A
+:02036100FFAFEC
+:02036200FEC5D6
+:02036300030194
+:02036400FAB2EB
+:0203650008DEB0
+:02036600EEEBBC
+:020367007AEE2C
+:0203680013FB85
+:02036900F7FBA0
+:02036A00049DF0
+:02036B00FCC4D0
+:02036C0002A9E4
+:02036D00FD9AF7
+:02036E0001A7E5
+:02036F00001676
+:02037000012961
+:02037100FF94F7
+:02037200FEF19A
+:0203730002BFC7
+:02037400FB1676
+:02037500083A44
+:02037600F0296C
+:020377007BE722
+:020378001187EB
+:02037900F8F892
+:02037A00040D70
+:02037B00FD1C67
+:02037C00027508
+:02037D00FDB3CE
+:02037E0001A3D9
+:02037F00001468
+:02038000013149
+:02038100FF7902
+:02038200FF1E5C
+:02038300027BFB
+:02038400FB7FFD
+:02038500078DE2
+:02038600F17B09
+:020387007CC731
+:020388000F1E46
+:02038900F9F683
+:02038A00037CF2
+:02038B00FD74FF
+:02038C0002412C
+:02038D00FDCCA5
+:02038E00019ECE
+:02038F0000125A
+:02039000013A30
+:02039100FF5E0D
+:02039200FF4D1D
+:02039300023432
+:02039400FBEC80
+:0203950006D987
+:02039600F2E093
+:020397007D8C5B
+:020398000CC394
+:02039900FAF276
+:02039A0002EC73
+:02039B00FDCD96
+:02039C00020C51
+:02039D00FDE67B
+:02039E000199C3
+:02039F0000104C
+:0203A000014218
+:0203A100FF4219
+:0203A200FF7DDD
+:0203A30001EB6C
+:0203A400FC5EFD
+:0203A500061D33
+:0203A600F45908
+:0203A7007E379F
+:0203A8000A75D4
+:0203A900FBEC6B
+:0203AA00025CF3
+:0203AB00FE252D
+:0203AC0001D777
+:0203AD00FE014F
+:0203AE000194B8
+:0203AF00000E3E
+:0203B000014A00
+:0203B100FF2625
+:0203B200FFAE9C
+:0203B300019FA8
+:0203B400FCD378
+:0203B5000559E8
+:0203B600F5E56B
+:0203B7007EC7FF
+:0203B800083506
+:0203B900FCE561
+:0203BA0001CD73
+:0203BB00FE7EC4
+:0203BC0001A19D
+:0203BD00FE1B25
+:0203BE00018FAD
+:0203BF00000D2F
+:0203C0000152E8
+:0203C100FF0A31
+:0203C200FFE05A
+:0203C3000152E5
+:0203C400FD4CEE
+:0203C500048FA3
+:0203C600F784BA
+:0203C7007F3C79
+:0203C800060429
+:0203C900FDDA5B
+:0203CA00013EF2
+:0203CB00FED65C
+:0203CC00016CC2
+:0203CD00FE37F9
+:0203CE000189A3
+:0203CF00000B21
+:0203D000015AD0
+:0203D100FEEE3E
+:0203D200001217
+:0203D300010225
+:0203D400FDC961
+:0203D50003BE65
+:0203D600F935F7
+:0203D7007F9510
+:0203D80003E33D
+:0203D900FECC58
+:0203DA0000B26F
+:0203DB00FF2EF3
+:0203DC000136E8
+:0203DD00FE52CE
+:0203DE0001829A
+:0203DF00000B11
+:0203E0000162B8
+:0203E100FED24A
+:0203E2000046D3
+:0203E30000B167
+:0203E400FE49D0
+:0203E50002E72D
+:0203E600FAF922
+:0203E7007FD4C1
+:0203E80001D141
+:0203E900FFBB58
+:0203EA000026EB
+:0203EB00FF858C
+:0203EC0001010D
+:0203ED00FE6EA2
+:0203EE00017C90
+:0203EF00000705
+:0203F0000169A1
+:0203F100FEB656
+:0203F200007A8F
+:0203F300005FA9
+:0203F400FECB3E
+:0203F5000209FB
+:0203F600FCCE3B
+:0203F7007FF78E
+:0203F800FFCF35
+:0203F90000A55D
+:0203FA00FF9D65
+:0203FB00FFDB26
+:0203FC0000CC33
+:0203FD00FE8A76
+:0203FE00017587
+:0203FF000017E5
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..afc7cbb1b93d66397ac5a7c177c5720367ecf4d7
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_128pts.hex
@@ -0,0 +1,513 @@
+:020000003F5F60
+:020001000019E4
+:020002000003F9
+:02000300000AF1
+:020004003FFAC1
+:020005000007F2
+:020006003FE8D1
+:02000700004EA9
+:020008001FFDDA
+:020009003F7442
+:02000A00004DA7
+:02000B003FCDE7
+:02000C00001FD3
+:02000D003FF4BE
+:02000E000011DF
+:02000F000011DE
+:020010003FEDC2
+:020011000023CA
+:020012003FF3BA
+:020013000025C6
+:020014003FD0DB
+:02001500004C9D
+:020016003F7138
+:02001700015690
+:020018001FE4E3
+:020019003E8C1B
+:02001A0000BB29
+:02001B003F8C18
+:02001C0000479B
+:02001D003FDBC7
+:02001E000020C0
+:02001F000008D7
+:020020003FD5CA
+:02002100002CB1
+:020022003FE2BB
+:0200230000409B
+:020024003FA4F7
+:02002500009346
+:020026003EF7A3
+:02002700026C69
+:020028001FB007
+:020029003DB5E3
+:02002A000124AF
+:02002B003F4E46
+:02002C00006E64
+:02002D003FC3CF
+:02002E00002FA1
+:02002F000000CF
+:020030003FDAB5
+:02003100003697
+:020032003FD2BB
+:02003300005B70
+:020034003F7813
+:0200350000DAEF
+:020036003E7B0F
+:02003700039133
+:020038001F6146
+:020039003CF198
+:02003A0001853E
+:02003B003F1470
+:02003C00009230
+:02003D003FADD5
+:02003E00003D83
+:02003F003FF789
+:020040003FD2AD
+:02004100003F7E
+:020042003FC1BC
+:02004300007645
+:020044003F4C2F
+:02004500012197
+:020046003DFE7D
+:0200470004C3F0
+:020048001EF99F
+:020049003C3F3A
+:02004A0001E0D3
+:02004B003EDD98
+:02004C0000B4FE
+:02004D003F98DA
+:02004E00004A66
+:02004F003FF080
+:020050003FD29D
+:02005100004865
+:020052003FB0BD
+:0200530000911A
+:020054003F204B
+:02005500016840
+:020056003D81EA
+:020057000600A1
+:020058001E7711
+:020059003BA1C9
+:02005A0002336F
+:02005B003EACB9
+:02005C0000D3CF
+:02005D003F85DD
+:02005E0000564A
+:02005F003FE878
+:020060003FCD92
+:0200610000514C
+:020062003FA0BD
+:0200630000ABF0
+:020064003EF666
+:0200650001ADEB
+:020066003D0556
+:02006700074749
+:020068001DDD9C
+:020069003B1743
+:02006A00027D15
+:02006B003E7FD6
+:02006C0000F0A2
+:02006D003F74DE
+:02006E00006030
+:02006F003FE16F
+:020070003FCB84
+:02007100005A33
+:020072003F91BC
+:0200730000C4C7
+:020074003ECC80
+:0200750001F197
+:020076003C8BC1
+:020077000897E8
+:020078001D2B3E
+:020079003AA0AB
+:02007A0002BEC4
+:02007B003E57EE
+:02007C00010978
+:02007D003F64DE
+:02007E00006A16
+:02007F003FDB65
+:020080003FC877
+:0200810000621B
+:020082003F82BB
+:0200830000DD9E
+:020084003EA597
+:02008500023146
+:020086003C1626
+:0200870009ED81
+:020088001C62F8
+:020089003A3CFF
+:02008A0002F77B
+:02008B003E3401
+:02008C00011F52
+:02008D003F57DB
+:02008E000072FE
+:02008F003FD65A
+:020090003FC669
+:02009100006A03
+:020092003F74B9
+:0200930000F477
+:020094003E80AC
+:02009500026EF9
+:020096003BA687
+:020097000B4814
+:020098001B85C6
+:0200990039EC40
+:02009A0003263B
+:02009B003E170E
+:02009C00013130
+:02009D003F4CD6
+:02009E00007AE6
+:02009F003FD14F
+:0200A0003FC35C
+:0200A1000071EC
+:0200A2003F66B7
+:0200A300010951
+:0200A4003E5DBF
+:0200A50002A6B1
+:0200A6003B3BE2
+:0200A7000CA7A4
+:0200A8001A93A9
+:0200A90039AF6D
+:0200AA00034C05
+:0200AB003DFF17
+:0200AC00014011
+:0200AD003F43CF
+:0200AE000080D0
+:0200AF003FCC44
+:0200B0003FC24D
+:0200B1000078D5
+:0200B2003F5BB2
+:0200B300011C2E
+:0200B4003E3ECE
+:0200B50002DA6D
+:0200B6003AD935
+:0200B7000E0633
+:0200B800198E9F
+:0200B900398488
+:0200BA000368D9
+:0200BB003DED19
+:0200BC00014CF5
+:0200BD003F3CC6
+:0200BE000084BC
+:0200BF003FC937
+:0200C0003FC03F
+:0200C100007DC0
+:0200C2003F50AD
+:0200C300012D0D
+:0200C4003E22DA
+:0200C50003082E
+:0200C6003A807E
+:0200C7000F66C2
+:0200C8001878A6
+:0200C900396C90
+:0200CA00037BB6
+:0200CB003DE115
+:0200CC000154DD
+:0200CD003F37BB
+:0200CE000088A8
+:0200CF003FC52B
+:0200D0003FBF30
+:0200D1000082AB
+:0200D2003F47A6
+:0200D300013BEF
+:0200D4003E0BE1
+:0200D5000330F6
+:0200D6003A30BE
+:0200D70010C354
+:0200D8001753BC
+:0200D900396488
+:0200DA0003859C
+:0200DB003DDA0C
+:0200DC000158C9
+:0200DD003F35AD
+:0200DE00008A96
+:0200DF003FC31D
+:0200E0003FBF20
+:0200E100008697
+:0200E2003F409D
+:0200E3000147D3
+:0200E4003DF7E6
+:0200E5000351C5
+:0200E60039ECF3
+:0200E700121BEA
+:0200E8001620E0
+:0200E900396E6E
+:0200EA0003858C
+:0200EB003DD9FD
+:0200EC000159B8
+:0200ED003F349E
+:0200EE00008B85
+:0200EF003FC10F
+:0200F0003FBF10
+:0200F100008984
+:0200F2003F3A93
+:0200F3000150BA
+:0200F4003DE8E5
+:0200F500036A9C
+:0200F60039B51A
+:0200F700136E86
+:0200F80014E111
+:0200F900398745
+:0200FA00037D84
+:0200FB003DDDE9
+:0200FC000157AA
+:0200FD003F368C
+:0200FE00008A76
+:0200FF003FC000
+:020100003FC0FE
+:02010100008A72
+:020102003F3686
+:020103000156A3
+:020104003DDEDE
+:02010500037C79
+:02010600398B33
+:0201070014B82A
+:0201080013984A
+:0201090039AF0C
+:02010A00036D83
+:02010B003DE7CE
+:02010C0001519F
+:02010D003F3978
+:02010E00008966
+:02010F003FBFF0
+:020110003FC1ED
+:02011100008B61
+:020112003F3478
+:02011300015990
+:020114003DD9D3
+:02011500038560
+:0201160039703E
+:0201170015F9D8
+:0201180012468D
+:0201190039E5C6
+:02011A0003548C
+:02011B003DF5B0
+:02011C00014997
+:02011D003F3F62
+:02011E00008659
+:02011F003FBFE0
+:020120003FC3DB
+:02012100008A52
+:020122003F3468
+:02012300015881
+:020124003DDAC2
+:02012500038550
+:02012600396539
+:02012700172D92
+:0201280010EED7
+:020129003A2773
+:02012A0003349C
+:02012B003E088C
+:02012C00013D93
+:02012D003F464B
+:02012E0000834C
+:02012F003FBFD0
+:020130003FC5C9
+:02013100008844
+:020132003F3755
+:02013300015475
+:020134003DE0AC
+:02013500037D48
+:02013600396A24
+:0201370018545A
+:020138000F9224
+:020139003A7515
+:02013A00030DB3
+:02013B003E1F65
+:02013C00012F91
+:02013D003F4F32
+:02013E00007E41
+:02013F003FC0BF
+:020140003FC8B6
+:02014100008537
+:020142003F3B41
+:02014300014D6C
+:020144003DEC90
+:02014500036B4A
+:020146003980FE
+:02014700196C31
+:020148000E3275
+:020149003ACDAD
+:02014A0002E0D1
+:02014B003E3A3A
+:02014C00011E92
+:02014D003F5918
+:02014E00007936
+:02014F003FC1AE
+:020150003FCCA2
+:0201510000802C
+:020152003F422A
+:02015300014267
+:020154003DFD6F
+:02015500035055
+:0201560039A8C6
+:020157001A7319
+:020158000CD3C6
+:020159003B2F3A
+:02015A0002ADF4
+:02015B003E590B
+:02015C00010B95
+:02015D003F65FC
+:02015E0000722D
+:02015F003FC39C
+:020160003FD08E
+:02016100007B21
+:020162003F4B11
+:02016300013366
+:020164003E1447
+:02016500032B6A
+:0201660039E37B
+:020167001B6714
+:020168000B7416
+:020169003B98C1
+:02016A0002751C
+:02016B003E7BD9
+:02016C0000F69B
+:02016D003F72DF
+:02016E00006B24
+:02016F003FC58A
+:020170003FD579
+:02017100007319
+:020172003F56F6
+:02017300012168
+:020174003E301B
+:0201750002FD89
+:020176003A311C
+:020177001C4822
+:020178000A1863
+:020179003C0840
+:02017A00023948
+:02017B003EA0A4
+:02017C0000E0A1
+:02017D003F80C1
+:02017E0000631C
+:02017F003FC778
+:020180003FDB63
+:02018100006B11
+:020182003F63D9
+:02018300010C6D
+:020184003E52E9
+:0201850002C6B0
+:020186003A92AB
+:020187001D1346
+:0201880008C1AC
+:020189003C7DBB
+:02018A0001F979
+:02018B003EC76D
+:02018C0000C8A9
+:02018D003F8FA2
+:02018E00005B14
+:02018F003FCB64
+:020190003FE14D
+:0201910000620A
+:020192003F72BA
+:0201930000F377
+:020194003E79B2
+:020195000286E0
+:020196003B0725
+:020197001DC881
+:020198000771ED
+:020199003CF533
+:02019A0001B6AC
+:02019B003EF034
+:02019C0000AEB3
+:02019D003F9E83
+:02019E0000530C
+:02019F003FCC53
+:0201A0003FE737
+:0201A100005705
+:0201A2003F8399
+:0201A30000D783
+:0201A4003EA675
+:0201A500023C1A
+:0201A6003B8F8D
+:0201A7001E65D3
+:0201A800062926
+:0201A9003D71A6
+:0201AA000171E1
+:0201AB003F1BF8
+:0201AC000094BD
+:0201AD003FAE63
+:0201AE00004A05
+:0201AF003FD13E
+:0201B0003FEF1F
+:0201B100004B01
+:0201B2003F9676
+:0201B30000B892
+:0201B4003ED734
+:0201B50001EB5C
+:0201B6003C2BE0
+:0201B7001EEA3E
+:0201B80004EA57
+:0201B9003DEE19
+:0201BA00012A18
+:0201BB003F46BD
+:0201BC000079C8
+:0201BD003FBF42
+:0201BE000040FF
+:0201BF003FD22D
+:0201C0003FF608
+:0201C100003EFE
+:0201C2003FAA52
+:0201C3000097A3
+:0201C4003F0DED
+:0201C5000191A6
+:0201C6003CDA21
+:0201C7001F56C1
+:0201C80003B77B
+:0201C9003E6B8B
+:0201CA0000E350
+:0201CB003F7281
+:0201CC00005ED3
+:0201CD003FD021
+:0201CE000037F8
+:0201CF003FD916
+:0201D0003FFFEF
+:0201D1000031FB
+:0201D2003FC02C
+:0201D3000073B7
+:0201D4003F46A4
+:0201D5000130F7
+:0201D6003D9C4E
+:0201D7001FA760
+:0201D800029093
+:0201D9003EE8FE
+:0201DA00009C87
+:0201DB003F9E45
+:0201DC000043DE
+:0201DD003FE001
+:0201DE00002DF2
+:0201DF003FD50A
+:0201E000000716
+:0201E1000022FA
+:0201E2003FD804
+:0201E300004CCE
+:0201E4003F8456
+:0201E50000C850
+:0201E6003E7069
+:0201E7001FDF18
+:0201E80001789C
+:0201E9003F6273
+:0201EA000055BE
+:0201EB003FCA09
+:0201EC000028E9
+:0201ED003FF1E0
+:0201EE000024EB
+:0201EF003FEAE5
+:0201F0000010FD
+:0201F1000013F9
+:0201F2003FF1DB
+:0201F3000024E6
+:0201F4003FC406
+:0201F500005BAD
+:0201F6003F5672
+:0201F7001FFBEC
+:0201F800006E97
+:0201F9003FDAEB
+:0201FA000010F3
+:0201FB003FF5CE
+:0201FC00000EF3
+:0201FD000001FF
+:0201FE00001AE5
+:0201FF003F8B34
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..a2db7b8d0f46d90c61014b6649602f89b65ec91a
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_16pts.hex
@@ -0,0 +1,17 @@
+:02000000000cf2
+:020001000041bc
+:020002003f6558
+:02000300013bbf
+:020004003dc2fb
+:0200050003d224
+:02000600395c63
+:020007000f9157
+:020008001c10ca
+:020009003b9921
+:02000a000105ee
+:02000b000039ba
+:02000c003f5063
+:02000d0000c031
+:02000e003f6150
+:02000f00007f70
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..b0b20cf08bef4715a90d703289345db2ed1fde07
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_3_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018577
+:02000200FE6896
+:0200030000DE1D
+:02000400FFD02B
+:02000500FFA753
+:02000600009464
+:02000700FFF9FF
+:020008007FF582
+:02000900FC9B5E
+:02000A000226CC
+:02000B00FEB83D
+:02000C0000688A
+:02000D00007F72
+:02000E00FE9C56
+:02000F00017876
+:02001000000EE0
+:02001100019F4D
+:02001200FDF6F9
+:0200130001BC2E
+:02001400FE6B81
+:0200150001DF09
+:02001600FCCC20
+:02001700086877
+:020018007EBBAD
+:02001900F5B63A
+:02001A00057966
+:02001B00FCBA2D
+:02001C0001B130
+:02001D00FFAB37
+:02001E00FF11D0
+:02001F00015886
+:020020000014CA
+:0200210001B428
+:02002200FD8A55
+:02002300029742
+:02002400FD00DD
+:020025000427AE
+:02002600F8DA06
+:0200270011C105
+:020028007BD289
+:02002900EFFEE8
+:02002A00085D6F
+:02002B00FAF7E2
+:02002C0002D9F7
+:02002D00FEE6ED
+:02002E00FF844D
+:02002F00013599
+:02003000001FAF
+:0200310001C10B
+:02003200FD29A6
+:02003300036860
+:02003400FB9E31
+:0200350006685B
+:02003600F4E2F2
+:020037001BD9D3
+:02003800774D02
+:02003900EB8159
+:02003A000ABCFE
+:02003B00F97D4D
+:02003C0003D7E8
+:02003D00FE368D
+:02003E00FFF1D0
+:02003F000111AD
+:02004000002B93
+:0200410001C5F7
+:02004200FCD7E9
+:02004300042691
+:02004400FA536D
+:02004500088C25
+:02004600F10BBC
+:02004700267F12
+:020048007148FD
+:02004900E8438A
+:02004A000C8B1D
+:02004B00F85764
+:02004C0004A40A
+:02004D00FD9E16
+:02004E0000565A
+:02004F0000EEC1
+:02005000003B73
+:0200510001BEEE
+:02005200FC9818
+:0200530004C9DE
+:02005400F92C85
+:020055000A7B24
+:02005600ED7E3D
+:02005700317BFB
+:0200580069E954
+:02005900E63E81
+:02005A000DC2D5
+:02005B00F78923
+:02005C00053D60
+:02005D00FD2282
+:02005E0000B1EF
+:02005F0000CBD4
+:02006000004E50
+:0200610001ACF0
+:02006200FC7030
+:02006300054A4C
+:02006400F8386A
+:020065000C1F6E
+:02006600EA6549
+:020067003C95C6
+:02006800615CD9
+:02006900E5644C
+:02006A000E5F27
+:02006B00F71785
+:02006C00059FEE
+:02006D00FCC5D0
+:02006E0001008F
+:02006F0000ABE4
+:0200700000642A
+:02007100018DFF
+:02007200FC622E
+:0200730005A1E5
+:02007400F78310
+:020075000D601C
+:02007600E7EAB7
+:02007700478CB4
+:0200780057D758
+:02007900E5A1FF
+:02007A000E670F
+:02007B00F6FF8E
+:02007C0005C9B4
+:02007D00FC86FF
+:02007E0001413E
+:02007F00008DF2
+:02008000007D01
+:0200810001601C
+:02008200FC710F
+:0200830005C9AD
+:02008400F7186B
+:020085000E2D3E
+:02008600E6345E
+:02008700522302
+:020088004D9198
+:02008900E6D9B6
+:02008A000DE384
+:02008B00F73D3F
+:02008C0005BEAF
+:02008D00FC670E
+:02008E000175FA
+:02008F000072FD
+:02009000009AD4
+:02009100012646
+:02009200FC9ED2
+:0200930005BDA9
+:02009400F6FE76
+:020095000E76E5
+:02009600E5661D
+:020097005C1CEF
+:0200980042C85C
+:02009900E8EA93
+:02009A000CE177
+:02009B00F7CAA2
+:02009C000580DD
+:02009D00FC6500
+:02009E00019CC3
+:02009F00005A05
+:0200A00000B9A5
+:0200A10000DF7E
+:0200A200FCEA76
+:0200A300057BDB
+:0200A400F73D26
+:0200A5000E2D1E
+:0200A600E5A0D3
+:0200A700653CB6
+:0200A80037BA65
+:0200A900EBAFBB
+:0200AA000B72D7
+:0200AB00F89CBF
+:0200AC00051637
+:0200AD00FC7FD6
+:0200AE0001B59A
+:0200AF0000450A
+:0200B00000DA74
+:0200B100008BC2
+:0200B200FD55FA
+:0200B300050145
+:0200B400F7D87B
+:0200B5000D4DEF
+:0200B600E6FA68
+:0200B7006D4B8F
+:0200B8002CA674
+:0200B900EEFF58
+:0200BA0009AB90
+:0200BB00F9A8A2
+:0200BC000485B9
+:0200BD00FCB194
+:0200BE0001C27D
+:0200BF0000340B
+:0200C00000FD41
+:0200C100002B12
+:0200C200FDDD62
+:0200C3000450E7
+:0200C400F8CD75
+:0200C5000BD35B
+:0200C600E987C8
+:0200C7007417AC
+:0200C80021C84D
+:0200C900F2B291
+:0200CA0007A28B
+:0200CB00FAE059
+:0200CC0003D55A
+:0200CD00FCF93C
+:0200CE0001C46B
+:0200CF0000250A
+:0200D00001210C
+:0200D100FFC26C
+:0200D200FE80AE
+:0200D300036DBB
+:0200D400FA1917
+:0200D50009C45C
+:0200D600ED51EA
+:0200D700797836
+:0200D800175AB5
+:0200D900F69D92
+:0200DA00056EB1
+:0200DB00FC37F0
+:0200DC00030E11
+:0200DD00FD52D2
+:0200DE0001BC63
+:0200DF00001A05
+:0200E0000144D9
+:0200E100FF52CC
+:0200E200FF3AE3
+:0200E300025CBD
+:0200E400FBB46B
+:0200E5000728EA
+:0200E600F258CE
+:0200E7007D4C4E
+:0200E8000D9079
+:0200E900FA9784
+:0200EA000327EA
+:0200EB00FD9F77
+:0200EC000238D8
+:0200ED00FDB85C
+:0200EE0001AC63
+:0200EF000011FE
+:0200F0000166A7
+:0200F100FEDE31
+:0200F200000606
+:0200F3000124E6
+:0200F400FD937A
+:0200F5000411F4
+:0200F600F8967A
+:0200F7007F7A0E
+:0200F800049969
+:0200F900FE7B8C
+:0200FA0000E321
+:0200FB00FF09FB
+:0200FC00015BA6
+:0200FD00FE27DC
+:0200FE0001946B
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..ee8137a980f7e009bb4477d6c7abb0448ed7eec1
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000022DC
+:0200010001718B
+:02000200FE9866
+:0200030000B249
+:020004000005F5
+:02000500FF59A1
+:020006000118DF
+:02000700FED425
+:020008007FFE79
+:02000900FDC038
+:02000A0001995A
+:02000B00FF0EE6
+:02000C000035BD
+:02000D0000945D
+:02000E00FEA84A
+:02000F00016D81
+:02001000000BE3
+:02001100017874
+:02001200FE7C72
+:0200130000E605
+:02001400FFB03B
+:02001500FFE208
+:020016000031B7
+:0200170000CE19
+:020018007FE97E
+:02001900FBE109
+:02001A00027969
+:02001B00FE8A5B
+:02001C0000885A
+:02001D00006081
+:02001E00FEC41E
+:02001F00016678
+:020020000008D6
+:02002100017F5D
+:02002200FE607E
+:02002300011CBE
+:02002400FF5982
+:02002500006C6D
+:02002600FF4495
+:0200270002D8FD
+:020028007FB89F
+:02002900FA15C6
+:02002A0003537E
+:02002B00FE08CD
+:02002C0000DAF8
+:02002D00002CA5
+:02002E00FEE0F2
+:02002F00015E70
+:02003000000BC3
+:02003100018547
+:02003200FE448A
+:02003300015179
+:02003400FF02C9
+:0200350000F8D1
+:02003600FE5476
+:0200370004F2D1
+:020038007F6CDB
+:02003900F85A73
+:02003A00042799
+:02003B00FD8A3C
+:02003C00012A97
+:02003D00FFF9C9
+:02003E00FEFCC6
+:02003F00015668
+:02004000000CB2
+:02004100018C30
+:02004200FE2995
+:02004300018733
+:02004400FEAA12
+:02004500018533
+:02004600FD605B
+:02004700071B95
+:020048007F0433
+:02004900F6B20D
+:02004A0004F5BB
+:02004B00FD0FA7
+:02004C00017938
+:02004D00FFC7EB
+:02004E00FF1899
+:02004F00014E60
+:02005000000EA0
+:0200510001911B
+:02005200FE0EA0
+:0200530001BCEE
+:02005400FE525A
+:02005500021493
+:02005600FC6943
+:0200570009544A
+:020058007E82A6
+:02005900F51D93
+:02005A0005BCE3
+:02005B00FC980F
+:02005C0001C5DC
+:02005D00FF950D
+:02005E00FF346D
+:02005F00014658
+:02006000000F8F
+:02006100019705
+:02006200FDF3AC
+:0200630001F2A8
+:02006400FDF9A4
+:0200650002A4F3
+:02006600FB6F2E
+:020067000B9AF2
+:020068007DE534
+:02006900F39A08
+:02006A00067C12
+:02006B00FC2572
+:02006C00021080
+:02006D00FF652D
+:02006E00FF5041
+:02006F00013E50
+:0200700000117D
+:02007100019CF0
+:02007200FDD9B6
+:02007300022762
+:02007400FDA0ED
+:02007500033452
+:02007600FA741A
+:020077000DEF8B
+:020078007D2DDC
+:02007900F22B68
+:02007A00073449
+:02007B00FBB5D3
+:02007C00025828
+:02007D00FF364C
+:02007E00FF6B16
+:02007F00013549
+:0200800000136B
+:0200810001A1DB
+:02008200FDC0BF
+:02008300025B1E
+:02008400FD4835
+:0200850003C5B1
+:02008600F97708
+:02008700105116
+:020088007C5AA0
+:02008900F0D0B5
+:02008A0007E588
+:02008B00FB4A2E
+:02008C00029DD3
+:02008D00FF086A
+:02008E00FF87EA
+:02008F00012D41
+:02009000001559
+:0200910001A5C7
+:02009200FDA7C8
+:02009300028FDA
+:02009400FCF07E
+:02009500045510
+:02009600F87AF6
+:0200970012BF96
+:020098007B6E7D
+:02009900EF88EE
+:02009A00088DCF
+:02009B00FAE485
+:02009C0002E17F
+:02009D00FEDB88
+:02009E00FFA2BF
+:02009F00012539
+:0200A000001747
+:0200A10001A9B3
+:0200A200FD8ED1
+:0200A30002C396
+:0200A400FC98C6
+:0200A50004E570
+:0200A600F77CE5
+:0200A700153909
+:0200A8007A6874
+:0200A900EE5413
+:0200AA00092D1E
+:0200AB00FA82D7
+:0200AC0003212E
+:0200AD00FEAFA4
+:0200AE00FFBC95
+:0200AF00011C32
+:0200B000001A34
+:0200B10001ACA0
+:0200B200FD77D8
+:0200B30002F653
+:0200B400FC410D
+:0200B5000574D0
+:0200B600F67FD3
+:0200B70017BE72
+:0200B800794885
+:0200B900ED3325
+:0200BA0009C576
+:0200BB00FA2425
+:0200BC00035FE0
+:0200BD00FE84BF
+:0200BE00FFD66B
+:0200BF0001132B
+:0200C000001C22
+:0200C10001AF8D
+:0200C200FD60DF
+:0200C300032711
+:0200C400FBEB54
+:0200C500060231
+:0200C600F582C1
+:0200C7001A4DD0
+:0200C8007810AE
+:0200C900EC2722
+:0200CA000A54D6
+:0200CB00F9CC6E
+:0200CC00039A95
+:0200CD00FE5CD7
+:0200CE00FFF041
+:0200CF00010B23
+:0200D000001F0F
+:0200D10001B17B
+:0200D200FD4AE5
+:0200D3000358D0
+:0200D400FB9798
+:0200D500068E95
+:0200D600F488AC
+:0200D7001CE625
+:0200D80076C0F0
+:0200D900EB2E0C
+:0200DA000AD941
+:0200DB00F979B1
+:0200DC0003D24D
+:0200DD00FE34EF
+:0200DE00000917
+:0200DF0001021C
+:0200E0000022FC
+:0200E10001B369
+:0200E200FD35EA
+:0200E300038890
+:0200E400FB44DB
+:0200E5000718FA
+:0200E600F38F96
+:0200E7001F8771
+:0200E80075574A
+:0200E900EA4AE1
+:0200EA000B56B3
+:0200EB00F92BEF
+:0200EC00040707
+:0200ED00FE0E05
+:0200EE000022EE
+:0200EF0000FA15
+:0200F0000025E9
+:0200F10001B458
+:0200F200FD21EE
+:0200F30003B652
+:0200F400FAF21E
+:0200F50007A062
+:0200F600F29A7C
+:0200F7002230B5
+:0200F80073D8BB
+:0200F900E979A3
+:0200FA000BCA2F
+:0200FB00F8E229
+:0200FC000439C5
+:0200FD00FDEA1A
+:0200FE00003AC6
+:0200FF0000F10E
+:020100000028D5
+:0201010001B546
+:02010200FD0EF0
+:0201030003E314
+:02010400FAA25D
+:020105000825CB
+:02010600F1A85E
+:0201070024E0F2
+:02010800724241
+:02010900E8BC50
+:02010A000C34B3
+:02010B00F89F5B
+:02010C00046885
+:02010D00FDC72C
+:02010E0000519E
+:02010F0000E905
+:02011000002CC1
+:0201110001B536
+:02011200FCFCF3
+:02011300040ED8
+:02011400FA559A
+:0201150008A838
+:02011600F0BA3D
+:0201170027952A
+:020118007095E0
+:02011900E813E9
+:02011A000C9542
+:02011B00F86189
+:02011C00049449
+:02011D00FDA63D
+:02011E00006877
+:02011F0000E0FE
+:02012000002FAE
+:0201210001B427
+:02012200FCEBF4
+:0201230004389E
+:02012400FA0AD5
+:020125000927A8
+:02012600EFD117
+:020127002A505C
+:020128006ED394
+:02012900E77D70
+:02012A000CECDB
+:02012B00F829B1
+:02012C0004BC11
+:02012D00FD874C
+:02012E00007E51
+:02012F0000D8F6
+:0201300000339A
+:0201310001B318
+:02013200FCDBF4
+:02013300046066
+:02013400F9C10F
+:0201350009A21D
+:02013600EEEEEB
+:020137002D1089
+:020138006CFD5C
+:02013900E6FBE3
+:02013A000D397D
+:02013B00F7F6D5
+:02013C0004E1DC
+:02013D00FD6A59
+:02013E0000942B
+:02013F0000D0EE
+:02014000003786
+:0201410001B10A
+:02014200FCCDF2
+:02014300048630
+:02014400F97B45
+:020145000A1995
+:02014600EE11B8
+:020147002FD2B5
+:020148006B1238
+:02014900E68B43
+:02014A000D7E28
+:02014B00F7C9F2
+:02014C000503A9
+:02014D00FD4E65
+:02014E0000A807
+:02014F0000C8E6
+:02015000003B72
+:0201510001AEFD
+:02015200FCC0EF
+:0201530004ABFB
+:02015400F93878
+:020155000A8C12
+:02015600ED3A80
+:020157003297DD
+:02015800691428
+:02015900E62F8F
+:02015A000DB8DE
+:02015B00F7A10A
+:02015C0005217B
+:02015D00FD346F
+:02015E0000BDE2
+:02015F0000C0DE
+:02016000003F5E
+:0201610001ABF0
+:02016200FCB4EB
+:0201630004CDC9
+:02016400F8F8A9
+:020165000AF995
+:02016600EC6B40
+:02016700355D04
+:0201680067042A
+:02016900E5E5CA
+:02016A000DE99D
+:02016B00F77F1C
+:02016C00053C50
+:02016D00FD1C77
+:02016E0000D0BF
+:02016F0000B8D6
+:02017000004449
+:0201710001A7E4
+:02017200FCAAE5
+:0201730004EC9A
+:02017400F8BCD5
+:020175000B621B
+:02017600EBA5F7
+:0201770038242A
+:0201780064E23F
+:02017900E5AEF1
+:02017A000E1164
+:02017B00F76328
+:02017C00055428
+:02017D00FD067D
+:02017E0000E39C
+:02017F0000B0CE
+:02018000004835
+:0201810001A2D9
+:02018200FCA1DE
+:02018300050A6B
+:02018400F883FE
+:020185000BC5A8
+:02018600EAE7A6
+:020187003AEA52
+:0201880062AE65
+:02018900E58807
+:02018A000E2F36
+:02018B00F74D2E
+:02018C00056804
+:02018D00FCF282
+:02018E0000F47B
+:02018F0000A8C6
+:02019000004D20
+:02019100019DCE
+:02019200FC9AD5
+:02019300052540
+:02019400F84E23
+:020195000C223A
+:02019600EA324B
+:020197003DAF7A
+:02019800606B9A
+:02019900E5750A
+:02019A000E4312
+:02019B00F73C2F
+:02019C000579E3
+:02019D00FCDF85
+:02019E00010559
+:02019F0000A1BD
+:0201A00000520B
+:0201A1000196C5
+:0201A200FC94CB
+:0201A300053D18
+:0201A400F81C45
+:0201A5000C79D3
+:0201A600E988E6
+:0201A7004071A5
+:0201A8005E19DE
+:0201A900E572FD
+:0201AA000E4FF6
+:0201AB00F7302B
+:0201AC000586C6
+:0201AD00FCCF85
+:0201AE00011638
+:0201AF000099B5
+:0201B0000057F6
+:0201B100018FBC
+:0201B200FC90BF
+:0201B3000553F2
+:0201B400F7EF63
+:0201B5000CCA72
+:0201B600E8E877
+:0201B7004330D3
+:0201B8005BB832
+:0201B900E580DF
+:0201BA000E51E4
+:0201BB00F72A21
+:0201BC000590AC
+:0201BD00FCC084
+:0201BE00012519
+:0201BF000092AC
+:0201C000005DE0
+:0201C1000188B3
+:0201C200FC8EB1
+:0201C3000566CF
+:0201C400F7C67C
+:0201C5000D1417
+:0201C600E853FC
+:0201C70045EB06
+:0201C800594A92
+:0201C900E59FB0
+:0201CA000E4BDA
+:0201CB00F72A11
+:0201CC00059795
+:0201CD00FCB381
+:0201CE000134FA
+:0201CF00008BA3
+:0201D0000063CA
+:0201D100017FAC
+:0201D200FC8DA2
+:0201D3000577AE
+:0201D400F7A290
+:0201D5000D57C4
+:0201D600E7CB75
+:0201D70048A03E
+:0201D80056CF00
+:0201D900E5CD72
+:0201DA000E3BDA
+:0201DB00F72EFD
+:0201DC00059B81
+:0201DD00FCA97B
+:0201DE000142DC
+:0201DF0000849A
+:0201E0000068B5
+:0201E1000175A6
+:0201E200FC8E91
+:0201E300058491
+:0201E400F782A0
+:0201E5000D9279
+:0201E600E74FE1
+:0201E7004B4E7D
+:0201E800544879
+:0201E900E60B23
+:0201EA000E24E1
+:0201EB00F738E3
+:0201EC00059B71
+:0201ED00FCA074
+:0201EE00014FBF
+:0201EF00007E90
+:0201F000006E9F
+:0201F100016BA0
+:0201F200FC917E
+:0201F300058E77
+:0201F400F766AC
+:0201F5000DC635
+:0201F600E6DF42
+:0201F7004DF5C4
+:0201F80051B7FD
+:0201F900E658C6
+:0201FA000E03F2
+:0201FB00F748C3
+:0201FC00059864
+:0201FD00FC986C
+:0201FE00015BA3
+:0201FF00007787
+:02020000007587
+:0202010001609A
+:02020200FC9668
+:0202030005965E
+:02020400F750B1
+:020205000DF2F8
+:02020600E67E92
+:02020700509411
+:020208004F1C89
+:02020900E6B35A
+:02020A000DDB0A
+:02020B00F75C9E
+:02020C00059259
+:02020D00FC9360
+:02020E00016687
+:02020F0000717C
+:02021000007B71
+:02021100015496
+:02021200FC9C52
+:02021300059A4A
+:02021400F73EB3
+:020215000E16C3
+:02021600E62BD5
+:02021700532A68
+:020218004C7820
+:02021900E71CE0
+:02021A000DAA2B
+:02021B00F77575
+:02021C00058952
+:02021D00FC8F54
+:02021E0001716C
+:02021F00006B72
+:0202200000825A
+:02022100014892
+:02022200FCA43A
+:02022300059B39
+:02022400F732AF
+:020225000E3297
+:02022600E5E60B
+:0202270055B5CB
+:0202280049CDBE
+:02022900E79359
+:02022A000D7253
+:02022B00F79347
+:02022C00057D4E
+:02022D00FC8E45
+:02022E00017B52
+:02022F00006568
+:02023000008844
+:02023100013A90
+:02023200FCAE20
+:0202330005992B
+:02023400F72BA6
+:020235000E4574
+:02023600E5B130
+:02023700583538
+:02023800471B62
+:02023900E816C5
+:02023A000D3283
+:02023B00F7B614
+:02023C00056E4D
+:02023D00FC8E35
+:02023E00018439
+:02023F00005F5E
+:02024000008F2D
+:02024100012C8E
+:02024200FCBA04
+:02024300059420
+:02024400F72998
+:020245000E5059
+:02024600E58B46
+:020247005AA9B2
+:0202480044620E
+:02024900E8A526
+:02024A000CEBBB
+:02024B00F7DDDD
+:02024C00055C4F
+:02024D00FC8F24
+:02024E00018C21
+:02024F00005A53
+:02025000009616
+:02025100011D8D
+:02025200FCC8E6
+:02025300058B19
+:02025400F72D84
+:020255000E5148
+:02025600E5764B
+:020257005D1038
+:0202580041A5BE
+:02025900E9407A
+:02025A000C9EF8
+:02025B00F808A1
+:02025C00054754
+:02025D00FC9211
+:02025E0001930A
+:02025F00005548
+:02026000009EFE
+:02026100010D8D
+:02026200FCD8C6
+:02026300057F15
+:02026400F7366B
+:020265000E4A3F
+:02026600E57140
+:020267005F69CD
+:020268003EE472
+:02026900E9E6C4
+:02026A000C493D
+:02026B00F83861
+:02026C0005305B
+:02026D00FC97FC
+:02026E00019AF3
+:02026F00004F3E
+:0202700000A5E7
+:0202710000FC8F
+:02027200FCE9A5
+:02027300057014
+:02027400F7444D
+:020275000E3940
+:02027600E57E23
+:0202770061B371
+:020278003C2127
+:02027900EA9603
+:02027A000BEF88
+:02027B00F86B1E
+:02027C00051665
+:02027D00FC9EE5
+:02027E0001A0DD
+:02027F00004A33
+:0202800000ADCF
+:0202810000EA91
+:02028200FCFD81
+:02028300055D17
+:02028400F75928
+:020285000E1F4A
+:02028600E59BF6
+:0202870063ED25
+:02028800395BE0
+:02028900EB5038
+:02028A000B8ED9
+:02028B00F8A2D7
+:02028C0004FA72
+:02028D00FCA6CD
+:02028E0001A5C8
+:02028F00004627
+:0202900000B4B8
+:0202910000D893
+:02029200FD125B
+:0202930005471D
+:02029400F772FF
+:020295000DFC5E
+:02029600E5CBB6
+:020297006617E8
+:0202980036949A
+:02029900EC1364
+:02029A000B282F
+:02029B00F8DD8C
+:02029C0004DB81
+:02029D00FCB0B3
+:02029E0001A9B4
+:02029F0000411C
+:0202A00000BCA0
+:0202A10000C596
+:0202A200FD2934
+:0202A300052D27
+:0202A400F792CF
+:0202A5000DCF7B
+:0202A600E60D63
+:0202A700682FBE
+:0202A80033CE53
+:0202A900ECDF88
+:0202AA000ABC8C
+:0202AB00F91C3C
+:0202AC0004BA92
+:0202AD00FCBB98
+:0202AE0001ADA0
+:0202AF00003D10
+:0202B00000C488
+:0202B10000B19A
+:0202B200FD420B
+:0202B300051133
+:0202B400F7B79A
+:0202B5000D98A2
+:0202B600E661FF
+:0202B7006A35A6
+:0202B80031080B
+:0202B900EDB2A4
+:0202BA000A4CEC
+:0202BB00F95DEB
+:0202BC000496A6
+:0202BD00FCC77C
+:0202BE0001B08D
+:0202BF00003904
+:0202C00000CC70
+:0202C100009D9E
+:0202C200FD5DE0
+:0202C30004F045
+:0202C400F7E160
+:0202C5000D58D2
+:0202C600E6C888
+:0202C7006C29A0
+:0202C8002E44C2
+:0202C900EE8CB9
+:0202CA0009D653
+:0202CB00F9A296
+:0202CC000471BB
+:0202CD00FCD55E
+:0202CE0001B27B
+:0202CF000035F8
+:0202D00000D458
+:0202D1000088A3
+:0202D200FD7AB3
+:0202D30004CD58
+:0202D400F8121E
+:0202D5000D0F0B
+:0202D600E742FD
+:0202D7006E08AF
+:0202D8002B8475
+:0202D900EF6DC7
+:0202DA00095DBC
+:0202DB00F9EA3E
+:0202DC00044AD2
+:0202DD00FCE43F
+:0202DE0001B469
+:0202DF000031EC
+:0202E00000DD3F
+:0202E1000072A9
+:0202E200FD9984
+:0202E30004A66F
+:0202E400F848D8
+:0202E5000CBC4F
+:0202E600E7CF60
+:0202E7006FD3D3
+:0202E80028C725
+:0202E900F054CF
+:0202EA0008E02A
+:0202EB00FA34E3
+:0202EC000421EB
+:0202ED00FCF41F
+:0202EE0001B558
+:0202EF00002DE0
+:0202F00000E527
+:0202F100005BB0
+:0202F200FDB954
+:0202F300047C89
+:0202F400F8838D
+:0202F5000C5F9C
+:0202F600E870AE
+:0202F70071890B
+:0202F800260FCF
+:0202F900F13FD3
+:0202FA00085F9B
+:0202FB00FA8087
+:0202FC0003F607
+:0202FD00FD06FC
+:0202FE0001B548
+:0202FF00002AD3
+:0203000000ED0E
+:020301000044B6
+:02030200FDDB21
+:02030300044EA6
+:02030400F8C43B
+:020305000BF9F2
+:02030600E924E8
+:02030700732958
+:02030800235C74
+:02030900F22FD1
+:02030A0007DB0F
+:02030B00FACF27
+:02030C0003CA22
+:02030D00FD18D9
+:02030E0001B537
+:02030F000026C6
+:0203100000F6F5
+:02031100002CBE
+:02031200FDFEEE
+:02031300041DC7
+:02031400F90AE4
+:020315000B8A51
+:02031600E9EC10
+:0203170074B2BE
+:0203180020B013
+:02031900F323CC
+:02031A00075486
+:02031B00FB20C5
+:02031C00039C40
+:02031D00FD2CB5
+:02031E0001B428
+:02031F000023B9
+:0203200000FFDC
+:020321000014C6
+:02032200FE23B8
+:0203230003EAEB
+:02032400F95688
+:020325000B11BA
+:02032600EAC823
+:02032700762539
+:020328001E0BAA
+:02032900F41BC3
+:02032A0006CB00
+:02032B00FB7263
+:02032C00036D5F
+:02032D00FD4190
+:02032E0001B21A
+:02032F000020AC
+:020330000107C3
+:02033100FFFBD0
+:02033200FE4A81
+:0203330003B312
+:02033400F9A727
+:020335000A8F2D
+:02033600EBB822
+:020337007780CD
+:020338001B6F39
+:02033900F514B9
+:02033A00063F7C
+:02033B00FBC6FF
+:02033C00033D7F
+:02033D00FD566B
+:02033E0001B00C
+:02033F00001E9E
+:020340000110AA
+:02034100FFE1DA
+:02034200FE7249
+:0203430003793C
+:02034400F9FDC1
+:020345000A04A8
+:02034600ECBB0E
+:0203470078C379
+:0203480018DCBF
+:02034900F610AC
+:02034A0005B2FA
+:02034B00FC1B99
+:02034C00030BA1
+:02034D00FD6D44
+:02034E0001ADFF
+:02034F00001B91
+:02035000011892
+:02035100FFC7E4
+:02035200FE9C0F
+:02035300033D68
+:02035400FA5855
+:0203550009712C
+:02035600EDD3E5
+:0203570079ED3E
+:0203580016523B
+:02035900F70D9E
+:02035A00052478
+:02035B00FC7232
+:02035C0002D9C4
+:02035D00FD841D
+:02035E0001AAF2
+:02035F00001983
+:02036000012179
+:02036100FFADEE
+:02036200FEC7D4
+:0203630002FD99
+:02036400FAB8E5
+:0203650008D4BA
+:02036600EEFEA9
+:020367007AFE1C
+:0203680013D3AD
+:02036900F80B8F
+:02036A000494F9
+:02036B00FCC9CB
+:02036C0002A6E7
+:02036D00FD9CF5
+:02036E0001A7E5
+:02036F00001676
+:02037000012961
+:02037100FF92F9
+:02037200FEF497
+:0203730002BBCB
+:02037400FB1D6F
+:02037500082F4F
+:02037600F03E57
+:020377007BF613
+:02037800116012
+:02037900F90881
+:02037A00040479
+:02037B00FD2162
+:02037C0002720B
+:02037D00FDB5CC
+:02037E0001A3D9
+:02037F00001468
+:02038000013248
+:02038100FF7704
+:02038200FF2159
+:02038300027600
+:02038400FB86F6
+:020385000782ED
+:02038600F191F3
+:020387007CD424
+:020388000EF86D
+:02038900FA0573
+:02038A000373FB
+:02038B00FD7AF9
+:02038C00023E2F
+:02038D00FDCEA3
+:02038E00019ECE
+:02038F0000125A
+:02039000013A30
+:02039100FF5C0F
+:02039200FF501A
+:02039300022F37
+:02039400FBF379
+:0203950006CD93
+:02039600F2F77C
+:020397007D9750
+:020398000C9EB9
+:02039900FB0265
+:02039A0002E37C
+:02039B00FDD291
+:02039C00020954
+:02039D00FDE879
+:02039E000199C3
+:02039F0000104C
+:0203A000014218
+:0203A100FF401B
+:0203A200FF80DA
+:0203A30001E671
+:0203A400FC65F6
+:0203A50006113F
+:0203A600F471F0
+:0203A7007E4096
+:0203A8000A51F8
+:0203A900FBFC5B
+:0203AA000253FC
+:0203AB00FE2B27
+:0203AC0001D47A
+:0203AD00FE024E
+:0203AE000194B8
+:0203AF00000E3E
+:0203B000014BFF
+:0203B100FF2526
+:0203B200FFB199
+:0203B300019AAD
+:0203B400FCDB70
+:0203B500054DF4
+:0203B600F5FE52
+:0203B7007ECFF7
+:0203B800081229
+:0203B900FCF452
+:0203BA0001C47C
+:0203BB00FE83BF
+:0203BC00019EA0
+:0203BD00FE1D23
+:0203BE00018EAE
+:0203BF00000D2F
+:0203C0000153E7
+:0203C100FF0932
+:0203C200FFE357
+:0203C300014DEA
+:0203C400FD54E6
+:0203C5000482B0
+:0203C600F79EA0
+:0203C7007F4273
+:0203C80005E24C
+:0203C900FDE94C
+:0203CA000136FA
+:0203CB00FEDC56
+:0203CC000169C5
+:0203CD00FE38F8
+:0203CE000188A4
+:0203CF00000B21
+:0203D000015BCF
+:0203D100FEEC40
+:0203D200001613
+:0203D30000FD2B
+:0203D400FDD159
+:0203D50003B172
+:0203D600F951DB
+:0203D7007F9A0B
+:0203D80003C15F
+:0203D900FEDB49
+:0203DA0000A978
+:0203DB00FF33EE
+:0203DC000133EB
+:0203DD00FE54CC
+:0203DE0001829A
+:0203DF00000A12
+:0203E0000162B8
+:0203E100FED04C
+:0203E2000049D0
+:0203E30000AC6C
+:0203E400FE51C8
+:0203E50002D93B
+:0203E600FB1604
+:0203E7007FD7BE
+:0203E80001B062
+:0203E900FFCA49
+:0203EA00001EF3
+:0203EB00FF8A87
+:0203EC0000FE11
+:0203ED00FE70A0
+:0203EE00017B91
+:0203EF00000705
+:0203F000016AA0
+:0203F100FEB458
+:0203F200007D8C
+:0203F3000059AF
+:0203F400FED435
+:0203F50001FB0A
+:0203F600FCEC1D
+:0203F7007FF88D
+:0203F800FFAF55
+:0203F90000B44E
+:0203FA00FF956D
+:0203FB00FFE021
+:0203FC0000C936
+:0203FD00FE8C74
+:0203FE00017488
+:0203FF000019E3
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..936e6732478848a7fdb98056f3eef6116d5c859c
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_128pts.hex
@@ -0,0 +1,513 @@
+:020000003F8B34
+:02000100001AE3
+:020002000001FB
+:02000300000EED
+:020004003FF5C6
+:020005000010E9
+:020006003FDADF
+:02000700006E89
+:020008001FFBDC
+:020009003F5660
+:02000A00005B99
+:02000B003FC4F0
+:02000C000024CE
+:02000D003FF1C1
+:02000E000013DD
+:02000F000010DF
+:020010003FEAC5
+:020011000024C9
+:020012003FF1BC
+:020013000028C3
+:020014003FCAE1
+:02001500005594
+:020016003F6247
+:0200170001786E
+:020018001FDFE8
+:020019003E7037
+:02001A0000C81C
+:02001B003F8420
+:02001C00004C96
+:02001D003FD8CA
+:02001E000022BE
+:02001F000007D8
+:020020003FD5CA
+:02002100002DB0
+:020022003FE0BD
+:02002300004398
+:020024003F9EFD
+:02002500009C3D
+:020026003EE8B2
+:02002700029045
+:020028001FA710
+:020029003D9CFC
+:02002A000130A3
+:02002B003F464E
+:02002C0000735F
+:02002D003FC0D2
+:02002E0000319F
+:02002F003FFF91
+:020030003FD9B6
+:02003100003796
+:020032003FD0BD
+:02003300005E6D
+:020034003F7219
+:0200350000E3E6
+:020036003E6B1F
+:0200370003B70D
+:020038001F5651
+:020039003CDAAF
+:02003A00019132
+:02003B003F0D77
+:02003C0000972B
+:02003D003FAAD8
+:02003E00003E82
+:02003F003FF68A
+:020040003FD2AD
+:0200410000407D
+:020042003FBFBE
+:02004300007942
+:020044003F4635
+:02004500012A8E
+:020046003DEE8D
+:0200470004EAC9
+:020048001EEAAE
+:020049003C2B4E
+:02004A0001EBC8
+:02004B003ED79E
+:02004C0000B8FA
+:02004D003F96DC
+:02004E00004B65
+:02004F003FEF81
+:020050003FD19E
+:02005100004A63
+:020052003FAEBF
+:02005300009417
+:020054003F1B50
+:02005500017137
+:020056003D71FA
+:02005700062978
+:020058001E6523
+:020059003B8FDB
+:02005A00023C66
+:02005B003EA6BF
+:02005C0000D7CB
+:02005D003F83DF
+:02005E00005749
+:02005F003FE779
+:020060003FCC93
+:0200610000534A
+:020062003F9EBF
+:0200630000AEED
+:020064003EF06C
+:0200650001B6E2
+:020066003CF567
+:0200670007711F
+:020068001DC8B1
+:020069003B0753
+:02006A0002860C
+:02006B003E79DC
+:02006C0000F39F
+:02006D003F72E0
+:02006E0000622E
+:02006F003FE16F
+:020070003FCB84
+:02007100005B32
+:020072003F8FBE
+:0200730000C8C3
+:020074003EC785
+:0200750001F98F
+:020076003C7DCF
+:0200770008C1BE
+:020078001D1356
+:020079003A92B9
+:02007A0002C6BC
+:02007B003E52F3
+:02007C00010C75
+:02007D003F63DF
+:02007E00006B15
+:02007F003FDB65
+:020080003FC778
+:0200810000631A
+:020082003F80BD
+:0200830000E09B
+:020084003EA09C
+:0200850002393E
+:020086003C0834
+:020087000A1855
+:020088001C4812
+:020089003A310A
+:02008A0002FD75
+:02008B003E3005
+:02008C00012150
+:02008D003F56DC
+:02008E000073FD
+:02008F003FD55B
+:020090003FC56A
+:02009100006B02
+:020092003F72BB
+:0200930000F675
+:020094003E7BB1
+:020095000275F2
+:020096003B9895
+:020097000B74E8
+:020098001B67E4
+:0200990039E349
+:02009A00032B36
+:02009B003E1411
+:02009C0001332E
+:02009D003F4BD7
+:02009E00007BE5
+:02009F003FD050
+:0200A0003FC35C
+:0200A1000072EB
+:0200A2003F65B8
+:0200A300010B4F
+:0200A4003E59C3
+:0200A50002ADAA
+:0200A6003B2FEE
+:0200A7000CD378
+:0200A8001A73C9
+:0200A90039A874
+:0200AA00035001
+:0200AB003DFD19
+:0200AC0001420F
+:0200AD003F42D0
+:0200AE000080D0
+:0200AF003FCC44
+:0200B0003FC14E
+:0200B1000079D4
+:0200B2003F59B4
+:0200B300011E2C
+:0200B4003E3AD2
+:0200B50002E067
+:0200B6003ACD41
+:0200B7000E3207
+:0200B800196CC1
+:0200B90039808C
+:0200BA00036BD6
+:0200BB003DEC1A
+:0200BC00014DF4
+:0200BD003F3BC7
+:0200BE000085BB
+:0200BF003FC838
+:0200C0003FC03F
+:0200C100007EBF
+:0200C2003F4FAE
+:0200C300012F0B
+:0200C4003E1FDD
+:0200C500030D29
+:0200C6003A7589
+:0200C7000F9296
+:0200C8001854CA
+:0200C900396A92
+:0200CA00037DB4
+:0200CB003DE016
+:0200CC000154DD
+:0200CD003F37BB
+:0200CE000088A8
+:0200CF003FC52B
+:0200D0003FBF30
+:0200D1000083AA
+:0200D2003F46A7
+:0200D300013DED
+:0200D4003E08E4
+:0200D5000334F2
+:0200D6003A27C7
+:0200D70010EE29
+:0200D800172DE2
+:0200D900396587
+:0200DA0003859C
+:0200DB003DDA0C
+:0200DC000158C9
+:0200DD003F34AE
+:0200DE00008A96
+:0200DF003FC31D
+:0200E0003FBF20
+:0200E100008697
+:0200E2003F3F9E
+:0200E3000149D1
+:0200E4003DF5E8
+:0200E5000354C2
+:0200E60039E5FA
+:0200E7001246BF
+:0200E80015F908
+:0200E90039706C
+:0200EA0003858C
+:0200EB003DD9FD
+:0200EC000159B8
+:0200ED003F349E
+:0200EE00008B85
+:0200EF003FC10F
+:0200F0003FBF10
+:0200F100008984
+:0200F2003F3994
+:0200F3000151B9
+:0200F4003DE7E6
+:0200F500036D99
+:0200F60039AF20
+:0200F70013985C
+:0200F80014B83A
+:0200F900398B41
+:0200FA00037C85
+:0200FB003DDEE8
+:0200FC000156AB
+:0200FD003F368C
+:0200FE00008A76
+:0200FF003FC000
+:020100003FC0FE
+:02010100008A72
+:020102003F3686
+:020103000157A2
+:020104003DDDDF
+:02010500037D78
+:02010600398737
+:0201070014E101
+:02010800136E74
+:0201090039B506
+:02010A00036A86
+:02010B003DE8CD
+:02010C000150A0
+:02010D003F3A77
+:02010E00008966
+:02010F003FBFF0
+:020110003FC1ED
+:02011100008B61
+:020112003F3478
+:02011300015990
+:020114003DD9D3
+:02011500038560
+:02011600396E40
+:020117001620B0
+:02011800121BB8
+:0201190039ECBF
+:02011A0003518F
+:02011B003DF7AE
+:02011C00014799
+:02011D003F4061
+:02011E00008659
+:02011F003FBFE0
+:020120003FC3DB
+:02012100008A52
+:020122003F3567
+:02012300015881
+:020124003DDAC2
+:02012500038550
+:0201260039643A
+:0201270017536C
+:0201280010C302
+:020129003A306A
+:02012A000330A0
+:02012B003E0B89
+:02012C00013B95
+:02012D003F474A
+:02012E0000824D
+:02012F003FBFD0
+:020130003FC5C9
+:02013100008844
+:020132003F3755
+:02013300015475
+:020134003DE1AB
+:02013500037B4A
+:02013600396C22
+:02013700187836
+:020138000F6650
+:020139003A800A
+:02013A000308B8
+:02013B003E2262
+:02013C00012D93
+:02013D003F5031
+:02013E00007D42
+:02013F003FC0BF
+:020140003FC9B5
+:02014100008438
+:020142003F3C40
+:02014300014C6D
+:020144003DED8F
+:0201450003684D
+:020146003984FA
+:02014700198E0F
+:020148000E06A1
+:020149003AD9A1
+:02014A0002DAD7
+:02014B003E3E36
+:02014C00011C94
+:02014D003F5B16
+:02014E00007837
+:02014F003FC2AD
+:020150003FCCA2
+:0201510000802C
+:020152003F4329
+:02015300014069
+:020154003DFF6D
+:02015500034C59
+:0201560039AFBF
+:020157001A93F9
+:020158000CA7F2
+:020159003B3B2E
+:02015A0002A6FB
+:02015B003E5D07
+:02015C00010997
+:02015D003F66FB
+:02015E0000712E
+:02015F003FC39C
+:020160003FD18D
+:02016100007A22
+:020162003F4C10
+:02016300013168
+:020164003E1744
+:0201650003266F
+:0201660039EC72
+:020167001B85F6
+:020168000B4842
+:020169003BA6B3
+:02016A00026E23
+:02016B003E80D4
+:02016C0000F49D
+:02016D003F74DD
+:02016E00006A25
+:02016F003FC689
+:020170003FD678
+:0201710000721A
+:020172003F57F5
+:02017300011F6A
+:020174003E3417
+:0201750002F78F
+:020176003A3C11
+:020177001C6208
+:0201780009ED8F
+:020179003C1632
+:02017A00023150
+:02017B003EA59F
+:02017C0000DDA4
+:02017D003F82BF
+:02017E0000621D
+:02017F003FC877
+:020180003FDB63
+:02018100006A12
+:020182003F64D8
+:02018300010970
+:020184003E57E4
+:0201850002BEB8
+:020186003AA09D
+:020187001D2B2E
+:020188000897D6
+:020189003C8BAD
+:02018A0001F181
+:02018B003ECC68
+:02018C0000C4AD
+:02018D003F91A0
+:02018E00005A15
+:02018F003FCB64
+:020190003FE14D
+:0201910000600C
+:020192003F74B8
+:0201930000F07A
+:020194003E7FAC
+:02019500027DE9
+:020196003B1715
+:020197001DDD6C
+:02019800074717
+:020199003D0522
+:02019A0001ADB5
+:02019B003EF62E
+:02019C0000ABB6
+:02019D003FA081
+:02019E0000510E
+:02019F003FCD52
+:0201A0003FE836
+:0201A100005606
+:0201A2003F8597
+:0201A30000D387
+:0201A4003EAC6F
+:0201A500023323
+:0201A6003BA17B
+:0201A7001E77C1
+:0201A80006004F
+:0201A9003D8196
+:0201AA000168EA
+:0201AB003F20F3
+:0201AC000091C0
+:0201AD003FB061
+:0201AE00004807
+:0201AF003FD23D
+:0201B0003FF01E
+:0201B100004A02
+:0201B2003F9874
+:0201B30000B496
+:0201B4003EDD2E
+:0201B50001E067
+:0201B6003C3FCC
+:0201B7001EF92F
+:0201B80004C37E
+:0201B9003DFE09
+:0201BA00012121
+:0201BB003F4CB7
+:0201BC000076CB
+:0201BD003FC140
+:0201BE00003F00
+:0201BF003FD22D
+:0201C0003FF707
+:0201C100003DFF
+:0201C2003FAD4F
+:0201C3000092A8
+:0201C4003F14E6
+:0201C5000185B2
+:0201C6003CF10A
+:0201C7001F61B6
+:0201C8000391A1
+:0201C9003E7B7B
+:0201CA0000DA59
+:0201CB003F787B
+:0201CC00005BD6
+:0201CD003FD21F
+:0201CE000036F9
+:0201CF003FDA15
+:0201D00000002D
+:0201D100002FFD
+:0201D2003FC329
+:0201D300006EBC
+:0201D4003F4E9C
+:0201D500012403
+:0201D6003DB535
+:0201D7001FB057
+:0201D800026CB7
+:0201D9003EF7EF
+:0201DA00009390
+:0201DB003FA43F
+:0201DC000040E1
+:0201DD003FE2FF
+:0201DE00002CF3
+:0201DF003FD50A
+:0201E000000815
+:0201E1000020FC
+:0201E2003FDB01
+:0201E3000047D3
+:0201E4003F8C4E
+:0201E50000BB5D
+:0201E6003E8C4D
+:0201E7001FE413
+:0201E8000156BE
+:0201E9003F7164
+:0201EA00004CC7
+:0201EB003FD003
+:0201EC000025EC
+:0201ED003FF3DE
+:0201EE000023EC
+:0201EF003FEDE2
+:0201F0000011FC
+:0201F1000011FB
+:0201F2003FF4D8
+:0201F300001FEB
+:0201F4003FCDFD
+:0201F500004DBB
+:0201F6003F7454
+:0201F7001FFDEA
+:0201F800004EB7
+:0201F9003FE8DD
+:0201FA000007FC
+:0201FB003FFAC9
+:0201FC00000AF7
+:0201FD000003FD
+:0201FE000019E6
+:0201FF003F5F60
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..f74888dbd34752b160f8862b8ec57ca2c9779f80
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_16pts.hex
@@ -0,0 +1,17 @@
+:020000003ffcc3
+:02000100005f9e
+:020002003f3d80
+:02000300016a90
+:020004003d9825
+:0200050003da1c
+:0200060039bb04
+:020007000d20ca
+:020008001d7267
+:020009003cceeb
+:02000a000032c2
+:02000b0000c92a
+:02000c003ef2c2
+:02000d0000faf7
+:02000e003f3f72
+:02000f00008e61
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..1d093a0aa356085af8e9d00978ff1bb9d3775172
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_4_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018775
+:02000200FE619D
+:0200030000EC0F
+:02000400FFBA41
+:02000500FFC931
+:02000600005A9E
+:0200070000797E
+:020008007FEE89
+:02000900FC24D5
+:02000A00025E94
+:02000B00FE975E
+:02000C00007D75
+:02000D00007180
+:02000E00FEA34F
+:02000F00017678
+:02001000000EE0
+:0200110001A14B
+:02001200FDEF00
+:0200130001CA20
+:02001400FE5498
+:020015000203E4
+:02001600FC8E5E
+:0200170008F8E7
+:020018007E99CF
+:02001900F5519F
+:02001A0005AB34
+:02001B00FC9B4C
+:02001C0001C41D
+:02001D00FF9E44
+:02001E00FF18C9
+:02001F00015589
+:020020000015C9
+:0200210001B527
+:02002200FD835C
+:0200230002A435
+:02002400FCEAF4
+:02002500044B8A
+:02002600F89B45
+:02002700125D68
+:020028007B95C6
+:02002900EFAD39
+:02002A00088745
+:02002B00FADDFC
+:02002C0002EAE6
+:02002D00FEDAF9
+:02002E00FF8B46
+:02002F0001339B
+:02003000001FAF
+:0200310001C10B
+:02003200FD23AC
+:02003300037454
+:02003400FB8946
+:02003500068C37
+:02003600F4A331
+:020037001C802B
+:0200380076F759
+:02003900EB4496
+:02003A000ADEDC
+:02003B00F96862
+:02003C0003E5DA
+:02003D00FE2B98
+:02003E00FFF8C9
+:02003F00010FAF
+:02004000002C92
+:0200410001C5F7
+:02004200FCD2EE
+:02004300043186
+:02004400FA3F81
+:0200450008AD04
+:02004600F0CFF9
+:02004700272C64
+:0200480070DC6A
+:02004900E81AB3
+:02004A000CA305
+:02004B00F84774
+:02004C0004AFFF
+:02004D00FD951F
+:02004E00005C54
+:02004F0000EBC4
+:02005000003C72
+:0200510001BDEF
+:02005200FC951B
+:0200530004D2D5
+:02005400F91C95
+:020055000A9807
+:02005600ED4873
+:02005700322D48
+:020058006968D5
+:02005900E62897
+:02005A000DD0C7
+:02005B00F77F2D
+:02005C00054558
+:02005D00FD1B89
+:02005E0000B6EA
+:02005F0000C9D6
+:02006000004F4F
+:0200610001AAF2
+:02006200FC6E32
+:02006300055046
+:02006400F82B77
+:020065000C3657
+:02006600EA3876
+:020067003D4614
+:0200680060CB6B
+:02006900E56050
+:02006A000E6422
+:02006B00F71389
+:02006C0005A3EA
+:02006D00FCC0D5
+:02006E0001048B
+:02006F0000A9E6
+:02007000006529
+:02007100018A02
+:02007200FC622E
+:0200730005A5E1
+:02007400F77A19
+:020075000D710B
+:02007600E7C9D8
+:02007700483906
+:020078005737F8
+:02007900E5AEF2
+:02007A000E6313
+:02007B00F7008C
+:02007C0005CAB3
+:02007D00FC8302
+:02007E0001453A
+:02007F00008BF4
+:02008000007FFF
+:02008100015D1F
+:02008200FC730D
+:0200830005CAAC
+:02008400F7146F
+:020085000E3635
+:02008600E62072
+:0200870052C85D
+:020088004CE842
+:02008900E6F49B
+:02008A000DD691
+:02008B00F74438
+:02008C0005BBB2
+:02008D00FC660F
+:02008E000178F7
+:02008F000070FF
+:02009000009BD3
+:0200910001224A
+:02009200FCA1CF
+:0200930005BBAB
+:02009400F70073
+:020095000E75E6
+:02009600E56221
+:020097005CB556
+:0200980042180C
+:02009900E9116B
+:02009A000CCD8B
+:02009B00F7D597
+:02009C00057BE2
+:02009D00FC66FF
+:02009E00019EC1
+:02009F00005807
+:0200A00000BBA3
+:0200A10000DA83
+:0200A200FCEF71
+:0200A3000575E1
+:0200A400F7441F
+:0200A5000E2427
+:0200A600E5ADC6
+:0200A70065C52D
+:0200A800370817
+:0200A900EBE08A
+:0200AA000B58F1
+:0200AB00F8ABB0
+:0200AC00050E3F
+:0200AD00FC81D4
+:0200AE0001B699
+:0200AF0000440B
+:0200B00000DC72
+:0200B1000085C8
+:0200B200FD5CF3
+:0200B30004F750
+:0200B400F7E46F
+:0200B5000D3A02
+:0200B600E71A47
+:0200B7006DC119
+:0200B8002BF625
+:0200B900EF381E
+:0200BA00098CAF
+:0200BB00F9BA90
+:0200BC00047BC3
+:0200BD00FCB590
+:0200BE0001C37C
+:0200BF0000330C
+:0200C00000FF3F
+:0200C100002518
+:0200C200FDE659
+:0200C3000444F3
+:0200C400F8DF63
+:0200C5000BB777
+:0200C600E9BA95
+:0200C70074784B
+:0200C800211DF8
+:0200C900F2F053
+:0200CA00077FAE
+:0200CB00FAF544
+:0200CC0003CA65
+:0200CD00FCFE37
+:0200CE0001C46B
+:0200CF0000250A
+:0200D00001230A
+:0200D100FFBB73
+:0200D200FE8BA3
+:0200D300035DCB
+:0200D400FA3000
+:0200D500099E82
+:0200D600ED98A3
+:0200D70079C1ED
+:0200D80016B858
+:0200D900F6DC53
+:0200DA00054AD5
+:0200DB00FC4DDA
+:0200DC0003011E
+:0200DD00FD58CC
+:0200DE0001BB64
+:0200DF00001906
+:0200E0000146D7
+:0200E100FF4BD3
+:0200E200FF46D7
+:0200E3000249D0
+:0200E400FBD04F
+:0200E50006FA19
+:0200E600F2B373
+:0200E7007D7B1F
+:0200E8000CFA10
+:0200E900FAD645
+:0200EA0003020F
+:0200EB00FDB561
+:0200EC00022AE6
+:0200ED00FDBF55
+:0200EE0001AB64
+:0200EF000010FF
+:0200F0000168A5
+:0200F100FED639
+:0200F2000013F9
+:0200F3000110FA
+:0200F400FDB25B
+:0200F50003DC2A
+:0200F600F9040B
+:0200F7007F8EFA
+:0200F8000411F1
+:0200F900FEB84F
+:0200FA0000C044
+:0200FB00FF1FE5
+:0200FC00014DB4
+:0200FD00FE2ED5
+:0200FE0001936C
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..c6091f5af3450f8f763eca992f8ff65ffad1e52f
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000021DD
+:0200010001728A
+:02000200FE9668
+:0200030000B546
+:020004000000FA
+:02000500FF6298
+:02000600010AED
+:02000700FEF405
+:020008007FFE79
+:02000900FDA157
+:02000A0001A74C
+:02000B00FF06EE
+:02000C00003AB8
+:02000D00009160
+:02000E00FEA949
+:02000F00016D81
+:02001000000AE4
+:02001100017973
+:02001200FE7A74
+:0200130000EA01
+:02001400FFAA41
+:02001500FFEA00
+:020016000022C6
+:0200170000EEF9
+:020018007FE780
+:02001900FBC426
+:02001A0002875B
+:02001B00FE8263
+:02001C00008D55
+:02001D00005C85
+:02001E00FEC61C
+:02001F00016579
+:020020000009D5
+:02002100017F5D
+:02002200FE5E80
+:02002300011FBB
+:02002400FF5487
+:02002500007465
+:02002600FF35A4
+:0200270002F9DC
+:020028007FB4A3
+:02002900F9F8E4
+:02002A00036071
+:02002B00FE00D5
+:02002C0000DFF3
+:02002D000029A8
+:02002E00FEE2F0
+:02002F00015D71
+:02003000000BC3
+:02003100018646
+:02003200FE438B
+:02003300015575
+:02003400FEFDCF
+:020035000101C7
+:02003600FE4585
+:020037000514AE
+:020038007F66E1
+:02003900F83F8E
+:02003A0004348C
+:02003B00FD8244
+:02003C00012F92
+:02003D00FFF6CC
+:02003E00FEFEC4
+:02003F00015668
+:02004000000CB2
+:02004100018C30
+:02004200FE2797
+:02004300018A30
+:02004400FEA517
+:02004500018E2A
+:02004600FD506B
+:02004700073E72
+:020048007EFD3B
+:02004900F69827
+:02004A000502AD
+:02004B00FD08AE
+:02004C00017E33
+:02004D00FFC4EE
+:02004E00FF1A97
+:02004F00014E60
+:02005000000EA0
+:0200510001921A
+:02005200FE0CA2
+:0200530001C0EA
+:02005400FE4C60
+:02005500021D8A
+:02005600FC5953
+:02005700097826
+:020058007E79AF
+:02005900F504AC
+:02005A0005C8D7
+:02005B00FC9116
+:02005C0001CAD7
+:02005D00FF9210
+:02005E00FF366B
+:02005F00014658
+:02006000000F8F
+:02006100019705
+:02006200FDF2AD
+:0200630001F5A5
+:02006400FDF4A9
+:0200650002ADEA
+:02006600FB603D
+:020067000BBFCD
+:020068007DDA3F
+:02006900F3831F
+:02006A00068707
+:02006B00FC1E79
+:02006C0002147C
+:02006D00FF6230
+:02006E00FF523F
+:02006F00013D51
+:0200700000117D
+:02007100019CF0
+:02007200FDD8B7
+:02007300022A5F
+:02007400FD9BF2
+:02007500033D49
+:02007600FA642A
+:020077000E1564
+:020078007D20E9
+:02007900F2157E
+:02007A00073F3E
+:02007B00FBAFD9
+:02007C00025C24
+:02007D00FF334F
+:02007E00FF6D14
+:02007F00013549
+:0200800000136B
+:0200810001A1DB
+:02008200FDBEC1
+:02008300025E1B
+:02008400FD423B
+:0200850003CEA8
+:02008600F96718
+:020087001078EF
+:020088007C4CAE
+:02008900F0BBCA
+:02008A0007EF7E
+:02008B00FB4434
+:02008C0002A2CE
+:02008D00FF056D
+:02008E00FF88E9
+:02008F00012C42
+:02009000001559
+:0200910001A5C7
+:02009200FDA5CA
+:020093000293D6
+:02009400FCEA84
+:02009500045E07
+:02009600F86A06
+:0200970012E76E
+:020098007B5E8D
+:02009900EF7402
+:02009A000897C5
+:02009B00FADD8C
+:02009C0002E57B
+:02009D00FED88B
+:02009E00FFA3BE
+:02009F0001243A
+:0200A000001846
+:0200A10001A9B3
+:0200A200FD8DD2
+:0200A30002C693
+:0200A400FC93CB
+:0200A50004EE67
+:0200A600F76CF5
+:0200A7001561E1
+:0200A8007A5686
+:0200A900EE4126
+:0200AA00093714
+:0200AB00FA7CDD
+:0200AC0003252A
+:0200AD00FEACA7
+:0200AE00FFBE93
+:0200AF00011B33
+:0200B000001A34
+:0200B10001ACA0
+:0200B200FD75DA
+:0200B30002F950
+:0200B400FC3C12
+:0200B500057DC7
+:0200B600F66FE3
+:0200B70017E749
+:0200B800793697
+:0200B900ED2236
+:0200BA0009CE6D
+:0200BB00FA1F2A
+:0200BC000363DC
+:0200BD00FE82C1
+:0200BE00FFD869
+:0200BF0001132B
+:0200C000001D21
+:0200C10001AF8D
+:0200C200FD5FE0
+:0200C300032A0E
+:0200C400FBE659
+:0200C500060A29
+:0200C600F573D0
+:0200C7001A76A7
+:0200C80077FCC3
+:0200C900EC1732
+:0200CA000A5CCE
+:0200CB00F9C773
+:0200CC00039E91
+:0200CD00FE59DA
+:0200CE00FFF140
+:0200CF00010A24
+:0200D000001F0F
+:0200D10001B17B
+:0200D200FD49E6
+:0200D300035BCD
+:0200D400FB919E
+:0200D50006978C
+:0200D600F478BC
+:0200D7001D10FA
+:0200D80076AA06
+:0200D900EB1F1B
+:0200DA000AE139
+:0200DB00F974B6
+:0200DC0003D54A
+:0200DD00FE32F1
+:0200DE00000A16
+:0200DF0001021C
+:0200E0000022FC
+:0200E10001B369
+:0200E200FD34EB
+:0200E300038B8D
+:0200E400FB3EE1
+:0200E5000721F1
+:0200E600F380A5
+:0200E7001FB147
+:0200E800754061
+:0200E900EA3CEF
+:0200EA000B5EAB
+:0200EB00F926F4
+:0200EC00040A04
+:0200ED00FE0C07
+:0200EE000023ED
+:0200EF0000F916
+:0200F0000025E9
+:0200F10001B458
+:0200F200FD20EF
+:0200F30003B94F
+:0200F400FAED23
+:0200F50007A959
+:0200F600F28A8C
+:0200F700225B8A
+:0200F80073BFD4
+:0200F900E96DAF
+:0200FA000BD128
+:0200FB00F8DE2D
+:0200FC00043CC2
+:0200FD00FDE81C
+:0200FE00003BC5
+:0200FF0000F10E
+:020100000028D5
+:0201010001B546
+:02010200FD0DF1
+:0201030003E611
+:02010400FA9E61
+:02010500082EC2
+:02010600F1996D
+:02010700250BC6
+:0201080072275C
+:02010900E8B15B
+:02010A000C3AAD
+:02010B00F89B5F
+:02010C00046B82
+:02010D00FDC52E
+:02010E0000529D
+:02010F0000E806
+:02011000002CC1
+:0201110001B536
+:02011200FCFBF4
+:020113000411D5
+:02011400FA509F
+:0201150008B030
+:02011600F0AB4C
+:0201170027C1FE
+:02011800707AFB
+:02011900E809F3
+:02011A000C9A3D
+:02011B00F85D8D
+:02011C00049647
+:02011D00FDA43F
+:02011E00006976
+:02011F0000E0FE
+:02012000002FAE
+:0201210001B427
+:02012200FCEAF5
+:02012300043B9B
+:02012400FA05DA
+:02012500092FA0
+:02012600EFC325
+:020127002A7C30
+:020128006EB7B0
+:02012900E77479
+:02012A000CF1D6
+:02012B00F825B5
+:02012C0004BE0F
+:02012D00FD854E
+:02012E00007F50
+:02012F0000D7F7
+:0201300000339A
+:0201310001B318
+:02013200FCDAF5
+:02013300046363
+:02013400F9BD13
+:0201350009A916
+:02013600EEE0F9
+:020137002D3C5D
+:020138006CDF7A
+:02013900E6F3EB
+:02013A000D3E78
+:02013B00F7F3D8
+:02013C0004E3DA
+:02013D00FD685B
+:02013E0000952A
+:02013F0000CFEF
+:02014000003786
+:0201410001B10A
+:02014200FCCCF3
+:0201430004892D
+:02014400F97749
+:020145000A208E
+:02014600EE03C6
+:020147002FFE89
+:020148006AF358
+:02014900E68549
+:02014A000D8224
+:02014B00F7C6F5
+:02014C000505A7
+:02014D00FD4C67
+:02014E0000AA05
+:02014F0000C7E7
+:02015000003B72
+:0201510001AEFD
+:02015200FCBFF0
+:0201530004ADF9
+:02015400F9347C
+:020155000A930B
+:02015600ED2D8D
+:0201570032C3B1
+:0201580068F449
+:02015900E62A94
+:02015A000DBBDB
+:02015B00F79F0C
+:02015C00052379
+:02015D00FD3370
+:02015E0000BEE1
+:02015F0000BFDF
+:02016000003F5E
+:0201610001ABF0
+:02016200FCB4EB
+:0201630004CFC7
+:02016400F8F4AD
+:020165000B008D
+:02016600EC5F4C
+:02016700358AD7
+:0201680066E24D
+:02016900E5E1CE
+:02016A000DEC9A
+:02016B00F77D1E
+:02016C00053E4E
+:02016D00FD1B78
+:02016E0000D1BE
+:02016F0000B7D7
+:02017000004449
+:0201710001A7E4
+:02017200FCA9E6
+:0201730004EE98
+:02017400F8B8D9
+:020175000B6815
+:02017600EB9804
+:020177003851FD
+:0201780064BF62
+:02017900E5ABF4
+:02017A000E1362
+:02017B00F76229
+:02017C00055527
+:02017D00FD057E
+:02017E0000E49B
+:02017F0000AFCF
+:02018000004934
+:0201810001A2D9
+:02018200FCA1DE
+:02018300050C69
+:02018400F87F02
+:020185000BCBA2
+:02018600EADBB2
+:020187003B1724
+:02018800628B88
+:02018900E58708
+:02018A000E3035
+:02018B00F74B30
+:02018C00056903
+:02018D00FCF183
+:02018E0000F57A
+:02018F0000A8C6
+:02019000004D20
+:02019100019CCF
+:02019200FC9AD5
+:0201930005263F
+:02019400F84A27
+:020195000C2834
+:02019600EA2756
+:020197003DDB4E
+:020198006047BE
+:02019900E5740B
+:02019A000E4411
+:02019B00F73B30
+:02019C00057AE2
+:02019D00FCDE86
+:02019E00010658
+:02019F0000A0BE
+:0201A00000530A
+:0201A1000196C5
+:0201A200FC94CB
+:0201A300053F16
+:0201A400F81948
+:0201A5000C7FCD
+:0201A600E97DF1
+:0201A700409D79
+:0201A8005DF305
+:0201A900E572FD
+:0201AA000E4FF6
+:0201AB00F7302B
+:0201AC000587C5
+:0201AD00FCCE86
+:0201AE00011737
+:0201AF000099B5
+:0201B0000058F5
+:0201B100018FBC
+:0201B200FC90BF
+:0201B3000554F1
+:0201B400F7EC66
+:0201B5000CCF6D
+:0201B600E8DE81
+:0201B700435CA7
+:0201B8005B9159
+:0201B900E581DE
+:0201BA000E51E4
+:0201BB00F72A21
+:0201BC000591AB
+:0201BD00FCBF85
+:0201BE00012618
+:0201BF000092AC
+:0201C000005DE0
+:0201C1000187B4
+:0201C200FC8EB1
+:0201C3000567CE
+:0201C400F7C47E
+:0201C5000D1813
+:0201C600E84A05
+:0201C7004616DA
+:0201C8005922BA
+:0201C900E5A1AE
+:0201CA000E4ADB
+:0201CB00F72A11
+:0201CC00059894
+:0201CD00FCB381
+:0201CE000135F9
+:0201CF00008BA3
+:0201D0000063CA
+:0201D100017EAD
+:0201D200FC8DA2
+:0201D3000578AD
+:0201D400F79F93
+:0201D5000D5BC0
+:0201D600E7C37D
+:0201D70048CB13
+:0201D80056A728
+:0201D900E5D16E
+:0201DA000E3ADB
+:0201DB00F72FFC
+:0201DC00059B81
+:0201DD00FCA87C
+:0201DE000143DB
+:0201DF0000849A
+:0201E0000069B4
+:0201E1000175A6
+:0201E200FC8E91
+:0201E300058590
+:0201E400F780A2
+:0201E5000D9675
+:0201E600E747E9
+:0201E7004B7952
+:0201E800541FA2
+:0201E900E60F1F
+:0201EA000E22E3
+:0201EB00F739E2
+:0201EC00059B71
+:0201ED00FC9F75
+:0201EE000150BE
+:0201EF00007D91
+:0201F000006F9E
+:0201F100016BA0
+:0201F200FC917E
+:0201F300058F76
+:0201F400F765AD
+:0201F5000DC932
+:0201F600E6D948
+:0201F7004E2098
+:0201F800518E26
+:0201F900E65DC1
+:0201FA000E01F4
+:0201FB00F749C2
+:0201FC00059864
+:0201FD00FC986C
+:0201FE00015CA2
+:0201FF00007787
+:02020000007587
+:02020100015F9B
+:02020200FC9668
+:0202030005965E
+:02020400F74FB2
+:020205000DF5F5
+:02020600E67898
+:0202070050BEE7
+:020208004EF2B4
+:02020900E6B954
+:02020A000DD80D
+:02020B00F75D9D
+:02020C00059259
+:02020D00FC9360
+:02020E00016786
+:02020F0000717C
+:02021000007B71
+:02021100015397
+:02021200FC9D51
+:02021300059A4A
+:02021400F73EB3
+:020215000E18C1
+:02021600E626DA
+:0202170053533F
+:020218004C4E4A
+:02021900E723D9
+:02021A000DA72E
+:02021B00F77773
+:02021C00058853
+:02021D00FC8F54
+:02021E0001726B
+:02021F00006B72
+:0202200000825A
+:02022100014793
+:02022200FCA539
+:02022300059B39
+:02022400F732AF
+:020225000E3396
+:02022600E5E30E
+:0202270055DEA2
+:0202280049A2E9
+:02022900E79B51
+:02022A000D6E57
+:02022B00F79545
+:02022C00057C4F
+:02022D00FC8E45
+:02022E00017B52
+:02022F00006568
+:02023000008943
+:02023100013991
+:02023200FCAF1F
+:0202330005992B
+:02023400F72BA6
+:020235000E4673
+:02023600E5AE33
+:02023700585D10
+:0202380046EF8F
+:02023900E81FBC
+:02023A000D2E87
+:02023B00F7B812
+:02023C00056D4E
+:02023D00FC8E35
+:02023E00018439
+:02023F00005F5E
+:0202400000902C
+:02024100012B8F
+:02024200FCBB03
+:02024300059321
+:02024400F72998
+:020245000E5059
+:02024600E58A47
+:020247005AD08B
+:02024800443739
+:02024900E8AF1C
+:02024A000CE7BF
+:02024B00F7DFDB
+:02024C00055B50
+:02024D00FC8F24
+:02024E00018D20
+:02024F00005954
+:02025000009715
+:02025100011C8E
+:02025200FCC9E5
+:02025300058B19
+:02025400F72D84
+:020255000E5148
+:02025600E5754C
+:020257005D3612
+:020258004179EA
+:02025900E94A70
+:02025A000C98FE
+:02025B00F80B9E
+:02025C00054655
+:02025D00FC9310
+:02025E00019409
+:02025F00005449
+:02026000009EFE
+:02026100010C8E
+:02026200FCD9C5
+:02026300057E16
+:02026400F7376A
+:020265000E4940
+:02026600E5723F
+:020267005F8EA8
+:020268003EB89E
+:02026900E9F1B9
+:02026A000C4442
+:02026B00F83B5E
+:02026C00052E5D
+:02026D00FC98FB
+:02026E00019AF3
+:02026F00004F3E
+:0202700000A5E7
+:0202710000FB90
+:02027200FCEBA3
+:02027300056F15
+:02027400F7464B
+:020275000E3841
+:02027600E57F22
+:0202770061D74D
+:020278003BF455
+:02027900EAA2F7
+:02027A000BE98E
+:02027B00F86E1B
+:02027C00051467
+:02027D00FC9EE5
+:02027E0001A0DD
+:02027F00004A33
+:0202800000ADCF
+:0202810000E992
+:02028200FCFE80
+:02028300055C18
+:02028400F75A27
+:020285000E1D4C
+:02028600E59EF3
+:02028700641001
+:02028800392F0C
+:02028900EB5C2C
+:02028A000B88DF
+:02028B00F8A6D3
+:02028C0004F874
+:02028D00FCA7CC
+:02028E0001A5C8
+:02028F00004528
+:0202900000B5B7
+:0202910000D794
+:02029200FD1459
+:0202930005451F
+:02029400F774FD
+:020295000DF961
+:02029600E5CEB3
+:020297006639C6
+:020298003668C6
+:02029900EC2057
+:02029A000B2136
+:02029B00F8E188
+:02029C0004D983
+:02029D00FCB0B3
+:02029E0001AAB3
+:02029F0000411C
+:0202A00000BD9F
+:0202A10000C497
+:0202A200FD2B32
+:0202A300052C28
+:0202A400F794CD
+:0202A5000DCC7E
+:0202A600E6115F
+:0202A70068509D
+:0202A80033A180
+:0202A900ECEC7B
+:0202AA000AB593
+:0202AB00F92038
+:0202AC0004B894
+:0202AD00FCBB98
+:0202AE0001ADA0
+:0202AF00003C11
+:0202B00000C587
+:0202B10000B09B
+:0202B200FD4409
+:0202B300050F35
+:0202B400F7B998
+:0202B5000D95A5
+:0202B600E667F9
+:0202B7006A5586
+:0202B80030DC38
+:0202B900EDBF97
+:0202BA000A44F4
+:0202BB00F962E6
+:0202BC000494A8
+:0202BD00FCC87B
+:0202BE0001B08D
+:0202BF00003805
+:0202C00000CD6F
+:0202C100009BA0
+:0202C200FD5FDE
+:0202C30004EE47
+:0202C400F7E45D
+:0202C5000D54D6
+:0202C600E6CF81
+:0202C7006C4782
+:0202C8002E18EE
+:0202C900EE9AAB
+:0202CA0009CF5A
+:0202CB00F9A791
+:0202CC00046FBD
+:0202CD00FCD65D
+:0202CE0001B27B
+:0202CF000034F9
+:0202D00000D557
+:0202D1000086A5
+:0202D200FD7CB1
+:0202D30004CA5B
+:0202D400F8151B
+:0202D5000D0A10
+:0202D600E74AF5
+:0202D7006E2592
+:0202D8002B58A1
+:0202D900EF7BB9
+:0202DA000955C4
+:0202DB00F9EE3A
+:0202DC000447D5
+:0202DD00FCE53E
+:0202DE0001B469
+:0202DF000031EC
+:0202E00000DD3F
+:0202E1000070AB
+:0202E200FD9A83
+:0202E30004A372
+:0202E400F84BD5
+:0202E5000CB655
+:0202E600E7D857
+:0202E7006FEFB7
+:0202E800289B51
+:0202E900F062C1
+:0202EA0008D832
+:0202EB00FA38DF
+:0202EC00041EEE
+:0202ED00FCF51E
+:0202EE0001B558
+:0202EF00002DE0
+:0202F00000E626
+:0202F100005AB1
+:0202F200FDBB52
+:0202F30004798C
+:0202F400F88789
+:0202F5000C59A2
+:0202F600E87AA4
+:0202F70071A4F0
+:0202F80025E3FC
+:0202F900F14EC4
+:0202FA000857A3
+:0202FB00FA8582
+:0202FC0003F409
+:0202FD00FD07FB
+:0202FE0001B548
+:0202FF00002AD3
+:0203000000EE0D
+:020301000042B8
+:02030200FDDD1F
+:02030300044BA9
+:02030400F8C837
+:020305000BF3F8
+:02030600E930DC
+:0203070073423F
+:0203080023319F
+:02030900F23FC1
+:02030A0007D218
+:02030B00FAD422
+:02030C0003C725
+:02030D00FD19D8
+:02030E0001B537
+:02030F000026C6
+:0203100000F7F4
+:02031100002BBF
+:02031200FE00EB
+:02031300041ACA
+:02031400F90FDF
+:020315000B8358
+:02031600E9F903
+:0203170074CAA6
+:0203180020853E
+:02031900F333BC
+:02031A00074B8F
+:02031B00FB25C0
+:02031C00039943
+:02031D00FD2DB4
+:02031E0001B428
+:02031F000023B9
+:0203200000FFDC
+:020321000012C8
+:02032200FE26B5
+:0203230003E6EF
+:02032400F95B83
+:020325000B09C2
+:02032600EAD615
+:02032700763B23
+:020328001DE1D5
+:02032900F42AB4
+:02032A0006C209
+:02032B00FB775E
+:02032C00036A62
+:02032D00FD428F
+:02032E0001B21A
+:02032F000020AC
+:020330000108C2
+:02033100FFF9D2
+:02033200FE4D7E
+:0203330003AF16
+:02033400F9AC22
+:020335000A8735
+:02033600EBC713
+:020337007795B8
+:020338001B4563
+:02033900F524A9
+:02033A00063685
+:02033B00FBCBFA
+:02033C00033A82
+:02033D00FD5869
+:02033E0001B00C
+:02033F00001D9F
+:020340000110AA
+:02034100FFE0DB
+:02034200FE7546
+:02034300037540
+:02034400FA03BA
+:0203450009FBB2
+:02034600ECCCFD
+:0203470078D666
+:0203480018B3E8
+:02034900F6209C
+:02034A0005A903
+:02034B00FC2193
+:02034C000308A4
+:02034D00FD6E43
+:02034E0001ADFF
+:02034F00001B91
+:02035000011991
+:02035100FFC6E5
+:02035200FE9F0C
+:0203530003396C
+:02035400FA5E4F
+:02035500096736
+:02035600EDE5D3
+:0203570079FF2C
+:02035800162A63
+:02035900F71D8E
+:02035A00051B81
+:02035B00FC772D
+:02035C0002D6C7
+:02035D00FD851C
+:02035E0001AAF2
+:02035F00001884
+:02036000012179
+:02036100FFACEF
+:02036200FECAD1
+:0203630002F99D
+:02036400FABEDF
+:0203650008CAC4
+:02036600EF1294
+:020367007B0E0B
+:0203680013ACD4
+:02036900F81A80
+:02036A00048B02
+:02036B00FCCFC5
+:02036C0002A3EA
+:02036D00FD9EF3
+:02036E0001A6E6
+:02036F00001676
+:02037000012A60
+:02037100FF91FA
+:02037200FEF695
+:0203730002B7CF
+:02037400FB2369
+:02037500082559
+:02037600F05243
+:020377007C0503
+:02037800113939
+:02037900F91871
+:02037A0003FB83
+:02037B00FD275C
+:02037C00026F0E
+:02037D00FDB6CB
+:02037E0001A2DA
+:02037F00001468
+:02038000013248
+:02038100FF7605
+:02038200FF2456
+:02038300027204
+:02038400FB8DEF
+:020385000777F8
+:02038600F1A7DD
+:020387007CE117
+:020388000ED293
+:02038900FA1563
+:02038A00036A04
+:02038B00FD7FF4
+:02038C00023A33
+:02038D00FDD0A1
+:02038E00019ECE
+:02038F0000125A
+:02039000013B2F
+:02039100FF5A11
+:02039200FF5317
+:02039300022B3B
+:02039400FBFA72
+:0203950006C29E
+:02039600F30E64
+:020397007DA344
+:020398000C79DE
+:02039900FB1156
+:02039A0002DA85
+:02039B00FDD88B
+:02039C00020558
+:02039D00FDEA77
+:02039E000199C3
+:02039F0000104C
+:0203A000014317
+:0203A100FF3F1C
+:0203A200FF83D7
+:0203A30001E176
+:0203A400FC6CEF
+:0203A50006054B
+:0203A600F48AD7
+:0203A7007E4A8C
+:0203A8000A2C1D
+:0203A900FC0B4B
+:0203AA00024A05
+:0203AB00FE3022
+:0203AC0001D07E
+:0203AD00FE044C
+:0203AE000194B8
+:0203AF00000E3E
+:0203B000014BFF
+:0203B100FF2328
+:0203B200FFB496
+:0203B3000196B1
+:0203B400FCE269
+:0203B500054001
+:0203B600F61837
+:0203B7007ED7EF
+:0203B80007EF4D
+:0203B900FD0342
+:0203BA0001BB85
+:0203BB00FE89B9
+:0203BC00019BA3
+:0203BD00FE1F21
+:0203BE00018EAE
+:0203BF00000D2F
+:0203C0000153E7
+:0203C100FF0734
+:0203C200FFE654
+:0203C3000148EF
+:0203C400FD5CDE
+:0203C5000475BD
+:0203C600F7B985
+:0203C7007F486D
+:0203C80005BF6F
+:0203C900FDF93C
+:0203CA00012D03
+:0203CB00FEE151
+:0203CC000165C9
+:0203CD00FE3AF6
+:0203CE000188A4
+:0203CF00000B21
+:0203D000015BCF
+:0203D100FEEB41
+:0203D200001910
+:0203D30000F830
+:0203D400FDD951
+:0203D50003A380
+:0203D600F96DBF
+:0203D7007F9F06
+:0203D800039F81
+:0203D900FEEA3A
+:0203DA0000A081
+:0203DB00FF39E8
+:0203DC000130EE
+:0203DD00FE56CA
+:0203DE0001819B
+:0203DF00000A12
+:0203E0000163B7
+:0203E100FECE4E
+:0203E200004CCD
+:0203E30000A771
+:0203E400FE59C0
+:0203E50002CB49
+:0203E600FB32E8
+:0203E7007FDABB
+:0203E800018F83
+:0203E900FFD83B
+:0203EA000015FC
+:0203EB00FF8F82
+:0203EC0000FA15
+:0203ED00FE719F
+:0203EE00017B91
+:0203EF00000705
+:0203F000016AA0
+:0203F100FEB25A
+:0203F200008089
+:0203F3000054B4
+:0203F400FEDC2D
+:0203F50001ED18
+:0203F600FD0AFE
+:0203F7007FFA8B
+:0203F800FF9074
+:0203F90000C240
+:0203FA00FF8C76
+:0203FB00FFE51C
+:0203FC0000C53A
+:0203FD00FE8D73
+:0203FE00017488
+:0203FF00001AE2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..411182a9c19bea657893b416f65f6a816aa697c8
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_128pts.hex
@@ -0,0 +1,513 @@
+:020000003FAD12
+:02000100001CE1
+:020002003FFFBE
+:020003000011EA
+:020004003FF0CB
+:020005000018E1
+:020006003FCBEE
+:02000700008E69
+:020008001FF9DE
+:020009003F397D
+:02000A0000698B
+:02000B003FBCF8
+:02000C000029C9
+:02000D003FEDC5
+:02000E000015DB
+:02000F00000FE0
+:020010003FE6C9
+:020011000025C8
+:020012003FEFBE
+:02001300002BC0
+:020014003FC5E6
+:02001500005E8B
+:020016003F5356
+:02001700019A4C
+:020018001FD9EE
+:020019003E5552
+:02001A0000D60E
+:02001B003F7C28
+:02001C00005191
+:02001D003FD5CD
+:02001E000024BC
+:02001F000006D9
+:020020003FD6C9
+:02002100002FAE
+:020022003FDEBF
+:02002300004695
+:020024003F9902
+:0200250000A534
+:020026003ED8C2
+:0200270002B421
+:020028001F9F18
+:020029003D8216
+:02002A00013D96
+:02002B003F3F55
+:02002C0000775B
+:02002D003FBED4
+:02002E0000329E
+:02002F003FFE92
+:020030003FD8B7
+:02003100003895
+:020032003FCDC0
+:02003300006269
+:020034003F6D1E
+:0200350000ECDD
+:020036003E5C2E
+:0200370003DDE7
+:020038001F4A5D
+:020039003CC3C6
+:02003A00019D26
+:02003B003F067E
+:02003C00009B27
+:02003D003FA8DA
+:02003E00004080
+:02003F003FF58B
+:020040003FD2AD
+:0200410000417C
+:020042003FBDC0
+:02004300007D3E
+:020044003F413A
+:02004500013385
+:020046003DDE9D
+:020047000511A1
+:020048001EDBBD
+:020049003C1663
+:02004A0001F5BE
+:02004B003ED1A4
+:02004C0000BCF6
+:02004D003F93DF
+:02004E00004D63
+:02004F003FEE82
+:020050003FD19E
+:02005100004B62
+:020052003FACC1
+:02005300009714
+:020054003F1556
+:02005500017A2E
+:020056003D610A
+:02005700065150
+:020058001E5335
+:020059003B7DED
+:02005A0002465C
+:02005B003EA0C5
+:02005C0000DBC7
+:02005D003F81E1
+:02005E00005848
+:02005F003FE779
+:020060003FCC93
+:02006100005449
+:020062003F9CC1
+:0200630000B1EA
+:020064003EEB71
+:0200650001BEDA
+:020066003CE676
+:02006700079AF6
+:020068001DB3C6
+:020069003AF764
+:02006A00028E04
+:02006B003E74E1
+:02006C0000F69C
+:02006D003F70E2
+:02006E0000632D
+:02006F003FE070
+:020070003FCA85
+:02007100005C31
+:020072003F8DC0
+:0200730000CBC0
+:020074003EC28A
+:02007500020186
+:020076003C6EDE
+:0200770008EC93
+:020078001CFB6F
+:020079003A85C6
+:02007A0002CDB5
+:02007B003E4EF7
+:02007C00010F72
+:02007D003F61E1
+:02007E00006C14
+:02007F003FDA66
+:020080003FC778
+:02008100006419
+:020082003F7EBF
+:0200830000E398
+:020084003E9BA1
+:02008500024136
+:020086003BF944
+:020087000A432A
+:020088001C2D2D
+:020089003A2615
+:02008A0003046D
+:02008B003E2C09
+:02008C0001244D
+:02008D003F54DE
+:02008E000074FC
+:02008F003FD45C
+:020090003FC56A
+:02009100006C01
+:020092003F70BD
+:0200930000F972
+:020094003E77B5
+:02009500027CEB
+:020096003B8AA3
+:020097000BA0BC
+:020098001B4A01
+:0200990039DB51
+:02009A00033031
+:02009B003E1015
+:02009C0001352C
+:02009D003F49D9
+:02009E00007BE5
+:02009F003FD050
+:0200A0003FC35C
+:0200A1000073EA
+:0200A2003F63BA
+:0200A300010E4C
+:0200A4003E55C7
+:0200A50002B4A3
+:0200A6003B22FB
+:0200A7000CFF4C
+:0200A8001A53E9
+:0200A90039A27A
+:0200AA000354FD
+:0200AB003DFA1C
+:0200AC0001430E
+:0200AD003F41D1
+:0200AE000081CF
+:0200AF003FCB45
+:0200B0003FC14E
+:0200B1000079D4
+:0200B2003F58B5
+:0200B30001202A
+:0200B4003E37D5
+:0200B50002E661
+:0200B6003AC24C
+:0200B7000E5EDB
+:0200B800194AE3
+:0200B900397C90
+:0200BA00036ED3
+:0200BB003DEA1C
+:0200BC00014EF3
+:0200BD003F3BC7
+:0200BE000085BB
+:0200BF003FC838
+:0200C0003FC03F
+:0200C100007FBE
+:0200C2003F4EAF
+:0200C300013109
+:0200C4003E1CE0
+:0200C500031323
+:0200C6003A6B93
+:0200C7000FBD6B
+:0200C8001830EE
+:0200C900396894
+:0200CA00037EB3
+:0200CB003DDF17
+:0200CC000155DC
+:0200CD003F36BC
+:0200CE000088A8
+:0200CF003FC52B
+:0200D0003FBF30
+:0200D1000083AA
+:0200D2003F45A8
+:0200D300013FEB
+:0200D4003E05E7
+:0200D5000339ED
+:0200D6003A1ED0
+:0200D7001119FD
+:0200D800170708
+:0200D900396587
+:0200DA0003869B
+:0200DB003DDA0C
+:0200DC000159C8
+:0200DD003F34AE
+:0200DE00008A96
+:0200DF003FC21E
+:0200E0003FBF20
+:0200E100008796
+:0200E2003F3E9F
+:0200E300014AD0
+:0200E4003DF3EA
+:0200E5000358BE
+:0200E60039DD02
+:0200E700127194
+:0200E80015D130
+:0200E900397369
+:0200EA0003848D
+:0200EB003DDAFC
+:0200EC000159B8
+:0200ED003F349E
+:0200EE00008B85
+:0200EF003FC10F
+:0200F0003FBF10
+:0200F100008984
+:0200F2003F3994
+:0200F3000152B8
+:0200F4003DE5E8
+:0200F500036F97
+:0200F60039A926
+:0200F70013C133
+:0200F800149062
+:0200F90039903C
+:0200FA00037A87
+:0200FB003DDFE7
+:0200FC000156AB
+:0200FD003F368C
+:0200FE00008A76
+:0200FF003FC000
+:020100003FC0FE
+:02010100008A72
+:020102003F3587
+:020103000157A2
+:020104003DDDDF
+:02010500037F76
+:0201060039833B
+:020107001509D8
+:0201080013449E
+:0201090039BB00
+:02010A00036789
+:02010B003DEACB
+:02010C00014FA1
+:02010D003F3B76
+:02010E00008867
+:02010F003FBFF0
+:020110003FC1ED
+:02011100008B61
+:020112003F3478
+:02011300015990
+:020114003DD9D3
+:0201150003865F
+:02011600396C42
+:02011700164789
+:0201180011F1E3
+:0201190039F4B7
+:02011A00034D93
+:02011B003DF9AC
+:02011C0001469A
+:02011D003F4061
+:02011E0000855A
+:02011F003FBFE0
+:020120003FC3DB
+:02012100008A52
+:020122003F3567
+:02012300015881
+:020124003DDBC1
+:02012500038451
+:0201260039643A
+:02012700177847
+:0201280010972E
+:020129003A3A60
+:02012A00032BA5
+:02012B003E0D87
+:02012C00013A96
+:02012D003F4849
+:02012E0000824D
+:02012F003FBFD0
+:020130003FC6C8
+:02013100008745
+:020132003F3854
+:02013300015376
+:020134003DE2AA
+:0201350003794C
+:02013600396E20
+:02013700189C12
+:020138000F3A7C
+:020139003A8A00
+:02013A000303BD
+:02013B003E265E
+:02013C00012B95
+:02013D003F5130
+:02013E00007D42
+:02013F003FC0BF
+:020140003FC9B5
+:02014100008438
+:020142003F3D3F
+:02014300014A6F
+:020144003DEF8D
+:02014500036550
+:020146003988F6
+:0201470019B0ED
+:020148000DDACE
+:020149003AE595
+:02014A0002D4DD
+:02014B003E4232
+:02014C00011A96
+:02014D003F5C15
+:02014E00007738
+:02014F003FC2AD
+:020150003FCDA1
+:02015100007F2D
+:020152003F4428
+:02015300013E6B
+:020154003E0269
+:0201550003485D
+:0201560039B5B9
+:020157001AB2DA
+:020158000C7B1E
+:020159003B4821
+:02015A0002A001
+:02015B003E6202
+:02015C0001069A
+:02015D003F68F9
+:02015E0000702F
+:02015F003FC49B
+:020160003FD18D
+:02016100007923
+:020162003F4D0F
+:02016300012F6A
+:020164003E1A41
+:02016500032174
+:0201660039F569
+:020167001BA1DA
+:020168000B1D6D
+:020169003BB3A6
+:02016A0002662B
+:02016B003E84D0
+:02016C0000F1A0
+:02016D003F75DC
+:02016E00006926
+:02016F003FC689
+:020170003FD678
+:0201710000711B
+:020172003F59F3
+:02017300011C6D
+:020174003E3813
+:0201750002F096
+:020176003A4706
+:020177001C7DED
+:0201780009C2BA
+:020179003C2424
+:02017A00022958
+:02017B003EAA9A
+:02017C0000DAA7
+:02017D003F83BE
+:02017E0000611E
+:02017F003FC877
+:020180003FDC62
+:02018100006913
+:020182003F66D6
+:02018300010673
+:020184003E5BE0
+:0201850002B7BF
+:020186003AAD90
+:020187001D4217
+:02018800086C01
+:020189003C9A9E
+:02018A0001E88A
+:02018B003ED163
+:02018C0000C1B0
+:02018D003F939E
+:02018E00005916
+:02018F003FCB64
+:020190003FE24C
+:02019100005F0D
+:020192003F76B6
+:0201930000EC7E
+:020194003E84A7
+:020195000274F2
+:020196003B2705
+:020197001DF257
+:02019800071E40
+:020199003D1413
+:02019A0001A5BD
+:02019B003EFB29
+:02019C0000A8B9
+:02019D003FA27F
+:02019E0000500F
+:02019F003FCD52
+:0201A0003FE935
+:0201A100005408
+:0201A2003F8795
+:0201A30000D08A
+:0201A4003EB269
+:0201A50002292D
+:0201A6003BB468
+:0201A7001E89AF
+:0201A80005D878
+:0201A9003D9087
+:0201AA00015FF3
+:0201AB003F26ED
+:0201AC00008DC4
+:0201AD003FB25F
+:0201AE00004708
+:0201AF003FD23D
+:0201B0003FF01E
+:0201B100004804
+:0201B2003F9B71
+:0201B30000B09A
+:0201B4003EE427
+:0201B50001D572
+:0201B6003C55B6
+:0201B7001F0720
+:0201B800049CA5
+:0201B9003E0DF9
+:0201BA00011929
+:0201BB003F51B2
+:0201BC000073CE
+:0201BD003FC33E
+:0201BE00003E01
+:0201BF003FD32C
+:0201C0003FF806
+:0201C100003B01
+:0201C2003FB04C
+:0201C300008EAC
+:0201C4003F1BDF
+:0201C5000179BE
+:0201C6003D08F2
+:0201C7001F6DAA
+:0201C800036CC6
+:0201C9003E8B6B
+:0201CA0000D162
+:0201CB003F7D76
+:0201CC000057DA
+:0201CD003FD41D
+:0201CE000034FB
+:0201CF003FDA15
+:0201D00000012C
+:0201D100002DFF
+:0201D2003FC626
+:0201D3000069C1
+:0201D4003F5595
+:0201D500011710
+:0201D6003DCF1B
+:0201D7001FB84F
+:0201D8000249DA
+:0201D9003F07DE
+:0201DA00008A99
+:0201DB003FA93A
+:0201DC00003CE5
+:0201DD003FE4FD
+:0201DE00002BF4
+:0201DF003FD609
+:0201E000000914
+:0201E100001EFE
+:0201E2003FDEFE
+:0201E3000042D8
+:0201E4003F9446
+:0201E50000AE6A
+:0201E6003EA831
+:0201E7001FE80F
+:0201E8000134E0
+:0201E9003F8055
+:0201EA000044CF
+:0201EB003FD5FE
+:0201EC000021F0
+:0201ED003FF5DC
+:0201EE000021EE
+:0201EF003FEEE1
+:0201F0000012FB
+:0201F100000FFD
+:0201F2003FF7D5
+:0201F300001AF0
+:0201F4003FD5F5
+:0201F500003FC9
+:0201F6003F9335
+:0201F7001FFEE9
+:0201F800002ED7
+:0201F9003FF7CE
+:0201FA003FFFC5
+:0201FB00000002
+:0201FC000007FA
+:0201FD000005FB
+:0201FE000018E7
+:0201FF003F2A95
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..665ffe77ca869fcb7723d6639e66a3c1d4d730f5
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_16pts.hex
@@ -0,0 +1,17 @@
+:020000003feed1
+:02000100007885
+:020002003f1e9f
+:02000300018a70
+:020004003d8538
+:0200050003c432
+:020006003a447a
+:020007000ab13c
+:020008001e8c4c
+:020009003e3f78
+:02000a003f5065
+:02000b00015999
+:02000c003e981c
+:02000d00012ec2
+:02000e003f238e
+:02000f00009a55
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..b32bef0f316729cadfb73a37aa4195c7ff8a9f03
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_5_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018973
+:02000200FE5AA4
+:0200030000FA01
+:02000400FFA457
+:02000500FFEC0E
+:02000600001FD9
+:0200070000F9FE
+:020008007FE691
+:02000900FBAF4B
+:02000A0002965C
+:02000B00FE767F
+:02000C0000935F
+:02000D0000648D
+:02000E00FEAA48
+:02000F0001747A
+:02001000000EE0
+:0200110001A24A
+:02001200FDE807
+:0200130001D812
+:02001400FE3DAF
+:020015000227C0
+:02001600FC509C
+:02001700098856
+:020018007E75F3
+:02001900F4EE03
+:02001A0005DC03
+:02001B00FC7E69
+:02001C0001D809
+:02001D00FF9151
+:02001E00FF20C1
+:02001F0001538B
+:020020000016C8
+:0200210001B626
+:02002200FD7D62
+:0200230002B227
+:02002400FCD30B
+:02002500047065
+:02002600F85B85
+:0200270012FACB
+:020028007B5704
+:02002900EF5D89
+:02002A0008B01C
+:02002B00FAC316
+:02002C0002FBD5
+:02002D00FECF04
+:02002E00FF923F
+:02002F0001309E
+:020030000020AE
+:0200310001C20A
+:02003200FD1EB1
+:02003300038147
+:02003400FB735C
+:0200350006AF14
+:02003600F46470
+:020037001D2783
+:0200380076A1AF
+:02003900EB08D2
+:02003A000AFEBC
+:02003B00F95476
+:02003C0003F3CC
+:02003D00FE21A2
+:02003E00FFFEC3
+:02003F00010DB1
+:02004000002D91
+:0200410001C4F8
+:02004200FCCEF2
+:02004300043C7B
+:02004400FA2C94
+:0200450008CEE3
+:02004600F09434
+:0200470027DBB5
+:02004800706ED8
+:02004900E7F1DD
+:02004A000CBBED
+:02004B00F83883
+:02004C0004BAF4
+:02004D00FD8D27
+:02004E0000624E
+:02004F0000E9C6
+:02005000003D71
+:0200510001BCF0
+:02005200FC921E
+:0200530004DBCC
+:02005400F90BA6
+:020055000AB4EB
+:02005600ED13A8
+:0200570032DE97
+:0200580068E757
+:02005900E613AC
+:02005A000DDEB9
+:02005B00F77636
+:02005C00054C51
+:02005D00FD158F
+:02005E0000BBE5
+:02005F0000C7D8
+:0200600000504E
+:0200610001A9F3
+:02006200FC6D33
+:0200630005573F
+:02006400F81E84
+:020065000C4D40
+:02006600EA0CA2
+:020067003DF763
+:020068006038FE
+:02006900E55D53
+:02006A000E681E
+:02006B00F70F8D
+:02006C0005A7E6
+:02006D00FCBBDA
+:02006E00010887
+:02006F0000A7E8
+:02007000006727
+:02007100018804
+:02007200FC622E
+:0200730005A9DD
+:02007400F77122
+:020075000D81FB
+:02007600E7A8F9
+:0200770048E659
+:02007800569799
+:02007900E5BBE5
+:02007A000E5E18
+:02007B00F7028A
+:02007C0005CAB3
+:02007D00FC8104
+:02007E00014837
+:02007F000089F6
+:020080000081FD
+:02008100015A22
+:02008200FC750B
+:0200830005CAAC
+:02008400F71073
+:020085000E3E2D
+:02008600E60D85
+:02008700536CB8
+:020088004C3EEC
+:02008900E7107E
+:02008A000DC99E
+:02008B00F74A32
+:02008C0005B9B4
+:02008D00FC6510
+:02008E00017BF4
+:02008F00006E01
+:02009000009DD1
+:02009100011E4E
+:02009200FCA5CB
+:0200930005B8AE
+:02009400F70172
+:020095000E75E6
+:02009600E55E25
+:020097005D4DBD
+:020098004169BC
+:02009900E93A42
+:02009A000CB99F
+:02009B00F7E18B
+:02009C000575E8
+:02009D00FC67FE
+:02009E0001A0BF
+:02009F00005708
+:0200A00000BDA1
+:0200A10000D588
+:0200A200FCF56B
+:0200A300056EE8
+:0200A400F74C17
+:0200A5000E1A31
+:0200A600E5BBB8
+:0200A700664EA3
+:0200A8003657C9
+:0200A900EC1257
+:0200AA000B3D0C
+:0200AB00F8BBA0
+:0200AC00050647
+:0200AD00FC84D1
+:0200AE0001B897
+:0200AF0000430C
+:0200B00000DE70
+:0200B100007FCE
+:0200B200FD64EB
+:0200B30004EE59
+:0200B400F7F162
+:0200B5000D2616
+:0200B600E73B26
+:0200B7006E37A2
+:0200B8002B46D5
+:0200B900EF71E5
+:0200BA00096DCE
+:0200BB00F9CD7D
+:0200BC000471CD
+:0200BD00FCB98C
+:0200BE0001C37C
+:0200BF0000320D
+:0200C00001023B
+:0200C100001F1E
+:0200C200FDF04F
+:0200C300043700
+:0200C400F8F250
+:0200C5000B9995
+:0200C600E9EF60
+:0200C70074D8EB
+:0200C8002073A3
+:0200C900F32D15
+:0200CA00075DD0
+:0200CB00FB0A2E
+:0200CC0003BE71
+:0200CD00FD0331
+:0200CE0001C46B
+:0200CF0000240B
+:0200D000012508
+:0200D100FFB579
+:0200D200FE9698
+:0200D300034DDB
+:0200D400FA48E8
+:0200D5000978A8
+:0200D600EDE05B
+:0200D7007A09A4
+:0200D8001617F9
+:0200D900F71C12
+:0200DA000525FA
+:0200DB00FC63C4
+:0200DC0002F42C
+:0200DD00FD5EC6
+:0200DE0001BB64
+:0200DF00001906
+:0200E0000149D4
+:0200E100FF44DA
+:0200E200FF53CA
+:0200E3000237E2
+:0200E400FBEC33
+:0200E50006CC47
+:0200E600F30F16
+:0200E7007DA9F1
+:0200E8000C65A5
+:0200E900FB1604
+:0200EA0002DE34
+:0200EB00FDCC4A
+:0200EC00021DF3
+:0200ED00FDC54F
+:0200EE0001A966
+:0200EF000010FF
+:0200F000016AA3
+:0200F100FECF40
+:0200F2000021EB
+:0200F30000FB10
+:0200F400FDD33A
+:0200F50003A75F
+:0200F600F9739C
+:0200F7007FA1E7
+:0200F800038B78
+:0200F900FEF413
+:0200FA00009C68
+:0200FB00FF35CF
+:0200FC00013FC2
+:0200FD00FE36CD
+:0200FE0001916E
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..dc918d06541fd663687603f3d33b010a341435c8
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000020DE
+:0200010001728A
+:02000200FE946A
+:0200030000B843
+:02000400FFFB00
+:02000500FF6A90
+:0200060000FCFC
+:02000700FF13E5
+:020008007FFD7A
+:02000900FD8375
+:02000A0001B53E
+:02000B00FEFDF8
+:02000C00003FB3
+:02000D00008D64
+:02000E00FEAB47
+:02000F00016C82
+:020010000009E5
+:02001100017973
+:02001200FE7876
+:0200130000EDFE
+:02001400FFA546
+:02001500FFF3F7
+:020016000013D5
+:02001700010ED8
+:020018007FE483
+:02001900FBA743
+:02001A0002944E
+:02001B00FE796C
+:02001C00009250
+:02001D00005988
+:02001E00FEC71B
+:02001F00016579
+:020020000009D5
+:0200210001805C
+:02002200FE5D81
+:020023000122B8
+:02002400FF4E8D
+:02002500007D5C
+:02002600FF26B3
+:02002700031ABA
+:020028007FB0A7
+:02002900F9DC00
+:02002A00036E63
+:02002B00FDF8DE
+:02002C0000E4EE
+:02002D000026AB
+:02002E00FEE4EE
+:02002F00015D71
+:02003000000BC3
+:02003100018646
+:02003200FE418D
+:02003300015872
+:02003400FEF7D5
+:020035000109BF
+:02003600FE3595
+:0200370005368C
+:020038007F60E7
+:02003900F824A9
+:02003A0004417F
+:02003B00FD7B4B
+:02003C0001348D
+:02003D00FFF3CF
+:02003E00FF00C1
+:02003F00015569
+:02004000000CB2
+:02004100018C30
+:02004200FE2698
+:02004300018D2D
+:02004400FE9F1D
+:02004500019721
+:02004600FD417A
+:0200470007614F
+:020048007EF642
+:02004900F67E41
+:02004A00050EA1
+:02004B00FD00B6
+:02004C0001822F
+:02004D00FFC1F1
+:02004E00FF1C95
+:02004F00014D61
+:02005000000EA0
+:0200510001921A
+:02005200FE0BA3
+:0200530001C3E7
+:02005400FE4765
+:02005500022681
+:02005600FC4A62
+:02005700099C02
+:020058007E70B8
+:02005900F4EBC6
+:02005A0005D4CB
+:02005B00FC891E
+:02005C0001CFD2
+:02005D00FF8F13
+:02005E00FF3869
+:02005F00014559
+:0200600000108E
+:02006100019804
+:02006200FDF0AF
+:0200630001F8A2
+:02006400FDEEAF
+:0200650002B6E1
+:02006600FB504D
+:020067000BE4A8
+:020068007DCF4A
+:02006900F36B37
+:02006A000693FB
+:02006B00FC1780
+:02006C00021977
+:02006D00FF5F33
+:02006E00FF533E
+:02006F00013D51
+:0200700000117D
+:02007100019DEF
+:02007200FDD6B9
+:02007300022D5C
+:02007400FD95F8
+:02007500034640
+:02007600FA543A
+:020077000E3B3E
+:020078007D14F5
+:02007900F1FF95
+:02007A00074B32
+:02007B00FBA8E0
+:02007C00026020
+:02007D00FF3052
+:02007E00FF6F12
+:02007F0001344A
+:0200800000136B
+:0200810001A1DB
+:02008200FDBDC2
+:02008300026217
+:02008400FD3D40
+:0200850003D79F
+:02008600F95728
+:02008700109EC9
+:020088007C3EBC
+:02008900F0A6DF
+:02008A0007FA73
+:02008B00FB3D3B
+:02008C0002A6CA
+:02008D00FF0270
+:02008E00FF8AE7
+:02008F00012C42
+:02009000001658
+:0200910001A5C7
+:02009200FDA4CB
+:020093000296D3
+:02009400FCE589
+:020095000467FE
+:02009600F85A16
+:02009700130E46
+:020098007B4E9D
+:02009900EF6016
+:02009A0008A1BB
+:02009B00FAD792
+:02009C0002E977
+:02009D00FED58E
+:02009E00FFA5BC
+:02009F0001233B
+:0200A000001846
+:0200A10001A9B3
+:0200A200FD8BD4
+:0200A30002C990
+:0200A400FC8DD1
+:0200A50004F75E
+:0200A600F75C05
+:0200A7001589B9
+:0200A8007A4597
+:0200A900EE2E39
+:0200AA0009410A
+:0200AB00FA76E3
+:0200AC00032926
+:0200AD00FEA9AA
+:0200AE00FFBF92
+:0200AF00011B33
+:0200B000001A34
+:0200B10001AD9F
+:0200B200FD74DB
+:0200B30002FC4D
+:0200B400FC3618
+:0200B5000586BE
+:0200B600F65FF3
+:0200B700180F20
+:0200B8007923AA
+:0200B900ED1147
+:0200BA0009D764
+:0200BB00FA1930
+:0200BC000367D8
+:0200BD00FE7FC4
+:0200BE00FFD968
+:0200BF0001122C
+:0200C000001D21
+:0200C10001AF8D
+:0200C200FD5DE2
+:0200C300032D0B
+:0200C400FBE15E
+:0200C500061320
+:0200C600F563E0
+:0200C7001AA07D
+:0200C80077E8D7
+:0200C900EC0742
+:0200CA000A65C5
+:0200CB00F9C179
+:0200CC0003A18E
+:0200CD00FE57DC
+:0200CE00FFF33E
+:0200CF00010A24
+:0200D00000200E
+:0200D10001B27A
+:0200D200FD47E8
+:0200D300035ECA
+:0200D400FB8CA3
+:0200D500069F84
+:0200D600F468CC
+:0200D7001D39D1
+:0200D80076941C
+:0200D900EB1129
+:0200DA000AE931
+:0200DB00F96FBB
+:0200DC0003D946
+:0200DD00FE2FF4
+:0200DE00000C14
+:0200DF0001011D
+:0200E0000022FC
+:0200E10001B369
+:0200E200FD32ED
+:0200E300038E8A
+:0200E400FB39E6
+:0200E5000729E9
+:0200E600F370B5
+:0200E7001FDC1C
+:0200E800752978
+:0200E900EA2FFC
+:0200EA000B65A4
+:0200EB00F922F8
+:0200EC00040E00
+:0200ED00FE0A09
+:0200EE000025EB
+:0200EF0000F916
+:0200F0000025E9
+:0200F10001B458
+:0200F200FD1EF1
+:0200F30003BC4C
+:0200F400FAE828
+:0200F50007B151
+:0200F600F27B9B
+:0200F700228560
+:0200F80073A6ED
+:0200F900E960BC
+:0200FA000BD722
+:0200FB00F8DA31
+:0200FC00043FBF
+:0200FD00FDE61E
+:0200FE00003DC3
+:0200FF0000F00F
+:020100000029D4
+:0201010001B546
+:02010200FD0BF3
+:0201030003E90E
+:02010400FA9966
+:020105000836BA
+:02010600F18A7C
+:0201070025369B
+:02010800720D76
+:02010900E8A666
+:02010A000C40A7
+:02010B00F89763
+:02010C00046E7F
+:02010D00FDC330
+:02010E0000549B
+:02010F0000E806
+:02011000002CC1
+:0201110001B536
+:02011200FCFAF5
+:020113000414D2
+:02011400FA4BA4
+:0201150008B828
+:02011600F09D5A
+:0201170027EDD2
+:02011800705E17
+:02011900E7FFFE
+:02011A000CA037
+:02011B00F85A90
+:02011C00049944
+:02011D00FDA241
+:02011E00006B74
+:02011F0000DFFF
+:020120000030AD
+:0201210001B427
+:02012200FCE9F6
+:02012300043D99
+:02012400FA01DE
+:02012500093699
+:02012600EFB434
+:020127002AA804
+:020128006E9ACD
+:02012900E76C81
+:02012A000CF6D1
+:02012B00F822B8
+:02012C0004C10C
+:02012D00FD8350
+:02012E0000814E
+:02012F0000D7F7
+:0201300000339A
+:0201310001B318
+:02013200FCD9F6
+:02013300046561
+:02013400F9B818
+:0201350009B10E
+:02013600EED207
+:020137002D6831
+:020138006CC198
+:02013900E6ECF2
+:02013A000D4373
+:02013B00F7F0DB
+:02013C0004E5D8
+:02013D00FD665D
+:02013E00009629
+:02013F0000CFEF
+:02014000003786
+:0201410001B10A
+:02014200FCCBF4
+:02014300048B2B
+:02014400F9734D
+:020145000A2787
+:02014600EDF5D5
+:02014700302B5B
+:020148006AD477
+:02014900E67F4F
+:02014A000D8521
+:02014B00F7C4F7
+:02014C000507A5
+:02014D00FD4B68
+:02014E0000AB04
+:02014F0000C7E7
+:02015000003B72
+:0201510001AEFD
+:02015200FCBEF1
+:0201530004AFF7
+:02015400F93080
+:020155000A9A04
+:02015600ED209A
+:0201570032F084
+:0201580068D36A
+:02015900E62599
+:02015A000DBFD7
+:02015B00F79D0E
+:02015C00052577
+:02015D00FD3172
+:02015E0000BFE0
+:02015F0000BFDF
+:0201600000405D
+:0201610001ABF0
+:02016200FCB3EC
+:0201630004D1C5
+:02016400F8F1B0
+:020165000B0786
+:02016600EC5259
+:0201670035B6AB
+:0201680066C16E
+:02016900E5DDD2
+:02016A000DEF97
+:02016B00F77C1F
+:02016C00053F4D
+:02016D00FD197A
+:02016E0000D2BD
+:02016F0000B7D7
+:02017000004449
+:0201710001A7E4
+:02017200FCA9E6
+:0201730004F096
+:02017400F8B4DD
+:020175000B6F0E
+:02017600EB8C10
+:02017700387DD1
+:02017800649C85
+:02017900E5A8F7
+:02017A000E1560
+:02017B00F7602B
+:02017C00055725
+:02017D00FD0380
+:02017E0000E59A
+:02017F0000AFCF
+:02018000004934
+:0201810001A2D9
+:02018200FCA0DF
+:02018300050D68
+:02018400F87C05
+:020185000BD19C
+:02018600EAD0BD
+:020187003B43F8
+:020188006267AC
+:02018900E5850A
+:02018A000E3233
+:02018B00F74A31
+:02018C00056A02
+:02018D00FCEF85
+:02018E0000F778
+:02018F0000A7C7
+:02019000004E1F
+:02019100019CCF
+:02019200FC99D6
+:0201930005283D
+:02019400F8472A
+:020195000C2E2E
+:02019600EA1C61
+:020197003E0820
+:020198006022E3
+:02019900E5730C
+:02019A000E4510
+:02019B00F73A31
+:02019C00057BE1
+:02019D00FCDD87
+:02019E00010856
+:02019F0000A0BE
+:0201A00000530A
+:0201A1000196C5
+:0201A200FC94CB
+:0201A300054015
+:0201A400F8164B
+:0201A5000C84C8
+:0201A600E973FB
+:0201A70040C94D
+:0201A8005DCD2B
+:0201A900E573FC
+:0201AA000E50F5
+:0201AB00F72F2C
+:0201AC000588C4
+:0201AD00FCCD87
+:0201AE00011836
+:0201AF000099B5
+:0201B0000058F5
+:0201B100018EBD
+:0201B200FC90BF
+:0201B3000556EF
+:0201B400F7EA68
+:0201B5000CD468
+:0201B600E8D58A
+:0201B70043887B
+:0201B8005B6B7F
+:0201B900E583DC
+:0201BA000E51E4
+:0201BB00F72A21
+:0201BC000591AB
+:0201BD00FCBF85
+:0201BE00012717
+:0201BF000091AD
+:0201C000005EDF
+:0201C1000187B4
+:0201C200FC8EB1
+:0201C3000568CD
+:0201C400F7C181
+:0201C5000D1D0E
+:0201C600E8420D
+:0201C7004642AE
+:0201C80058FBE2
+:0201C900E5A4AB
+:0201CA000E49DC
+:0201CB00F72A11
+:0201CC00059894
+:0201CD00FCB282
+:0201CE000136F8
+:0201CF00008AA4
+:0201D0000063CA
+:0201D100017EAD
+:0201D200FC8DA2
+:0201D3000578AD
+:0201D400F79D95
+:0201D5000D5FBC
+:0201D600E7BA86
+:0201D70048F6E8
+:0201D800567E51
+:0201D900E5D46B
+:0201DA000E39DC
+:0201DB00F72FFC
+:0201DC00059B81
+:0201DD00FCA77D
+:0201DE000143DB
+:0201DF0000849A
+:0201E0000069B4
+:0201E1000174A7
+:0201E200FC8F90
+:0201E300058590
+:0201E400F77EA4
+:0201E5000D9972
+:0201E600E740F0
+:0201E7004BA427
+:0201E80053F7CB
+:0201E900E6141A
+:0201EA000E20E5
+:0201EB00F73AE1
+:0201EC00059B71
+:0201ED00FC9F75
+:0201EE000150BE
+:0201EF00007D91
+:0201F000006F9E
+:0201F100016AA1
+:0201F200FC927D
+:0201F300059075
+:0201F400F763AF
+:0201F5000DCC2F
+:0201F600E6D34E
+:0201F7004E4A6E
+:0201F800516450
+:0201F900E662BC
+:0201FA000DFFF7
+:0201FB00F74AC1
+:0201FC00059864
+:0201FD00FC986C
+:0201FE00015DA1
+:0201FF00007787
+:02020000007587
+:02020100015F9B
+:02020200FC9668
+:0202030005975D
+:02020400F74DB4
+:020205000DF7F3
+:02020600E6739D
+:0202070050E8BD
+:020208004EC8DE
+:02020900E6C04D
+:02020A000DD510
+:02020B00F75F9B
+:02020C0005915A
+:02020D00FC9261
+:02020E00016885
+:02020F0000707D
+:02021000007C70
+:02021100015397
+:02021200FC9D51
+:02021300059A4A
+:02021400F73DB4
+:020215000E1ABF
+:02021600E621DF
+:02021700537C16
+:020218004C2375
+:02021900E72AD2
+:02021A000DA332
+:02021B00F77872
+:02021C00058853
+:02021D00FC8F54
+:02021E0001726B
+:02021F00006A73
+:0202200000825A
+:02022100014694
+:02022200FCA638
+:02022300059B39
+:02022400F731B0
+:020225000E3594
+:02022600E5DF12
+:02022700560679
+:02022800497714
+:02022900E7A24A
+:02022A000D6A5B
+:02022B00F79743
+:02022C00057B50
+:02022D00FC8D46
+:02022E00017C51
+:02022F00006469
+:02023000008943
+:02023100013892
+:02023200FCB01E
+:0202330005992B
+:02023400F72BA6
+:020235000E4772
+:02023600E5AB36
+:020237005884E9
+:0202380046C4BA
+:02023900E827B4
+:02023A000D2A8B
+:02023B00F7BA10
+:02023C00056C4F
+:02023D00FC8E35
+:02023E00018538
+:02023F00005F5E
+:0202400000902C
+:02024100012A90
+:02024200FCBC02
+:02024300059321
+:02024400F72998
+:020245000E5059
+:02024600E58849
+:020247005AF764
+:02024800440B65
+:02024900E8B813
+:02024A000CE2C4
+:02024B00F7E2D8
+:02024C00055952
+:02024D00FC8F24
+:02024E00018D20
+:02024F00005954
+:02025000009715
+:02025100011B8F
+:02025200FCCAE4
+:02025300058A1A
+:02025400F72E83
+:020255000E5148
+:02025600E5754C
+:020257005D5CEC
+:02025800414D16
+:02025900E95466
+:02025A000C9303
+:02025B00F80E9B
+:02025C00054457
+:02025D00FC9310
+:02025E00019409
+:02025F00005449
+:02026000009FFD
+:02026100010B8F
+:02026200FCDAC4
+:02026300057D17
+:02026400F7376A
+:020265000E4841
+:02026600E5723F
+:020267005FB383
+:020268003E8CCA
+:02026900E9FCAE
+:02026A000C3E48
+:02026B00F83E5B
+:02026C00052D5E
+:02026D00FC98FB
+:02026E00019BF2
+:02026F00004F3E
+:0202700000A6E6
+:0202710000FA91
+:02027200FCECA2
+:02027300056E16
+:02027400F7474A
+:020275000E3643
+:02027600E58021
+:0202770061FB29
+:020278003BC881
+:02027900EAADEC
+:02027A000BE394
+:02027B00F87217
+:02027C00051368
+:02027D00FC9FE4
+:02027E0001A1DC
+:02027F00004A33
+:0202800000AECE
+:0202810000E893
+:02028200FCFF7F
+:02028300055A1A
+:02028400F75C25
+:020285000E1B4E
+:02028600E5A0F1
+:020287006433DE
+:02028800390239
+:02028900EB6820
+:02028A000B81E6
+:02028B00F8AACF
+:02028C0004F676
+:02028D00FCA7CC
+:02028E0001A6C7
+:02028F00004528
+:0202900000B5B7
+:0202910000D695
+:02029200FD1558
+:02029300054420
+:02029400F776FB
+:020295000DF763
+:02029600E5D2AF
+:02029700665BA4
+:02029800363BF3
+:02029900EC2C4B
+:02029A000B1B3C
+:02029B00F8E584
+:02029C0004D785
+:02029D00FCB1B2
+:02029E0001AAB3
+:02029F0000411C
+:0202A00000BD9F
+:0202A10000C398
+:0202A200FD2C31
+:0202A300052A2A
+:0202A400F796CB
+:0202A5000DC882
+:0202A600E6165A
+:0202A70068717C
+:0202A8003375AC
+:0202A900ECF96E
+:0202AA000AAE9A
+:0202AB00F92434
+:0202AC0004B597
+:0202AD00FCBC97
+:0202AE0001ADA0
+:0202AF00003C11
+:0202B00000C587
+:0202B10000AF9C
+:0202B200FD4607
+:0202B300050D37
+:0202B400F7BC95
+:0202B5000D91A9
+:0202B600E66DF3
+:0202B7006A7566
+:0202B80030B064
+:0202B900EDCD89
+:0202BA000A3DFB
+:0202BB00F966E2
+:0202BC000492AA
+:0202BD00FCC97A
+:0202BE0001B08D
+:0202BF00003805
+:0202C00000CD6F
+:0202C100009AA1
+:0202C200FD61DC
+:0202C30004EC49
+:0202C400F7E75A
+:0202C5000D50DA
+:0202C600E6D67A
+:0202C7006C6663
+:0202C8002DEC1B
+:0202C900EEA89D
+:0202CA0009C861
+:0202CB00F9AB8D
+:0202CC00046CC0
+:0202CD00FCD75C
+:0202CE0001B27B
+:0202CF000034F9
+:0202D00000D557
+:0202D1000085A6
+:0202D200FD7EAF
+:0202D30004C85D
+:0202D400F81818
+:0202D5000D0515
+:0202D600E752ED
+:0202D7006E4374
+:0202D8002B2CCD
+:0202D900EF89AB
+:0202DA00094ECB
+:0202DB00F9F335
+:0202DC000445D7
+:0202DD00FCE63D
+:0202DE0001B469
+:0202DF000030ED
+:0202E00000DE3E
+:0202E100006FAC
+:0202E200FD9C81
+:0202E30004A174
+:0202E400F84FD1
+:0202E5000CB15A
+:0202E600E7E24D
+:0202E700700B9A
+:0202E800286F7D
+:0202E900F071B2
+:0202EA0008D03A
+:0202EB00FA3DDA
+:0202EC00041CF0
+:0202ED00FCF61D
+:0202EE0001B558
+:0202EF00002DE0
+:0202F00000E626
+:0202F1000058B3
+:0202F200FDBD50
+:0202F30004768F
+:0202F400F88B85
+:0202F5000C53A8
+:0202F600E88599
+:0202F70071BED6
+:0202F80025B827
+:0202F900F15DB5
+:0202FA00084FAB
+:0202FB00FA8A7D
+:0202FC0003F10C
+:0202FD00FD08FA
+:0202FE0001B548
+:0202FF000029D4
+:0203000000EF0C
+:020301000041B9
+:02030200FDDF1D
+:020303000448AC
+:02030400F8CD32
+:020305000BECFF
+:02030600E93CD0
+:02030700735B26
+:020308002306CA
+:02030900F24EB2
+:02030A0007CA20
+:02030B00FAD91D
+:02030C0003C428
+:02030D00FD1BD6
+:02030E0001B537
+:02030F000026C6
+:0203100000F7F4
+:020311000029C1
+:02031200FE03E8
+:020313000417CD
+:02031400F914DA
+:020315000B7B60
+:02031600EA06F5
+:0203170074E28E
+:02031800205B68
+:02031900F342AD
+:02031A00074397
+:02031B00FB2ABB
+:02031C00039646
+:02031D00FD2EB3
+:02031E0001B428
+:02031F000023B9
+:020320000100DA
+:020321000011C9
+:02032200FE28B3
+:0203230003E3F2
+:02032400F9607E
+:020325000B01CA
+:02032600EAE506
+:0203270076520C
+:020328001DB7FF
+:02032900F43AA4
+:02032A0006B912
+:02032B00FB7D58
+:02032C00036765
+:02032D00FD438E
+:02032E0001B21A
+:02032F000020AC
+:020330000108C2
+:02033100FFF8D3
+:02033200FE4F7C
+:0203330003AC19
+:02033400F9B21C
+:020335000A7E3E
+:02033600EBD703
+:0203370077AAA3
+:020338001B1C8C
+:02033900F53499
+:02033A00062E8D
+:02033B00FBD1F4
+:02033C00033785
+:02033D00FD5968
+:02033E0001B00C
+:02033F00001D9F
+:020340000111A9
+:02034100FFDEDD
+:02034200FE7843
+:02034300037243
+:02034400FA08B5
+:0203450009F2BB
+:02034600ECDDEC
+:0203470078E953
+:02034800188A11
+:02034900F6308C
+:02034A0005A00C
+:02034B00FC268E
+:02034C000305A7
+:02034D00FD7041
+:02034E0001ADFF
+:02034F00001B91
+:02035000011991
+:02035100FFC4E7
+:02035200FEA10A
+:02035300033570
+:02035400FA6449
+:02035500095D40
+:02035600EDF7C1
+:020357007A1119
+:0203580016028B
+:02035900F72D7E
+:02035A0005128A
+:02035B00FC7D27
+:02035C0002D3CA
+:02035D00FD871A
+:02035E0001AAF2
+:02035F00001884
+:02036000012278
+:02036100FFAAF1
+:02036200FECDCE
+:0203630002F5A1
+:02036400FAC5D8
+:0203650008C0CE
+:02036600EF2581
+:020367007B1FFA
+:020368001384FC
+:02036900F82A70
+:02036A0004820B
+:02036B00FCD4C0
+:02036C00029FEE
+:02036D00FD9FF2
+:02036E0001A6E6
+:02036F00001676
+:02037000012A60
+:02037100FF8FFC
+:02037200FEF992
+:0203730002B3D3
+:02037400FB2A62
+:02037500081A64
+:02037600F0672E
+:020377007C13F5
+:02037800111260
+:02037900F92861
+:02037A0003F28C
+:02037B00FD2C57
+:02037C00026C11
+:02037D00FDB8C9
+:02037E0001A2DA
+:02037F00001468
+:02038000013347
+:02038100FF7407
+:02038200FF2753
+:02038300026E08
+:02038400FB93E9
+:02038500076C03
+:02038600F1BDC7
+:020387007CEE0A
+:020388000EACB9
+:02038900FA2553
+:02038A0003610D
+:02038B00FD85EE
+:02038C00023736
+:02038D00FDD1A0
+:02038E00019ECE
+:02038F0000125A
+:02039000013B2F
+:02039100FF5912
+:02039200FF5614
+:02039300022640
+:02039400FC016A
+:0203950006B6AA
+:02039600F3264C
+:020397007DAE39
+:020398000C5304
+:02039900FB2146
+:02039A0002D18E
+:02039B00FDDD86
+:02039C0002025B
+:02039D00FDEB76
+:02039E000199C3
+:02039F0000104C
+:0203A000014317
+:0203A100FF3D1E
+:0203A200FF86D4
+:0203A30001DD7A
+:0203A400FC74E7
+:0203A50005F958
+:0203A600F4A2BF
+:0203A7007E5482
+:0203A8000A0841
+:0203A900FC1B3B
+:0203AA0002410E
+:0203AB00FE361C
+:0203AC0001CD81
+:0203AD00FE064A
+:0203AE000193B9
+:0203AF00000E3E
+:0203B000014CFE
+:0203B100FF212A
+:0203B200FFB793
+:0203B3000191B6
+:0203B400FCEA61
+:0203B50005340D
+:0203B600F6311E
+:0203B7007EDFE7
+:0203B80007CB71
+:0203B900FD1332
+:0203BA0001B28E
+:0203BB00FE8FB3
+:0203BC000197A7
+:0203BD00FE211F
+:0203BE00018DAF
+:0203BF00000D2F
+:0203C0000154E6
+:0203C100FF0536
+:0203C200FFE951
+:0203C3000143F4
+:0203C400FD63D7
+:0203C5000468CA
+:0203C600F7D46A
+:0203C7007F4E67
+:0203C800059D91
+:0203C900FE082C
+:0203CA0001240C
+:0203CB00FEE74B
+:0203CC000162CC
+:0203CD00FE3CF4
+:0203CE000187A5
+:0203CF00000B21
+:0203D000015CCE
+:0203D100FEE943
+:0203D200001C0D
+:0203D30000F335
+:0203D400FDE149
+:0203D50003968D
+:0203D600F988A4
+:0203D7007FA302
+:0203D800037EA2
+:0203D900FEF92B
+:0203DA0000978A
+:0203DB00FF3EE3
+:0203DC00012CF2
+:0203DD00FE57C9
+:0203DE0001819B
+:0203DF00000A12
+:0203E0000163B7
+:0203E100FECD4F
+:0203E200004FCA
+:0203E30000A276
+:0203E400FE61B8
+:0203E50002BE56
+:0203E600FB4FCB
+:0203E7007FDDB8
+:0203E800016FA3
+:0203E900FFE72C
+:0203EA00000C05
+:0203EB00FF957C
+:0203EC0000F718
+:0203ED00FE739D
+:0203EE00017A92
+:0203EF00000804
+:0203F000016B9F
+:0203F100FEB05C
+:0203F200008485
+:0203F300004FB9
+:0203F400FEE425
+:0203F50001DF26
+:0203F600FD28E0
+:0203F7007FFB8A
+:0203F800FF7193
+:0203F90000D131
+:0203FA00FF847E
+:0203FB00FFEB16
+:0203FC0000C23D
+:0203FD00FE8F71
+:0203FE00017389
+:0203FF00001CE0
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..4bd050a0f01b56b8a1f251babfc716f8eabbec63
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_128pts.hex
@@ -0,0 +1,513 @@
+:020000003FC7F8
+:02000100001DE0
+:020002003FFDC0
+:020003000014E7
+:020004003FEAD1
+:020005000021D8
+:020006003FBCFD
+:0200070000AF48
+:020008001FF7E0
+:020009003F1B9B
+:02000A0000777D
+:02000B003FB400
+:02000C00002EC4
+:02000D003FEAC8
+:02000E000017D9
+:02000F00000EE1
+:020010003FE2CD
+:020011000026C7
+:020012003FEDC0
+:02001300002FBC
+:020014003FBFEC
+:02001500006782
+:020016003F4465
+:0200170001BC2A
+:020018001FD3F4
+:020019003E396E
+:02001A0000E301
+:02001B003F7430
+:02001C0000568C
+:02001D003FD2D0
+:02001E000026BA
+:02001F000005DA
+:020020003FD7C8
+:020021000030AD
+:020022003FDCC1
+:02002300004A91
+:020024003F9308
+:0200250000AE2B
+:020026003EC9D1
+:0200270002D9FC
+:020028001F9522
+:020029003D692F
+:02002A0001498A
+:02002B003F385C
+:02002C00007C56
+:02002D003FBBD7
+:02002E0000349C
+:02002F003FFD93
+:020030003FD7B8
+:02003100003994
+:020032003FCBC2
+:02003300006566
+:020034003F6724
+:0200350000F5D4
+:020036003E4C3E
+:020037000403C0
+:020038001F3D6A
+:020039003CACDD
+:02003A0001A81B
+:02003B003EFF86
+:02003C00009F23
+:02003D003FA5DD
+:02003E0000427E
+:02003F003FF48C
+:020040003FD2AD
+:0200410000437A
+:020042003FBBC2
+:0200430000803B
+:020044003F3B40
+:02004500013C7C
+:020046003DCFAC
+:02004700053979
+:020048001ECBCD
+:020049003C0277
+:02004A000200B2
+:02004B003ECAAB
+:02004C0000C0F2
+:02004D003F91E1
+:02004E00004E62
+:02004F003FED83
+:020050003FD09F
+:02005100004C61
+:020052003FAAC3
+:02005300009B10
+:020054003F105B
+:02005500018226
+:020056003D5219
+:02005700067A27
+:020058001E4048
+:020059003B6BFF
+:02005A00024F53
+:02005B003E9ACB
+:02005C0000DEC4
+:02005D003F7EE4
+:02005E00005A46
+:02005F003FE67A
+:020060003FCC93
+:02006100005548
+:020062003F9AC3
+:0200630000B5E6
+:020064003EE676
+:0200650001C7D1
+:020066003CD785
+:0200670007C4CC
+:020068001D9DDC
+:020069003AE873
+:02006A000297FB
+:02006B003E6FE6
+:02006C0000FA98
+:02006D003F6EE4
+:02006E0000642C
+:02006F003FDF71
+:020070003FCA85
+:02007100005D30
+:020072003F8BC2
+:0200730000CEBD
+:020074003EBD8F
+:0200750002097E
+:020076003C5FED
+:02007700091668
+:020078001CE288
+:020079003A78D3
+:02007A0002D5AD
+:02007B003E49FC
+:02007C00011170
+:02007D003F5FE3
+:02007E00006D13
+:02007F003FD967
+:020080003FC778
+:02008100006518
+:020082003F7CC1
+:0200830000E596
+:020084003E97A5
+:0200850002482F
+:020086003BEB52
+:020087000A6FFE
+:020088001C1248
+:020089003A1C1F
+:02008A00030A67
+:02008B003E280D
+:02008C0001264B
+:02008D003F53DF
+:02008E000075FB
+:02008F003FD45C
+:020090003FC56A
+:02009100006D00
+:020092003F6EBF
+:0200930000FC6F
+:020094003E73B9
+:020095000284E3
+:020096003B7DB0
+:020097000BCB91
+:020098001B2C1F
+:0200990039D359
+:02009A0003352C
+:02009B003E0D18
+:02009C0001372A
+:02009D003F48DA
+:02009E00007CE4
+:02009F003FCF51
+:0200A0003FC25D
+:0200A1000074E9
+:0200A2003F62BB
+:0200A30001104A
+:0200A4003E51CB
+:0200A50002BA9D
+:0200A6003B1607
+:0200A7000D2A20
+:0200A8001A3309
+:0200A900399D7F
+:0200AA000358F9
+:0200AB003DF81E
+:0200AC0001450C
+:0200AD003F40D2
+:0200AE000082CE
+:0200AF003FCB45
+:0200B0003FC14E
+:0200B100007AD3
+:0200B2003F56B7
+:0200B300012327
+:0200B4003E33D9
+:0200B50002EC5B
+:0200B6003AB658
+:0200B7000E8AAF
+:0200B800192805
+:0200B900397993
+:0200BA000370D1
+:0200BB003DE81E
+:0200BC00014FF2
+:0200BD003F3AC8
+:0200BE000086BA
+:0200BF003FC739
+:0200C0003FC03F
+:0200C100007FBE
+:0200C2003F4CB1
+:0200C300013307
+:0200C4003E19E3
+:0200C50003181E
+:0200C6003A619D
+:0200C7000FE93F
+:0200C800180C12
+:0200C900396795
+:0200CA000380B1
+:0200CB003DDE18
+:0200CC000156DB
+:0200CD003F36BC
+:0200CE000089A7
+:0200CF003FC42C
+:0200D0003FBF30
+:0200D1000084A9
+:0200D2003F44A9
+:0200D3000140EA
+:0200D4003E03E9
+:0200D500033DE9
+:0200D6003A16D8
+:0200D7001145D1
+:0200D80016E12F
+:0200D900396686
+:0200DA0003869B
+:0200DB003DD90D
+:0200DC000159C8
+:0200DD003F34AE
+:0200DE00008A96
+:0200DF003FC21E
+:0200E0003FBF20
+:0200E100008796
+:0200E2003F3DA0
+:0200E300014BCF
+:0200E4003DF1EC
+:0200E500035BBB
+:0200E60039D609
+:0200E700129B6A
+:0200E80015AA57
+:0200E900397666
+:0200EA0003838E
+:0200EB003DDAFC
+:0200EC000159B8
+:0200ED003F359D
+:0200EE00008B85
+:0200EF003FC010
+:0200F0003FBF10
+:0200F100008984
+:0200F2003F3895
+:0200F3000153B7
+:0200F4003DE4E9
+:0200F500037294
+:0200F60039A42B
+:0200F70013EB09
+:0200F80014678B
+:0200F900399438
+:0200FA00037889
+:0200FB003DE0E6
+:0200FC000155AC
+:0200FD003F378B
+:0200FE00008A76
+:0200FF003FBF01
+:020100003FC0FE
+:02010100008B71
+:020102003F3587
+:020103000158A1
+:020104003DDCE0
+:02010500038075
+:02010600397F3F
+:020107001532AF
+:02010800131AC8
+:0201090039C2F9
+:02010A0003648C
+:02010B003DECC9
+:02010C00014EA2
+:02010D003F3B76
+:02010E00008867
+:02010F003FBFF0
+:020110003FC1ED
+:02011100008B61
+:020112003F3478
+:02011300015990
+:020114003DD9D3
+:0201150003865F
+:02011600396A44
+:02011700166E62
+:0201180011C60E
+:0201190039FCAF
+:02011A00034997
+:02011B003DFCA9
+:02011C0001459B
+:02011D003F4160
+:02011E0000855A
+:02011F003FBFE0
+:020120003FC3DB
+:02012100008953
+:020122003F3567
+:02012300015782
+:020124003DDCC0
+:02012500038352
+:02012600396539
+:02012700179E21
+:02012800106C59
+:020129003A4357
+:02012A000326AA
+:02012B003E1084
+:02012C00013898
+:02012D003F4948
+:02012E0000814E
+:02012F003FBFD0
+:020130003FC6C8
+:02013100008745
+:020132003F3854
+:02013300015277
+:020134003DE4A8
+:0201350003774E
+:0201360039701E
+:0201370018BFEF
+:020138000F0EA8
+:020139003A95F5
+:02013A0002FDC4
+:02013B003E295B
+:02013C00012997
+:02013D003F532E
+:02013E00007C43
+:02013F003FC1BE
+:020140003FC9B5
+:02014100008339
+:020142003F3D3F
+:02014300014970
+:020144003DF18B
+:02014500036253
+:02014600398DF1
+:0201470019D1CC
+:020148000DAEFA
+:020149003AF189
+:02014A0002CEE3
+:02014B003E462E
+:02014C00011799
+:02014D003F5D14
+:02014E00007639
+:02014F003FC2AD
+:020150003FCDA1
+:02015100007E2E
+:020152003F4527
+:02015300013D6C
+:020154003E0566
+:02015500034362
+:0201560039BCB2
+:020157001AD1BB
+:020158000C4F4A
+:020159003B5514
+:02015A00029908
+:02015B003E66FE
+:02015C0001049C
+:02015D003F6AF7
+:02015E0000702F
+:02015F003FC49B
+:020160003FD28C
+:02016100007824
+:020162003F4F0D
+:02016300012D6C
+:020164003E1E3D
+:02016500031B7A
+:0201660039FE60
+:020167001BBEBD
+:020168000AF19A
+:020169003BC198
+:02016A00025F32
+:02016B003E89CB
+:02016C0000EEA3
+:02016D003F77DA
+:02016E00006827
+:02016F003FC689
+:020170003FD777
+:0201710000701C
+:020172003F5AF2
+:02017300011A6F
+:020174003E3C0F
+:0201750002EA9C
+:020176003A53FA
+:020177001C97D3
+:020178000997E5
+:020179003C3315
+:02017A00022160
+:02017B003EAF95
+:02017C0000D7AA
+:02017D003F85BC
+:02017E0000601F
+:02017F003FC877
+:020180003FDD61
+:02018100006814
+:020182003F68D4
+:02018300010376
+:020184003E60DB
+:0201850002AFC7
+:020186003ABC81
+:020187001D5AFF
+:0201880008422B
+:020189003CA98F
+:02018A0001E092
+:02018B003ED65E
+:02018C0000BEB3
+:02018D003F949D
+:02018E00005817
+:02018F003FCB64
+:020190003FE34B
+:02019100005E0E
+:020192003F78B4
+:0201930000E981
+:020194003E89A2
+:02019500026BFB
+:020196003B38F4
+:020197001E0642
+:0201980006F56A
+:020199003D2403
+:02019A00019CC6
+:02019B003F0023
+:02019C0000A5BC
+:02019D003FA47D
+:02019E00004F10
+:02019F003FCE51
+:0201A0003FEA34
+:0201A100005309
+:0201A2003F8A92
+:0201A30000CC8E
+:0201A4003EB863
+:0201A500021F37
+:0201A6003BC755
+:0201A7001E9A9E
+:0201A80005B0A0
+:0201A9003DA077
+:0201AA000157FB
+:0201AB003F2BE8
+:0201AC00008AC7
+:0201AD003FB55C
+:0201AE00004609
+:0201AF003FD23D
+:0201B0003FF11D
+:0201B100004705
+:0201B2003F9D6F
+:0201B30000AC9E
+:0201B4003EEB20
+:0201B50001CA7D
+:0201B6003C6AA1
+:0201B7001F1512
+:0201B8000476CB
+:0201B9003E1DE9
+:0201BA00011032
+:0201BB003F57AC
+:0201BC00006FD2
+:0201BD003FC53C
+:0201BE00003D02
+:0201BF003FD42B
+:0201C0003FF905
+:0201C100003903
+:0201C2003FB24A
+:0201C3000089B1
+:0201C4003F22D8
+:0201C500016EC9
+:0201C6003D20DA
+:0201C7001F77A0
+:0201C8000347EB
+:0201C9003E9A5C
+:0201CA0000C86B
+:0201CB003F8370
+:0201CC000054DD
+:0201CD003FD61B
+:0201CE000033FC
+:0201CF003FDA15
+:0201D00000022B
+:0201D100002B01
+:0201D2003FC923
+:0201D3000065C5
+:0201D4003F5D8D
+:0201D500010A1D
+:0201D6003DE901
+:0201D7001FBF48
+:0201D8000225FE
+:0201D9003F16CF
+:0201DA000081A2
+:0201DB003FAF34
+:0201DC000039E8
+:0201DD003FE6FB
+:0201DE00002AF5
+:0201DF003FD708
+:0201E000000B12
+:0201E100001DFF
+:0201E2003FE1FB
+:0201E300003DDD
+:0201E4003F9C3E
+:0201E50000A078
+:0201E6003EC415
+:0201E7001FED0A
+:0201E800011202
+:0201E9003F8F46
+:0201EA00003BD8
+:0201EB003FDAF9
+:0201EC00001EF3
+:0201ED003FF7DA
+:0201EE000020EF
+:0201EF003FECE3
+:0201F0000013FA
+:0201F100000DFF
+:0201F2003FFAD2
+:0201F3000015F5
+:0201F4003FDDED
+:0201F5000030D8
+:0201F6003FB117
+:0201F7001FFFE8
+:0201F800000FF6
+:0201F9000005FF
+:0201FA003FF6CE
+:0201FB000005FD
+:0201FC000004FD
+:0201FD000007F9
+:0201FE000017E8
+:0201FF003EEBD5
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..24193e7fcf8b8ed178b2ac21fa8d1040902249d9
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_16pts.hex
@@ -0,0 +1,17 @@
+:020000003fe3dc
+:02000100008b72
+:020002003f08b5
+:02000300019c5e
+:020004003d8736
+:02000500039066
+:020006003aefcf
+:02000700084da2
+:020008001f597e
+:020009003fe8ce
+:02000a003e6650
+:02000b0001e60c
+:02000c003e466e
+:02000d00015b95
+:02000e003f0da4
+:02000f0000a14e
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..b52571e45239bc85c3e1b7cada0ec1d9bbc10991
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_6_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018A72
+:02000200FE52AC
+:020003000108F2
+:02000400FF8E6D
+:02000500000FEA
+:02000600FFE316
+:02000700017B7B
+:020008007FDB9C
+:02000900FB3AC0
+:02000A0002CD25
+:02000B00FE55A0
+:02000C0000A84A
+:02000D0000569B
+:02000E00FEB240
+:02000F0001727C
+:02001000000EE0
+:0200110001A448
+:02001200FDE10E
+:0200130001E505
+:02001400FE27C5
+:02001500024C9B
+:02001600FC11DB
+:020017000A18C5
+:020018007E4F19
+:02001900F48C65
+:02001A00060DD1
+:02001B00FC6087
+:02001C0001EBF6
+:02001D00FF855D
+:02001E00FF27BA
+:02001F0001518D
+:020020000016C8
+:0200210001B725
+:02002200FD7768
+:0200230002BF1A
+:02002400FCBD21
+:02002500049441
+:02002600F81BC5
+:0200270013982C
+:020028007B1843
+:02002900EF0ED8
+:02002A0008D9F3
+:02002B00FAAA2F
+:02002C00030CC3
+:02002D00FEC310
+:02002E00FF9938
+:02002F00012EA0
+:020030000021AD
+:0200310001C20A
+:02003200FD18B7
+:02003300038D3B
+:02003400FB5E71
+:0200350006D2F1
+:02003600F426AE
+:020037001DCFDB
+:02003800764808
+:02003900EACD0E
+:02003A000B1F9A
+:02003B00F93F8B
+:02003C000401BD
+:02003D00FE17AC
+:02003E000005BB
+:02003F00010AB4
+:02004000002E90
+:0200410001C4F8
+:02004200FCCAF6
+:02004300044770
+:02004400FA18A8
+:0200450008EEC3
+:02004600F0596F
+:02004700288906
+:020048006FFF48
+:02004900E7CA04
+:02004A000CD1D7
+:02004B00F82992
+:02004C0004C5E9
+:02004D00FD8430
+:02004E00006848
+:02004F0000E7C8
+:02005000003E70
+:0200510001BCF0
+:02005200FC8F21
+:0200530004E4C3
+:02005400F8FAB8
+:020055000AD0CF
+:02005600ECDFDD
+:020057003390E4
+:020058006864DA
+:02005900E5FFC1
+:02005A000DEBAC
+:02005B00F76D3F
+:02005C0005534A
+:02005D00FD0E96
+:02005E0000C1DF
+:02005F0000C5DA
+:0200600000524C
+:0200610001A7F5
+:02006200FC6B35
+:02006300055D39
+:02006400F81191
+:020065000C632A
+:02006600E9E1CE
+:020067003EA7B2
+:020068005FA592
+:02006900E55B55
+:02006A000E6C1A
+:02006B00F70B91
+:02006C0005ABE2
+:02006D00FCB7DE
+:02006E00010D82
+:02006F0000A5EA
+:02007000006826
+:02007100018507
+:02007200FC632D
+:0200730005ACDA
+:02007400F7692A
+:020075000D90EC
+:02007600E78819
+:020077004992AC
+:0200780055F63B
+:02007900E5CAD6
+:02007A000E591D
+:02007B00F70488
+:02007C0005CBB2
+:02007D00FC7E07
+:02007E00014C33
+:02007F000087F8
+:020080000082FC
+:02008100015626
+:02008200FC7709
+:0200830005CBAB
+:02008400F70C77
+:020085000E4526
+:02008600E5FB98
+:02008700541013
+:020088004B9398
+:02008900E72D61
+:02008A000DBCAB
+:02008B00F7522A
+:02008C0005B6B7
+:02008D00FC6411
+:02008E00017EF1
+:02008F00006D02
+:02009000009FCF
+:02009100011A52
+:02009200FCAAC6
+:0200930005B5B1
+:02009400F70370
+:020095000E73E8
+:02009600E55C27
+:020097005DE525
+:0200980040B96D
+:02009900E9621A
+:02009A000CA4B4
+:02009B00F7EC80
+:02009C000570ED
+:02009D00FC68FD
+:02009E0001A2BD
+:02009F00005609
+:0200A00000BF9F
+:0200A10000D08D
+:0200A200FCFB65
+:0200A3000568EE
+:0200A400F75310
+:0200A5000E0F3C
+:0200A600E5CAA9
+:0200A70066D51C
+:0200A80035A57C
+:0200A900EC4524
+:0200AA000B2326
+:0200AB00F8CA91
+:0200AC0004FE50
+:0200AD00FC86CF
+:0200AE0001B996
+:0200AF0000420D
+:0200B00000E16D
+:0200B100007AD3
+:0200B200FD6CE3
+:0200B30004E463
+:0200B400F7FF54
+:0200B5000D122A
+:0200B600E75D04
+:0200B7006EAB2E
+:0200B8002A9686
+:0200B900EFABAB
+:0200BA00094DEE
+:0200BB00F9DF6B
+:0200BC000467D7
+:0200BD00FCBD88
+:0200BE0001C47B
+:0200BF0000310E
+:0200C000010439
+:0200C100001825
+:0200C200FDF946
+:0200C300042A0D
+:0200C400F9053C
+:0200C5000B7BB3
+:0200C600EA2529
+:0200C70075368C
+:0200C8001FC94E
+:0200C900F36BD7
+:0200CA00073AF3
+:0200CB00FB1E1A
+:0200CC0003B27D
+:0200CD00FD082C
+:0200CE0001C36C
+:0200CF0000230C
+:0200D000012706
+:0200D100FFAE80
+:0200D200FEA18D
+:0200D300033DEB
+:0200D400FA60D0
+:0200D5000951CF
+:0200D600EE2A10
+:0200D7007A4F5E
+:0200D80015769B
+:0200D900F75CD2
+:0200DA0005011E
+:0200DB00FC7AAD
+:0200DC0002E739
+:0200DD00FD64C0
+:0200DE0001BA65
+:0200DF00001807
+:0200E000014BD2
+:0200E100FF3DE1
+:0200E200FF5FBE
+:0200E3000224F5
+:0200E400FC0915
+:0200E500069D76
+:0200E600F36CB9
+:0200E7007DD5C5
+:0200E8000BD03B
+:0200E900FB55C5
+:0200EA0002B959
+:0200EB00FDE333
+:0200EC00020F01
+:0200ED00FDCC48
+:0200EE0001A867
+:0200EF00000F00
+:0200F000016CA1
+:0200F100FEC847
+:0200F200002EDE
+:0200F30000E724
+:0200F400FDF31A
+:0200F500037195
+:0200F600F9E32C
+:0200F7007FB2D6
+:0200F8000305FE
+:0200F900FF30D6
+:0200FA0000798B
+:0200FB00FF4CB8
+:0200FC000131D0
+:0200FD00FE3DC6
+:0200FE00018F70
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..10ee1c965330970c7b5486f8d9027b262f798bcc
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_1024pts.hex
@@ -0,0 +1,1025 @@
+:02000000001FDF
+:0200010001728A
+:02000200FE936B
+:0200030000BB40
+:02000400FFF506
+:02000500FF7387
+:0200060000ED0B
+:02000700FF32C6
+:020008007FFC7B
+:02000900FD6593
+:02000A0001C330
+:02000B00FEF500
+:02000C000044AE
+:02000D00008A67
+:02000E00FEAD45
+:02000F00016C82
+:020010000009E5
+:02001100017973
+:02001200FE7777
+:0200130000F0FB
+:02001400FFA04B
+:02001500FFFBEF
+:020016000005E3
+:02001700012EB8
+:020018007FE285
+:02001900FB8A60
+:02001A0002A240
+:02001B00FE7174
+:02001C0000984A
+:02001D0000568B
+:02001E00FEC919
+:02001F0001647A
+:020020000009D5
+:0200210001805C
+:02002200FE5B83
+:020023000126B4
+:02002400FF4992
+:02002500008653
+:02002600FF17C2
+:02002700033B99
+:020028007FACAB
+:02002900F9C01C
+:02002A00037B56
+:02002B00FDF0E6
+:02002C0000E9E9
+:02002D000022AF
+:02002E00FEE5ED
+:02002F00015C72
+:02003000000BC3
+:02003100018745
+:02003200FE3F8F
+:02003300015B6F
+:02003400FEF2DA
+:020035000112B6
+:02003600FE26A4
+:0200370005586A
+:020038007F5BEC
+:02003900F809C4
+:02003A00044E72
+:02003B00FD7353
+:02003C00013988
+:02003D00FFF0D2
+:02003E00FF01C0
+:02003F00015569
+:02004000000CB2
+:02004100018D2F
+:02004200FE249A
+:02004300019129
+:02004400FE9A22
+:0200450001A018
+:02004600FD3289
+:0200470007852B
+:020048007EEE4A
+:02004900F6655A
+:02004A00051B94
+:02004B00FCF9BE
+:02004C0001872A
+:02004D00FFBDF5
+:02004E00FF1E93
+:02004F00014D61
+:02005000000EA0
+:0200510001921A
+:02005200FE09A5
+:0200530001C6E4
+:02005400FE416B
+:02005500022F78
+:02005600FC3A72
+:0200570009C0DE
+:020058007E66C2
+:02005900F4D3DE
+:02005A0005E0BF
+:02005B00FC8225
+:02005C0001D3CE
+:02005D00FF8C16
+:02005E00FF3968
+:02005F00014559
+:0200600000108E
+:02006100019804
+:02006200FDEEB1
+:0200630001FB9F
+:02006400FDE8B5
+:0200650002BFD8
+:02006600FB405D
+:020067000C0982
+:020068007DC455
+:02006900F3544E
+:02006A00069FEF
+:02006B00FC0F88
+:02006C00021D73
+:02006D00FF5C36
+:02006E00FF553C
+:02006F00013C52
+:0200700000127C
+:02007100019DEF
+:02007200FDD4BB
+:02007300023059
+:02007400FD90FD
+:02007500034F37
+:02007600FA4549
+:020077000E6118
+:020078007D0702
+:02007900F1E9AB
+:02007A00075627
+:02007B00FBA1E7
+:02007C0002651B
+:02007D00FF2D55
+:02007E00FF7110
+:02007F0001344A
+:0200800000146A
+:0200810001A2DA
+:02008200FDBBC4
+:02008300026514
+:02008400FD3746
+:0200850003E096
+:02008600F94837
+:0200870010C5A2
+:020088007C30CA
+:02008900F091F4
+:02008A00080567
+:02008B00FB3741
+:02008C0002AAC6
+:02008D00FEFF74
+:02008E00FF8CE5
+:02008F00012B43
+:02009000001658
+:0200910001A6C6
+:02009200FDA2CD
+:020093000299D0
+:02009400FCDF8F
+:020095000470F5
+:02009600F84A26
+:0200970013351F
+:020098007B3FAC
+:02009900EF4C2A
+:02009A0008ACB0
+:02009B00FAD198
+:02009C0002ED73
+:02009D00FED291
+:02009E00FFA7BA
+:02009F0001233B
+:0200A000001846
+:0200A10001A9B3
+:0200A200FD8AD5
+:0200A30002CC8D
+:0200A400FC88D6
+:0200A500050054
+:0200A600F74C15
+:0200A70015B191
+:0200A8007A34A8
+:0200A900EE1C4B
+:0200AA00094A01
+:0200AB00FA70E9
+:0200AC00032D22
+:0200AD00FEA7AC
+:0200AE00FFC190
+:0200AF00011A34
+:0200B000001A34
+:0200B10001AD9F
+:0200B200FD72DD
+:0200B30002FF4A
+:0200B400FC311D
+:0200B500058FB5
+:0200B600F64F03
+:0200B7001838F7
+:0200B8007910BD
+:0200B900ECFF5A
+:0200BA0009E05B
+:0200BB00FA1435
+:0200BC00036AD5
+:0200BD00FE7DC6
+:0200BE00FFDB66
+:0200BF0001122C
+:0200C000001D21
+:0200C10001AF8D
+:0200C200FD5CE3
+:0200C300033107
+:0200C400FBDB64
+:0200C500061C17
+:0200C600F553F0
+:0200C7001AC954
+:0200C80077D3EC
+:0200C900EBF753
+:0200CA000A6DBD
+:0200CB00F9BC7E
+:0200CC0003A58A
+:0200CD00FE54DF
+:0200CE00FFF53C
+:0200CF00010925
+:0200D00000200E
+:0200D10001B27A
+:0200D200FD46E9
+:0200D3000361C7
+:0200D400FB87A8
+:0200D50006A87B
+:0200D600F459DB
+:0200D7001D63A7
+:0200D800767E32
+:0200D900EB0238
+:0200DA000AF129
+:0200DB00F96AC0
+:0200DC0003DC43
+:0200DD00FE2DF6
+:0200DE00000E12
+:0200DF0001011D
+:0200E0000023FB
+:0200E10001B369
+:0200E200FD31EE
+:0200E300039187
+:0200E400FB34EB
+:0200E5000732E0
+:0200E600F361C4
+:0200E7002006F1
+:0200E800751190
+:0200E900EA210A
+:0200EA000B6D9C
+:0200EB00F91DFD
+:0200EC000411FD
+:0200ED00FE070C
+:0200EE000026EA
+:0200EF0000F817
+:0200F0000026E8
+:0200F10001B458
+:0200F200FD1DF2
+:0200F30003BF49
+:0200F400FAE32D
+:0200F50007B949
+:0200F600F26CAA
+:0200F70022B035
+:0200F800738D06
+:0200F900E954C8
+:0200FA000BDE1B
+:0200FB00F8D536
+:0200FC000442BC
+:0200FD00FDE321
+:0200FE00003EC2
+:0200FF0000F00F
+:020100000029D4
+:0201010001B546
+:02010200FD0AF4
+:0201030003EB0C
+:02010400FA946B
+:02010500083EB2
+:02010600F17B8B
+:02010700256170
+:0201080071F391
+:02010900E89B71
+:02010A000C47A0
+:02010B00F89367
+:02010C0004707D
+:02010D00FDC132
+:02010E0000559A
+:02010F0000E707
+:02011000002CC1
+:0201110001B536
+:02011200FCF8F7
+:020113000416D0
+:02011400FA47A8
+:0201150008C020
+:02011600F08E69
+:020117002818A6
+:02011800704233
+:02011900E7F508
+:02011A000CA631
+:02011B00F85694
+:02011C00049B42
+:02011D00FDA043
+:02011E00006C73
+:02011F0000DFFF
+:020120000030AD
+:0201210001B427
+:02012200FCE8F7
+:02012300044096
+:02012400F9FCE4
+:02012500093E91
+:02012600EFA642
+:020127002AD4D8
+:020128006E7DEA
+:02012900E7638A
+:02012A000CFBCC
+:02012B00F81FBB
+:02012C0004C30A
+:02012D00FD8152
+:02012E0000824D
+:02012F0000D6F8
+:02013000003499
+:0201310001B318
+:02013200FCD8F7
+:0201330004685E
+:02013400F9B41C
+:0201350009B906
+:02013600EEC415
+:020137002D9405
+:020138006CA2B7
+:02013900E6E4FA
+:02013A000D476F
+:02013B00F7EDDE
+:02013C0004E8D5
+:02013D00FD645F
+:02013E00009827
+:02013F0000CEF0
+:02014000003885
+:0201410001B10A
+:02014200FCCAF5
+:02014300048D29
+:02014400F96E52
+:020145000A2F7F
+:02014600EDE8E2
+:0201470030572F
+:020148006AB497
+:02014900E67955
+:02014A000D891D
+:02014B00F7C1FA
+:02014C000509A3
+:02014D00FD496A
+:02014E0000AC03
+:02014F0000C6E8
+:02015000003C71
+:0201510001AEFD
+:02015200FCBEF1
+:0201530004B1F5
+:02015400F92C84
+:020155000AA0FE
+:02015600ED13A7
+:02015700331C57
+:0201580068B38A
+:02015900E6209E
+:02015A000DC2D4
+:02015B00F79A11
+:02015C00052775
+:02015D00FD3073
+:02015E0000C0DF
+:02015F0000BEE0
+:0201600000405D
+:0201610001AAF1
+:02016200FCB2ED
+:0201630004D3C3
+:02016400F8EDB4
+:020165000B0D80
+:02016600EC4566
+:0201670035E37E
+:02016800669F90
+:02016900E5DAD5
+:02016A000DF195
+:02016B00F77A21
+:02016C0005414B
+:02016D00FD187B
+:02016E0000D3BC
+:02016F0000B6D8
+:02017000004548
+:0201710001A6E5
+:02017200FCA8E7
+:0201730004F294
+:02017400F8B1E0
+:020175000B7508
+:02017600EB801C
+:0201770038A9A5
+:020178006479A8
+:02017900E5A5FA
+:02017A000E175E
+:02017B00F75F2C
+:02017C00055824
+:02017D00FD0281
+:02017E0000E699
+:02017F0000AED0
+:02018000004934
+:0201810001A1DA
+:02018200FCA0DF
+:02018300050F66
+:02018400F87908
+:020185000BD796
+:02018600EAC4C9
+:020187003B6FCC
+:020188006243D0
+:02018900E5830C
+:02018A000E3332
+:02018B00F74932
+:02018C00056B01
+:02018D00FCEE86
+:02018E0000F877
+:02018F0000A7C7
+:02019000004E1F
+:02019100019CCF
+:02019200FC99D6
+:02019300052A3B
+:02019400F8442D
+:020195000C3329
+:02019600EA116C
+:020197003E34F4
+:020198005FFD09
+:02019900E5730C
+:02019A000E460F
+:02019B00F73932
+:02019C00057CE0
+:02019D00FCDC88
+:02019E00010955
+:02019F00009FBF
+:0201A00000530A
+:0201A1000195C6
+:0201A200FC94CB
+:0201A300054213
+:0201A400F8134E
+:0201A5000C89C3
+:0201A600E96905
+:0201A70040F521
+:0201A8005DA850
+:0201A900E573FC
+:0201AA000E50F5
+:0201AB00F72F2C
+:0201AC000588C4
+:0201AD00FCCC88
+:0201AE00011935
+:0201AF000098B6
+:0201B0000058F5
+:0201B100018EBD
+:0201B200FC90BF
+:0201B3000557EE
+:0201B400F7E76B
+:0201B5000CD963
+:0201B600E8CB94
+:0201B70043B44F
+:0201B8005B44A6
+:0201B900E585DA
+:0201BA000E51E4
+:0201BB00F72A21
+:0201BC000592AA
+:0201BD00FCBE86
+:0201BE00012816
+:0201BF000091AD
+:0201C000005EDF
+:0201C1000186B5
+:0201C200FC8EB1
+:0201C300056ACB
+:0201C400F7BF83
+:0201C5000D210A
+:0201C600E83916
+:0201C700466D83
+:0201C80058D30A
+:0201C900E5A6A9
+:0201CA000E49DC
+:0201CB00F72A11
+:0201CC00059894
+:0201CD00FCB183
+:0201CE000137F7
+:0201CF00008AA4
+:0201D0000064C9
+:0201D100017DAE
+:0201D200FC8DA2
+:0201D3000579AC
+:0201D400F79B97
+:0201D5000D63B8
+:0201D600E7B28E
+:0201D7004921BC
+:0201D800565679
+:0201D900E5D867
+:0201DA000E38DD
+:0201DB00F730FB
+:0201DC00059B81
+:0201DD00FCA77D
+:0201DE000144DA
+:0201DF0000839B
+:0201E0000069B4
+:0201E1000174A7
+:0201E200FC8F90
+:0201E30005868F
+:0201E400F77CA6
+:0201E5000D9D6E
+:0201E600E739F7
+:0201E7004BCEFD
+:0201E80053CEF4
+:0201E900E61816
+:0201EA000E1EE7
+:0201EB00F73BE0
+:0201EC00059B71
+:0201ED00FC9E76
+:0201EE000151BD
+:0201EF00007D91
+:0201F00000709D
+:0201F1000169A2
+:0201F200FC927D
+:0201F300059075
+:0201F400F762B0
+:0201F5000DCF2C
+:0201F600E6CC55
+:0201F7004E7444
+:0201F800513B79
+:0201F900E668B6
+:0201FA000DFCFA
+:0201FB00F74BC0
+:0201FC00059765
+:0201FD00FC976D
+:0201FE00015DA1
+:0201FF00007688
+:02020000007686
+:02020100015E9C
+:02020200FC9767
+:0202030005975D
+:02020400F74CB5
+:020205000DFAF0
+:02020600E66DA3
+:02020700511193
+:020208004E9E08
+:02020900E6C647
+:02020A000DD213
+:02020B00F7609A
+:02020C0005915A
+:02020D00FC9261
+:02020E00016984
+:02020F0000707D
+:02021000007C70
+:02021100015298
+:02021200FC9E50
+:02021300059B49
+:02021400F73CB5
+:020215000E1CBD
+:02021600E61DE3
+:0202170053A5ED
+:020218004BF9A0
+:02021900E732CA
+:02021A000DA035
+:02021B00F77A70
+:02021C00058754
+:02021D00FC8F54
+:02021E0001736A
+:02021F00006A73
+:02022000008359
+:02022100014595
+:02022200FCA638
+:02022300059B39
+:02022400F730B1
+:020225000E3693
+:02022600E5DB16
+:02022700562E51
+:02022800494C3F
+:02022900E7AA42
+:02022A000D665F
+:02022B00F79941
+:02022C00057A51
+:02022D00FC8D46
+:02022E00017D50
+:02022F00006469
+:02023000008A42
+:02023100013793
+:02023200FCB11D
+:0202330005982C
+:02023400F72AA7
+:020235000E4871
+:02023600E5A938
+:0202370058ACC1
+:020238004698E6
+:02023900E830AB
+:02023A000D2590
+:02023B00F7BC0E
+:02023C00056B50
+:02023D00FC8E35
+:02023E00018538
+:02023F00005E5F
+:0202400000912B
+:02024100012991
+:02024200FCBD01
+:02024300059222
+:02024400F72998
+:020245000E5158
+:02024600E5864B
+:020247005B1E3C
+:0202480043DF92
+:02024900E8C209
+:02024A000CDDC9
+:02024B00F7E4D6
+:02024C00055853
+:02024D00FC9023
+:02024E00018E1F
+:02024F00005954
+:02025000009814
+:02025100011A90
+:02025200FCCBE3
+:0202530005891B
+:02025400F72E83
+:020255000E5049
+:02025600E5744D
+:020257005D82C6
+:02025800412142
+:02025900E95F5B
+:02025A000C8E08
+:02025B00F81198
+:02025C00054358
+:02025D00FC9310
+:02025E00019508
+:02025F00005449
+:02026000009FFD
+:02026100010A90
+:02026200FCDBC3
+:02026300057D17
+:02026400F73869
+:020265000E4742
+:02026600E5723F
+:020267005FD85E
+:020268003E60F6
+:02026900EA06A3
+:02026A000C394D
+:02026B00F84158
+:02026C00052B60
+:02026D00FC99FA
+:02026E00019BF2
+:02026F00004E3F
+:0202700000A6E6
+:0202710000F992
+:02027200FCEDA1
+:02027300056D17
+:02027400F74849
+:020275000E3544
+:02027600E5821F
+:02027700621F04
+:020278003B9CAD
+:02027900EAB9E0
+:02027A000BDD9A
+:02027B00F87514
+:02027C0005116A
+:02027D00FC9FE4
+:02027E0001A1DC
+:02027F00004A33
+:0202800000AECE
+:0202810000E794
+:02028200FD017C
+:0202830005591B
+:02028400F75D24
+:020285000E1950
+:02028600E5A3EE
+:020287006456BB
+:0202880038D666
+:02028900EB7414
+:02028A000B7BEC
+:02028B00F8ADCC
+:02028C0004F478
+:02028D00FCA8CB
+:02028E0001A6C7
+:02028F00004528
+:0202900000B6B6
+:0202910000D596
+:02029200FD1657
+:02029300054222
+:02029400F778F9
+:020295000DF466
+:02029600E5D6AB
+:02029700667D82
+:02029800360F1F
+:02029900EC393E
+:02029A000B1443
+:02029B00F8E980
+:02029C0004D587
+:02029D00FCB2B1
+:02029E0001AAB3
+:02029F0000401D
+:0202A00000BE9E
+:0202A10000C19A
+:0202A200FD2E2F
+:0202A30005282C
+:0202A400F798C9
+:0202A5000DC585
+:0202A600E61B55
+:0202A70068925B
+:0202A8003349D8
+:0202A900ED0660
+:0202AA000AA7A1
+:0202AB00F92830
+:0202AC0004B399
+:0202AD00FCBD96
+:0202AE0001AE9F
+:0202AF00003C11
+:0202B00000C686
+:0202B10000AE9D
+:0202B200FD4706
+:0202B300050B39
+:0202B400F7BE93
+:0202B5000D8DAD
+:0202B600E673ED
+:0202B7006A9546
+:0202B800308391
+:0202B900EDDA7C
+:0202BA000A3602
+:0202BB00F96ADE
+:0202BC000490AC
+:0202BD00FCCA79
+:0202BE0001B08D
+:0202BF00003805
+:0202C00000CE6E
+:0202C1000099A2
+:0202C200FD63DA
+:0202C30004EA4B
+:0202C400F7EA57
+:0202C5000D4BDF
+:0202C600E6DD73
+:0202C7006C8445
+:0202C8002DC047
+:0202C900EEB68F
+:0202CA0009C069
+:0202CB00F9AF89
+:0202CC00046AC2
+:0202CD00FCD85B
+:0202CE0001B37A
+:0202CF000034F9
+:0202D00000D656
+:0202D1000084A7
+:0202D200FD80AD
+:0202D30004C65F
+:0202D400F81B15
+:0202D5000D001A
+:0202D600E75BE4
+:0202D7006E6057
+:0202D8002B00F9
+:0202D900EF989C
+:0202DA000946D3
+:0202DB00F9F731
+:0202DC000442DA
+:0202DD00FCE73C
+:0202DE0001B469
+:0202DF000030ED
+:0202E00000DE3E
+:0202E100006DAE
+:0202E200FD9E7F
+:0202E300049E77
+:0202E400F852CE
+:0202E5000CAB60
+:0202E600E7EC43
+:0202E70070277E
+:0202E8002844A8
+:0202E900F07FA4
+:0202EA0008C842
+:0202EB00FA42D5
+:0202EC000419F3
+:0202ED00FCF71C
+:0202EE0001B558
+:0202EF00002CE1
+:0202F00000E725
+:0202F1000057B4
+:0202F200FDBF4E
+:0202F300047392
+:0202F400F88F81
+:0202F5000C4DAE
+:0202F600E8908E
+:0202F70071D9BB
+:0202F800258D52
+:0202F900F16CA6
+:0202FA000846B4
+:0202FB00FA8F78
+:0202FC0003EE0F
+:0202FD00FD09F9
+:0202FE0001B548
+:0202FF000029D4
+:0203000000EF0C
+:020301000040BA
+:02030200FDE11B
+:020303000445AF
+:02030400F8D12E
+:020305000BE506
+:02030600E948C4
+:0203070073740D
+:0203080022DBF6
+:02030900F25DA3
+:02030A0007C228
+:02030B00FADE18
+:02030C0003C12B
+:02030D00FD1CD5
+:02030E0001B438
+:02030F000026C6
+:0203100000F8F3
+:020311000028C2
+:02031200FE05E6
+:020313000414D0
+:02031400F918D6
+:020315000B7467
+:02031600EA14E7
+:0203170074FA76
+:02031800203093
+:02031900F3529D
+:02031A00073AA0
+:02031B00FB2FB6
+:02031C00039349
+:02031D00FD30B1
+:02031E0001B329
+:02031F000023B9
+:020320000100DA
+:02032100000FCB
+:02032200FE2BB0
+:0203230003E0F5
+:02032400F96579
+:020325000AF9D3
+:02032600EAF3F8
+:020327007668F6
+:020328001D8D29
+:02032900F44995
+:02032A0006B11A
+:02032B00FB8253
+:02032C00036468
+:02032D00FD458C
+:02032E0001B21A
+:02032F000020AC
+:020330000109C1
+:02033100FFF6D5
+:02033200FE5279
+:0203330003A81D
+:02033400F9B717
+:020335000A7646
+:02033600EBE7F3
+:0203370077BE8F
+:020338001AF3B6
+:02033900F5438A
+:02033A00062596
+:02033B00FBD6EF
+:02033C00033488
+:02033D00FD5A67
+:02033E0001B00C
+:02033F00001D9F
+:020340000111A9
+:02034100FFDDDE
+:02034200FE7A41
+:02034300036E47
+:02034400FA0EAF
+:0203450009E9C4
+:02034600ECEEDB
+:0203470078FD3F
+:0203480018613A
+:02034900F63F7D
+:02034A00059715
+:02034B00FC2C88
+:02034C000302AA
+:02034D00FD7140
+:02034E0001ADFF
+:02034F00001B91
+:02035000011A90
+:02035100FFC3E8
+:02035200FEA407
+:02035300033174
+:02035400FA6A43
+:02035500095449
+:02035600EE0AAD
+:020357007A2208
+:0203580015D9B5
+:02035900F73C6F
+:02035A00050993
+:02035B00FC8222
+:02035C0002D0CD
+:02035D00FD8819
+:02035E0001AAF2
+:02035F00001884
+:02036000012278
+:02036100FFA8F3
+:02036200FECFCC
+:0203630002F1A5
+:02036400FACBD2
+:0203650008B6D8
+:02036600EF396D
+:020367007B2FEA
+:02036800135D23
+:02036900F83A60
+:02036A00047914
+:02036B00FCDABA
+:02036C00029CF1
+:02036D00FDA1F0
+:02036E0001A6E6
+:02036F00001676
+:02037000012B5F
+:02037100FF8DFE
+:02037200FEFC8F
+:0203730002AED8
+:02037400FB305C
+:02037500080F6F
+:02037600F07C19
+:020377007C21E7
+:0203780010EB88
+:02037900F93851
+:02037A0003E995
+:02037B00FD3251
+:02037C00026815
+:02037D00FDB9C8
+:02037E0001A2DA
+:02037F00001468
+:02038000013347
+:02038100FF7209
+:02038200FF2A50
+:0203830002690D
+:02038400FB9AE2
+:0203850007610E
+:02038600F1D3B1
+:020387007CFAFE
+:020388000E86DF
+:02038900FA3543
+:02038A00035816
+:02038B00FD8AE9
+:02038C00023439
+:02038D00FDD39E
+:02038E00019DCF
+:02038F0000125A
+:02039000013C2E
+:02039100FF5714
+:02039200FF5911
+:02039300022244
+:02039400FC0863
+:0203950006ABB5
+:02039600F33D35
+:020397007DB92E
+:020398000C2E29
+:02039900FB3136
+:02039A0002C897
+:02039B00FDE380
+:02039C0001FF5F
+:02039D00FDED74
+:02039E000198C4
+:02039F0000104C
+:0203A000014416
+:0203A100FF3B20
+:0203A200FF89D1
+:0203A30001D87F
+:0203A400FC7BE0
+:0203A50005ED64
+:0203A600F4BAA7
+:0203A7007E5D79
+:0203A80009E466
+:0203A900FC2B2B
+:0203AA00023817
+:0203AB00FE3C16
+:0203AC0001CA84
+:0203AD00FE0749
+:0203AE000193B9
+:0203AF00000E3E
+:0203B000014CFE
+:0203B100FF1F2C
+:0203B200FFBA90
+:0203B300018CBB
+:0203B400FCF15A
+:0203B50005271A
+:0203B600F64B04
+:0203B7007EE6E0
+:0203B80007A894
+:0203B900FD2223
+:0203BA0001A997
+:0203BB00FE94AE
+:0203BC000194AA
+:0203BD00FE221E
+:0203BE00018DAF
+:0203BF00000D2F
+:0203C0000154E6
+:0203C100FF0338
+:0203C200FFEC4E
+:0203C300013EF9
+:0203C400FD6BCF
+:0203C500045BD7
+:0203C600F7EE50
+:0203C7007F5560
+:0203C800057AB4
+:0203C900FE171D
+:0203CA00011B15
+:0203CB00FEEC46
+:0203CC00015FCF
+:0203CD00FE3EF2
+:0203CE000187A5
+:0203CF00000B21
+:0203D000015CCE
+:0203D100FEE745
+:0203D200001F0A
+:0203D30000EE3A
+:0203D400FDE842
+:0203D50003899A
+:0203D600F9A488
+:0203D7007FA8FD
+:0203D800035DC3
+:0203D900FF081B
+:0203DA00008F92
+:0203DB00FF44DD
+:0203DC000129F5
+:0203DD00FE59C7
+:0203DE0001819B
+:0203DF00000A12
+:0203E0000164B6
+:0203E100FECB51
+:0203E2000053C6
+:0203E300009D7B
+:0203E400FE69B0
+:0203E50002B064
+:0203E600FB6CAE
+:0203E7007FDFB6
+:0203E800014FC3
+:0203E900FFF61D
+:0203EA0000040D
+:0203EB00FF9A77
+:0203EC0000F41B
+:0203ED00FE759B
+:0203EE00017A92
+:0203EF00000804
+:0203F000016B9F
+:0203F100FEAF5D
+:0203F200008782
+:0203F300004ABE
+:0203F400FEED1C
+:0203F50001D134
+:0203F600FD46C2
+:0203F7007FFC89
+:0203F800FF51B3
+:0203F90000DF23
+:0203FA00FF7B87
+:0203FB00FFF011
+:0203FC0000BF40
+:0203FD00FE916F
+:0203FE00017389
+:0203FF00001DDF
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..f9ab825d732455a4d2afa1a66a3337ceeee7cdcc
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_128pts.hex
@@ -0,0 +1,513 @@
+:020000003FDAE5
+:02000100001EDF
+:020002003FFBC2
+:020003000017E4
+:020004003FE5D6
+:02000500002ACF
+:020006003FAD0C
+:0200070000D027
+:020008001FF4E3
+:020009003EFEB9
+:02000A0000856F
+:02000B003FAC08
+:02000C000033BF
+:02000D003FE7CB
+:02000E000019D7
+:02000F00000DE2
+:020010003FDDD2
+:020011000027C6
+:020012003FEBC2
+:020013000032B9
+:020014003FBAF1
+:02001500007079
+:020016003F3574
+:0200170001DF07
+:020018001FCDFA
+:020019003E1E89
+:02001A0000F0F4
+:02001B003F6C38
+:02001C00005B87
+:02001D003FCFD3
+:02001E000028B8
+:02001F000004DB
+:020020003FD8C7
+:020021000031AC
+:020022003FDAC3
+:02002300004D8E
+:020024003F8E0D
+:0200250000B722
+:020026003EB9E1
+:0200270002FDD8
+:020028001F8C2B
+:020029003D5147
+:02002A0001557E
+:02002B003F3064
+:02002C00008052
+:02002D003FB8DA
+:02002E0000369A
+:02002F003FFB95
+:020030003FD6B9
+:02003100003A93
+:020032003FC9C4
+:02003300006863
+:020034003F6229
+:0200350000FECB
+:020036003E3C4E
+:0200370004299A
+:020038001F3077
+:020039003C96F3
+:02003A0001B40F
+:02003B003EF88D
+:02003C0000A41E
+:02003D003FA2E0
+:02003E0000437D
+:02003F003FF38D
+:020040003FD2AD
+:02004100004479
+:020042003FB9C4
+:02004300008338
+:020044003F3645
+:02004500014573
+:020046003DBFBC
+:02004700056052
+:020048001EBBDD
+:020049003BEE8C
+:02004A00020AA8
+:02004B003EC4B1
+:02004C0000C4EE
+:02004D003F8EE4
+:02004E00005060
+:02004F003FEC84
+:020050003FCFA0
+:02005100004D60
+:020052003FA8C5
+:02005300009E0D
+:020054003F0B60
+:02005500018B1D
+:020056003D4229
+:0200570006A3FE
+:020058001E2D5B
+:020059003B5A10
+:02005A00025949
+:02005B003E95D0
+:02005C0000E2C0
+:02005D003F7CE6
+:02005E00005B45
+:02005F003FE57B
+:020060003FCC93
+:02006100005647
+:020062003F98C5
+:0200630000B8E3
+:020064003EE17B
+:0200650001CFC9
+:020066003CC894
+:0200670007EEA2
+:020068001D87F2
+:020069003AD982
+:02006A00029FF3
+:02006B003E6AEB
+:02006C0000FD95
+:02006D003F6CE6
+:02006E0000652B
+:02006F003FDE72
+:020070003FC986
+:02007100005E2F
+:020072003F89C4
+:0200730000D1BA
+:020074003EB894
+:02007500021176
+:020076003C50FC
+:0200770009413D
+:020078001CC9A1
+:020079003A6BE0
+:02007A0002DCA6
+:02007B003E4500
+:02007C0001146D
+:02007D003F5EE4
+:02007E00006E12
+:02007F003FD868
+:020080003FC679
+:02008100006617
+:020082003F7AC3
+:0200830000E893
+:020084003E92AA
+:02008500025027
+:020086003BDD60
+:020087000A9AD3
+:020088001BF665
+:020089003A1229
+:02008A00031061
+:02008B003E2510
+:02008C00012849
+:02008D003F51E1
+:02008E000076FA
+:02008F003FD35D
+:020090003FC56A
+:02009100006EFF
+:020092003F6DC0
+:0200930000FE6D
+:020094003E6EBE
+:02009500028BDC
+:020096003B70BD
+:020097000BF765
+:020098001B0E3D
+:0200990039CB61
+:02009A00033A27
+:02009B003E0A1B
+:02009C00013928
+:02009D003F47DB
+:02009E00007DE3
+:02009F003FCE52
+:0200A0003FC25D
+:0200A1000075E8
+:0200A2003F60BD
+:0200A300011347
+:0200A4003E4DCF
+:0200A50002C196
+:0200A6003B0914
+:0200A7000D56F4
+:0200A8001A1329
+:0200A900399785
+:0200AA00035BF6
+:0200AB003DF620
+:0200AC0001460B
+:0200AD003F3FD3
+:0200AE000082CE
+:0200AF003FCA46
+:0200B0003FC14E
+:0200B100007BD2
+:0200B2003F55B8
+:0200B300012525
+:0200B4003E30DC
+:0200B50002F255
+:0200B6003AAB63
+:0200B7000EB683
+:0200B800190528
+:0200B900397696
+:0200BA000373CE
+:0200BB003DE620
+:0200BC000150F1
+:0200BD003F39C9
+:0200BE000086BA
+:0200BF003FC739
+:0200C0003FC03F
+:0200C1000080BD
+:0200C2003F4BB2
+:0200C300013406
+:0200C4003E16E6
+:0200C500031D19
+:0200C6003A57A7
+:0200C700101512
+:0200C80017E738
+:0200C900396696
+:0200CA000381B0
+:0200CB003DDD19
+:0200CC000156DB
+:0200CD003F36BC
+:0200CE000089A7
+:0200CF003FC42C
+:0200D0003FBF30
+:0200D1000084A9
+:0200D2003F43AA
+:0200D3000142E8
+:0200D4003E00EC
+:0200D5000341E5
+:0200D6003A0DE1
+:0200D7001170A6
+:0200D80016BB55
+:0200D900396785
+:0200DA0003869B
+:0200DB003DD90D
+:0200DC000159C8
+:0200DD003F34AE
+:0200DE00008A96
+:0200DF003FC21E
+:0200E0003FBF20
+:0200E100008796
+:0200E2003F3DA0
+:0200E300014CCE
+:0200E4003DEFEE
+:0200E500035EB8
+:0200E60039CF10
+:0200E70012C63F
+:0200E80015827F
+:0200E900397963
+:0200EA0003828F
+:0200EB003DDBFB
+:0200EC000158B9
+:0200ED003F359D
+:0200EE00008B85
+:0200EF003FC010
+:0200F0003FBF10
+:0200F100008A83
+:0200F2003F3895
+:0200F3000154B6
+:0200F4003DE3EA
+:0200F500037492
+:0200F600399E31
+:0200F7001414DF
+:0200F800143DB5
+:0200F900399933
+:0200FA0003768B
+:0200FB003DE1E5
+:0200FC000154AD
+:0200FD003F378B
+:0200FE00008A76
+:0200FF003FBF01
+:020100003FC0FE
+:02010100008B71
+:020102003F3587
+:020103000158A1
+:020104003DDBE1
+:02010500038174
+:02010600397C42
+:02010700155A87
+:0201080012F0F3
+:0201090039C8F3
+:02010A0003618F
+:02010B003DEDC8
+:02010C00014DA3
+:02010D003F3C75
+:02010E00008867
+:02010F003FBFF0
+:020110003FC2EC
+:02011100008B61
+:020112003F3478
+:02011300015990
+:020114003DD9D3
+:0201150003865F
+:02011600396846
+:0201170016953B
+:02011800119B39
+:020119003A05A5
+:02011A0003459B
+:02011B003DFEA7
+:02011C0001439D
+:02011D003F425F
+:02011E0000855A
+:02011F003FBFE0
+:020120003FC4DA
+:02012100008953
+:020122003F3567
+:02012300015782
+:020124003DDCC0
+:02012500038253
+:02012600396539
+:0201270017C3FC
+:02012800104085
+:020129003A4D4D
+:02012A000322AE
+:02012B003E1381
+:02012C0001369A
+:02012D003F4A47
+:02012E0000804F
+:02012F003FBFD0
+:020130003FC6C8
+:02013100008745
+:020132003F3953
+:02013300015178
+:020134003DE5A7
+:02013500037550
+:0201360039731B
+:0201370018E2CC
+:020138000EE2D5
+:020139003AA0EA
+:02013A0002F7CA
+:02013B003E2C58
+:02013C00012799
+:02013D003F542D
+:02013E00007B44
+:02013F003FC1BE
+:020140003FCAB4
+:02014100008339
+:020142003F3E3E
+:02014300014871
+:020144003DF389
+:02014500035F56
+:020146003992EC
+:0201470019F2AB
+:020148000D8226
+:020149003AFD7D
+:02014A0002C7EA
+:02014B003E492B
+:02014C0001159B
+:02014D003F5F12
+:02014E0000753A
+:02014F003FC2AD
+:020150003FCEA0
+:02015100007E2E
+:020152003F4626
+:02015300013B6E
+:020154003E0764
+:02015500033F66
+:0201560039C3AB
+:020157001AF09C
+:020158000C2376
+:020159003B6207
+:02015A0002920F
+:02015B003E6AFA
+:02015C0001019F
+:02015D003F6BF6
+:02015E00006F30
+:02015F003FC49B
+:020160003FD28C
+:02016100007725
+:020162003F500C
+:02016300012B6E
+:020164003E213A
+:0201650003167F
+:020166003A0855
+:020167001BDAA1
+:020168000AC5C6
+:020169003BCF8A
+:02016A0002573A
+:02016B003E8DC7
+:02016C0000EBA6
+:02016D003F79D8
+:02016E00006728
+:02016F003FC689
+:020170003FD876
+:02017100006F1D
+:020172003F5CF0
+:02017300011772
+:020174003E400B
+:0201750002E3A3
+:020176003A5FEE
+:020177001CB0BA
+:02017800096C10
+:020179003C4107
+:02017A00021968
+:02017B003EB391
+:02017C0000D4AD
+:02017D003F87BA
+:02017E00005F20
+:02017F003FC976
+:020180003FDE60
+:02018100006715
+:020182003F6AD2
+:02018300010079
+:020184003E65D6
+:0201850002A7CF
+:020186003ACA73
+:020187001D70E9
+:02018800081855
+:020189003CB97F
+:02018A0001D89A
+:02018B003EDC58
+:02018C0000BBB6
+:02018D003F969B
+:02018E00005718
+:02018F003FCB64
+:020190003FE44A
+:02019100005C10
+:020192003F7AB2
+:0201930000E585
+:020194003E8F9C
+:02019500026204
+:020196003B48E4
+:020197001E1A2E
+:0201980006CC93
+:020199003D33F4
+:02019A000194CE
+:02019B003F051E
+:02019C0000A1C0
+:02019D003FA67B
+:02019E00004E11
+:02019F003FCF50
+:0201A0003FEB33
+:0201A10000510B
+:0201A2003F8C90
+:0201A30000C892
+:0201A4003EBE5D
+:0201A500021541
+:0201A6003BDA42
+:0201A7001EAB8D
+:0201A8000588C8
+:0201A9003DAF68
+:0201AA00014E04
+:0201AB003F30E3
+:0201AC000087CA
+:0201AD003FB75A
+:0201AE0000450A
+:0201AF003FD23D
+:0201B0003FF21C
+:0201B100004507
+:0201B2003FA06C
+:0201B30000A8A2
+:0201B4003EF11A
+:0201B50001BF88
+:0201B6003C808B
+:0201B7001F2304
+:0201B800044FF2
+:0201B9003E2DD9
+:0201BA0001073B
+:0201BB003F5CA7
+:0201BC00006CD5
+:0201BD003FC73A
+:0201BE00003C03
+:0201BF003FD52A
+:0201C0003FFA04
+:0201C100003804
+:0201C2003FB547
+:0201C3000085B5
+:0201C4003F29D1
+:0201C5000161D6
+:0201C6003D38C2
+:0201C7001F8295
+:0201C800032210
+:0201C9003EAA4C
+:0201CA0000BF74
+:0201CB003F886B
+:0201CC000051E0
+:0201CD003FD819
+:0201CE000032FD
+:0201CF003FD916
+:0201D00000032A
+:0201D100002A02
+:0201D2003FCC20
+:0201D3000060CA
+:0201D4003F6585
+:0201D50000FD2B
+:0201D6003E04E5
+:0201D7001FC641
+:0201D800020221
+:0201D9003F25C0
+:0201DA000078AB
+:0201DB003FB42F
+:0201DC000036EB
+:0201DD003FE9F8
+:0201DE000029F6
+:0201DF003FDA05
+:0201E000000C11
+:0201E100001B01
+:0201E2003FE4F8
+:0201E3000038E2
+:0201E4003FA436
+:0201E500009286
+:0201E6003EE1F8
+:0201E7001FF007
+:0201E80000F124
+:0201E9003F9E37
+:0201EA000032E1
+:0201EB003FE0F3
+:0201EC00001BF6
+:0201ED003FF9D8
+:0201EE00001FF0
+:0201EF003FE6E9
+:0201F0000015F8
+:0201F100000B01
+:0201F2003FFDCF
+:0201F300000FFB
+:0201F4003FE6E4
+:0201F5000022E6
+:0201F6003FD0F8
+:0201F7001FFFE8
+:0201F8003FEFD7
+:0201F9000014F0
+:0201FA003FEED6
+:0201FB00000AF8
+:0201FC00000100
+:0201FD000009F7
+:0201FE000016E9
+:0201FF003EA31D
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..02d1822d0352329e2f3c47fab19902a472094984
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_16pts.hex
@@ -0,0 +1,17 @@
+:020000003fdbe4
+:02000100009964
+:020002003efcc2
+:02000300019f5b
+:020004003d9d20
+:020005000343b3
+:020006003bb607
+:0200070005fff3
+:020008001fd601
+:0200090001c430
+:02000a003d793e
+:02000b00026988
+:02000c003dffb6
+:02000d00017e72
+:02000e003effb3
+:02000f0000a44b
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..cfb443a9ee6582d542bdf1c6d6c0acddd033ecf1
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_7_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018C70
+:02000200FE4BB3
+:020003000116E4
+:02000400FF7883
+:020005000032C7
+:02000600FFA851
+:0200070001FDF9
+:020008007FCFA8
+:02000900FAC635
+:02000A000304ED
+:02000B00FE34C1
+:02000C0000BD35
+:02000D000049A8
+:02000E00FEB939
+:02000F0001707E
+:02001000000FDF
+:0200110001A547
+:02001200FDDA15
+:0200130001F3F7
+:02001400FE10DC
+:02001500027077
+:02001600FBD31A
+:020017000AAA33
+:020018007E2840
+:02001900F42BC6
+:02001A00063DA1
+:02001B00FC43A4
+:02001C0001FEE3
+:02001D00FF786A
+:02001E00FF2EB3
+:02001F00014F8F
+:020020000017C7
+:0200210001B824
+:02002200FD706F
+:0200230002CD0C
+:02002400FCA638
+:0200250004B91C
+:02002600F7DB06
+:0200270014378C
+:020028007AD686
+:02002900EEC126
+:02002A000901CA
+:02002B00FA9148
+:02002C00031CB3
+:02002D00FEB81B
+:02002E00FFA031
+:02002F00012CA2
+:020030000022AC
+:0200310001C309
+:02003200FD13BC
+:0200330003992F
+:02003400FB4986
+:0200350006F5CE
+:02003600F3E7EE
+:020037001E7732
+:0200380075EE63
+:02003900EA9447
+:02003A000B3E7B
+:02003B00F92C9E
+:02003C00040FAF
+:02003D00FE0DB6
+:02003E00000BB5
+:02003F000108B6
+:02004000002F8F
+:0200410001C4F8
+:02004200FCC5FB
+:02004300045166
+:02004400FA05BB
+:02004500090EA2
+:02004600F01FA9
+:02004700293856
+:020048006F8FB8
+:02004900E7A529
+:02004A000CE7C1
+:02004B00F81BA0
+:02004C0004CFDF
+:02004D00FD7C38
+:02004E00006E42
+:02004F0000E5CA
+:02005000003F6F
+:0200510001BBF1
+:02005200FC8C24
+:0200530004EDBA
+:02005400F8EAC8
+:020055000AECB3
+:02005600ECAB11
+:02005700344132
+:0200580067E05F
+:02005900E5ECD4
+:02005A000DF89F
+:02005B00F76448
+:02005C00055B42
+:02005D00FD089C
+:02005E0000C6DA
+:02005F0000C3DC
+:0200600000534B
+:0200610001A5F7
+:02006200FC6A36
+:02006300056432
+:02006400F8059D
+:020065000C7914
+:02006600E9B6F9
+:020067003F5800
+:020068005F1027
+:02006900E55B55
+:02006A000E6F17
+:02006B00F70894
+:02006C0005AEDF
+:02006D00FCB2E3
+:02006E0001117E
+:02006F0000A3EC
+:02007000006A24
+:02007100018309
+:02007200FC632D
+:0200730005B0D6
+:02007400F76132
+:020075000D9FDD
+:02007600E76938
+:020077004A3D00
+:020078005555DC
+:02007900E5D9C7
+:02007A000E5323
+:02007B00F70785
+:02007C0005CBB2
+:02007D00FC7B0A
+:02007E00014F30
+:02007F000086F9
+:020080000084FA
+:02008100015329
+:02008200FC7907
+:0200830005CBAB
+:02008400F7097A
+:020085000E4C1F
+:02008600E5E9AA
+:0200870054B370
+:020088004AE943
+:02008900E74A44
+:02008A000DAEB9
+:02008B00F75923
+:02008C0005B3BA
+:02008D00FC6411
+:02008E000180EF
+:02008F00006B04
+:0200900000A1CD
+:02009100011656
+:02009200FCAEC2
+:0200930005B2B4
+:02009400F7066D
+:020095000E71EA
+:02009600E55B28
+:020097005E7B8E
+:0200980040081E
+:02009900E98CF0
+:02009A000C8FC9
+:02009B00F7F874
+:02009C00056AF3
+:02009D00FC69FC
+:02009E0001A3BC
+:02009F0000540B
+:0200A00000C19D
+:0200A10000CB92
+:0200A200FD025D
+:0200A3000561F5
+:0200A400F75B08
+:0200A5000E0447
+:0200A600E5DB98
+:0200A700675B95
+:0200A80034F32F
+:0200A900EC78F1
+:0200AA000B0841
+:0200AB00F8DA81
+:0200AC0004F559
+:0200AD00FC89CC
+:0200AE0001BA95
+:0200AF0000400F
+:0200B00000E36B
+:0200B1000074D9
+:0200B200FD74DB
+:0200B30004DA6D
+:0200B400F80D45
+:0200B5000CFD40
+:0200B600E780E1
+:0200B7006F1EBA
+:0200B80029E736
+:0200B900EFE571
+:0200BA00092E0D
+:0200BB00F9F258
+:0200BC00045CE2
+:0200BD00FCC184
+:0200BE0001C47B
+:0200BF0000300F
+:0200C000010637
+:0200C10000122B
+:0200C200FE033B
+:0200C300041C1B
+:0200C400F91829
+:0200C5000B5DD1
+:0200C600EA5CF2
+:0200C70075932F
+:0200C8001F20F7
+:0200C900F3A999
+:0200CA00071815
+:0200CB00FB3404
+:0200CC0003A689
+:0200CD00FD0D27
+:0200CE0001C36C
+:0200CF0000220D
+:0200D000012A03
+:0200D100FFA787
+:0200D200FEAC82
+:0200D300032DFB
+:0200D400FA78B8
+:0200D5000929F7
+:0200D600EE75C5
+:0200D7007A931A
+:0200D80014D63C
+:0200D900F79B93
+:0200DA0004DD43
+:0200DB00FC9097
+:0200DC0002DA46
+:0200DD00FD6ABA
+:0200DE0001B966
+:0200DF00001708
+:0200E000014DD0
+:0200E100FF35E9
+:0200E200FF6CB1
+:0200E300021108
+:0200E400FC26F8
+:0200E500066DA6
+:0200E600F3CB5A
+:0200E7007DFF9B
+:0200E8000B3DCE
+:0200E900FB9486
+:0200EA0002957D
+:0200EB00FDF91D
+:0200EC0002010F
+:0200ED00FDD341
+:0200EE0001A768
+:0200EF00000F00
+:0200F000016E9F
+:0200F100FEC04F
+:0200F200003BD1
+:0200F30000D239
+:0200F400FE13F9
+:0200F500033BCB
+:0200F600FA54BA
+:0200F7007FC2C6
+:0200F800028183
+:0200F900FF6C9A
+:0200FA000055AF
+:0200FB00FF62A2
+:0200FC000123DE
+:0200FD00FE44BF
+:0200FE00018E71
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..52f130e85cf4b471544b90436c001482d8d05ab1
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_1024pts.hex
@@ -0,0 +1,1025 @@
+:02000000001DE1
+:02000100017389
+:02000200FE916D
+:0200030000BF3C
+:02000400FFF00B
+:02000500FF7B7F
+:0200060000DF19
+:02000700FF51A7
+:020008007FFC7B
+:02000900FD46B2
+:02000A0001D122
+:02000B00FEED08
+:02000C00004AA8
+:02000D0000876A
+:02000E00FEAF43
+:02000F00016B83
+:020010000008E6
+:02001100017A72
+:02001200FE7579
+:0200130000F4F7
+:02001400FF9A51
+:020015000004E5
+:02001600FFF6F3
+:02001700014F97
+:020018007FDF88
+:02001900FB6C7E
+:02001A0002B032
+:02001B00FE697C
+:02001C00009D45
+:02001D0000538E
+:02001E00FECB17
+:02001F0001647A
+:02002000000AD4
+:0200210001815B
+:02002200FE5985
+:020023000129B1
+:02002400FF4497
+:02002500008F4A
+:02002600FF08D1
+:02002700035D77
+:020028007FA8AF
+:02002900F9A438
+:02002A00038948
+:02002B00FDE8EE
+:02002C0000EEE4
+:02002D00001FB2
+:02002E00FEE7EB
+:02002F00015C72
+:02003000000BC3
+:02003100018745
+:02003200FE3E90
+:02003300015F6B
+:02003400FEECE0
+:02003500011BAD
+:02003600FE17B3
+:02003700057A48
+:020038007F55F2
+:02003900F7EEE0
+:02003A00045B65
+:02003B00FD6B5B
+:02003C00013E83
+:02003D00FFECD6
+:02003E00FF03BE
+:02003F0001546A
+:02004000000DB1
+:02004100018D2F
+:02004200FE229C
+:02004300019426
+:02004400FE9428
+:0200450001A90F
+:02004600FD2299
+:0200470007A808
+:020048007EE652
+:02004900F64B74
+:02004A00052788
+:02004B00FCF1C6
+:02004C00018C25
+:02004D00FFBAF8
+:02004E00FF1F92
+:02004F00014C62
+:02005000000EA0
+:02005100019319
+:02005200FE07A7
+:0200530001CAE0
+:02005400FE3C70
+:0200550002386F
+:02005600FC2B81
+:0200570009E4BA
+:020058007E5DCB
+:02005900F4BAF7
+:02005A0005EDB2
+:02005B00FC7B2C
+:02005C0001D8C9
+:02005D00FF8919
+:02005E00FF3B66
+:02005F0001445A
+:0200600000108E
+:02006100019804
+:02006200FDEDB2
+:0200630001FF9B
+:02006400FDE3BA
+:0200650002C8CF
+:02006600FB316C
+:020067000C2E5D
+:020068007DB960
+:02006900F33D65
+:02006A0006ABE3
+:02006B00FC088F
+:02006C0002226E
+:02006D00FF5939
+:02006E00FF573A
+:02006F00013C52
+:0200700000127C
+:02007100019DEF
+:02007200FDD3BC
+:02007300023455
+:02007400FD8A03
+:0200750003582E
+:02007600FA3559
+:020077000E86F3
+:020078007CFA10
+:02007900F1D3C1
+:02007A0007611C
+:02007B00FB9AEE
+:02007C00026917
+:02007D00FF2A58
+:02007E00FF720F
+:02007F0001334B
+:0200800000146A
+:0200810001A2DA
+:02008200FDB9C6
+:02008300026811
+:02008400FD324B
+:0200850003E98D
+:02008600F93847
+:0200870010EB7C
+:020088007C21D9
+:02008900F07C09
+:02008A00080F5D
+:02008B00FB3048
+:02008C0002AEC2
+:02008D00FEFC77
+:02008E00FF8DE4
+:02008F00012B43
+:02009000001658
+:0200910001A6C6
+:02009200FDA1CE
+:02009300029CCD
+:02009400FCDA94
+:020095000479EC
+:02009600F83A36
+:02009700135DF7
+:020098007B2FBC
+:02009900EF393D
+:02009A0008B6A6
+:02009B00FACB9E
+:02009C0002F16F
+:02009D00FECF94
+:02009E00FFA8B9
+:02009F0001223C
+:0200A000001846
+:0200A10001AAB2
+:0200A200FD88D7
+:0200A30002D089
+:0200A400FC82DC
+:0200A50005094B
+:0200A600F73C25
+:0200A70015D969
+:0200A8007A22BA
+:0200A900EE0A5D
+:0200AA000954F7
+:0200AB00FA6AEF
+:0200AC0003311E
+:0200AD00FEA4AF
+:0200AE00FFC38E
+:0200AF00011A34
+:0200B000001B33
+:0200B10001AD9F
+:0200B200FD71DE
+:0200B300030246
+:0200B400FC2C22
+:0200B5000597AD
+:0200B600F63F13
+:0200B7001861CE
+:0200B80078FDD1
+:0200B900ECEE6B
+:0200BA0009E952
+:0200BB00FA0E3B
+:0200BC00036ED1
+:0200BD00FE7AC9
+:0200BE00FFDD64
+:0200BF0001112D
+:0200C000001D21
+:0200C10001B08C
+:0200C200FD5AE5
+:0200C300033404
+:0200C400FBD669
+:0200C50006250E
+:0200C600F54300
+:0200C7001AF32A
+:0200C80077BE01
+:0200C900EBE763
+:0200CA000A76B4
+:0200CB00F9B783
+:0200CC0003A887
+:0200CD00FE52E1
+:0200CE00FFF63B
+:0200CF00010925
+:0200D00000200E
+:0200D10001B27A
+:0200D200FD45EA
+:0200D3000364C4
+:0200D400FB82AD
+:0200D50006B172
+:0200D600F449EB
+:0200D7001D8D7D
+:0200D800766848
+:0200D900EAF348
+:0200DA000AF921
+:0200DB00F965C5
+:0200DC0003E03F
+:0200DD00FE2BF8
+:0200DE00000F11
+:0200DF0001001E
+:0200E0000023FB
+:0200E10001B369
+:0200E200FD30EF
+:0200E300039385
+:0200E400FB2FF0
+:0200E500073AD8
+:0200E600F352D3
+:0200E7002030C7
+:0200E80074FAA8
+:0200E900EA1417
+:0200EA000B7495
+:0200EB00F91802
+:0200EC000414FA
+:0200ED00FE050E
+:0200EE000028E8
+:0200EF0000F817
+:0200F0000026E8
+:0200F10001B458
+:0200F200FD1CF3
+:0200F30003C147
+:0200F400FADE32
+:0200F50007C240
+:0200F600F25DB9
+:0200F70022DB0A
+:0200F80073741F
+:0200F900E948D4
+:0200FA000BE514
+:0200FB00F8D13A
+:0200FC000445B9
+:0200FD00FDE123
+:0200FE000040C0
+:0200FF0000EF10
+:020100000029D4
+:0201010001B546
+:02010200FD09F5
+:0201030003EE09
+:02010400FA8F70
+:020105000846AA
+:02010600F16C9A
+:02010700258D44
+:0201080071D9AB
+:02010900E8907C
+:02010A000C4D9A
+:02010B00F88F6B
+:02010C0004737A
+:02010D00FDBF34
+:02010E00005798
+:02010F0000E707
+:02011000002CC1
+:0201110001B536
+:02011200FCF7F8
+:020113000419CD
+:02011400FA42AD
+:0201150008C818
+:02011600F07F78
+:0201170028447A
+:0201180070274E
+:02011900E7EC11
+:02011A000CAB2C
+:02011B00F85298
+:02011C00049E3F
+:02011D00FD9E45
+:02011E00006D72
+:02011F0000DE00
+:020120000030AD
+:0201210001B427
+:02012200FCE7F8
+:02012300044294
+:02012400F9F7E9
+:02012500094689
+:02012600EF9850
+:020127002B00AB
+:020128006E6007
+:02012900E75B92
+:02012A000D00C6
+:02012B00F81BBF
+:02012C0004C607
+:02012D00FD8053
+:02012E0000844B
+:02012F0000D6F8
+:02013000003499
+:0201310001B318
+:02013200FCD8F7
+:02013300046A5C
+:02013400F9AF21
+:0201350009C0FF
+:02013600EEB623
+:020137002DC0D9
+:020138006C84D5
+:02013900E6DD01
+:02013A000D4B6B
+:02013B00F7EAE1
+:02013C0004EAD3
+:02013D00FD6360
+:02013E00009926
+:02013F0000CEF0
+:02014000003885
+:0201410001B00B
+:02014200FCCAF5
+:02014300049026
+:02014400F96A56
+:020145000A3678
+:02014600EDDAF0
+:02014700308303
+:020148006A95B6
+:02014900E6735B
+:02014A000D8D19
+:02014B00F7BEFD
+:02014C00050BA1
+:02014D00FD476C
+:02014E0000AE01
+:02014F0000C6E8
+:02015000003C71
+:0201510001AEFD
+:02015200FCBDF2
+:0201530004B3F3
+:02015400F92888
+:020155000AA7F7
+:02015600ED06B4
+:0201570033492A
+:020158006892AB
+:02015900E61BA3
+:02015A000DC5D1
+:02015B00F79813
+:02015C00052874
+:02015D00FD2E75
+:02015E0000C1DE
+:02015F0000BEE0
+:0201600000405D
+:0201610001AAF1
+:02016200FCB2ED
+:0201630004D5C1
+:02016400F8E9B8
+:020165000B1479
+:02016600EC3972
+:02016700360F51
+:02016800667DB2
+:02016900E5D6D9
+:02016A000DF492
+:02016B00F77823
+:02016C0005424A
+:02016D00FD167D
+:02016E0000D5BA
+:02016F0000B6D8
+:02017000004548
+:0201710001A6E5
+:02017200FCA8E7
+:0201730004F492
+:02017400F8ADE4
+:020175000B7B02
+:02017600EB7428
+:0201770038D678
+:020178006456CB
+:02017900E5A3FC
+:02017A000E195C
+:02017B00F75D2E
+:02017C00055923
+:02017D00FD0182
+:02017E0000E798
+:02017F0000AED0
+:02018000004A33
+:0201810001A1DA
+:02018200FC9FE0
+:02018300051164
+:02018400F8750C
+:020185000BDD90
+:02018600EAB9D4
+:020187003B9C9F
+:02018800621FF4
+:02018900E5820D
+:02018A000E3530
+:02018B00F74833
+:02018C00056DFF
+:02018D00FCED87
+:02018E0000F976
+:02018F0000A6C8
+:02019000004E1F
+:02019100019BD0
+:02019200FC99D6
+:02019300052B3A
+:02019400F84130
+:020195000C3923
+:02019600EA0677
+:020197003E60C8
+:020198005FD82E
+:02019900E5720D
+:02019A000E470E
+:02019B00F73833
+:02019C00057DDF
+:02019D00FCDB89
+:02019E00010A54
+:02019F00009FBF
+:0201A000005409
+:0201A1000195C6
+:0201A200FC93CC
+:0201A300054312
+:0201A400F81150
+:0201A5000C8EBE
+:0201A600E95F0F
+:0201A7004121F4
+:0201A8005D8276
+:0201A900E574FB
+:0201AA000E50F5
+:0201AB00F72E2D
+:0201AC000589C3
+:0201AD00FCCB89
+:0201AE00011A34
+:0201AF000098B6
+:0201B0000059F4
+:0201B100018EBD
+:0201B200FC90BF
+:0201B3000558ED
+:0201B400F7E46E
+:0201B5000CDD5F
+:0201B600E8C29D
+:0201B70043DF24
+:0201B8005B1ECC
+:0201B900E586D9
+:0201BA000E51E4
+:0201BB00F72922
+:0201BC000592AA
+:0201BD00FCBD87
+:0201BE00012915
+:0201BF000091AD
+:0201C000005EDF
+:0201C1000185B6
+:0201C200FC8EB1
+:0201C300056BCA
+:0201C400F7BC86
+:0201C5000D2506
+:0201C600E8301F
+:0201C700469858
+:0201C80058AC31
+:0201C900E5A9A6
+:0201CA000E48DD
+:0201CB00F72A11
+:0201CC00059894
+:0201CD00FCB183
+:0201CE000137F7
+:0201CF00008AA4
+:0201D0000064C9
+:0201D100017DAE
+:0201D200FC8DA2
+:0201D300057AAB
+:0201D400F79999
+:0201D5000D66B5
+:0201D600E7AA96
+:0201D700494C91
+:0201D800562EA1
+:0201D900E5DB64
+:0201DA000E36DF
+:0201DB00F730FB
+:0201DC00059B81
+:0201DD00FCA67E
+:0201DE000145D9
+:0201DF0000839B
+:0201E000006AB3
+:0201E1000173A8
+:0201E200FC8F90
+:0201E30005878E
+:0201E400F77AA8
+:0201E5000DA06B
+:0201E600E732FE
+:0201E7004BF9D2
+:0201E80053A51D
+:0201E900E61D11
+:0201EA000E1CE9
+:0201EB00F73CDF
+:0201EC00059B71
+:0201ED00FC9E76
+:0201EE000152BC
+:0201EF00007C92
+:0201F00000709D
+:0201F1000169A2
+:0201F200FC927D
+:0201F300059174
+:0201F400F760B2
+:0201F5000DD229
+:0201F600E6C65B
+:0201F7004E9E1A
+:0201F8005111A3
+:0201F900E66DB1
+:0201FA000DFAFC
+:0201FB00F74CBF
+:0201FC00059765
+:0201FD00FC976D
+:0201FE00015EA0
+:0201FF00007688
+:02020000007686
+:02020100015D9D
+:02020200FC9767
+:0202030005975D
+:02020400F74BB6
+:020205000DFCEE
+:02020600E668A8
+:02020700513B69
+:020208004E7432
+:02020900E6CC41
+:02020A000DCF16
+:02020B00F76298
+:02020C0005905B
+:02020D00FC9261
+:02020E00016984
+:02020F0000707D
+:02021000007D6F
+:02021100015199
+:02021200FC9E50
+:02021300059B49
+:02021400F73BB6
+:020215000E1EBB
+:02021600E618E8
+:0202170053CEC4
+:020218004BCECB
+:02021900E739C3
+:02021A000D9D38
+:02021B00F77C6E
+:02021C00058655
+:02021D00FC8F54
+:02021E00017469
+:02021F00006974
+:02022000008359
+:02022100014496
+:02022200FCA737
+:02022300059B39
+:02022400F730B1
+:020225000E3891
+:02022600E5D819
+:02022700565629
+:0202280049216A
+:02022900E7B23A
+:02022A000D6362
+:02022B00F79B3F
+:02022C00057952
+:02022D00FC8D46
+:02022E00017D50
+:02022F00006469
+:02023000008A42
+:02023100013793
+:02023200FCB11D
+:0202330005982C
+:02023400F72AA7
+:020235000E4970
+:02023600E5A63B
+:0202370058D39A
+:02023800466D11
+:02023900E839A2
+:02023A000D2194
+:02023B00F7BF0B
+:02023C00056A51
+:02023D00FC8E35
+:02023E00018637
+:02023F00005E5F
+:0202400000912B
+:02024100012892
+:02024200FCBE00
+:02024300059222
+:02024400F72A97
+:020245000E5158
+:02024600E5854C
+:020247005B4416
+:0202480043B4BD
+:02024900E8CB00
+:02024A000CD9CD
+:02024B00F7E7D3
+:02024C00055754
+:02024D00FC9023
+:02024E00018E1F
+:02024F00005855
+:02025000009814
+:02025100011991
+:02025200FCCCE2
+:0202530005881C
+:02025400F72F82
+:020255000E5049
+:02025600E5734E
+:020257005DA8A0
+:0202580040F56F
+:02025900E96951
+:02025A000C890D
+:02025B00F81396
+:02025C00054259
+:02025D00FC940F
+:02025E00019508
+:02025F0000534A
+:02026000009FFD
+:02026100010991
+:02026200FCDCC2
+:02026300057C18
+:02026400F73968
+:020265000E4643
+:02026600E5733E
+:020267005FFD39
+:020268003E3422
+:02026900EA1198
+:02026A000C3353
+:02026B00F84455
+:02026C00052A61
+:02026D00FC99FA
+:02026E00019CF1
+:02026F00004E3F
+:0202700000A7E5
+:0202710000F893
+:02027200FCEEA0
+:02027300056B19
+:02027400F74948
+:020275000E3346
+:02027600E5831E
+:020277006243E0
+:020278003B6FDA
+:02027900EAC4D5
+:02027A000BD7A0
+:02027B00F87910
+:02027C00050F6C
+:02027D00FCA0E3
+:02027E0001A1DC
+:02027F00004934
+:0202800000AECE
+:0202810000E695
+:02028200FD027B
+:0202830005581C
+:02028400F75F22
+:020285000E1752
+:02028600E5A5EC
+:02028700647998
+:0202880038A993
+:02028900EB8008
+:02028A000B75F2
+:02028B00F8B1C8
+:02028C0004F27A
+:02028D00FCA8CB
+:02028E0001A6C7
+:02028F00004528
+:0202900000B6B6
+:0202910000D398
+:02029200FD1855
+:02029300054123
+:02029400F77AF7
+:020295000DF169
+:02029600E5DAA7
+:02029700669F60
+:0202980035E34C
+:02029900EC4532
+:02029A000B0D4A
+:02029B00F8ED7C
+:02029C0004D389
+:02029D00FCB2B1
+:02029E0001AAB3
+:02029F0000401D
+:0202A00000BE9E
+:0202A10000C09B
+:0202A200FD302D
+:0202A30005272D
+:0202A400F79AC7
+:0202A5000DC288
+:0202A600E62050
+:0202A70068B33A
+:0202A800331C05
+:0202A900ED1353
+:0202AA000AA0A8
+:0202AB00F92C2C
+:0202AC0004B19B
+:0202AD00FCBE95
+:0202AE0001AE9F
+:0202AF00003C11
+:0202B00000C686
+:0202B10000AC9F
+:0202B200FD4904
+:0202B30005093B
+:0202B400F7C190
+:0202B5000D89B1
+:0202B600E679E7
+:0202B7006AB427
+:0202B8003057BD
+:0202B900EDE86E
+:0202BA000A2F09
+:0202BB00F96EDA
+:0202BC00048DAF
+:0202BD00FCCA79
+:0202BE0001B18C
+:0202BF00003805
+:0202C00000CE6E
+:0202C1000098A3
+:0202C200FD64D9
+:0202C30004E84D
+:0202C400F7ED54
+:0202C5000D47E3
+:0202C600E6E46C
+:0202C7006CA227
+:0202C8002D9473
+:0202C900EEC481
+:0202CA0009B970
+:0202CB00F9B484
+:0202CC000468C4
+:0202CD00FCD85B
+:0202CE0001B37A
+:0202CF000034F9
+:0202D00000D656
+:0202D1000082A9
+:0202D200FD81AC
+:0202D30004C362
+:0202D400F81F11
+:0202D5000CFB20
+:0202D600E763DC
+:0202D7006E7D3A
+:0202D8002AD426
+:0202D900EFA68E
+:0202DA00093EDB
+:0202DB00F9FC2C
+:0202DC000440DC
+:0202DD00FCE83B
+:0202DE0001B469
+:0202DF000030ED
+:0202E00000DF3D
+:0202E100006CAF
+:0202E200FDA07D
+:0202E300049B7A
+:0202E400F856CA
+:0202E5000CA665
+:0202E600E7F53A
+:0202E700704263
+:0202E8002818D4
+:0202E900F08E95
+:0202EA0008C04A
+:0202EB00FA47D0
+:0202EC000416F6
+:0202ED00FCF81B
+:0202EE0001B558
+:0202EF00002CE1
+:0202F00000E725
+:0202F1000055B6
+:0202F200FDC14C
+:0202F300047095
+:0202F400F8937D
+:0202F5000C47B4
+:0202F600E89B83
+:0202F70071F3A1
+:0202F80025617E
+:0202F900F17B97
+:0202FA00083EBC
+:0202FB00FA9473
+:0202FC0003EB12
+:0202FD00FD0AF8
+:0202FE0001B548
+:0202FF000029D4
+:0203000000F00B
+:02030100003EBC
+:02030200FDE319
+:020303000442B2
+:02030400F8D52A
+:020305000BDE0D
+:02030600E954B8
+:02030700738DF4
+:0203080022B021
+:02030900F26C94
+:02030A0007B931
+:02030B00FAE313
+:02030C0003BF2D
+:02030D00FD1DD4
+:02030E0001B438
+:02030F000026C6
+:0203100000F8F3
+:020311000026C4
+:02031200FE07E4
+:020313000411D3
+:02031400F91DD1
+:020315000B6D6E
+:02031600EA21DA
+:0203170075115E
+:020318002006BD
+:02031900F3618E
+:02031A000732A8
+:02031B00FB34B1
+:02031C0003914B
+:02031D00FD31B0
+:02031E0001B329
+:02031F000023B9
+:020320000101D9
+:02032100000ECC
+:02032200FE2DAE
+:0203230003DCF9
+:02032400F96A74
+:020325000AF1DB
+:02032600EB02E8
+:02032700767EE0
+:020328001D6353
+:02032900F45985
+:02032A0006A823
+:02032B00FB874E
+:02032C0003616B
+:02032D00FD468B
+:02032E0001B21A
+:02032F000020AC
+:020330000109C1
+:02033100FFF5D6
+:02033200FE5477
+:0203330003A520
+:02033400F9BC12
+:020335000A6D4F
+:02033600EBF7E3
+:0203370077D37A
+:020338001AC9E0
+:02033900F5537A
+:02033A00061C9F
+:02033B00FBDBEA
+:02033C0003318B
+:02033D00FD5C65
+:02033E0001AF0D
+:02033F00001D9F
+:020340000112A8
+:02034100FFDBE0
+:02034200FE7D3E
+:02034300036A4B
+:02034400FA14A9
+:0203450009E0CD
+:02034600ECFFCA
+:0203470079102B
+:02034800183863
+:02034900F64F6D
+:02034A00058F1D
+:02034B00FC3183
+:02034C0002FFAE
+:02034D00FD723F
+:02034E0001ADFF
+:02034F00001A92
+:02035000011A90
+:02035100FFC1EA
+:02035200FEA704
+:02035300032D78
+:02035400FA703D
+:02035500094A53
+:02035600EE1C9B
+:020357007A34F6
+:0203580015B1DD
+:02035900F74C5F
+:02035A0005009C
+:02035B00FC881C
+:02035C0002CCD1
+:02035D00FD8A17
+:02035E0001A9F3
+:02035F00001884
+:02036000012377
+:02036100FFA7F4
+:02036200FED2C9
+:0203630002EDA9
+:02036400FAD1CC
+:0203650008ACE2
+:02036600EF4C5A
+:020367007B3FDA
+:0203680013354B
+:02036900F84A50
+:02036A0004701D
+:02036B00FCDFB5
+:02036C000299F4
+:02036D00FDA2EF
+:02036E0001A6E6
+:02036F00001676
+:02037000012B5F
+:02037100FF8CFF
+:02037200FEFF8C
+:0203730002AADC
+:02037400FB3755
+:02037500080579
+:02037600F09104
+:020377007C30D8
+:0203780010C5AE
+:02037900F94841
+:02037A0003E09E
+:02037B00FD374C
+:02037C00026518
+:02037D00FDBBC6
+:02037E0001A2DA
+:02037F00001468
+:02038000013446
+:02038100FF710A
+:02038200FF2D4D
+:02038300026511
+:02038400FBA1DB
+:02038500075619
+:02038600F1E99B
+:020387007D07F0
+:020388000E6104
+:02038900FA4533
+:02038A00034F1F
+:02038B00FD90E3
+:02038C0002303D
+:02038D00FDD49D
+:02038E00019DCF
+:02038F0000125A
+:02039000013C2E
+:02039100FF5516
+:02039200FF5C0E
+:02039300021D49
+:02039400FC0F5C
+:02039500069FC1
+:02039600F3541E
+:020397007DC423
+:020398000C094E
+:02039900FB4027
+:02039A0002BFA0
+:02039B00FDE87B
+:02039C0001FB63
+:02039D00FDEE73
+:02039E000198C4
+:02039F0000104C
+:0203A000014515
+:0203A100FF3922
+:0203A200FF8CCE
+:0203A30001D384
+:0203A400FC82D9
+:0203A50005E071
+:0203A600F4D38E
+:0203A7007E6670
+:0203A80009C08A
+:0203A900FC3A1C
+:0203AA00022F20
+:0203AB00FE4111
+:0203AC0001C688
+:0203AD00FE0947
+:0203AE000192BA
+:0203AF00000E3E
+:0203B000014DFD
+:0203B100FF1E2D
+:0203B200FFBD8D
+:0203B3000187C0
+:0203B400FCF952
+:0203B500051B26
+:0203B600F665EA
+:0203B7007EEED8
+:0203B8000785B7
+:0203B900FD3213
+:0203BA0001A0A0
+:0203BB00FE9AA8
+:0203BC000191AD
+:0203BD00FE241C
+:0203BE00018DAF
+:0203BF00000C30
+:0203C0000155E5
+:0203C100FF013A
+:0203C200FFF04A
+:0203C3000139FE
+:0203C400FD73C7
+:0203C500044EE4
+:0203C600F80934
+:0203C7007F5B5A
+:0203C8000558D6
+:0203C900FE260E
+:0203CA0001121E
+:0203CB00FEF240
+:0203CC00015BD3
+:0203CD00FE3FF1
+:0203CE000187A5
+:0203CF00000B21
+:0203D000015CCE
+:0203D100FEE547
+:0203D200002207
+:0203D30000E93F
+:0203D400FDF03A
+:0203D500037BA8
+:0203D600F9C06C
+:0203D7007FACF9
+:0203D800033BE5
+:0203D900FF170C
+:0203DA0000869B
+:0203DB00FF49D8
+:0203DC000126F8
+:0203DD00FE5BC5
+:0203DE0001809C
+:0203DF00000913
+:0203E0000164B6
+:0203E100FEC953
+:0203E2000056C3
+:0203E300009880
+:0203E400FE71A8
+:0203E50002A272
+:0203E600FB8A90
+:0203E7007FE2B3
+:0203E800012EE4
+:0203E90000050D
+:0203EA00FFFB17
+:0203EB00FFA071
+:0203EC0000F01F
+:0203ED00FE7799
+:0203EE00017993
+:0203EF00000903
+:0203F000016C9E
+:0203F100FEAD5F
+:0203F200008A7F
+:0203F3000044C4
+:0203F400FEF514
+:0203F50001C342
+:0203F600FD65A3
+:0203F7007FFC89
+:0203F800FF32D2
+:0203F90000ED15
+:0203FA00FF738F
+:0203FB00FFF50C
+:0203FC0000BB44
+:0203FD00FE936D
+:0203FE0001728A
+:0203FF00001FDD
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..60fb8e60a30457832f44908f1915b2c1e83e86c8
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_8_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018E6E
+:02000200FE44BA
+:020003000123D7
+:02000400FF6299
+:020005000055A4
+:02000600FF6C8D
+:02000700028174
+:020008007FC2B5
+:02000900FA54A7
+:02000A00033BB6
+:02000B00FE13E2
+:02000C0000D220
+:02000D00003BB6
+:02000E00FEC032
+:02000F00016E80
+:02001000000FDF
+:0200110001A745
+:02001200FDD31C
+:020013000201E8
+:02001400FDF9F4
+:02001500029552
+:02001600FB9459
+:020017000B3D9F
+:020018007DFF6A
+:02001900F3CB27
+:02001A00066D71
+:02001B00FC26C1
+:02001C000211CF
+:02001D00FF6C76
+:02001E00FF35AC
+:02001F00014D91
+:020020000017C7
+:0200210001B923
+:02002200FD6A75
+:0200230002DAFF
+:02002400FC904E
+:0200250004DDF8
+:02002600F79B46
+:0200270014D6ED
+:020028007A93C9
+:02002900EE7572
+:02002A000929A2
+:02002B00FA7861
+:02002C00032DA2
+:02002D00FEAC27
+:02002E00FFA72A
+:02002F00012AA4
+:020030000022AC
+:0200310001C309
+:02003200FD0DC2
+:0200330003A622
+:02003400FB349B
+:020035000718AA
+:02003600F3A92C
+:020037001F2088
+:020038007593BE
+:02003900EA5C7F
+:02003A000B5D5C
+:02003B00F918B2
+:02003C00041CA2
+:02003D00FE03C0
+:02003E000012AE
+:02003F000106B8
+:0200400000308E
+:0200410001C4F8
+:02004200FCC1FF
+:02004300045C5B
+:02004400F9F2CF
+:02004500092E82
+:02004600EFE5E4
+:0200470029E7A7
+:020048006F1E29
+:02004900E7804E
+:02004A000CFDAB
+:02004B00F80DAE
+:02004C0004DAD4
+:02004D00FD7440
+:02004E0000743C
+:02004F0000E3CC
+:0200500000406E
+:0200510001BAF2
+:02005200FC8927
+:0200530004F5B2
+:02005400F8DAD8
+:020055000B0896
+:02005600EC7844
+:0200570034F380
+:02005800675BE4
+:02005900E5DBE5
+:02005A000E0492
+:02005B00F75B51
+:02005C0005613C
+:02005D00FD02A2
+:02005E0000CBD5
+:02005F0000C1DE
+:0200600000544A
+:0200610001A3F9
+:02006200FC6937
+:02006300056A2C
+:02006400F7F8AB
+:020065000C8FFE
+:02006600E98C23
+:0200670040084F
+:020068005E7BBD
+:02006900E55B55
+:02006A000E7115
+:02006B00F70696
+:02006C0005B2DB
+:02006D00FCAEE7
+:02006E00011679
+:02006F0000A1EE
+:02007000006B23
+:0200710001800C
+:02007200FC642C
+:0200730005B3D3
+:02007400F7593A
+:020075000DAECE
+:02007600E74A57
+:020077004AE954
+:0200780054B37F
+:02007900E5E9B7
+:02007A000E4C2A
+:02007B00F70983
+:02007C0005CBB2
+:02007D00FC790C
+:02007E0001532C
+:02007F000084FB
+:020080000086F8
+:02008100014F2D
+:02008200FC7B05
+:0200830005CBAB
+:02008400F7077C
+:020085000E5318
+:02008600E5D9BA
+:020087005555CD
+:020088004A3DEF
+:02008900E76925
+:02008A000D9FC8
+:02008B00F7611B
+:02008C0005B0BD
+:02008D00FC6312
+:02008E000183EC
+:02008F00006A05
+:0200900000A3CB
+:0200910001115B
+:02009200FCB2BE
+:0200930005AEB8
+:02009400F7086B
+:020095000E6FEC
+:02009600E55B28
+:020097005F10F8
+:020098003F58CF
+:02009900E9B6C6
+:02009A000C79DF
+:02009B00F80566
+:02009C000564F9
+:02009D00FC6AFB
+:02009E0001A5BA
+:02009F0000530C
+:0200A00000C39B
+:0200A10000C697
+:0200A200FD0857
+:0200A300055BFB
+:0200A400F764FF
+:0200A5000DF854
+:0200A600E5EC87
+:0200A70067E010
+:0200A8003441E1
+:0200A900ECABBE
+:0200AA000AEC5E
+:0200AB00F8EA71
+:0200AC0004ED61
+:0200AD00FC8CC9
+:0200AE0001BB94
+:0200AF00003F10
+:0200B00000E569
+:0200B100006EDF
+:0200B200FD7CD3
+:0200B30004CF78
+:0200B400F81B37
+:0200B5000CE756
+:0200B600E7A5BC
+:0200B7006F8F49
+:0200B8002938E5
+:0200B900F01F36
+:0200BA00090E2D
+:0200BB00FA0544
+:0200BC000451ED
+:0200BD00FCC580
+:0200BE0001C47B
+:0200BF00002F10
+:0200C000010835
+:0200C100000B32
+:0200C200FE0D31
+:0200C300040F28
+:0200C400F92C15
+:0200C5000B3EF0
+:0200C600EA94BA
+:0200C70075EED4
+:0200C8001E77A1
+:0200C900F3E75B
+:0200CA0006F539
+:0200CB00FB49EF
+:0200CC00039996
+:0200CD00FD1321
+:0200CE0001C36C
+:0200CF0000220D
+:0200D000012C01
+:0200D100FFA08E
+:0200D200FEB876
+:0200D300031C0C
+:0200D400FA919F
+:0200D50009011F
+:0200D600EEC179
+:0200D7007AD6D7
+:0200D8001437DB
+:0200D900F7DB53
+:0200DA0004B967
+:0200DB00FCA681
+:0200DC0002CD53
+:0200DD00FD70B4
+:0200DE0001B867
+:0200DF00001708
+:0200E000014FCE
+:0200E100FF2EF0
+:0200E200FF78A5
+:0200E30001FE1C
+:0200E400FC43DB
+:0200E500063DD6
+:0200E600F42BF9
+:0200E7007E2871
+:0200E8000AAA62
+:0200E900FBD347
+:0200EA000270A2
+:0200EB00FE1005
+:0200EC0001F31E
+:0200ED00FDDA3A
+:0200EE0001A56A
+:0200EF00000F00
+:0200F00001709D
+:0200F100FEB956
+:0200F2000049C3
+:0200F30000BD4E
+:0200F400FE34D8
+:0200F500030402
+:0200F600FAC648
+:0200F7007FCFB9
+:0200F80001FD08
+:0200F900FFA85E
+:0200FA000032D2
+:0200FB00FF788C
+:0200FC000116EB
+:0200FD00FE4BB8
+:0200FE00018C73
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..b147cf9d39b30ca629a336a4a12a87494cd98e72
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_1024pts.hex
@@ -0,0 +1,1025 @@
+:02000000001CE2
+:02000100017389
+:02000200FE8F6F
+:0200030000C239
+:02000400FFEB10
+:02000500FF8476
+:0200060000D127
+:02000700FF7187
+:020008007FFB7C
+:02000900FD28D0
+:02000A0001DF14
+:02000B00FEE411
+:02000C00004FA3
+:02000D0000846D
+:02000E00FEB042
+:02000F00016B83
+:020010000008E6
+:02001100017A72
+:02001200FE737B
+:0200130000F7F4
+:02001400FF9556
+:02001500000CDD
+:02001600FFE702
+:02001700016F77
+:020018007FDD8A
+:02001900FB4F9B
+:02001A0002BE24
+:02001B00FE6184
+:02001C0000A240
+:02001D00004F92
+:02001E00FECD15
+:02001F0001637B
+:02002000000AD4
+:0200210001815B
+:02002200FE5787
+:02002300012CAE
+:02002400FF3E9D
+:02002500009742
+:02002600FEF9E1
+:02002700037E56
+:020028007FA3B4
+:02002900F98854
+:02002A0003963B
+:02002B00FDE1F5
+:02002C0000F3DF
+:02002D00001CB5
+:02002E00FEE9E9
+:02002F00015C72
+:02003000000BC3
+:02003100018745
+:02003200FE3C92
+:02003300016268
+:02003400FEE7E5
+:020035000124A4
+:02003600FE08C2
+:02003700059D25
+:020038007F4EF9
+:02003900F7D4FA
+:02003A00046858
+:02003B00FD6363
+:02003C0001437E
+:02003D00FFE9D9
+:02003E00FF05BC
+:02003F0001546A
+:02004000000DB1
+:02004100018D2F
+:02004200FE219D
+:02004300019723
+:02004400FE8F2D
+:0200450001B206
+:02004600FD13A8
+:0200470007CBE5
+:020048007EDF59
+:02004900F6318E
+:02004A0005347B
+:02004B00FCEACD
+:02004C00019120
+:02004D00FFB7FB
+:02004E00FF2190
+:02004F00014C62
+:02005000000EA0
+:02005100019319
+:02005200FE06A8
+:0200530001CDDD
+:02005400FE3676
+:02005500024166
+:02005600FC1B91
+:020057000A0895
+:020058007E54D4
+:02005900F4A20F
+:02005A0005F9A6
+:02005B00FC7433
+:02005C0001DDC4
+:02005D00FF861C
+:02005E00FF3D64
+:02005F0001435B
+:0200600000108E
+:02006100019903
+:02006200FDEBB4
+:02006300020297
+:02006400FDDDC0
+:0200650002D1C6
+:02006600FB217C
+:020067000C5338
+:020068007DAE6B
+:02006900F3267C
+:02006A0006B6D8
+:02006B00FC0196
+:02006C0002266A
+:02006D00FF563C
+:02006E00FF5938
+:02006F00013B53
+:0200700000127C
+:02007100019EEE
+:02007200FDD1BE
+:02007300023752
+:02007400FD8508
+:02007500036125
+:02007600FA2569
+:020077000EACCD
+:020078007CEE1C
+:02007900F1BDD7
+:02007A00076C11
+:02007B00FB93F5
+:02007C00026E12
+:02007D00FF275B
+:02007E00FF740D
+:02007F0001334B
+:0200800000146A
+:0200810001A2DA
+:02008200FDB8C7
+:02008300026C0D
+:02008400FD2C51
+:0200850003F284
+:02008600F92857
+:02008700111254
+:020088007C13E7
+:02008900F0671E
+:02008A00081A52
+:02008B00FB2A4E
+:02008C0002B3BD
+:02008D00FEF97A
+:02008E00FF8FE2
+:02008F00012A44
+:02009000001658
+:0200910001A6C6
+:02009200FD9FD0
+:02009300029FCA
+:02009400FCD49A
+:020095000482E3
+:02009600F82A46
+:020097001384D0
+:020098007B1FCC
+:02009900EF2551
+:02009A0008C09C
+:02009B00FAC5A4
+:02009C0002F56B
+:02009D00FECD96
+:02009E00FFAAB7
+:02009F0001223C
+:0200A000001846
+:0200A10001AAB2
+:0200A200FD87D8
+:0200A30002D386
+:0200A400FC7DE1
+:0200A500051242
+:0200A600F72D34
+:0200A70016023F
+:0200A8007A11CB
+:0200A900EDF771
+:0200AA00095DEE
+:0200AB00FA64F5
+:0200AC0003351A
+:0200AD00FEA1B2
+:0200AE00FFC48D
+:0200AF00011935
+:0200B000001B33
+:0200B10001AD9F
+:0200B200FD70DF
+:0200B300030543
+:0200B400FC2628
+:0200B50005A0A4
+:0200B600F63022
+:0200B700188AA5
+:0200B80078E9E5
+:0200B900ECDD7C
+:0200BA0009F249
+:0200BB00FA0841
+:0200BC000372CD
+:0200BD00FE78CB
+:0200BE00FFDE63
+:0200BF0001112D
+:0200C000001D21
+:0200C10001B08C
+:0200C200FD59E6
+:0200C300033701
+:0200C400FBD16E
+:0200C500062E05
+:0200C600F5340F
+:0200C7001B1C00
+:0200C80077AA15
+:0200C900EBD773
+:0200CA000A7EAC
+:0200CB00F9B288
+:0200CC0003AC83
+:0200CD00FE4FE4
+:0200CE00FFF839
+:0200CF00010826
+:0200D00000200E
+:0200D10001B27A
+:0200D200FD43EC
+:0200D3000367C1
+:0200D400FB7DB2
+:0200D50006B96A
+:0200D600F43AFA
+:0200D7001DB753
+:0200D80076525E
+:0200D900EAE556
+:0200DA000B0118
+:0200DB00F960CA
+:0200DC0003E33C
+:0200DD00FE28FB
+:0200DE0000110F
+:0200DF0001001E
+:0200E0000023FB
+:0200E10001B468
+:0200E200FD2EF1
+:0200E300039682
+:0200E400FB2AF5
+:0200E5000743CF
+:0200E600F342E3
+:0200E700205B9C
+:0200E80074E2C0
+:0200E900EA0625
+:0200EA000B7B8E
+:0200EB00F91406
+:0200EC000417F7
+:0200ED00FE0310
+:0200EE000029E7
+:0200EF0000F718
+:0200F0000026E8
+:0200F10001B557
+:0200F200FD1BF4
+:0200F30003C444
+:0200F400FAD937
+:0200F50007CA38
+:0200F600F24EC8
+:0200F7002306DE
+:0200F800735B38
+:0200F900E93CE0
+:0200FA000BEC0D
+:0200FB00F8CD3E
+:0200FC000448B6
+:0200FD00FDDF25
+:0200FE000041BF
+:0200FF0000EF10
+:020100000029D4
+:0201010001B546
+:02010200FD08F6
+:0201030003F106
+:02010400FA8A75
+:02010500084FA1
+:02010600F15DA9
+:0201070025B819
+:0201080071BEC6
+:02010900E88587
+:02010A000C5394
+:02010B00F88B6F
+:02010C00047677
+:02010D00FDBD36
+:02010E00005897
+:02010F0000E608
+:02011000002DC0
+:0201110001B536
+:02011200FCF6F9
+:02011300041CCA
+:02011400FA3DB2
+:0201150008D010
+:02011600F07186
+:02011700286F4F
+:02011800700B6A
+:02011900E7E21B
+:02011A000CB126
+:02011B00F84F9B
+:02011C0004A13C
+:02011D00FD9C47
+:02011E00006F70
+:02011F0000DE00
+:020120000030AD
+:0201210001B427
+:02012200FCE6F9
+:02012300044591
+:02012400F9F3ED
+:02012500094E81
+:02012600EF895F
+:020127002B2C7F
+:020128006E4324
+:02012900E7529B
+:02012A000D05C1
+:02012B00F818C2
+:02012C0004C805
+:02012D00FD7E55
+:02012E0000854A
+:02012F0000D5F9
+:02013000003499
+:0201310001B219
+:02013200FCD7F8
+:02013300046C5A
+:02013400F9AB25
+:0201350009C8F7
+:02013600EEA831
+:020137002DECAD
+:020138006C66F3
+:02013900E6D608
+:02013A000D5066
+:02013B00F7E7E4
+:02013C0004ECD1
+:02013D00FD6162
+:02013E00009A25
+:02013F0000CDF1
+:02014000003885
+:0201410001B00B
+:02014200FCC9F6
+:02014300049224
+:02014400F9665A
+:020145000A3D71
+:02014600EDCDFD
+:0201470030B0D6
+:020148006A75D6
+:02014900E66D61
+:02014A000D9115
+:02014B00F7BCFF
+:02014C00050D9F
+:02014D00FD466D
+:02014E0000AF00
+:02014F0000C5E9
+:02015000003C71
+:0201510001ADFE
+:02015200FCBCF3
+:0201530004B5F1
+:02015400F9248C
+:020155000AAEF0
+:02015600ECF9C2
+:020157003375FE
+:020158006871CC
+:02015900E616A8
+:02015A000DC8CE
+:02015B00F79615
+:02015C00052A72
+:02015D00FD2C77
+:02015E0000C3DC
+:02015F0000BDE1
+:0201600000415C
+:0201610001AAF1
+:02016200FCB1EE
+:0201630004D7BF
+:02016400F8E5BC
+:020165000B1B72
+:02016600EC2C7F
+:02016700363B25
+:02016800665BD4
+:02016900E5D2DD
+:02016A000DF78F
+:02016B00F77625
+:02016C00054448
+:02016D00FD157E
+:02016E0000D6B9
+:02016F0000B5D9
+:02017000004548
+:0201710001A6E5
+:02017200FCA7E8
+:0201730004F690
+:02017400F8AAE7
+:020175000B81FC
+:02017600EB6834
+:0201770039024B
+:020178006433EE
+:02017900E5A0FF
+:02017A000E1B5A
+:02017B00F75C2F
+:02017C00055A22
+:02017D00FCFF85
+:02017E0000E897
+:02017F0000AED0
+:02018000004A33
+:0201810001A1DA
+:02018200FC9FE0
+:02018300051362
+:02018400F8720F
+:020185000BE38A
+:02018600EAADE0
+:020187003BC873
+:0201880061FB19
+:02018900E5800F
+:02018A000E362F
+:02018B00F74734
+:02018C00056EFE
+:02018D00FCEC88
+:02018E0000FA75
+:02018F0000A6C8
+:02019000004F1E
+:02019100019BD0
+:02019200FC98D7
+:02019300052D38
+:02019400F83E33
+:020195000C3E1E
+:02019600E9FC82
+:020197003E8C9C
+:020198005FB353
+:02019900E5720D
+:02019A000E480D
+:02019B00F73734
+:02019C00057DDF
+:02019D00FCDA8A
+:02019E00010B53
+:02019F00009FBF
+:0201A000005409
+:0201A1000194C7
+:0201A200FC93CC
+:0201A300054411
+:0201A400F80E53
+:0201A5000C93B9
+:0201A600E9541A
+:0201A700414DC8
+:0201A8005D5C9C
+:0201A900E575FA
+:0201AA000E51F4
+:0201AB00F72E2D
+:0201AC00058AC2
+:0201AD00FCCA8A
+:0201AE00011B33
+:0201AF000097B7
+:0201B0000059F4
+:0201B100018DBE
+:0201B200FC8FC0
+:0201B3000559EC
+:0201B400F7E270
+:0201B5000CE25A
+:0201B600E8B8A7
+:0201B700440BF7
+:0201B8005AF7F4
+:0201B900E588D7
+:0201BA000E50E5
+:0201BB00F72922
+:0201BC000593A9
+:0201BD00FCBC88
+:0201BE00012A14
+:0201BF000090AE
+:0201C000005FDE
+:0201C1000185B6
+:0201C200FC8EB1
+:0201C300056CC9
+:0201C400F7BA88
+:0201C5000D2A01
+:0201C600E82728
+:0201C70046C42C
+:0201C800588459
+:0201C900E5ABA4
+:0201CA000E47DE
+:0201CB00F72B10
+:0201CC00059993
+:0201CD00FCB084
+:0201CE000138F6
+:0201CF000089A5
+:0201D0000064C9
+:0201D100017CAF
+:0201D200FC8DA2
+:0201D300057BAA
+:0201D400F7979B
+:0201D5000D6AB1
+:0201D600E7A29E
+:0201D700497766
+:0201D8005606C9
+:0201D900E5DF60
+:0201DA000E35E0
+:0201DB00F731FA
+:0201DC00059B81
+:0201DD00FCA67E
+:0201DE000146D8
+:0201DF0000829C
+:0201E000006AB3
+:0201E1000172A9
+:0201E200FC8F90
+:0201E30005888D
+:0201E400F778AA
+:0201E5000DA368
+:0201E600E72A06
+:0201E7004C23A7
+:0201E800537C46
+:0201E900E6210D
+:0201EA000E1AEB
+:0201EB00F73DDE
+:0201EC00059A72
+:0201ED00FC9D77
+:0201EE000153BB
+:0201EF00007C92
+:0201F00000709D
+:0201F1000168A3
+:0201F200FC927D
+:0201F300059174
+:0201F400F75FB3
+:0201F5000DD526
+:0201F600E6C061
+:0201F7004EC8F0
+:0201F80050E8CD
+:0201F900E673AB
+:0201FA000DF7FF
+:0201FB00F74DBE
+:0201FC00059765
+:0201FD00FC966E
+:0201FE00015F9F
+:0201FF00007589
+:02020000007785
+:02020100015D9D
+:02020200FC9866
+:0202030005985C
+:02020400F74AB7
+:020205000DFFEB
+:02020600E662AE
+:02020700516440
+:020208004E4A5C
+:02020900E6D33A
+:02020A000DCC19
+:02020B00F76397
+:02020C0005905B
+:02020D00FC9261
+:02020E00016A83
+:02020F00006F7E
+:02021000007D6F
+:0202110001509A
+:02021200FC9F4F
+:02021300059B49
+:02021400F73AB7
+:020215000E20B9
+:02021600E614EC
+:0202170053F79B
+:020218004BA4F5
+:02021900E740BC
+:02021A000D993C
+:02021B00F77E6C
+:02021C00058556
+:02021D00FC8F54
+:02021E00017469
+:02021F00006974
+:02022000008458
+:02022100014397
+:02022200FCA737
+:02022300059B39
+:02022400F72FB2
+:020225000E3990
+:02022600E5D41D
+:02022700567E01
+:0202280048F696
+:02022900E7BA32
+:02022A000D5F66
+:02022B00F79D3D
+:02022C00057853
+:02022D00FC8D46
+:02022E00017E4F
+:02022F0000636A
+:02023000008A42
+:02023100013694
+:02023200FCB21C
+:0202330005982C
+:02023400F72AA7
+:020235000E4970
+:02023600E5A43D
+:0202370058FB72
+:0202380046423C
+:02023900E84299
+:02023A000D1D98
+:02023B00F7C109
+:02023C00056853
+:02023D00FC8E35
+:02023E00018736
+:02023F00005E5F
+:0202400000912B
+:02024100012793
+:02024200FCBFFF
+:02024300059123
+:02024400F72A97
+:020245000E5158
+:02024600E5834E
+:020247005B6BEF
+:020248004388E9
+:02024900E8D5F6
+:02024A000CD4D2
+:02024B00F7EAD0
+:02024C00055655
+:02024D00FC9023
+:02024E00018E1F
+:02024F00005855
+:02025000009913
+:02025100011892
+:02025200FCCDE1
+:0202530005881C
+:02025400F72F82
+:020255000E5049
+:02025600E5734E
+:020257005DCD7B
+:0202580040C99B
+:02025900E97347
+:02025A000C8412
+:02025B00F81693
+:02025C0005405B
+:02025D00FC940F
+:02025E00019607
+:02025F0000534A
+:0202600000A0FC
+:02026100010892
+:02026200FCDDC1
+:02026300057B19
+:02026400F73A67
+:020265000E4544
+:02026600E5733E
+:02026700602213
+:020268003E084E
+:02026900EA1C8D
+:02026A000C2E58
+:02026B00F84752
+:02026C00052863
+:02026D00FC99FA
+:02026E00019CF1
+:02026F00004E3F
+:0202700000A7E5
+:0202710000F794
+:02027200FCEF9F
+:02027300056A1A
+:02027400F74A47
+:020275000E3247
+:02027600E5851C
+:020277006267BC
+:020278003B4306
+:02027900EAD0C9
+:02027A000BD1A6
+:02027B00F87C0D
+:02027C00050D6E
+:02027D00FCA0E3
+:02027E0001A2DB
+:02027F00004934
+:0202800000AFCD
+:0202810000E596
+:02028200FD037A
+:0202830005571D
+:02028400F76021
+:020285000E1554
+:02028600E5A8E9
+:02028700649C75
+:02028800387DBF
+:02028900EB8CFC
+:02028A000B6FF8
+:02028B00F8B4C5
+:02028C0004F07C
+:02028D00FCA9CA
+:02028E0001A7C6
+:02028F00004429
+:0202900000B7B5
+:0202910000D299
+:02029200FD1954
+:02029300053F25
+:02029400F77CF5
+:020295000DEF6B
+:02029600E5DDA4
+:0202970066C13E
+:0202980035B679
+:02029900EC5225
+:02029A000B0750
+:02029B00F8F178
+:02029C0004D18B
+:02029D00FCB3B0
+:02029E0001ABB2
+:02029F0000401D
+:0202A00000BF9D
+:0202A10000BF9C
+:0202A200FD312C
+:0202A30005252F
+:0202A400F79DC4
+:0202A5000DBF8B
+:0202A600E6254B
+:0202A70068D31A
+:0202A80032F032
+:0202A900ED2046
+:0202AA000A9AAE
+:0202AB00F93028
+:0202AC0004AF9D
+:0202AD00FCBE95
+:0202AE0001AE9F
+:0202AF00003B12
+:0202B00000C785
+:0202B10000ABA0
+:0202B200FD4B02
+:0202B30005073D
+:0202B400F7C48D
+:0202B5000D85B5
+:0202B600E67FE1
+:0202B7006AD407
+:0202B800302BE9
+:0202B900EDF561
+:0202BA000A2711
+:0202BB00F973D5
+:0202BC00048BB1
+:0202BD00FCCB78
+:0202BE0001B18C
+:0202BF00003706
+:0202C00000CF6D
+:0202C1000096A5
+:0202C200FD66D7
+:0202C30004E550
+:0202C400F7F051
+:0202C5000D43E7
+:0202C600E6EC64
+:0202C7006CC108
+:0202C8002D689F
+:0202C900EED273
+:0202CA0009B178
+:0202CB00F9B880
+:0202CC000465C7
+:0202CD00FCD95A
+:0202CE0001B37A
+:0202CF000033FA
+:0202D00000D755
+:0202D1000081AA
+:0202D200FD83AA
+:0202D30004C164
+:0202D400F8220E
+:0202D5000CF625
+:0202D600E76CD3
+:0202D7006E9A1D
+:0202D8002AA852
+:0202D900EFB480
+:0202DA000936E3
+:0202DB00FA0126
+:0202DC00043DDF
+:0202DD00FCE93A
+:0202DE0001B469
+:0202DF000030ED
+:0202E00000DF3D
+:0202E100006BB0
+:0202E200FDA27B
+:0202E30004997C
+:0202E400F85AC6
+:0202E5000CA06B
+:0202E600E7FF30
+:0202E700705E47
+:0202E80027ED00
+:0202E900F09D86
+:0202EA0008B852
+:0202EB00FA4BCC
+:0202EC000414F8
+:0202ED00FCFA19
+:0202EE0001B558
+:0202EF00002CE1
+:0202F00000E824
+:0202F1000054B7
+:0202F200FDC34A
+:0202F300046E97
+:0202F400F89779
+:0202F5000C40BB
+:0202F600E8A678
+:0202F700720D86
+:0202F8002536A9
+:0202F900F18A88
+:0202FA000836C4
+:0202FB00FA996E
+:0202FC0003E914
+:0202FD00FD0BF7
+:0202FE0001B548
+:0202FF000029D4
+:0203000000F00B
+:02030100003DBD
+:02030200FDE616
+:02030300043FB5
+:02030400F8DA25
+:020305000BD714
+:02030600E960AC
+:0203070073A6DB
+:0203080022854C
+:02030900F27B85
+:02030A0007B139
+:02030B00FAE80E
+:02030C0003BC30
+:02030D00FD1ED3
+:02030E0001B438
+:02030F000025C7
+:0203100000F9F2
+:020311000025C5
+:02031200FE0AE1
+:02031300040ED6
+:02031400F922CC
+:020315000B6576
+:02031600EA2FCC
+:02031700752946
+:020318001FDCE8
+:02031900F3707F
+:02031A000729B1
+:02031B00FB39AC
+:02031C00038E4E
+:02031D00FD32AF
+:02031E0001B329
+:02031F000022BA
+:020320000101D9
+:02032100000CCE
+:02032200FE2FAC
+:0203230003D9FC
+:02032400F96F6F
+:020325000AE9E3
+:02032600EB11D9
+:020327007694CA
+:020328001D397D
+:02032900F46876
+:02032A00069F2C
+:02032B00FB8C49
+:02032C00035E6E
+:02032D00FD478A
+:02032E0001B21A
+:02032F000020AC
+:02033000010AC0
+:02033100FFF3D8
+:02033200FE5774
+:0203330003A124
+:02033400F9C10D
+:020335000A6557
+:02033600EC07D2
+:0203370077E865
+:020338001AA009
+:02033900F5636A
+:02033A000613A8
+:02033B00FBE1E4
+:02033C00032D8F
+:02033D00FD5D64
+:02033E0001AF0D
+:02033F00001D9F
+:020340000112A8
+:02034100FFD9E2
+:02034200FE7F3C
+:0203430003674E
+:02034400FA19A4
+:0203450009D7D6
+:02034600ED11B7
+:02034700792318
+:02034800180F8C
+:02034900F65F5D
+:02034A00058626
+:02034B00FC367E
+:02034C0002FCB1
+:02034D00FD743D
+:02034E0001ADFF
+:02034F00001A92
+:02035000011B8F
+:02035100FFBFEC
+:02035200FEA902
+:0203530003297C
+:02035400FA7637
+:0203550009415C
+:02035600EE2E89
+:020357007A45E5
+:02035800158905
+:02035900F75C4F
+:02035A0004F7A6
+:02035B00FC8D17
+:02035C0002C9D4
+:02035D00FD8B16
+:02035E0001A9F3
+:02035F00001884
+:02036000012377
+:02036100FFA5F6
+:02036200FED5C6
+:0203630002E9AD
+:02036400FAD7C6
+:0203650008A1ED
+:02036600EF6046
+:020367007B4ECB
+:02036800130E72
+:02036900F85A40
+:02036A00046726
+:02036B00FCE5AF
+:02036C000296F7
+:02036D00FDA4ED
+:02036E0001A5E7
+:02036F00001676
+:02037000012C5E
+:02037100FF8A01
+:02037200FF0288
+:0203730002A6E0
+:02037400FB3D4F
+:0203750007FA85
+:02037600F0A6EF
+:020377007C3ECA
+:02037800109ED5
+:02037900F95732
+:02037A0003D7A7
+:02037B00FD3D46
+:02037C0002621B
+:02037D00FDBDC4
+:02037E0001A1DB
+:02037F00001369
+:02038000013446
+:02038100FF6F0C
+:02038200FF304A
+:02038300026016
+:02038400FBA8D4
+:02038500074B24
+:02038600F1FF85
+:020387007D14E3
+:020388000E3B2A
+:02038900FA5424
+:02038A00034628
+:02038B00FD95DE
+:02038C00022D40
+:02038D00FDD69B
+:02038E00019DCF
+:02038F0000115B
+:02039000013D2D
+:02039100FF5318
+:02039200FF5F0B
+:0203930002194D
+:02039400FC1754
+:020395000693CD
+:02039600F36B07
+:020397007DCF18
+:020398000BE474
+:02039900FB5017
+:02039A0002B6A9
+:02039B00FDEE75
+:02039C0001F866
+:02039D00FDF071
+:02039E000198C4
+:02039F0000104C
+:0203A000014515
+:0203A100FF3823
+:0203A200FF8FCB
+:0203A30001CF88
+:0203A400FC89D2
+:0203A50005D47D
+:0203A600F4EB76
+:0203A7007E7066
+:0203A800099CAE
+:0203A900FC4A0C
+:0203AA00022629
+:0203AB00FE470B
+:0203AC0001C38B
+:0203AD00FE0B45
+:0203AE000192BA
+:0203AF00000E3E
+:0203B000014DFD
+:0203B100FF1C2F
+:0203B200FFC189
+:0203B3000182C5
+:0203B400FD004A
+:0203B500050E33
+:0203B600F67ED1
+:0203B7007EF6D0
+:0203B8000761DB
+:0203B900FD4104
+:0203BA000197A9
+:0203BB00FE9FA3
+:0203BC00018DB1
+:0203BD00FE261A
+:0203BE00018CB0
+:0203BF00000C30
+:0203C0000155E5
+:0203C100FF003B
+:0203C200FFF347
+:0203C300013403
+:0203C400FD7BBF
+:0203C5000441F1
+:0203C600F82419
+:0203C7007F6055
+:0203C8000536F8
+:0203C900FE35FF
+:0203CA00010927
+:0203CB00FEF73B
+:0203CC000158D6
+:0203CD00FE41EF
+:0203CE000186A6
+:0203CF00000B21
+:0203D000015DCD
+:0203D100FEE448
+:0203D200002603
+:0203D30000E444
+:0203D400FDF832
+:0203D500036EB5
+:0203D600F9DC50
+:0203D7007FB0F5
+:0203D800031A06
+:0203D900FF26FD
+:0203DA00007DA4
+:0203DB00FF4ED3
+:0203DC000122FC
+:0203DD00FE5DC3
+:0203DE0001809C
+:0203DF00000913
+:0203E0000165B5
+:0203E100FEC755
+:0203E2000059C0
+:0203E300009286
+:0203E400FE79A0
+:0203E500029480
+:0203E600FBA773
+:0203E7007FE4B1
+:0203E800010E04
+:0203E9000013FF
+:0203EA00FFF31F
+:0203EB00FFA56C
+:0203EC0000ED22
+:0203ED00FE7898
+:0203EE00017993
+:0203EF00000903
+:0203F000016C9E
+:0203F100FEAB61
+:0203F200008D7C
+:0203F300003FC9
+:0203F400FEFD0C
+:0203F50001B550
+:0203F600FD8385
+:0203F7007FFD88
+:0203F800FF13F1
+:0203F90000FC06
+:0203FA00FF6A98
+:0203FB00FFFB06
+:0203FC0000B847
+:0203FD00FE946C
+:0203FE0001728A
+:0203FF000020DC
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..de5d87f3ebc1787ed442bdcc26be4e2540b346ea
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_16pts.hex
@@ -0,0 +1,17 @@
+:020000000046b8
+:020001003fd4ea
+:020002000006f6
+:02000300006695
+:020004003eb705
+:0200050002f403
+:0200060039704f
+:0200070016825f
+:0200080016825e
+:0200090039704c
+:02000a0002f4fe
+:02000b003eb7fe
+:02000c0000668c
+:02000d000006eb
+:02000e003fd4dd
+:02000f000046a9
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..e43254eb4206c2392103496813214a05b408facf
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_coeff_9_256pts.hex
@@ -0,0 +1,257 @@
+:02000000000DF1
+:02000100018F6D
+:02000200FE3DC1
+:020003000131C9
+:02000400FF4CAF
+:02000500007980
+:02000600FF30C9
+:020007000305EF
+:020008007FB2C5
+:02000900F9E319
+:02000A00037180
+:02000B00FDF303
+:02000C0000E70B
+:02000D00002EC3
+:02000E00FEC82A
+:02000F00016C82
+:02001000000FDF
+:0200110001A844
+:02001200FDCC23
+:02001300020FDA
+:02001400FDE30A
+:0200150002B92E
+:02001600FB5598
+:020017000BD00C
+:020018007DD594
+:02001900F36C86
+:02001A00069D41
+:02001B00FC09DE
+:02001C000224BC
+:02001D00FF5F83
+:02001E00FF3DA4
+:02001F00014B93
+:020020000018C6
+:0200210001BA22
+:02002200FD647B
+:0200230002E7F2
+:02002400FC7A64
+:020025000501D3
+:02002600F75C85
+:0200270015764C
+:020028007A4F0D
+:02002900EE2ABD
+:02002A0009517A
+:02002B00FA6079
+:02002C00033D92
+:02002D00FEA132
+:02002E00FFAE23
+:02002F000127A7
+:020030000023AB
+:0200310001C309
+:02003200FD08C7
+:0200330003B216
+:02003400FB1EB1
+:02003500073A88
+:02003600F36B6A
+:020037001FC9DF
+:0200380075361B
+:02003900EA25B6
+:02003A000B7B3E
+:02003B00F905C5
+:02003C00042A94
+:02003D00FDF9CB
+:02003E000018A8
+:02003F000104BA
+:0200400000318D
+:0200410001C4F8
+:02004200FCBD03
+:02004300046750
+:02004400F9DFE2
+:02004500094D63
+:02004600EFAB1E
+:020047002A96F7
+:020048006EAB9D
+:02004900E75D71
+:02004A000D1295
+:02004B00F7FFBD
+:02004C0004E4CA
+:02004D00FD6C48
+:02004E00007A36
+:02004F0000E1CE
+:0200500000426C
+:0200510001B9F3
+:02005200FC862A
+:0200530004FEA9
+:02005400F8CAE8
+:020055000B237B
+:02005600EC4577
+:0200570035A5CD
+:0200580066D56B
+:02005900E5CAF6
+:02005A000E0F87
+:02005B00F75359
+:02005C00056835
+:02005D00FCFBAA
+:02005E0000D0D0
+:02005F0000BFE0
+:02006000005648
+:0200610001A2FA
+:02006200FC6838
+:02006300057026
+:02006400F7ECB7
+:020065000CA4E9
+:02006600E9624D
+:0200670040B99E
+:020068005DE554
+:02006900E55C54
+:02006A000E7313
+:02006B00F70399
+:02006C0005B5D8
+:02006D00FCAAEB
+:02006E00011A75
+:02006F00009FF0
+:02007000006D21
+:02007100017E0E
+:02007200FC642C
+:0200730005B6D0
+:02007400F75241
+:020075000DBCC0
+:02007600E72D74
+:020077004B93A9
+:02007800541022
+:02007900E5FBA5
+:02007A000E4531
+:02007B00F70C80
+:02007C0005CBB2
+:02007D00FC770E
+:02007E00015629
+:02007F000082FD
+:020080000087F7
+:02008100014C30
+:02008200FC7E02
+:0200830005CBAB
+:02008400F7047F
+:020085000E5912
+:02008600E5CAC9
+:0200870055F62C
+:0200880049929B
+:02008900E78806
+:02008A000D90D7
+:02008B00F76913
+:02008C0005ACC1
+:02008D00FC6312
+:02008E000185EA
+:02008F00006807
+:0200900000A5C9
+:02009100010D5F
+:02009200FCB7B9
+:0200930005ABBB
+:02009400F70B68
+:020095000E6CEF
+:02009600E55B28
+:020097005FA563
+:020098003EA781
+:02009900E9E19B
+:02009A000C63F5
+:02009B00F8115A
+:02009C00055D00
+:02009D00FC6BFA
+:02009E0001A7B8
+:02009F0000520D
+:0200A00000C599
+:0200A10000C19C
+:0200A200FD0E51
+:0200A300055303
+:0200A400F76DF6
+:0200A5000DEB61
+:0200A600E5FF74
+:0200A70068648B
+:0200A800339093
+:0200A900ECDF8A
+:0200AA000AD07A
+:0200AB00F8FA61
+:0200AC0004E46A
+:0200AD00FC8FC6
+:0200AE0001BC93
+:0200AF00003E11
+:0200B00000E767
+:0200B1000068E5
+:0200B200FD84CB
+:0200B30004C582
+:0200B400F82929
+:0200B5000CD16C
+:0200B600E7CA97
+:0200B7006FFFD9
+:0200B800288995
+:0200B900F059FC
+:0200BA0008EE4E
+:0200BB00FA1831
+:0200BC000447F7
+:0200BD00FCCA7B
+:0200BE0001C47B
+:0200BF00002E11
+:0200C000010A33
+:0200C100000538
+:0200C200FE1727
+:0200C300040136
+:0200C400F93F02
+:0200C5000B1F0F
+:0200C600EACD81
+:0200C700764879
+:0200C8001DCF4A
+:0200C900F4261B
+:0200CA0006D25C
+:0200CB00FB5EDA
+:0200CC00038DA2
+:0200CD00FD181C
+:0200CE0001C26D
+:0200CF0000210E
+:0200D000012EFF
+:0200D100FF9995
+:0200D200FEC36B
+:0200D300030C1C
+:0200D400FAAA86
+:0200D50008D948
+:0200D600EF0E2B
+:0200D7007B1894
+:0200D80013987B
+:0200D900F81B12
+:0200DA0004948C
+:0200DB00FCBD6A
+:0200DC0002BF61
+:0200DD00FD77AD
+:0200DE0001B768
+:0200DF00001609
+:0200E0000151CC
+:0200E100FF27F7
+:0200E200FF8598
+:0200E30001EB2F
+:0200E400FC60BE
+:0200E500060D06
+:0200E600F48C98
+:0200E7007E4F4A
+:0200E8000A18F4
+:0200E900FC1108
+:0200EA00024CC6
+:0200EB00FE27EE
+:0200EC0001E52C
+:0200ED00FDE133
+:0200EE0001A46B
+:0200EF00000E01
+:0200F00001729B
+:0200F100FEB25D
+:0200F2000056B6
+:0200F30000A863
+:0200F400FE55B7
+:0200F50002CD3A
+:0200F600FB3AD3
+:0200F7007FDBAD
+:0200F800017B8A
+:0200F900FFE323
+:0200FA00000FF5
+:0200FB00FF8E76
+:0200FC000108F9
+:0200FD00FE52B1
+:0200FE00018A75
+:0200FF00000DF2
+:00000001FF
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_taps_1024pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_taps_1024pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..922e0bbb9f8882619750447a937f8b51a5ba98a1
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_taps_1024pts.hex
@@ -0,0 +1,1025 @@
+:020000000000fe
+:020001000000fd
+:020002000000fc
+:020003000000fb
+:020004000000fa
+:020005000000f9
+:020006000000f8
+:020007000000f7
+:020008000000f6
+:020009000000f5
+:02000a000000f4
+:02000b000000f3
+:02000c000000f2
+:02000d000000f1
+:02000e000000f0
+:02000f000000ef
+:020010000000ee
+:020011000000ed
+:020012000000ec
+:020013000000eb
+:020014000000ea
+:020015000000e9
+:020016000000e8
+:020017000000e7
+:020018000000e6
+:020019000000e5
+:02001a000000e4
+:02001b000000e3
+:02001c000000e2
+:02001d000000e1
+:02001e000000e0
+:02001f000000df
+:020020000000de
+:020021000000dd
+:020022000000dc
+:020023000000db
+:020024000000da
+:020025000000d9
+:020026000000d8
+:020027000000d7
+:020028000000d6
+:020029000000d5
+:02002a000000d4
+:02002b000000d3
+:02002c000000d2
+:02002d000000d1
+:02002e000000d0
+:02002f000000cf
+:020030000000ce
+:020031000000cd
+:020032000000cc
+:020033000000cb
+:020034000000ca
+:020035000000c9
+:020036000000c8
+:020037000000c7
+:020038000000c6
+:020039000000c5
+:02003a000000c4
+:02003b000000c3
+:02003c000000c2
+:02003d000000c1
+:02003e000000c0
+:02003f000000bf
+:020040000000be
+:020041000000bd
+:020042000000bc
+:020043000000bb
+:020044000000ba
+:020045000000b9
+:020046000000b8
+:020047000000b7
+:020048000000b6
+:020049000000b5
+:02004a000000b4
+:02004b000000b3
+:02004c000000b2
+:02004d000000b1
+:02004e000000b0
+:02004f000000af
+:020050000000ae
+:020051000000ad
+:020052000000ac
+:020053000000ab
+:020054000000aa
+:020055000000a9
+:020056000000a8
+:020057000000a7
+:020058000000a6
+:020059000000a5
+:02005a000000a4
+:02005b000000a3
+:02005c000000a2
+:02005d000000a1
+:02005e000000a0
+:02005f0000009f
+:0200600000009e
+:0200610000009d
+:0200620000009c
+:0200630000009b
+:0200640000009a
+:02006500000099
+:02006600000098
+:02006700000097
+:02006800000096
+:02006900000095
+:02006a00000094
+:02006b00000093
+:02006c00000092
+:02006d00000091
+:02006e00000090
+:02006f0000008f
+:0200700000008e
+:0200710000008d
+:0200720000008c
+:0200730000008b
+:0200740000008a
+:02007500000089
+:02007600000088
+:02007700000087
+:02007800000086
+:02007900000085
+:02007a00000084
+:02007b00000083
+:02007c00000082
+:02007d00000081
+:02007e00000080
+:02007f0000007f
+:0200800000007e
+:0200810000007d
+:0200820000007c
+:0200830000007b
+:0200840000007a
+:02008500000079
+:02008600000078
+:02008700000077
+:02008800000076
+:02008900000075
+:02008a00000074
+:02008b00000073
+:02008c00000072
+:02008d00000071
+:02008e00000070
+:02008f0000006f
+:0200900000006e
+:0200910000006d
+:0200920000006c
+:0200930000006b
+:0200940000006a
+:02009500000069
+:02009600000068
+:02009700000067
+:02009800000066
+:02009900000065
+:02009a00000064
+:02009b00000063
+:02009c00000062
+:02009d00000061
+:02009e00000060
+:02009f0000005f
+:0200a00000005e
+:0200a10000005d
+:0200a20000005c
+:0200a30000005b
+:0200a40000005a
+:0200a500000059
+:0200a600000058
+:0200a700000057
+:0200a800000056
+:0200a900000055
+:0200aa00000054
+:0200ab00000053
+:0200ac00000052
+:0200ad00000051
+:0200ae00000050
+:0200af0000004f
+:0200b00000004e
+:0200b10000004d
+:0200b20000004c
+:0200b30000004b
+:0200b40000004a
+:0200b500000049
+:0200b600000048
+:0200b700000047
+:0200b800000046
+:0200b900000045
+:0200ba00000044
+:0200bb00000043
+:0200bc00000042
+:0200bd00000041
+:0200be00000040
+:0200bf0000003f
+:0200c00000003e
+:0200c10000003d
+:0200c20000003c
+:0200c30000003b
+:0200c40000003a
+:0200c500000039
+:0200c600000038
+:0200c700000037
+:0200c800000036
+:0200c900000035
+:0200ca00000034
+:0200cb00000033
+:0200cc00000032
+:0200cd00000031
+:0200ce00000030
+:0200cf0000002f
+:0200d00000002e
+:0200d10000002d
+:0200d20000002c
+:0200d30000002b
+:0200d40000002a
+:0200d500000029
+:0200d600000028
+:0200d700000027
+:0200d800000026
+:0200d900000025
+:0200da00000024
+:0200db00000023
+:0200dc00000022
+:0200dd00000021
+:0200de00000020
+:0200df0000001f
+:0200e00000001e
+:0200e10000001d
+:0200e20000001c
+:0200e30000001b
+:0200e40000001a
+:0200e500000019
+:0200e600000018
+:0200e700000017
+:0200e800000016
+:0200e900000015
+:0200ea00000014
+:0200eb00000013
+:0200ec00000012
+:0200ed00000011
+:0200ee00000010
+:0200ef0000000f
+:0200f00000000e
+:0200f10000000d
+:0200f20000000c
+:0200f30000000b
+:0200f40000000a
+:0200f500000009
+:0200f600000008
+:0200f700000007
+:0200f800000006
+:0200f900000005
+:0200fa00000004
+:0200fb00000003
+:0200fc00000002
+:0200fd00000001
+:0200fe00000000
+:0200ff000000ff
+:020100000000fd
+:020101000000fc
+:020102000000fb
+:020103000000fa
+:020104000000f9
+:020105000000f8
+:020106000000f7
+:020107000000f6
+:020108000000f5
+:020109000000f4
+:02010a000000f3
+:02010b000000f2
+:02010c000000f1
+:02010d000000f0
+:02010e000000ef
+:02010f000000ee
+:020110000000ed
+:020111000000ec
+:020112000000eb
+:020113000000ea
+:020114000000e9
+:020115000000e8
+:020116000000e7
+:020117000000e6
+:020118000000e5
+:020119000000e4
+:02011a000000e3
+:02011b000000e2
+:02011c000000e1
+:02011d000000e0
+:02011e000000df
+:02011f000000de
+:020120000000dd
+:020121000000dc
+:020122000000db
+:020123000000da
+:020124000000d9
+:020125000000d8
+:020126000000d7
+:020127000000d6
+:020128000000d5
+:020129000000d4
+:02012a000000d3
+:02012b000000d2
+:02012c000000d1
+:02012d000000d0
+:02012e000000cf
+:02012f000000ce
+:020130000000cd
+:020131000000cc
+:020132000000cb
+:020133000000ca
+:020134000000c9
+:020135000000c8
+:020136000000c7
+:020137000000c6
+:020138000000c5
+:020139000000c4
+:02013a000000c3
+:02013b000000c2
+:02013c000000c1
+:02013d000000c0
+:02013e000000bf
+:02013f000000be
+:020140000000bd
+:020141000000bc
+:020142000000bb
+:020143000000ba
+:020144000000b9
+:020145000000b8
+:020146000000b7
+:020147000000b6
+:020148000000b5
+:020149000000b4
+:02014a000000b3
+:02014b000000b2
+:02014c000000b1
+:02014d000000b0
+:02014e000000af
+:02014f000000ae
+:020150000000ad
+:020151000000ac
+:020152000000ab
+:020153000000aa
+:020154000000a9
+:020155000000a8
+:020156000000a7
+:020157000000a6
+:020158000000a5
+:020159000000a4
+:02015a000000a3
+:02015b000000a2
+:02015c000000a1
+:02015d000000a0
+:02015e0000009f
+:02015f0000009e
+:0201600000009d
+:0201610000009c
+:0201620000009b
+:0201630000009a
+:02016400000099
+:02016500000098
+:02016600000097
+:02016700000096
+:02016800000095
+:02016900000094
+:02016a00000093
+:02016b00000092
+:02016c00000091
+:02016d00000090
+:02016e0000008f
+:02016f0000008e
+:0201700000008d
+:0201710000008c
+:0201720000008b
+:0201730000008a
+:02017400000089
+:02017500000088
+:02017600000087
+:02017700000086
+:02017800000085
+:02017900000084
+:02017a00000083
+:02017b00000082
+:02017c00000081
+:02017d00000080
+:02017e0000007f
+:02017f0000007e
+:0201800000007d
+:0201810000007c
+:0201820000007b
+:0201830000007a
+:02018400000079
+:02018500000078
+:02018600000077
+:02018700000076
+:02018800000075
+:02018900000074
+:02018a00000073
+:02018b00000072
+:02018c00000071
+:02018d00000070
+:02018e0000006f
+:02018f0000006e
+:0201900000006d
+:0201910000006c
+:0201920000006b
+:0201930000006a
+:02019400000069
+:02019500000068
+:02019600000067
+:02019700000066
+:02019800000065
+:02019900000064
+:02019a00000063
+:02019b00000062
+:02019c00000061
+:02019d00000060
+:02019e0000005f
+:02019f0000005e
+:0201a00000005d
+:0201a10000005c
+:0201a20000005b
+:0201a30000005a
+:0201a400000059
+:0201a500000058
+:0201a600000057
+:0201a700000056
+:0201a800000055
+:0201a900000054
+:0201aa00000053
+:0201ab00000052
+:0201ac00000051
+:0201ad00000050
+:0201ae0000004f
+:0201af0000004e
+:0201b00000004d
+:0201b10000004c
+:0201b20000004b
+:0201b30000004a
+:0201b400000049
+:0201b500000048
+:0201b600000047
+:0201b700000046
+:0201b800000045
+:0201b900000044
+:0201ba00000043
+:0201bb00000042
+:0201bc00000041
+:0201bd00000040
+:0201be0000003f
+:0201bf0000003e
+:0201c00000003d
+:0201c10000003c
+:0201c20000003b
+:0201c30000003a
+:0201c400000039
+:0201c500000038
+:0201c600000037
+:0201c700000036
+:0201c800000035
+:0201c900000034
+:0201ca00000033
+:0201cb00000032
+:0201cc00000031
+:0201cd00000030
+:0201ce0000002f
+:0201cf0000002e
+:0201d00000002d
+:0201d10000002c
+:0201d20000002b
+:0201d30000002a
+:0201d400000029
+:0201d500000028
+:0201d600000027
+:0201d700000026
+:0201d800000025
+:0201d900000024
+:0201da00000023
+:0201db00000022
+:0201dc00000021
+:0201dd00000020
+:0201de0000001f
+:0201df0000001e
+:0201e00000001d
+:0201e10000001c
+:0201e20000001b
+:0201e30000001a
+:0201e400000019
+:0201e500000018
+:0201e600000017
+:0201e700000016
+:0201e800000015
+:0201e900000014
+:0201ea00000013
+:0201eb00000012
+:0201ec00000011
+:0201ed00000010
+:0201ee0000000f
+:0201ef0000000e
+:0201f00000000d
+:0201f10000000c
+:0201f20000000b
+:0201f30000000a
+:0201f400000009
+:0201f500000008
+:0201f600000007
+:0201f700000006
+:0201f800000005
+:0201f900000004
+:0201fa00000003
+:0201fb00000002
+:0201fc00000001
+:0201fd00000000
+:0201fe000000ff
+:0201ff000000fe
+:020200000000fc
+:020201000000fb
+:020202000000fa
+:020203000000f9
+:020204000000f8
+:020205000000f7
+:020206000000f6
+:020207000000f5
+:020208000000f4
+:020209000000f3
+:02020a000000f2
+:02020b000000f1
+:02020c000000f0
+:02020d000000ef
+:02020e000000ee
+:02020f000000ed
+:020210000000ec
+:020211000000eb
+:020212000000ea
+:020213000000e9
+:020214000000e8
+:020215000000e7
+:020216000000e6
+:020217000000e5
+:020218000000e4
+:020219000000e3
+:02021a000000e2
+:02021b000000e1
+:02021c000000e0
+:02021d000000df
+:02021e000000de
+:02021f000000dd
+:020220000000dc
+:020221000000db
+:020222000000da
+:020223000000d9
+:020224000000d8
+:020225000000d7
+:020226000000d6
+:020227000000d5
+:020228000000d4
+:020229000000d3
+:02022a000000d2
+:02022b000000d1
+:02022c000000d0
+:02022d000000cf
+:02022e000000ce
+:02022f000000cd
+:020230000000cc
+:020231000000cb
+:020232000000ca
+:020233000000c9
+:020234000000c8
+:020235000000c7
+:020236000000c6
+:020237000000c5
+:020238000000c4
+:020239000000c3
+:02023a000000c2
+:02023b000000c1
+:02023c000000c0
+:02023d000000bf
+:02023e000000be
+:02023f000000bd
+:020240000000bc
+:020241000000bb
+:020242000000ba
+:020243000000b9
+:020244000000b8
+:020245000000b7
+:020246000000b6
+:020247000000b5
+:020248000000b4
+:020249000000b3
+:02024a000000b2
+:02024b000000b1
+:02024c000000b0
+:02024d000000af
+:02024e000000ae
+:02024f000000ad
+:020250000000ac
+:020251000000ab
+:020252000000aa
+:020253000000a9
+:020254000000a8
+:020255000000a7
+:020256000000a6
+:020257000000a5
+:020258000000a4
+:020259000000a3
+:02025a000000a2
+:02025b000000a1
+:02025c000000a0
+:02025d0000009f
+:02025e0000009e
+:02025f0000009d
+:0202600000009c
+:0202610000009b
+:0202620000009a
+:02026300000099
+:02026400000098
+:02026500000097
+:02026600000096
+:02026700000095
+:02026800000094
+:02026900000093
+:02026a00000092
+:02026b00000091
+:02026c00000090
+:02026d0000008f
+:02026e0000008e
+:02026f0000008d
+:0202700000008c
+:0202710000008b
+:0202720000008a
+:02027300000089
+:02027400000088
+:02027500000087
+:02027600000086
+:02027700000085
+:02027800000084
+:02027900000083
+:02027a00000082
+:02027b00000081
+:02027c00000080
+:02027d0000007f
+:02027e0000007e
+:02027f0000007d
+:0202800000007c
+:0202810000007b
+:0202820000007a
+:02028300000079
+:02028400000078
+:02028500000077
+:02028600000076
+:02028700000075
+:02028800000074
+:02028900000073
+:02028a00000072
+:02028b00000071
+:02028c00000070
+:02028d0000006f
+:02028e0000006e
+:02028f0000006d
+:0202900000006c
+:0202910000006b
+:0202920000006a
+:02029300000069
+:02029400000068
+:02029500000067
+:02029600000066
+:02029700000065
+:02029800000064
+:02029900000063
+:02029a00000062
+:02029b00000061
+:02029c00000060
+:02029d0000005f
+:02029e0000005e
+:02029f0000005d
+:0202a00000005c
+:0202a10000005b
+:0202a20000005a
+:0202a300000059
+:0202a400000058
+:0202a500000057
+:0202a600000056
+:0202a700000055
+:0202a800000054
+:0202a900000053
+:0202aa00000052
+:0202ab00000051
+:0202ac00000050
+:0202ad0000004f
+:0202ae0000004e
+:0202af0000004d
+:0202b00000004c
+:0202b10000004b
+:0202b20000004a
+:0202b300000049
+:0202b400000048
+:0202b500000047
+:0202b600000046
+:0202b700000045
+:0202b800000044
+:0202b900000043
+:0202ba00000042
+:0202bb00000041
+:0202bc00000040
+:0202bd0000003f
+:0202be0000003e
+:0202bf0000003d
+:0202c00000003c
+:0202c10000003b
+:0202c20000003a
+:0202c300000039
+:0202c400000038
+:0202c500000037
+:0202c600000036
+:0202c700000035
+:0202c800000034
+:0202c900000033
+:0202ca00000032
+:0202cb00000031
+:0202cc00000030
+:0202cd0000002f
+:0202ce0000002e
+:0202cf0000002d
+:0202d00000002c
+:0202d10000002b
+:0202d20000002a
+:0202d300000029
+:0202d400000028
+:0202d500000027
+:0202d600000026
+:0202d700000025
+:0202d800000024
+:0202d900000023
+:0202da00000022
+:0202db00000021
+:0202dc00000020
+:0202dd0000001f
+:0202de0000001e
+:0202df0000001d
+:0202e00000001c
+:0202e10000001b
+:0202e20000001a
+:0202e300000019
+:0202e400000018
+:0202e500000017
+:0202e600000016
+:0202e700000015
+:0202e800000014
+:0202e900000013
+:0202ea00000012
+:0202eb00000011
+:0202ec00000010
+:0202ed0000000f
+:0202ee0000000e
+:0202ef0000000d
+:0202f00000000c
+:0202f10000000b
+:0202f20000000a
+:0202f300000009
+:0202f400000008
+:0202f500000007
+:0202f600000006
+:0202f700000005
+:0202f800000004
+:0202f900000003
+:0202fa00000002
+:0202fb00000001
+:0202fc00000000
+:0202fd000000ff
+:0202fe000000fe
+:0202ff000000fd
+:020300000000fb
+:020301000000fa
+:020302000000f9
+:020303000000f8
+:020304000000f7
+:020305000000f6
+:020306000000f5
+:020307000000f4
+:020308000000f3
+:020309000000f2
+:02030a000000f1
+:02030b000000f0
+:02030c000000ef
+:02030d000000ee
+:02030e000000ed
+:02030f000000ec
+:020310000000eb
+:020311000000ea
+:020312000000e9
+:020313000000e8
+:020314000000e7
+:020315000000e6
+:020316000000e5
+:020317000000e4
+:020318000000e3
+:020319000000e2
+:02031a000000e1
+:02031b000000e0
+:02031c000000df
+:02031d000000de
+:02031e000000dd
+:02031f000000dc
+:020320000000db
+:020321000000da
+:020322000000d9
+:020323000000d8
+:020324000000d7
+:020325000000d6
+:020326000000d5
+:020327000000d4
+:020328000000d3
+:020329000000d2
+:02032a000000d1
+:02032b000000d0
+:02032c000000cf
+:02032d000000ce
+:02032e000000cd
+:02032f000000cc
+:020330000000cb
+:020331000000ca
+:020332000000c9
+:020333000000c8
+:020334000000c7
+:020335000000c6
+:020336000000c5
+:020337000000c4
+:020338000000c3
+:020339000000c2
+:02033a000000c1
+:02033b000000c0
+:02033c000000bf
+:02033d000000be
+:02033e000000bd
+:02033f000000bc
+:020340000000bb
+:020341000000ba
+:020342000000b9
+:020343000000b8
+:020344000000b7
+:020345000000b6
+:020346000000b5
+:020347000000b4
+:020348000000b3
+:020349000000b2
+:02034a000000b1
+:02034b000000b0
+:02034c000000af
+:02034d000000ae
+:02034e000000ad
+:02034f000000ac
+:020350000000ab
+:020351000000aa
+:020352000000a9
+:020353000000a8
+:020354000000a7
+:020355000000a6
+:020356000000a5
+:020357000000a4
+:020358000000a3
+:020359000000a2
+:02035a000000a1
+:02035b000000a0
+:02035c0000009f
+:02035d0000009e
+:02035e0000009d
+:02035f0000009c
+:0203600000009b
+:0203610000009a
+:02036200000099
+:02036300000098
+:02036400000097
+:02036500000096
+:02036600000095
+:02036700000094
+:02036800000093
+:02036900000092
+:02036a00000091
+:02036b00000090
+:02036c0000008f
+:02036d0000008e
+:02036e0000008d
+:02036f0000008c
+:0203700000008b
+:0203710000008a
+:02037200000089
+:02037300000088
+:02037400000087
+:02037500000086
+:02037600000085
+:02037700000084
+:02037800000083
+:02037900000082
+:02037a00000081
+:02037b00000080
+:02037c0000007f
+:02037d0000007e
+:02037e0000007d
+:02037f0000007c
+:0203800000007b
+:0203810000007a
+:02038200000079
+:02038300000078
+:02038400000077
+:02038500000076
+:02038600000075
+:02038700000074
+:02038800000073
+:02038900000072
+:02038a00000071
+:02038b00000070
+:02038c0000006f
+:02038d0000006e
+:02038e0000006d
+:02038f0000006c
+:0203900000006b
+:0203910000006a
+:02039200000069
+:02039300000068
+:02039400000067
+:02039500000066
+:02039600000065
+:02039700000064
+:02039800000063
+:02039900000062
+:02039a00000061
+:02039b00000060
+:02039c0000005f
+:02039d0000005e
+:02039e0000005d
+:02039f0000005c
+:0203a00000005b
+:0203a10000005a
+:0203a200000059
+:0203a300000058
+:0203a400000057
+:0203a500000056
+:0203a600000055
+:0203a700000054
+:0203a800000053
+:0203a900000052
+:0203aa00000051
+:0203ab00000050
+:0203ac0000004f
+:0203ad0000004e
+:0203ae0000004d
+:0203af0000004c
+:0203b00000004b
+:0203b10000004a
+:0203b200000049
+:0203b300000048
+:0203b400000047
+:0203b500000046
+:0203b600000045
+:0203b700000044
+:0203b800000043
+:0203b900000042
+:0203ba00000041
+:0203bb00000040
+:0203bc0000003f
+:0203bd0000003e
+:0203be0000003d
+:0203bf0000003c
+:0203c00000003b
+:0203c10000003a
+:0203c200000039
+:0203c300000038
+:0203c400000037
+:0203c500000036
+:0203c600000035
+:0203c700000034
+:0203c800000033
+:0203c900000032
+:0203ca00000031
+:0203cb00000030
+:0203cc0000002f
+:0203cd0000002e
+:0203ce0000002d
+:0203cf0000002c
+:0203d00000002b
+:0203d10000002a
+:0203d200000029
+:0203d300000028
+:0203d400000027
+:0203d500000026
+:0203d600000025
+:0203d700000024
+:0203d800000023
+:0203d900000022
+:0203da00000021
+:0203db00000020
+:0203dc0000001f
+:0203dd0000001e
+:0203de0000001d
+:0203df0000001c
+:0203e00000001b
+:0203e10000001a
+:0203e200000019
+:0203e300000018
+:0203e400000017
+:0203e500000016
+:0203e600000015
+:0203e700000014
+:0203e800000013
+:0203e900000012
+:0203ea00000011
+:0203eb00000010
+:0203ec0000000f
+:0203ed0000000e
+:0203ee0000000d
+:0203ef0000000c
+:0203f00000000b
+:0203f10000000a
+:0203f200000009
+:0203f300000008
+:0203f400000007
+:0203f500000006
+:0203f600000005
+:0203f700000004
+:0203f800000003
+:0203f900000002
+:0203fa00000001
+:0203fb00000000
+:0203fc000000ff
+:0203fd000000fe
+:0203fe000000fd
+:0203ff000000fc
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_taps_128pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_taps_128pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..b331fb89bab86f05f55773d98f3b0d21a13b34aa
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_taps_128pts.hex
@@ -0,0 +1,513 @@
+:020000000000fe
+:020001000000fd
+:020002000000fc
+:020003000000fb
+:020004000000fa
+:020005000000f9
+:020006000000f8
+:020007000000f7
+:020008000000f6
+:020009000000f5
+:02000a000000f4
+:02000b000000f3
+:02000c000000f2
+:02000d000000f1
+:02000e000000f0
+:02000f000000ef
+:020010000000ee
+:020011000000ed
+:020012000000ec
+:020013000000eb
+:020014000000ea
+:020015000000e9
+:020016000000e8
+:020017000000e7
+:020018000000e6
+:020019000000e5
+:02001a000000e4
+:02001b000000e3
+:02001c000000e2
+:02001d000000e1
+:02001e000000e0
+:02001f000000df
+:020020000000de
+:020021000000dd
+:020022000000dc
+:020023000000db
+:020024000000da
+:020025000000d9
+:020026000000d8
+:020027000000d7
+:020028000000d6
+:020029000000d5
+:02002a000000d4
+:02002b000000d3
+:02002c000000d2
+:02002d000000d1
+:02002e000000d0
+:02002f000000cf
+:020030000000ce
+:020031000000cd
+:020032000000cc
+:020033000000cb
+:020034000000ca
+:020035000000c9
+:020036000000c8
+:020037000000c7
+:020038000000c6
+:020039000000c5
+:02003a000000c4
+:02003b000000c3
+:02003c000000c2
+:02003d000000c1
+:02003e000000c0
+:02003f000000bf
+:020040000000be
+:020041000000bd
+:020042000000bc
+:020043000000bb
+:020044000000ba
+:020045000000b9
+:020046000000b8
+:020047000000b7
+:020048000000b6
+:020049000000b5
+:02004a000000b4
+:02004b000000b3
+:02004c000000b2
+:02004d000000b1
+:02004e000000b0
+:02004f000000af
+:020050000000ae
+:020051000000ad
+:020052000000ac
+:020053000000ab
+:020054000000aa
+:020055000000a9
+:020056000000a8
+:020057000000a7
+:020058000000a6
+:020059000000a5
+:02005a000000a4
+:02005b000000a3
+:02005c000000a2
+:02005d000000a1
+:02005e000000a0
+:02005f0000009f
+:0200600000009e
+:0200610000009d
+:0200620000009c
+:0200630000009b
+:0200640000009a
+:02006500000099
+:02006600000098
+:02006700000097
+:02006800000096
+:02006900000095
+:02006a00000094
+:02006b00000093
+:02006c00000092
+:02006d00000091
+:02006e00000090
+:02006f0000008f
+:0200700000008e
+:0200710000008d
+:0200720000008c
+:0200730000008b
+:0200740000008a
+:02007500000089
+:02007600000088
+:02007700000087
+:02007800000086
+:02007900000085
+:02007a00000084
+:02007b00000083
+:02007c00000082
+:02007d00000081
+:02007e00000080
+:02007f0000007f
+:0200800000007e
+:0200810000007d
+:0200820000007c
+:0200830000007b
+:0200840000007a
+:02008500000079
+:02008600000078
+:02008700000077
+:02008800000076
+:02008900000075
+:02008a00000074
+:02008b00000073
+:02008c00000072
+:02008d00000071
+:02008e00000070
+:02008f0000006f
+:0200900000006e
+:0200910000006d
+:0200920000006c
+:0200930000006b
+:0200940000006a
+:02009500000069
+:02009600000068
+:02009700000067
+:02009800000066
+:02009900000065
+:02009a00000064
+:02009b00000063
+:02009c00000062
+:02009d00000061
+:02009e00000060
+:02009f0000005f
+:0200a00000005e
+:0200a10000005d
+:0200a20000005c
+:0200a30000005b
+:0200a40000005a
+:0200a500000059
+:0200a600000058
+:0200a700000057
+:0200a800000056
+:0200a900000055
+:0200aa00000054
+:0200ab00000053
+:0200ac00000052
+:0200ad00000051
+:0200ae00000050
+:0200af0000004f
+:0200b00000004e
+:0200b10000004d
+:0200b20000004c
+:0200b30000004b
+:0200b40000004a
+:0200b500000049
+:0200b600000048
+:0200b700000047
+:0200b800000046
+:0200b900000045
+:0200ba00000044
+:0200bb00000043
+:0200bc00000042
+:0200bd00000041
+:0200be00000040
+:0200bf0000003f
+:0200c00000003e
+:0200c10000003d
+:0200c20000003c
+:0200c30000003b
+:0200c40000003a
+:0200c500000039
+:0200c600000038
+:0200c700000037
+:0200c800000036
+:0200c900000035
+:0200ca00000034
+:0200cb00000033
+:0200cc00000032
+:0200cd00000031
+:0200ce00000030
+:0200cf0000002f
+:0200d00000002e
+:0200d10000002d
+:0200d20000002c
+:0200d30000002b
+:0200d40000002a
+:0200d500000029
+:0200d600000028
+:0200d700000027
+:0200d800000026
+:0200d900000025
+:0200da00000024
+:0200db00000023
+:0200dc00000022
+:0200dd00000021
+:0200de00000020
+:0200df0000001f
+:0200e00000001e
+:0200e10000001d
+:0200e20000001c
+:0200e30000001b
+:0200e40000001a
+:0200e500000019
+:0200e600000018
+:0200e700000017
+:0200e800000016
+:0200e900000015
+:0200ea00000014
+:0200eb00000013
+:0200ec00000012
+:0200ed00000011
+:0200ee00000010
+:0200ef0000000f
+:0200f00000000e
+:0200f10000000d
+:0200f20000000c
+:0200f30000000b
+:0200f40000000a
+:0200f500000009
+:0200f600000008
+:0200f700000007
+:0200f800000006
+:0200f900000005
+:0200fa00000004
+:0200fb00000003
+:0200fc00000002
+:0200fd00000001
+:0200fe00000000
+:0200ff000000ff
+:020100000000fd
+:020101000000fc
+:020102000000fb
+:020103000000fa
+:020104000000f9
+:020105000000f8
+:020106000000f7
+:020107000000f6
+:020108000000f5
+:020109000000f4
+:02010a000000f3
+:02010b000000f2
+:02010c000000f1
+:02010d000000f0
+:02010e000000ef
+:02010f000000ee
+:020110000000ed
+:020111000000ec
+:020112000000eb
+:020113000000ea
+:020114000000e9
+:020115000000e8
+:020116000000e7
+:020117000000e6
+:020118000000e5
+:020119000000e4
+:02011a000000e3
+:02011b000000e2
+:02011c000000e1
+:02011d000000e0
+:02011e000000df
+:02011f000000de
+:020120000000dd
+:020121000000dc
+:020122000000db
+:020123000000da
+:020124000000d9
+:020125000000d8
+:020126000000d7
+:020127000000d6
+:020128000000d5
+:020129000000d4
+:02012a000000d3
+:02012b000000d2
+:02012c000000d1
+:02012d000000d0
+:02012e000000cf
+:02012f000000ce
+:020130000000cd
+:020131000000cc
+:020132000000cb
+:020133000000ca
+:020134000000c9
+:020135000000c8
+:020136000000c7
+:020137000000c6
+:020138000000c5
+:020139000000c4
+:02013a000000c3
+:02013b000000c2
+:02013c000000c1
+:02013d000000c0
+:02013e000000bf
+:02013f000000be
+:020140000000bd
+:020141000000bc
+:020142000000bb
+:020143000000ba
+:020144000000b9
+:020145000000b8
+:020146000000b7
+:020147000000b6
+:020148000000b5
+:020149000000b4
+:02014a000000b3
+:02014b000000b2
+:02014c000000b1
+:02014d000000b0
+:02014e000000af
+:02014f000000ae
+:020150000000ad
+:020151000000ac
+:020152000000ab
+:020153000000aa
+:020154000000a9
+:020155000000a8
+:020156000000a7
+:020157000000a6
+:020158000000a5
+:020159000000a4
+:02015a000000a3
+:02015b000000a2
+:02015c000000a1
+:02015d000000a0
+:02015e0000009f
+:02015f0000009e
+:0201600000009d
+:0201610000009c
+:0201620000009b
+:0201630000009a
+:02016400000099
+:02016500000098
+:02016600000097
+:02016700000096
+:02016800000095
+:02016900000094
+:02016a00000093
+:02016b00000092
+:02016c00000091
+:02016d00000090
+:02016e0000008f
+:02016f0000008e
+:0201700000008d
+:0201710000008c
+:0201720000008b
+:0201730000008a
+:02017400000089
+:02017500000088
+:02017600000087
+:02017700000086
+:02017800000085
+:02017900000084
+:02017a00000083
+:02017b00000082
+:02017c00000081
+:02017d00000080
+:02017e0000007f
+:02017f0000007e
+:0201800000007d
+:0201810000007c
+:0201820000007b
+:0201830000007a
+:02018400000079
+:02018500000078
+:02018600000077
+:02018700000076
+:02018800000075
+:02018900000074
+:02018a00000073
+:02018b00000072
+:02018c00000071
+:02018d00000070
+:02018e0000006f
+:02018f0000006e
+:0201900000006d
+:0201910000006c
+:0201920000006b
+:0201930000006a
+:02019400000069
+:02019500000068
+:02019600000067
+:02019700000066
+:02019800000065
+:02019900000064
+:02019a00000063
+:02019b00000062
+:02019c00000061
+:02019d00000060
+:02019e0000005f
+:02019f0000005e
+:0201a00000005d
+:0201a10000005c
+:0201a20000005b
+:0201a30000005a
+:0201a400000059
+:0201a500000058
+:0201a600000057
+:0201a700000056
+:0201a800000055
+:0201a900000054
+:0201aa00000053
+:0201ab00000052
+:0201ac00000051
+:0201ad00000050
+:0201ae0000004f
+:0201af0000004e
+:0201b00000004d
+:0201b10000004c
+:0201b20000004b
+:0201b30000004a
+:0201b400000049
+:0201b500000048
+:0201b600000047
+:0201b700000046
+:0201b800000045
+:0201b900000044
+:0201ba00000043
+:0201bb00000042
+:0201bc00000041
+:0201bd00000040
+:0201be0000003f
+:0201bf0000003e
+:0201c00000003d
+:0201c10000003c
+:0201c20000003b
+:0201c30000003a
+:0201c400000039
+:0201c500000038
+:0201c600000037
+:0201c700000036
+:0201c800000035
+:0201c900000034
+:0201ca00000033
+:0201cb00000032
+:0201cc00000031
+:0201cd00000030
+:0201ce0000002f
+:0201cf0000002e
+:0201d00000002d
+:0201d10000002c
+:0201d20000002b
+:0201d30000002a
+:0201d400000029
+:0201d500000028
+:0201d600000027
+:0201d700000026
+:0201d800000025
+:0201d900000024
+:0201da00000023
+:0201db00000022
+:0201dc00000021
+:0201dd00000020
+:0201de0000001f
+:0201df0000001e
+:0201e00000001d
+:0201e10000001c
+:0201e20000001b
+:0201e30000001a
+:0201e400000019
+:0201e500000018
+:0201e600000017
+:0201e700000016
+:0201e800000015
+:0201e900000014
+:0201ea00000013
+:0201eb00000012
+:0201ec00000011
+:0201ed00000010
+:0201ee0000000f
+:0201ef0000000e
+:0201f00000000d
+:0201f10000000c
+:0201f20000000b
+:0201f30000000a
+:0201f400000009
+:0201f500000008
+:0201f600000007
+:0201f700000006
+:0201f800000005
+:0201f900000004
+:0201fa00000003
+:0201fb00000002
+:0201fc00000001
+:0201fd00000000
+:0201fe000000ff
+:0201ff000000fe
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_taps_16pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_taps_16pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..f4d198aa6317c7fe7da8a00972b24c4d8a7f9244
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_taps_16pts.hex
@@ -0,0 +1,17 @@
+:020000000000fe
+:020001000000fd
+:020002000000fc
+:020003000000fb
+:020004000000fa
+:020005000000f9
+:020006000000f8
+:020007000000f7
+:020008000000f6
+:020009000000f5
+:02000a000000f4
+:02000b000000f3
+:02000c000000f2
+:02000d000000f1
+:02000e000000f0
+:02000f000000ef
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_fir_taps_256pts.hex b/applications/lofar1/pfs/src/data/pfs_fir_taps_256pts.hex
new file mode 100644
index 0000000000000000000000000000000000000000..72d53c7677c4e251ed9611ed046c26f6a739124e
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_fir_taps_256pts.hex
@@ -0,0 +1,257 @@
+:020000000000fe
+:020001000000fd
+:020002000000fc
+:020003000000fb
+:020004000000fa
+:020005000000f9
+:020006000000f8
+:020007000000f7
+:020008000000f6
+:020009000000f5
+:02000a000000f4
+:02000b000000f3
+:02000c000000f2
+:02000d000000f1
+:02000e000000f0
+:02000f000000ef
+:020010000000ee
+:020011000000ed
+:020012000000ec
+:020013000000eb
+:020014000000ea
+:020015000000e9
+:020016000000e8
+:020017000000e7
+:020018000000e6
+:020019000000e5
+:02001a000000e4
+:02001b000000e3
+:02001c000000e2
+:02001d000000e1
+:02001e000000e0
+:02001f000000df
+:020020000000de
+:020021000000dd
+:020022000000dc
+:020023000000db
+:020024000000da
+:020025000000d9
+:020026000000d8
+:020027000000d7
+:020028000000d6
+:020029000000d5
+:02002a000000d4
+:02002b000000d3
+:02002c000000d2
+:02002d000000d1
+:02002e000000d0
+:02002f000000cf
+:020030000000ce
+:020031000000cd
+:020032000000cc
+:020033000000cb
+:020034000000ca
+:020035000000c9
+:020036000000c8
+:020037000000c7
+:020038000000c6
+:020039000000c5
+:02003a000000c4
+:02003b000000c3
+:02003c000000c2
+:02003d000000c1
+:02003e000000c0
+:02003f000000bf
+:020040000000be
+:020041000000bd
+:020042000000bc
+:020043000000bb
+:020044000000ba
+:020045000000b9
+:020046000000b8
+:020047000000b7
+:020048000000b6
+:020049000000b5
+:02004a000000b4
+:02004b000000b3
+:02004c000000b2
+:02004d000000b1
+:02004e000000b0
+:02004f000000af
+:020050000000ae
+:020051000000ad
+:020052000000ac
+:020053000000ab
+:020054000000aa
+:020055000000a9
+:020056000000a8
+:020057000000a7
+:020058000000a6
+:020059000000a5
+:02005a000000a4
+:02005b000000a3
+:02005c000000a2
+:02005d000000a1
+:02005e000000a0
+:02005f0000009f
+:0200600000009e
+:0200610000009d
+:0200620000009c
+:0200630000009b
+:0200640000009a
+:02006500000099
+:02006600000098
+:02006700000097
+:02006800000096
+:02006900000095
+:02006a00000094
+:02006b00000093
+:02006c00000092
+:02006d00000091
+:02006e00000090
+:02006f0000008f
+:0200700000008e
+:0200710000008d
+:0200720000008c
+:0200730000008b
+:0200740000008a
+:02007500000089
+:02007600000088
+:02007700000087
+:02007800000086
+:02007900000085
+:02007a00000084
+:02007b00000083
+:02007c00000082
+:02007d00000081
+:02007e00000080
+:02007f0000007f
+:0200800000007e
+:0200810000007d
+:0200820000007c
+:0200830000007b
+:0200840000007a
+:02008500000079
+:02008600000078
+:02008700000077
+:02008800000076
+:02008900000075
+:02008a00000074
+:02008b00000073
+:02008c00000072
+:02008d00000071
+:02008e00000070
+:02008f0000006f
+:0200900000006e
+:0200910000006d
+:0200920000006c
+:0200930000006b
+:0200940000006a
+:02009500000069
+:02009600000068
+:02009700000067
+:02009800000066
+:02009900000065
+:02009a00000064
+:02009b00000063
+:02009c00000062
+:02009d00000061
+:02009e00000060
+:02009f0000005f
+:0200a00000005e
+:0200a10000005d
+:0200a20000005c
+:0200a30000005b
+:0200a40000005a
+:0200a500000059
+:0200a600000058
+:0200a700000057
+:0200a800000056
+:0200a900000055
+:0200aa00000054
+:0200ab00000053
+:0200ac00000052
+:0200ad00000051
+:0200ae00000050
+:0200af0000004f
+:0200b00000004e
+:0200b10000004d
+:0200b20000004c
+:0200b30000004b
+:0200b40000004a
+:0200b500000049
+:0200b600000048
+:0200b700000047
+:0200b800000046
+:0200b900000045
+:0200ba00000044
+:0200bb00000043
+:0200bc00000042
+:0200bd00000041
+:0200be00000040
+:0200bf0000003f
+:0200c00000003e
+:0200c10000003d
+:0200c20000003c
+:0200c30000003b
+:0200c40000003a
+:0200c500000039
+:0200c600000038
+:0200c700000037
+:0200c800000036
+:0200c900000035
+:0200ca00000034
+:0200cb00000033
+:0200cc00000032
+:0200cd00000031
+:0200ce00000030
+:0200cf0000002f
+:0200d00000002e
+:0200d10000002d
+:0200d20000002c
+:0200d30000002b
+:0200d40000002a
+:0200d500000029
+:0200d600000028
+:0200d700000027
+:0200d800000026
+:0200d900000025
+:0200da00000024
+:0200db00000023
+:0200dc00000022
+:0200dd00000021
+:0200de00000020
+:0200df0000001f
+:0200e00000001e
+:0200e10000001d
+:0200e20000001c
+:0200e30000001b
+:0200e40000001a
+:0200e500000019
+:0200e600000018
+:0200e700000017
+:0200e800000016
+:0200e900000015
+:0200ea00000014
+:0200eb00000013
+:0200ec00000012
+:0200ed00000011
+:0200ee00000010
+:0200ef0000000f
+:0200f00000000e
+:0200f10000000d
+:0200f20000000c
+:0200f30000000b
+:0200f40000000a
+:0200f500000009
+:0200f600000008
+:0200f700000007
+:0200f800000006
+:0200f900000005
+:0200fa00000004
+:0200fb00000003
+:0200fc00000002
+:0200fd00000001
+:0200fe00000000
+:0200ff000000ff
+:00000001ff
diff --git a/applications/lofar1/pfs/src/data/pfs_tapsbuf_1024.hex b/applications/lofar1/pfs/src/data/pfs_tapsbuf_1024.hex
new file mode 100644
index 0000000000000000000000000000000000000000..e90c962f84ab09d9dc7e07577d788dec6fca55f9
--- /dev/null
+++ b/applications/lofar1/pfs/src/data/pfs_tapsbuf_1024.hex
@@ -0,0 +1,1025 @@
+:18000000000000000000000000000000000000000000000000000000e8
+:18000100000000000000000000000000000000000000000000000000e7
+:18000200000000000000000000000000000000000000000000000000e6
+:18000300000000000000000000000000000000000000000000000000e5
+:18000400000000000000000000000000000000000000000000000000e4
+:18000500000000000000000000000000000000000000000000000000e3
+:18000600000000000000000000000000000000000000000000000000e2
+:18000700000000000000000000000000000000000000000000000000e1
+:18000800000000000000000000000000000000000000000000000000e0
+:18000900000000000000000000000000000000000000000000000000df
+:18000a00000000000000000000000000000000000000000000000000de
+:18000b00000000000000000000000000000000000000000000000000dd
+:18000c00000000000000000000000000000000000000000000000000dc
+:18000d00000000000000000000000000000000000000000000000000db
+:18000e00000000000000000000000000000000000000000000000000da
+:18000f00000000000000000000000000000000000000000000000000d9
+:18001000000000000000000000000000000000000000000000000000d8
+:18001100000000000000000000000000000000000000000000000000d7
+:18001200000000000000000000000000000000000000000000000000d6
+:18001300000000000000000000000000000000000000000000000000d5
+:18001400000000000000000000000000000000000000000000000000d4
+:18001500000000000000000000000000000000000000000000000000d3
+:18001600000000000000000000000000000000000000000000000000d2
+:18001700000000000000000000000000000000000000000000000000d1
+:18001800000000000000000000000000000000000000000000000000d0
+:18001900000000000000000000000000000000000000000000000000cf
+:18001a00000000000000000000000000000000000000000000000000ce
+:18001b00000000000000000000000000000000000000000000000000cd
+:18001c00000000000000000000000000000000000000000000000000cc
+:18001d00000000000000000000000000000000000000000000000000cb
+:18001e00000000000000000000000000000000000000000000000000ca
+:18001f00000000000000000000000000000000000000000000000000c9
+:18002000000000000000000000000000000000000000000000000000c8
+:18002100000000000000000000000000000000000000000000000000c7
+:18002200000000000000000000000000000000000000000000000000c6
+:18002300000000000000000000000000000000000000000000000000c5
+:18002400000000000000000000000000000000000000000000000000c4
+:18002500000000000000000000000000000000000000000000000000c3
+:18002600000000000000000000000000000000000000000000000000c2
+:18002700000000000000000000000000000000000000000000000000c1
+:18002800000000000000000000000000000000000000000000000000c0
+:18002900000000000000000000000000000000000000000000000000bf
+:18002a00000000000000000000000000000000000000000000000000be
+:18002b00000000000000000000000000000000000000000000000000bd
+:18002c00000000000000000000000000000000000000000000000000bc
+:18002d00000000000000000000000000000000000000000000000000bb
+:18002e00000000000000000000000000000000000000000000000000ba
+:18002f00000000000000000000000000000000000000000000000000b9
+:18003000000000000000000000000000000000000000000000000000b8
+:18003100000000000000000000000000000000000000000000000000b7
+:18003200000000000000000000000000000000000000000000000000b6
+:18003300000000000000000000000000000000000000000000000000b5
+:18003400000000000000000000000000000000000000000000000000b4
+:18003500000000000000000000000000000000000000000000000000b3
+:18003600000000000000000000000000000000000000000000000000b2
+:18003700000000000000000000000000000000000000000000000000b1
+:18003800000000000000000000000000000000000000000000000000b0
+:18003900000000000000000000000000000000000000000000000000af
+:18003a00000000000000000000000000000000000000000000000000ae
+:18003b00000000000000000000000000000000000000000000000000ad
+:18003c00000000000000000000000000000000000000000000000000ac
+:18003d00000000000000000000000000000000000000000000000000ab
+:18003e00000000000000000000000000000000000000000000000000aa
+:18003f00000000000000000000000000000000000000000000000000a9
+:18004000000000000000000000000000000000000000000000000000a8
+:18004100000000000000000000000000000000000000000000000000a7
+:18004200000000000000000000000000000000000000000000000000a6
+:18004300000000000000000000000000000000000000000000000000a5
+:18004400000000000000000000000000000000000000000000000000a4
+:18004500000000000000000000000000000000000000000000000000a3
+:18004600000000000000000000000000000000000000000000000000a2
+:18004700000000000000000000000000000000000000000000000000a1
+:18004800000000000000000000000000000000000000000000000000a0
+:180049000000000000000000000000000000000000000000000000009f
+:18004a000000000000000000000000000000000000000000000000009e
+:18004b000000000000000000000000000000000000000000000000009d
+:18004c000000000000000000000000000000000000000000000000009c
+:18004d000000000000000000000000000000000000000000000000009b
+:18004e000000000000000000000000000000000000000000000000009a
+:18004f0000000000000000000000000000000000000000000000000099
+:1800500000000000000000000000000000000000000000000000000098
+:1800510000000000000000000000000000000000000000000000000097
+:1800520000000000000000000000000000000000000000000000000096
+:1800530000000000000000000000000000000000000000000000000095
+:1800540000000000000000000000000000000000000000000000000094
+:1800550000000000000000000000000000000000000000000000000093
+:1800560000000000000000000000000000000000000000000000000092
+:1800570000000000000000000000000000000000000000000000000091
+:1800580000000000000000000000000000000000000000000000000090
+:180059000000000000000000000000000000000000000000000000008f
+:18005a000000000000000000000000000000000000000000000000008e
+:18005b000000000000000000000000000000000000000000000000008d
+:18005c000000000000000000000000000000000000000000000000008c
+:18005d000000000000000000000000000000000000000000000000008b
+:18005e000000000000000000000000000000000000000000000000008a
+:18005f0000000000000000000000000000000000000000000000000089
+:1800600000000000000000000000000000000000000000000000000088
+:1800610000000000000000000000000000000000000000000000000087
+:1800620000000000000000000000000000000000000000000000000086
+:1800630000000000000000000000000000000000000000000000000085
+:1800640000000000000000000000000000000000000000000000000084
+:1800650000000000000000000000000000000000000000000000000083
+:1800660000000000000000000000000000000000000000000000000082
+:1800670000000000000000000000000000000000000000000000000081
+:1800680000000000000000000000000000000000000000000000000080
+:180069000000000000000000000000000000000000000000000000007f
+:18006a000000000000000000000000000000000000000000000000007e
+:18006b000000000000000000000000000000000000000000000000007d
+:18006c000000000000000000000000000000000000000000000000007c
+:18006d000000000000000000000000000000000000000000000000007b
+:18006e000000000000000000000000000000000000000000000000007a
+:18006f0000000000000000000000000000000000000000000000000079
+:1800700000000000000000000000000000000000000000000000000078
+:1800710000000000000000000000000000000000000000000000000077
+:1800720000000000000000000000000000000000000000000000000076
+:1800730000000000000000000000000000000000000000000000000075
+:1800740000000000000000000000000000000000000000000000000074
+:1800750000000000000000000000000000000000000000000000000073
+:1800760000000000000000000000000000000000000000000000000072
+:1800770000000000000000000000000000000000000000000000000071
+:1800780000000000000000000000000000000000000000000000000070
+:180079000000000000000000000000000000000000000000000000006f
+:18007a000000000000000000000000000000000000000000000000006e
+:18007b000000000000000000000000000000000000000000000000006d
+:18007c000000000000000000000000000000000000000000000000006c
+:18007d000000000000000000000000000000000000000000000000006b
+:18007e000000000000000000000000000000000000000000000000006a
+:18007f0000000000000000000000000000000000000000000000000069
+:1800800000000000000000000000000000000000000000000000000068
+:1800810000000000000000000000000000000000000000000000000067
+:1800820000000000000000000000000000000000000000000000000066
+:1800830000000000000000000000000000000000000000000000000065
+:1800840000000000000000000000000000000000000000000000000064
+:1800850000000000000000000000000000000000000000000000000063
+:1800860000000000000000000000000000000000000000000000000062
+:1800870000000000000000000000000000000000000000000000000061
+:1800880000000000000000000000000000000000000000000000000060
+:180089000000000000000000000000000000000000000000000000005f
+:18008a000000000000000000000000000000000000000000000000005e
+:18008b000000000000000000000000000000000000000000000000005d
+:18008c000000000000000000000000000000000000000000000000005c
+:18008d000000000000000000000000000000000000000000000000005b
+:18008e000000000000000000000000000000000000000000000000005a
+:18008f0000000000000000000000000000000000000000000000000059
+:1800900000000000000000000000000000000000000000000000000058
+:1800910000000000000000000000000000000000000000000000000057
+:1800920000000000000000000000000000000000000000000000000056
+:1800930000000000000000000000000000000000000000000000000055
+:1800940000000000000000000000000000000000000000000000000054
+:1800950000000000000000000000000000000000000000000000000053
+:1800960000000000000000000000000000000000000000000000000052
+:1800970000000000000000000000000000000000000000000000000051
+:1800980000000000000000000000000000000000000000000000000050
+:180099000000000000000000000000000000000000000000000000004f
+:18009a000000000000000000000000000000000000000000000000004e
+:18009b000000000000000000000000000000000000000000000000004d
+:18009c000000000000000000000000000000000000000000000000004c
+:18009d000000000000000000000000000000000000000000000000004b
+:18009e000000000000000000000000000000000000000000000000004a
+:18009f0000000000000000000000000000000000000000000000000049
+:1800a00000000000000000000000000000000000000000000000000048
+:1800a10000000000000000000000000000000000000000000000000047
+:1800a20000000000000000000000000000000000000000000000000046
+:1800a30000000000000000000000000000000000000000000000000045
+:1800a40000000000000000000000000000000000000000000000000044
+:1800a50000000000000000000000000000000000000000000000000043
+:1800a60000000000000000000000000000000000000000000000000042
+:1800a70000000000000000000000000000000000000000000000000041
+:1800a80000000000000000000000000000000000000000000000000040
+:1800a9000000000000000000000000000000000000000000000000003f
+:1800aa000000000000000000000000000000000000000000000000003e
+:1800ab000000000000000000000000000000000000000000000000003d
+:1800ac000000000000000000000000000000000000000000000000003c
+:1800ad000000000000000000000000000000000000000000000000003b
+:1800ae000000000000000000000000000000000000000000000000003a
+:1800af0000000000000000000000000000000000000000000000000039
+:1800b00000000000000000000000000000000000000000000000000038
+:1800b10000000000000000000000000000000000000000000000000037
+:1800b20000000000000000000000000000000000000000000000000036
+:1800b30000000000000000000000000000000000000000000000000035
+:1800b40000000000000000000000000000000000000000000000000034
+:1800b50000000000000000000000000000000000000000000000000033
+:1800b60000000000000000000000000000000000000000000000000032
+:1800b70000000000000000000000000000000000000000000000000031
+:1800b80000000000000000000000000000000000000000000000000030
+:1800b9000000000000000000000000000000000000000000000000002f
+:1800ba000000000000000000000000000000000000000000000000002e
+:1800bb000000000000000000000000000000000000000000000000002d
+:1800bc000000000000000000000000000000000000000000000000002c
+:1800bd000000000000000000000000000000000000000000000000002b
+:1800be000000000000000000000000000000000000000000000000002a
+:1800bf0000000000000000000000000000000000000000000000000029
+:1800c00000000000000000000000000000000000000000000000000028
+:1800c10000000000000000000000000000000000000000000000000027
+:1800c20000000000000000000000000000000000000000000000000026
+:1800c30000000000000000000000000000000000000000000000000025
+:1800c40000000000000000000000000000000000000000000000000024
+:1800c50000000000000000000000000000000000000000000000000023
+:1800c60000000000000000000000000000000000000000000000000022
+:1800c70000000000000000000000000000000000000000000000000021
+:1800c80000000000000000000000000000000000000000000000000020
+:1800c9000000000000000000000000000000000000000000000000001f
+:1800ca000000000000000000000000000000000000000000000000001e
+:1800cb000000000000000000000000000000000000000000000000001d
+:1800cc000000000000000000000000000000000000000000000000001c
+:1800cd000000000000000000000000000000000000000000000000001b
+:1800ce000000000000000000000000000000000000000000000000001a
+:1800cf0000000000000000000000000000000000000000000000000019
+:1800d00000000000000000000000000000000000000000000000000018
+:1800d10000000000000000000000000000000000000000000000000017
+:1800d20000000000000000000000000000000000000000000000000016
+:1800d30000000000000000000000000000000000000000000000000015
+:1800d40000000000000000000000000000000000000000000000000014
+:1800d50000000000000000000000000000000000000000000000000013
+:1800d60000000000000000000000000000000000000000000000000012
+:1800d70000000000000000000000000000000000000000000000000011
+:1800d80000000000000000000000000000000000000000000000000010
+:1800d9000000000000000000000000000000000000000000000000000f
+:1800da000000000000000000000000000000000000000000000000000e
+:1800db000000000000000000000000000000000000000000000000000d
+:1800dc000000000000000000000000000000000000000000000000000c
+:1800dd000000000000000000000000000000000000000000000000000b
+:1800de000000000000000000000000000000000000000000000000000a
+:1800df0000000000000000000000000000000000000000000000000009
+:1800e00000000000000000000000000000000000000000000000000008
+:1800e10000000000000000000000000000000000000000000000000007
+:1800e20000000000000000000000000000000000000000000000000006
+:1800e30000000000000000000000000000000000000000000000000005
+:1800e40000000000000000000000000000000000000000000000000004
+:1800e50000000000000000000000000000000000000000000000000003
+:1800e60000000000000000000000000000000000000000000000000002
+:1800e70000000000000000000000000000000000000000000000000001
+:1800e80000000000000000000000000000000000000000000000000000
+:1800e900000000000000000000000000000000000000000000000000ff
+:1800ea00000000000000000000000000000000000000000000000000fe
+:1800eb00000000000000000000000000000000000000000000000000fd
+:1800ec00000000000000000000000000000000000000000000000000fc
+:1800ed00000000000000000000000000000000000000000000000000fb
+:1800ee00000000000000000000000000000000000000000000000000fa
+:1800ef00000000000000000000000000000000000000000000000000f9
+:1800f000000000000000000000000000000000000000000000000000f8
+:1800f100000000000000000000000000000000000000000000000000f7
+:1800f200000000000000000000000000000000000000000000000000f6
+:1800f300000000000000000000000000000000000000000000000000f5
+:1800f400000000000000000000000000000000000000000000000000f4
+:1800f500000000000000000000000000000000000000000000000000f3
+:1800f600000000000000000000000000000000000000000000000000f2
+:1800f700000000000000000000000000000000000000000000000000f1
+:1800f800000000000000000000000000000000000000000000000000f0
+:1800f900000000000000000000000000000000000000000000000000ef
+:1800fa00000000000000000000000000000000000000000000000000ee
+:1800fb00000000000000000000000000000000000000000000000000ed
+:1800fc00000000000000000000000000000000000000000000000000ec
+:1800fd00000000000000000000000000000000000000000000000000eb
+:1800fe00000000000000000000000000000000000000000000000000ea
+:1800ff00000000000000000000000000000000000000000000000000e9
+:18010000000000000000000000000000000000000000000000000000e7
+:18010100000000000000000000000000000000000000000000000000e6
+:18010200000000000000000000000000000000000000000000000000e5
+:18010300000000000000000000000000000000000000000000000000e4
+:18010400000000000000000000000000000000000000000000000000e3
+:18010500000000000000000000000000000000000000000000000000e2
+:18010600000000000000000000000000000000000000000000000000e1
+:18010700000000000000000000000000000000000000000000000000e0
+:18010800000000000000000000000000000000000000000000000000df
+:18010900000000000000000000000000000000000000000000000000de
+:18010a00000000000000000000000000000000000000000000000000dd
+:18010b00000000000000000000000000000000000000000000000000dc
+:18010c00000000000000000000000000000000000000000000000000db
+:18010d00000000000000000000000000000000000000000000000000da
+:18010e00000000000000000000000000000000000000000000000000d9
+:18010f00000000000000000000000000000000000000000000000000d8
+:18011000000000000000000000000000000000000000000000000000d7
+:18011100000000000000000000000000000000000000000000000000d6
+:18011200000000000000000000000000000000000000000000000000d5
+:18011300000000000000000000000000000000000000000000000000d4
+:18011400000000000000000000000000000000000000000000000000d3
+:18011500000000000000000000000000000000000000000000000000d2
+:18011600000000000000000000000000000000000000000000000000d1
+:18011700000000000000000000000000000000000000000000000000d0
+:18011800000000000000000000000000000000000000000000000000cf
+:18011900000000000000000000000000000000000000000000000000ce
+:18011a00000000000000000000000000000000000000000000000000cd
+:18011b00000000000000000000000000000000000000000000000000cc
+:18011c00000000000000000000000000000000000000000000000000cb
+:18011d00000000000000000000000000000000000000000000000000ca
+:18011e00000000000000000000000000000000000000000000000000c9
+:18011f00000000000000000000000000000000000000000000000000c8
+:18012000000000000000000000000000000000000000000000000000c7
+:18012100000000000000000000000000000000000000000000000000c6
+:18012200000000000000000000000000000000000000000000000000c5
+:18012300000000000000000000000000000000000000000000000000c4
+:18012400000000000000000000000000000000000000000000000000c3
+:18012500000000000000000000000000000000000000000000000000c2
+:18012600000000000000000000000000000000000000000000000000c1
+:18012700000000000000000000000000000000000000000000000000c0
+:18012800000000000000000000000000000000000000000000000000bf
+:18012900000000000000000000000000000000000000000000000000be
+:18012a00000000000000000000000000000000000000000000000000bd
+:18012b00000000000000000000000000000000000000000000000000bc
+:18012c00000000000000000000000000000000000000000000000000bb
+:18012d00000000000000000000000000000000000000000000000000ba
+:18012e00000000000000000000000000000000000000000000000000b9
+:18012f00000000000000000000000000000000000000000000000000b8
+:18013000000000000000000000000000000000000000000000000000b7
+:18013100000000000000000000000000000000000000000000000000b6
+:18013200000000000000000000000000000000000000000000000000b5
+:18013300000000000000000000000000000000000000000000000000b4
+:18013400000000000000000000000000000000000000000000000000b3
+:18013500000000000000000000000000000000000000000000000000b2
+:18013600000000000000000000000000000000000000000000000000b1
+:18013700000000000000000000000000000000000000000000000000b0
+:18013800000000000000000000000000000000000000000000000000af
+:18013900000000000000000000000000000000000000000000000000ae
+:18013a00000000000000000000000000000000000000000000000000ad
+:18013b00000000000000000000000000000000000000000000000000ac
+:18013c00000000000000000000000000000000000000000000000000ab
+:18013d00000000000000000000000000000000000000000000000000aa
+:18013e00000000000000000000000000000000000000000000000000a9
+:18013f00000000000000000000000000000000000000000000000000a8
+:18014000000000000000000000000000000000000000000000000000a7
+:18014100000000000000000000000000000000000000000000000000a6
+:18014200000000000000000000000000000000000000000000000000a5
+:18014300000000000000000000000000000000000000000000000000a4
+:18014400000000000000000000000000000000000000000000000000a3
+:18014500000000000000000000000000000000000000000000000000a2
+:18014600000000000000000000000000000000000000000000000000a1
+:18014700000000000000000000000000000000000000000000000000a0
+:180148000000000000000000000000000000000000000000000000009f
+:180149000000000000000000000000000000000000000000000000009e
+:18014a000000000000000000000000000000000000000000000000009d
+:18014b000000000000000000000000000000000000000000000000009c
+:18014c000000000000000000000000000000000000000000000000009b
+:18014d000000000000000000000000000000000000000000000000009a
+:18014e0000000000000000000000000000000000000000000000000099
+:18014f0000000000000000000000000000000000000000000000000098
+:1801500000000000000000000000000000000000000000000000000097
+:1801510000000000000000000000000000000000000000000000000096
+:1801520000000000000000000000000000000000000000000000000095
+:1801530000000000000000000000000000000000000000000000000094
+:1801540000000000000000000000000000000000000000000000000093
+:1801550000000000000000000000000000000000000000000000000092
+:1801560000000000000000000000000000000000000000000000000091
+:1801570000000000000000000000000000000000000000000000000090
+:180158000000000000000000000000000000000000000000000000008f
+:180159000000000000000000000000000000000000000000000000008e
+:18015a000000000000000000000000000000000000000000000000008d
+:18015b000000000000000000000000000000000000000000000000008c
+:18015c000000000000000000000000000000000000000000000000008b
+:18015d000000000000000000000000000000000000000000000000008a
+:18015e0000000000000000000000000000000000000000000000000089
+:18015f0000000000000000000000000000000000000000000000000088
+:1801600000000000000000000000000000000000000000000000000087
+:1801610000000000000000000000000000000000000000000000000086
+:1801620000000000000000000000000000000000000000000000000085
+:1801630000000000000000000000000000000000000000000000000084
+:1801640000000000000000000000000000000000000000000000000083
+:1801650000000000000000000000000000000000000000000000000082
+:1801660000000000000000000000000000000000000000000000000081
+:1801670000000000000000000000000000000000000000000000000080
+:180168000000000000000000000000000000000000000000000000007f
+:180169000000000000000000000000000000000000000000000000007e
+:18016a000000000000000000000000000000000000000000000000007d
+:18016b000000000000000000000000000000000000000000000000007c
+:18016c000000000000000000000000000000000000000000000000007b
+:18016d000000000000000000000000000000000000000000000000007a
+:18016e0000000000000000000000000000000000000000000000000079
+:18016f0000000000000000000000000000000000000000000000000078
+:1801700000000000000000000000000000000000000000000000000077
+:1801710000000000000000000000000000000000000000000000000076
+:1801720000000000000000000000000000000000000000000000000075
+:1801730000000000000000000000000000000000000000000000000074
+:1801740000000000000000000000000000000000000000000000000073
+:1801750000000000000000000000000000000000000000000000000072
+:1801760000000000000000000000000000000000000000000000000071
+:1801770000000000000000000000000000000000000000000000000070
+:180178000000000000000000000000000000000000000000000000006f
+:180179000000000000000000000000000000000000000000000000006e
+:18017a000000000000000000000000000000000000000000000000006d
+:18017b000000000000000000000000000000000000000000000000006c
+:18017c000000000000000000000000000000000000000000000000006b
+:18017d000000000000000000000000000000000000000000000000006a
+:18017e0000000000000000000000000000000000000000000000000069
+:18017f0000000000000000000000000000000000000000000000000068
+:1801800000000000000000000000000000000000000000000000000067
+:1801810000000000000000000000000000000000000000000000000066
+:1801820000000000000000000000000000000000000000000000000065
+:1801830000000000000000000000000000000000000000000000000064
+:1801840000000000000000000000000000000000000000000000000063
+:1801850000000000000000000000000000000000000000000000000062
+:1801860000000000000000000000000000000000000000000000000061
+:1801870000000000000000000000000000000000000000000000000060
+:180188000000000000000000000000000000000000000000000000005f
+:180189000000000000000000000000000000000000000000000000005e
+:18018a000000000000000000000000000000000000000000000000005d
+:18018b000000000000000000000000000000000000000000000000005c
+:18018c000000000000000000000000000000000000000000000000005b
+:18018d000000000000000000000000000000000000000000000000005a
+:18018e0000000000000000000000000000000000000000000000000059
+:18018f0000000000000000000000000000000000000000000000000058
+:1801900000000000000000000000000000000000000000000000000057
+:1801910000000000000000000000000000000000000000000000000056
+:1801920000000000000000000000000000000000000000000000000055
+:1801930000000000000000000000000000000000000000000000000054
+:1801940000000000000000000000000000000000000000000000000053
+:1801950000000000000000000000000000000000000000000000000052
+:1801960000000000000000000000000000000000000000000000000051
+:1801970000000000000000000000000000000000000000000000000050
+:180198000000000000000000000000000000000000000000000000004f
+:180199000000000000000000000000000000000000000000000000004e
+:18019a000000000000000000000000000000000000000000000000004d
+:18019b000000000000000000000000000000000000000000000000004c
+:18019c000000000000000000000000000000000000000000000000004b
+:18019d000000000000000000000000000000000000000000000000004a
+:18019e0000000000000000000000000000000000000000000000000049
+:18019f0000000000000000000000000000000000000000000000000048
+:1801a00000000000000000000000000000000000000000000000000047
+:1801a10000000000000000000000000000000000000000000000000046
+:1801a20000000000000000000000000000000000000000000000000045
+:1801a30000000000000000000000000000000000000000000000000044
+:1801a40000000000000000000000000000000000000000000000000043
+:1801a50000000000000000000000000000000000000000000000000042
+:1801a60000000000000000000000000000000000000000000000000041
+:1801a70000000000000000000000000000000000000000000000000040
+:1801a8000000000000000000000000000000000000000000000000003f
+:1801a9000000000000000000000000000000000000000000000000003e
+:1801aa000000000000000000000000000000000000000000000000003d
+:1801ab000000000000000000000000000000000000000000000000003c
+:1801ac000000000000000000000000000000000000000000000000003b
+:1801ad000000000000000000000000000000000000000000000000003a
+:1801ae0000000000000000000000000000000000000000000000000039
+:1801af0000000000000000000000000000000000000000000000000038
+:1801b00000000000000000000000000000000000000000000000000037
+:1801b10000000000000000000000000000000000000000000000000036
+:1801b20000000000000000000000000000000000000000000000000035
+:1801b30000000000000000000000000000000000000000000000000034
+:1801b40000000000000000000000000000000000000000000000000033
+:1801b50000000000000000000000000000000000000000000000000032
+:1801b60000000000000000000000000000000000000000000000000031
+:1801b70000000000000000000000000000000000000000000000000030
+:1801b8000000000000000000000000000000000000000000000000002f
+:1801b9000000000000000000000000000000000000000000000000002e
+:1801ba000000000000000000000000000000000000000000000000002d
+:1801bb000000000000000000000000000000000000000000000000002c
+:1801bc000000000000000000000000000000000000000000000000002b
+:1801bd000000000000000000000000000000000000000000000000002a
+:1801be0000000000000000000000000000000000000000000000000029
+:1801bf0000000000000000000000000000000000000000000000000028
+:1801c00000000000000000000000000000000000000000000000000027
+:1801c10000000000000000000000000000000000000000000000000026
+:1801c20000000000000000000000000000000000000000000000000025
+:1801c30000000000000000000000000000000000000000000000000024
+:1801c40000000000000000000000000000000000000000000000000023
+:1801c50000000000000000000000000000000000000000000000000022
+:1801c60000000000000000000000000000000000000000000000000021
+:1801c70000000000000000000000000000000000000000000000000020
+:1801c8000000000000000000000000000000000000000000000000001f
+:1801c9000000000000000000000000000000000000000000000000001e
+:1801ca000000000000000000000000000000000000000000000000001d
+:1801cb000000000000000000000000000000000000000000000000001c
+:1801cc000000000000000000000000000000000000000000000000001b
+:1801cd000000000000000000000000000000000000000000000000001a
+:1801ce0000000000000000000000000000000000000000000000000019
+:1801cf0000000000000000000000000000000000000000000000000018
+:1801d00000000000000000000000000000000000000000000000000017
+:1801d10000000000000000000000000000000000000000000000000016
+:1801d20000000000000000000000000000000000000000000000000015
+:1801d30000000000000000000000000000000000000000000000000014
+:1801d40000000000000000000000000000000000000000000000000013
+:1801d50000000000000000000000000000000000000000000000000012
+:1801d60000000000000000000000000000000000000000000000000011
+:1801d70000000000000000000000000000000000000000000000000010
+:1801d8000000000000000000000000000000000000000000000000000f
+:1801d9000000000000000000000000000000000000000000000000000e
+:1801da000000000000000000000000000000000000000000000000000d
+:1801db000000000000000000000000000000000000000000000000000c
+:1801dc000000000000000000000000000000000000000000000000000b
+:1801dd000000000000000000000000000000000000000000000000000a
+:1801de0000000000000000000000000000000000000000000000000009
+:1801df0000000000000000000000000000000000000000000000000008
+:1801e00000000000000000000000000000000000000000000000000007
+:1801e10000000000000000000000000000000000000000000000000006
+:1801e20000000000000000000000000000000000000000000000000005
+:1801e30000000000000000000000000000000000000000000000000004
+:1801e40000000000000000000000000000000000000000000000000003
+:1801e50000000000000000000000000000000000000000000000000002
+:1801e60000000000000000000000000000000000000000000000000001
+:1801e70000000000000000000000000000000000000000000000000000
+:1801e800000000000000000000000000000000000000000000000000ff
+:1801e900000000000000000000000000000000000000000000000000fe
+:1801ea00000000000000000000000000000000000000000000000000fd
+:1801eb00000000000000000000000000000000000000000000000000fc
+:1801ec00000000000000000000000000000000000000000000000000fb
+:1801ed00000000000000000000000000000000000000000000000000fa
+:1801ee00000000000000000000000000000000000000000000000000f9
+:1801ef00000000000000000000000000000000000000000000000000f8
+:1801f000000000000000000000000000000000000000000000000000f7
+:1801f100000000000000000000000000000000000000000000000000f6
+:1801f200000000000000000000000000000000000000000000000000f5
+:1801f300000000000000000000000000000000000000000000000000f4
+:1801f400000000000000000000000000000000000000000000000000f3
+:1801f500000000000000000000000000000000000000000000000000f2
+:1801f600000000000000000000000000000000000000000000000000f1
+:1801f700000000000000000000000000000000000000000000000000f0
+:1801f800000000000000000000000000000000000000000000000000ef
+:1801f900000000000000000000000000000000000000000000000000ee
+:1801fa00000000000000000000000000000000000000000000000000ed
+:1801fb00000000000000000000000000000000000000000000000000ec
+:1801fc00000000000000000000000000000000000000000000000000eb
+:1801fd00000000000000000000000000000000000000000000000000ea
+:1801fe00000000000000000000000000000000000000000000000000e9
+:1801ff00000000000000000000000000000000000000000000000000e8
+:18020000000000000000000000000000000000000000000000000000e6
+:18020100000000000000000000000000000000000000000000000000e5
+:18020200000000000000000000000000000000000000000000000000e4
+:18020300000000000000000000000000000000000000000000000000e3
+:18020400000000000000000000000000000000000000000000000000e2
+:18020500000000000000000000000000000000000000000000000000e1
+:18020600000000000000000000000000000000000000000000000000e0
+:18020700000000000000000000000000000000000000000000000000df
+:18020800000000000000000000000000000000000000000000000000de
+:18020900000000000000000000000000000000000000000000000000dd
+:18020a00000000000000000000000000000000000000000000000000dc
+:18020b00000000000000000000000000000000000000000000000000db
+:18020c00000000000000000000000000000000000000000000000000da
+:18020d00000000000000000000000000000000000000000000000000d9
+:18020e00000000000000000000000000000000000000000000000000d8
+:18020f00000000000000000000000000000000000000000000000000d7
+:18021000000000000000000000000000000000000000000000000000d6
+:18021100000000000000000000000000000000000000000000000000d5
+:18021200000000000000000000000000000000000000000000000000d4
+:18021300000000000000000000000000000000000000000000000000d3
+:18021400000000000000000000000000000000000000000000000000d2
+:18021500000000000000000000000000000000000000000000000000d1
+:18021600000000000000000000000000000000000000000000000000d0
+:18021700000000000000000000000000000000000000000000000000cf
+:18021800000000000000000000000000000000000000000000000000ce
+:18021900000000000000000000000000000000000000000000000000cd
+:18021a00000000000000000000000000000000000000000000000000cc
+:18021b00000000000000000000000000000000000000000000000000cb
+:18021c00000000000000000000000000000000000000000000000000ca
+:18021d00000000000000000000000000000000000000000000000000c9
+:18021e00000000000000000000000000000000000000000000000000c8
+:18021f00000000000000000000000000000000000000000000000000c7
+:18022000000000000000000000000000000000000000000000000000c6
+:18022100000000000000000000000000000000000000000000000000c5
+:18022200000000000000000000000000000000000000000000000000c4
+:18022300000000000000000000000000000000000000000000000000c3
+:18022400000000000000000000000000000000000000000000000000c2
+:18022500000000000000000000000000000000000000000000000000c1
+:18022600000000000000000000000000000000000000000000000000c0
+:18022700000000000000000000000000000000000000000000000000bf
+:18022800000000000000000000000000000000000000000000000000be
+:18022900000000000000000000000000000000000000000000000000bd
+:18022a00000000000000000000000000000000000000000000000000bc
+:18022b00000000000000000000000000000000000000000000000000bb
+:18022c00000000000000000000000000000000000000000000000000ba
+:18022d00000000000000000000000000000000000000000000000000b9
+:18022e00000000000000000000000000000000000000000000000000b8
+:18022f00000000000000000000000000000000000000000000000000b7
+:18023000000000000000000000000000000000000000000000000000b6
+:18023100000000000000000000000000000000000000000000000000b5
+:18023200000000000000000000000000000000000000000000000000b4
+:18023300000000000000000000000000000000000000000000000000b3
+:18023400000000000000000000000000000000000000000000000000b2
+:18023500000000000000000000000000000000000000000000000000b1
+:18023600000000000000000000000000000000000000000000000000b0
+:18023700000000000000000000000000000000000000000000000000af
+:18023800000000000000000000000000000000000000000000000000ae
+:18023900000000000000000000000000000000000000000000000000ad
+:18023a00000000000000000000000000000000000000000000000000ac
+:18023b00000000000000000000000000000000000000000000000000ab
+:18023c00000000000000000000000000000000000000000000000000aa
+:18023d00000000000000000000000000000000000000000000000000a9
+:18023e00000000000000000000000000000000000000000000000000a8
+:18023f00000000000000000000000000000000000000000000000000a7
+:18024000000000000000000000000000000000000000000000000000a6
+:18024100000000000000000000000000000000000000000000000000a5
+:18024200000000000000000000000000000000000000000000000000a4
+:18024300000000000000000000000000000000000000000000000000a3
+:18024400000000000000000000000000000000000000000000000000a2
+:18024500000000000000000000000000000000000000000000000000a1
+:18024600000000000000000000000000000000000000000000000000a0
+:180247000000000000000000000000000000000000000000000000009f
+:180248000000000000000000000000000000000000000000000000009e
+:180249000000000000000000000000000000000000000000000000009d
+:18024a000000000000000000000000000000000000000000000000009c
+:18024b000000000000000000000000000000000000000000000000009b
+:18024c000000000000000000000000000000000000000000000000009a
+:18024d0000000000000000000000000000000000000000000000000099
+:18024e0000000000000000000000000000000000000000000000000098
+:18024f0000000000000000000000000000000000000000000000000097
+:1802500000000000000000000000000000000000000000000000000096
+:1802510000000000000000000000000000000000000000000000000095
+:1802520000000000000000000000000000000000000000000000000094
+:1802530000000000000000000000000000000000000000000000000093
+:1802540000000000000000000000000000000000000000000000000092
+:1802550000000000000000000000000000000000000000000000000091
+:1802560000000000000000000000000000000000000000000000000090
+:180257000000000000000000000000000000000000000000000000008f
+:180258000000000000000000000000000000000000000000000000008e
+:180259000000000000000000000000000000000000000000000000008d
+:18025a000000000000000000000000000000000000000000000000008c
+:18025b000000000000000000000000000000000000000000000000008b
+:18025c000000000000000000000000000000000000000000000000008a
+:18025d0000000000000000000000000000000000000000000000000089
+:18025e0000000000000000000000000000000000000000000000000088
+:18025f0000000000000000000000000000000000000000000000000087
+:1802600000000000000000000000000000000000000000000000000086
+:1802610000000000000000000000000000000000000000000000000085
+:1802620000000000000000000000000000000000000000000000000084
+:1802630000000000000000000000000000000000000000000000000083
+:1802640000000000000000000000000000000000000000000000000082
+:1802650000000000000000000000000000000000000000000000000081
+:1802660000000000000000000000000000000000000000000000000080
+:180267000000000000000000000000000000000000000000000000007f
+:180268000000000000000000000000000000000000000000000000007e
+:180269000000000000000000000000000000000000000000000000007d
+:18026a000000000000000000000000000000000000000000000000007c
+:18026b000000000000000000000000000000000000000000000000007b
+:18026c000000000000000000000000000000000000000000000000007a
+:18026d0000000000000000000000000000000000000000000000000079
+:18026e0000000000000000000000000000000000000000000000000078
+:18026f0000000000000000000000000000000000000000000000000077
+:1802700000000000000000000000000000000000000000000000000076
+:1802710000000000000000000000000000000000000000000000000075
+:1802720000000000000000000000000000000000000000000000000074
+:1802730000000000000000000000000000000000000000000000000073
+:1802740000000000000000000000000000000000000000000000000072
+:1802750000000000000000000000000000000000000000000000000071
+:1802760000000000000000000000000000000000000000000000000070
+:180277000000000000000000000000000000000000000000000000006f
+:180278000000000000000000000000000000000000000000000000006e
+:180279000000000000000000000000000000000000000000000000006d
+:18027a000000000000000000000000000000000000000000000000006c
+:18027b000000000000000000000000000000000000000000000000006b
+:18027c000000000000000000000000000000000000000000000000006a
+:18027d0000000000000000000000000000000000000000000000000069
+:18027e0000000000000000000000000000000000000000000000000068
+:18027f0000000000000000000000000000000000000000000000000067
+:1802800000000000000000000000000000000000000000000000000066
+:1802810000000000000000000000000000000000000000000000000065
+:1802820000000000000000000000000000000000000000000000000064
+:1802830000000000000000000000000000000000000000000000000063
+:1802840000000000000000000000000000000000000000000000000062
+:1802850000000000000000000000000000000000000000000000000061
+:1802860000000000000000000000000000000000000000000000000060
+:180287000000000000000000000000000000000000000000000000005f
+:180288000000000000000000000000000000000000000000000000005e
+:180289000000000000000000000000000000000000000000000000005d
+:18028a000000000000000000000000000000000000000000000000005c
+:18028b000000000000000000000000000000000000000000000000005b
+:18028c000000000000000000000000000000000000000000000000005a
+:18028d0000000000000000000000000000000000000000000000000059
+:18028e0000000000000000000000000000000000000000000000000058
+:18028f0000000000000000000000000000000000000000000000000057
+:1802900000000000000000000000000000000000000000000000000056
+:1802910000000000000000000000000000000000000000000000000055
+:1802920000000000000000000000000000000000000000000000000054
+:1802930000000000000000000000000000000000000000000000000053
+:1802940000000000000000000000000000000000000000000000000052
+:1802950000000000000000000000000000000000000000000000000051
+:1802960000000000000000000000000000000000000000000000000050
+:180297000000000000000000000000000000000000000000000000004f
+:180298000000000000000000000000000000000000000000000000004e
+:180299000000000000000000000000000000000000000000000000004d
+:18029a000000000000000000000000000000000000000000000000004c
+:18029b000000000000000000000000000000000000000000000000004b
+:18029c000000000000000000000000000000000000000000000000004a
+:18029d0000000000000000000000000000000000000000000000000049
+:18029e0000000000000000000000000000000000000000000000000048
+:18029f0000000000000000000000000000000000000000000000000047
+:1802a00000000000000000000000000000000000000000000000000046
+:1802a10000000000000000000000000000000000000000000000000045
+:1802a20000000000000000000000000000000000000000000000000044
+:1802a30000000000000000000000000000000000000000000000000043
+:1802a40000000000000000000000000000000000000000000000000042
+:1802a50000000000000000000000000000000000000000000000000041
+:1802a60000000000000000000000000000000000000000000000000040
+:1802a7000000000000000000000000000000000000000000000000003f
+:1802a8000000000000000000000000000000000000000000000000003e
+:1802a9000000000000000000000000000000000000000000000000003d
+:1802aa000000000000000000000000000000000000000000000000003c
+:1802ab000000000000000000000000000000000000000000000000003b
+:1802ac000000000000000000000000000000000000000000000000003a
+:1802ad0000000000000000000000000000000000000000000000000039
+:1802ae0000000000000000000000000000000000000000000000000038
+:1802af0000000000000000000000000000000000000000000000000037
+:1802b00000000000000000000000000000000000000000000000000036
+:1802b10000000000000000000000000000000000000000000000000035
+:1802b20000000000000000000000000000000000000000000000000034
+:1802b30000000000000000000000000000000000000000000000000033
+:1802b40000000000000000000000000000000000000000000000000032
+:1802b50000000000000000000000000000000000000000000000000031
+:1802b60000000000000000000000000000000000000000000000000030
+:1802b7000000000000000000000000000000000000000000000000002f
+:1802b8000000000000000000000000000000000000000000000000002e
+:1802b9000000000000000000000000000000000000000000000000002d
+:1802ba000000000000000000000000000000000000000000000000002c
+:1802bb000000000000000000000000000000000000000000000000002b
+:1802bc000000000000000000000000000000000000000000000000002a
+:1802bd0000000000000000000000000000000000000000000000000029
+:1802be0000000000000000000000000000000000000000000000000028
+:1802bf0000000000000000000000000000000000000000000000000027
+:1802c00000000000000000000000000000000000000000000000000026
+:1802c10000000000000000000000000000000000000000000000000025
+:1802c20000000000000000000000000000000000000000000000000024
+:1802c30000000000000000000000000000000000000000000000000023
+:1802c40000000000000000000000000000000000000000000000000022
+:1802c50000000000000000000000000000000000000000000000000021
+:1802c60000000000000000000000000000000000000000000000000020
+:1802c7000000000000000000000000000000000000000000000000001f
+:1802c8000000000000000000000000000000000000000000000000001e
+:1802c9000000000000000000000000000000000000000000000000001d
+:1802ca000000000000000000000000000000000000000000000000001c
+:1802cb000000000000000000000000000000000000000000000000001b
+:1802cc000000000000000000000000000000000000000000000000001a
+:1802cd0000000000000000000000000000000000000000000000000019
+:1802ce0000000000000000000000000000000000000000000000000018
+:1802cf0000000000000000000000000000000000000000000000000017
+:1802d00000000000000000000000000000000000000000000000000016
+:1802d10000000000000000000000000000000000000000000000000015
+:1802d20000000000000000000000000000000000000000000000000014
+:1802d30000000000000000000000000000000000000000000000000013
+:1802d40000000000000000000000000000000000000000000000000012
+:1802d50000000000000000000000000000000000000000000000000011
+:1802d60000000000000000000000000000000000000000000000000010
+:1802d7000000000000000000000000000000000000000000000000000f
+:1802d8000000000000000000000000000000000000000000000000000e
+:1802d9000000000000000000000000000000000000000000000000000d
+:1802da000000000000000000000000000000000000000000000000000c
+:1802db000000000000000000000000000000000000000000000000000b
+:1802dc000000000000000000000000000000000000000000000000000a
+:1802dd0000000000000000000000000000000000000000000000000009
+:1802de0000000000000000000000000000000000000000000000000008
+:1802df0000000000000000000000000000000000000000000000000007
+:1802e00000000000000000000000000000000000000000000000000006
+:1802e10000000000000000000000000000000000000000000000000005
+:1802e20000000000000000000000000000000000000000000000000004
+:1802e30000000000000000000000000000000000000000000000000003
+:1802e40000000000000000000000000000000000000000000000000002
+:1802e50000000000000000000000000000000000000000000000000001
+:1802e60000000000000000000000000000000000000000000000000000
+:1802e700000000000000000000000000000000000000000000000000ff
+:1802e800000000000000000000000000000000000000000000000000fe
+:1802e900000000000000000000000000000000000000000000000000fd
+:1802ea00000000000000000000000000000000000000000000000000fc
+:1802eb00000000000000000000000000000000000000000000000000fb
+:1802ec00000000000000000000000000000000000000000000000000fa
+:1802ed00000000000000000000000000000000000000000000000000f9
+:1802ee00000000000000000000000000000000000000000000000000f8
+:1802ef00000000000000000000000000000000000000000000000000f7
+:1802f000000000000000000000000000000000000000000000000000f6
+:1802f100000000000000000000000000000000000000000000000000f5
+:1802f200000000000000000000000000000000000000000000000000f4
+:1802f300000000000000000000000000000000000000000000000000f3
+:1802f400000000000000000000000000000000000000000000000000f2
+:1802f500000000000000000000000000000000000000000000000000f1
+:1802f600000000000000000000000000000000000000000000000000f0
+:1802f700000000000000000000000000000000000000000000000000ef
+:1802f800000000000000000000000000000000000000000000000000ee
+:1802f900000000000000000000000000000000000000000000000000ed
+:1802fa00000000000000000000000000000000000000000000000000ec
+:1802fb00000000000000000000000000000000000000000000000000eb
+:1802fc00000000000000000000000000000000000000000000000000ea
+:1802fd00000000000000000000000000000000000000000000000000e9
+:1802fe00000000000000000000000000000000000000000000000000e8
+:1802ff00000000000000000000000000000000000000000000000000e7
+:18030000000000000000000000000000000000000000000000000000e5
+:18030100000000000000000000000000000000000000000000000000e4
+:18030200000000000000000000000000000000000000000000000000e3
+:18030300000000000000000000000000000000000000000000000000e2
+:18030400000000000000000000000000000000000000000000000000e1
+:18030500000000000000000000000000000000000000000000000000e0
+:18030600000000000000000000000000000000000000000000000000df
+:18030700000000000000000000000000000000000000000000000000de
+:18030800000000000000000000000000000000000000000000000000dd
+:18030900000000000000000000000000000000000000000000000000dc
+:18030a00000000000000000000000000000000000000000000000000db
+:18030b00000000000000000000000000000000000000000000000000da
+:18030c00000000000000000000000000000000000000000000000000d9
+:18030d00000000000000000000000000000000000000000000000000d8
+:18030e00000000000000000000000000000000000000000000000000d7
+:18030f00000000000000000000000000000000000000000000000000d6
+:18031000000000000000000000000000000000000000000000000000d5
+:18031100000000000000000000000000000000000000000000000000d4
+:18031200000000000000000000000000000000000000000000000000d3
+:18031300000000000000000000000000000000000000000000000000d2
+:18031400000000000000000000000000000000000000000000000000d1
+:18031500000000000000000000000000000000000000000000000000d0
+:18031600000000000000000000000000000000000000000000000000cf
+:18031700000000000000000000000000000000000000000000000000ce
+:18031800000000000000000000000000000000000000000000000000cd
+:18031900000000000000000000000000000000000000000000000000cc
+:18031a00000000000000000000000000000000000000000000000000cb
+:18031b00000000000000000000000000000000000000000000000000ca
+:18031c00000000000000000000000000000000000000000000000000c9
+:18031d00000000000000000000000000000000000000000000000000c8
+:18031e00000000000000000000000000000000000000000000000000c7
+:18031f00000000000000000000000000000000000000000000000000c6
+:18032000000000000000000000000000000000000000000000000000c5
+:18032100000000000000000000000000000000000000000000000000c4
+:18032200000000000000000000000000000000000000000000000000c3
+:18032300000000000000000000000000000000000000000000000000c2
+:18032400000000000000000000000000000000000000000000000000c1
+:18032500000000000000000000000000000000000000000000000000c0
+:18032600000000000000000000000000000000000000000000000000bf
+:18032700000000000000000000000000000000000000000000000000be
+:18032800000000000000000000000000000000000000000000000000bd
+:18032900000000000000000000000000000000000000000000000000bc
+:18032a00000000000000000000000000000000000000000000000000bb
+:18032b00000000000000000000000000000000000000000000000000ba
+:18032c00000000000000000000000000000000000000000000000000b9
+:18032d00000000000000000000000000000000000000000000000000b8
+:18032e00000000000000000000000000000000000000000000000000b7
+:18032f00000000000000000000000000000000000000000000000000b6
+:18033000000000000000000000000000000000000000000000000000b5
+:18033100000000000000000000000000000000000000000000000000b4
+:18033200000000000000000000000000000000000000000000000000b3
+:18033300000000000000000000000000000000000000000000000000b2
+:18033400000000000000000000000000000000000000000000000000b1
+:18033500000000000000000000000000000000000000000000000000b0
+:18033600000000000000000000000000000000000000000000000000af
+:18033700000000000000000000000000000000000000000000000000ae
+:18033800000000000000000000000000000000000000000000000000ad
+:18033900000000000000000000000000000000000000000000000000ac
+:18033a00000000000000000000000000000000000000000000000000ab
+:18033b00000000000000000000000000000000000000000000000000aa
+:18033c00000000000000000000000000000000000000000000000000a9
+:18033d00000000000000000000000000000000000000000000000000a8
+:18033e00000000000000000000000000000000000000000000000000a7
+:18033f00000000000000000000000000000000000000000000000000a6
+:18034000000000000000000000000000000000000000000000000000a5
+:18034100000000000000000000000000000000000000000000000000a4
+:18034200000000000000000000000000000000000000000000000000a3
+:18034300000000000000000000000000000000000000000000000000a2
+:18034400000000000000000000000000000000000000000000000000a1
+:18034500000000000000000000000000000000000000000000000000a0
+:180346000000000000000000000000000000000000000000000000009f
+:180347000000000000000000000000000000000000000000000000009e
+:180348000000000000000000000000000000000000000000000000009d
+:180349000000000000000000000000000000000000000000000000009c
+:18034a000000000000000000000000000000000000000000000000009b
+:18034b000000000000000000000000000000000000000000000000009a
+:18034c0000000000000000000000000000000000000000000000000099
+:18034d0000000000000000000000000000000000000000000000000098
+:18034e0000000000000000000000000000000000000000000000000097
+:18034f0000000000000000000000000000000000000000000000000096
+:1803500000000000000000000000000000000000000000000000000095
+:1803510000000000000000000000000000000000000000000000000094
+:1803520000000000000000000000000000000000000000000000000093
+:1803530000000000000000000000000000000000000000000000000092
+:1803540000000000000000000000000000000000000000000000000091
+:1803550000000000000000000000000000000000000000000000000090
+:180356000000000000000000000000000000000000000000000000008f
+:180357000000000000000000000000000000000000000000000000008e
+:180358000000000000000000000000000000000000000000000000008d
+:180359000000000000000000000000000000000000000000000000008c
+:18035a000000000000000000000000000000000000000000000000008b
+:18035b000000000000000000000000000000000000000000000000008a
+:18035c0000000000000000000000000000000000000000000000000089
+:18035d0000000000000000000000000000000000000000000000000088
+:18035e0000000000000000000000000000000000000000000000000087
+:18035f0000000000000000000000000000000000000000000000000086
+:1803600000000000000000000000000000000000000000000000000085
+:1803610000000000000000000000000000000000000000000000000084
+:1803620000000000000000000000000000000000000000000000000083
+:1803630000000000000000000000000000000000000000000000000082
+:1803640000000000000000000000000000000000000000000000000081
+:1803650000000000000000000000000000000000000000000000000080
+:180366000000000000000000000000000000000000000000000000007f
+:180367000000000000000000000000000000000000000000000000007e
+:180368000000000000000000000000000000000000000000000000007d
+:180369000000000000000000000000000000000000000000000000007c
+:18036a000000000000000000000000000000000000000000000000007b
+:18036b000000000000000000000000000000000000000000000000007a
+:18036c0000000000000000000000000000000000000000000000000079
+:18036d0000000000000000000000000000000000000000000000000078
+:18036e0000000000000000000000000000000000000000000000000077
+:18036f0000000000000000000000000000000000000000000000000076
+:1803700000000000000000000000000000000000000000000000000075
+:1803710000000000000000000000000000000000000000000000000074
+:1803720000000000000000000000000000000000000000000000000073
+:1803730000000000000000000000000000000000000000000000000072
+:1803740000000000000000000000000000000000000000000000000071
+:1803750000000000000000000000000000000000000000000000000070
+:180376000000000000000000000000000000000000000000000000006f
+:180377000000000000000000000000000000000000000000000000006e
+:180378000000000000000000000000000000000000000000000000006d
+:180379000000000000000000000000000000000000000000000000006c
+:18037a000000000000000000000000000000000000000000000000006b
+:18037b000000000000000000000000000000000000000000000000006a
+:18037c0000000000000000000000000000000000000000000000000069
+:18037d0000000000000000000000000000000000000000000000000068
+:18037e0000000000000000000000000000000000000000000000000067
+:18037f0000000000000000000000000000000000000000000000000066
+:1803800000000000000000000000000000000000000000000000000065
+:1803810000000000000000000000000000000000000000000000000064
+:1803820000000000000000000000000000000000000000000000000063
+:1803830000000000000000000000000000000000000000000000000062
+:1803840000000000000000000000000000000000000000000000000061
+:1803850000000000000000000000000000000000000000000000000060
+:180386000000000000000000000000000000000000000000000000005f
+:180387000000000000000000000000000000000000000000000000005e
+:180388000000000000000000000000000000000000000000000000005d
+:180389000000000000000000000000000000000000000000000000005c
+:18038a000000000000000000000000000000000000000000000000005b
+:18038b000000000000000000000000000000000000000000000000005a
+:18038c0000000000000000000000000000000000000000000000000059
+:18038d0000000000000000000000000000000000000000000000000058
+:18038e0000000000000000000000000000000000000000000000000057
+:18038f0000000000000000000000000000000000000000000000000056
+:1803900000000000000000000000000000000000000000000000000055
+:1803910000000000000000000000000000000000000000000000000054
+:1803920000000000000000000000000000000000000000000000000053
+:1803930000000000000000000000000000000000000000000000000052
+:1803940000000000000000000000000000000000000000000000000051
+:1803950000000000000000000000000000000000000000000000000050
+:180396000000000000000000000000000000000000000000000000004f
+:180397000000000000000000000000000000000000000000000000004e
+:180398000000000000000000000000000000000000000000000000004d
+:180399000000000000000000000000000000000000000000000000004c
+:18039a000000000000000000000000000000000000000000000000004b
+:18039b000000000000000000000000000000000000000000000000004a
+:18039c0000000000000000000000000000000000000000000000000049
+:18039d0000000000000000000000000000000000000000000000000048
+:18039e0000000000000000000000000000000000000000000000000047
+:18039f0000000000000000000000000000000000000000000000000046
+:1803a00000000000000000000000000000000000000000000000000045
+:1803a10000000000000000000000000000000000000000000000000044
+:1803a20000000000000000000000000000000000000000000000000043
+:1803a30000000000000000000000000000000000000000000000000042
+:1803a40000000000000000000000000000000000000000000000000041
+:1803a50000000000000000000000000000000000000000000000000040
+:1803a6000000000000000000000000000000000000000000000000003f
+:1803a7000000000000000000000000000000000000000000000000003e
+:1803a8000000000000000000000000000000000000000000000000003d
+:1803a9000000000000000000000000000000000000000000000000003c
+:1803aa000000000000000000000000000000000000000000000000003b
+:1803ab000000000000000000000000000000000000000000000000003a
+:1803ac0000000000000000000000000000000000000000000000000039
+:1803ad0000000000000000000000000000000000000000000000000038
+:1803ae0000000000000000000000000000000000000000000000000037
+:1803af0000000000000000000000000000000000000000000000000036
+:1803b00000000000000000000000000000000000000000000000000035
+:1803b10000000000000000000000000000000000000000000000000034
+:1803b20000000000000000000000000000000000000000000000000033
+:1803b30000000000000000000000000000000000000000000000000032
+:1803b40000000000000000000000000000000000000000000000000031
+:1803b50000000000000000000000000000000000000000000000000030
+:1803b6000000000000000000000000000000000000000000000000002f
+:1803b7000000000000000000000000000000000000000000000000002e
+:1803b8000000000000000000000000000000000000000000000000002d
+:1803b9000000000000000000000000000000000000000000000000002c
+:1803ba000000000000000000000000000000000000000000000000002b
+:1803bb000000000000000000000000000000000000000000000000002a
+:1803bc0000000000000000000000000000000000000000000000000029
+:1803bd0000000000000000000000000000000000000000000000000028
+:1803be0000000000000000000000000000000000000000000000000027
+:1803bf0000000000000000000000000000000000000000000000000026
+:1803c00000000000000000000000000000000000000000000000000025
+:1803c10000000000000000000000000000000000000000000000000024
+:1803c20000000000000000000000000000000000000000000000000023
+:1803c30000000000000000000000000000000000000000000000000022
+:1803c40000000000000000000000000000000000000000000000000021
+:1803c50000000000000000000000000000000000000000000000000020
+:1803c6000000000000000000000000000000000000000000000000001f
+:1803c7000000000000000000000000000000000000000000000000001e
+:1803c8000000000000000000000000000000000000000000000000001d
+:1803c9000000000000000000000000000000000000000000000000001c
+:1803ca000000000000000000000000000000000000000000000000001b
+:1803cb000000000000000000000000000000000000000000000000001a
+:1803cc0000000000000000000000000000000000000000000000000019
+:1803cd0000000000000000000000000000000000000000000000000018
+:1803ce0000000000000000000000000000000000000000000000000017
+:1803cf0000000000000000000000000000000000000000000000000016
+:1803d00000000000000000000000000000000000000000000000000015
+:1803d10000000000000000000000000000000000000000000000000014
+:1803d20000000000000000000000000000000000000000000000000013
+:1803d30000000000000000000000000000000000000000000000000012
+:1803d40000000000000000000000000000000000000000000000000011
+:1803d50000000000000000000000000000000000000000000000000010
+:1803d6000000000000000000000000000000000000000000000000000f
+:1803d7000000000000000000000000000000000000000000000000000e
+:1803d8000000000000000000000000000000000000000000000000000d
+:1803d9000000000000000000000000000000000000000000000000000c
+:1803da000000000000000000000000000000000000000000000000000b
+:1803db000000000000000000000000000000000000000000000000000a
+:1803dc0000000000000000000000000000000000000000000000000009
+:1803dd0000000000000000000000000000000000000000000000000008
+:1803de0000000000000000000000000000000000000000000000000007
+:1803df0000000000000000000000000000000000000000000000000006
+:1803e00000000000000000000000000000000000000000000000000005
+:1803e10000000000000000000000000000000000000000000000000004
+:1803e20000000000000000000000000000000000000000000000000003
+:1803e30000000000000000000000000000000000000000000000000002
+:1803e40000000000000000000000000000000000000000000000000001
+:1803e50000000000000000000000000000000000000000000000000000
+:1803e600000000000000000000000000000000000000000000000000ff
+:1803e700000000000000000000000000000000000000000000000000fe
+:1803e800000000000000000000000000000000000000000000000000fd
+:1803e900000000000000000000000000000000000000000000000000fc
+:1803ea00000000000000000000000000000000000000000000000000fb
+:1803eb00000000000000000000000000000000000000000000000000fa
+:1803ec00000000000000000000000000000000000000000000000000f9
+:1803ed00000000000000000000000000000000000000000000000000f8
+:1803ee00000000000000000000000000000000000000000000000000f7
+:1803ef00000000000000000000000000000000000000000000000000f6
+:1803f000000000000000000000000000000000000000000000000000f5
+:1803f100000000000000000000000000000000000000000000000000f4
+:1803f200000000000000000000000000000000000000000000000000f3
+:1803f300000000000000000000000000000000000000000000000000f2
+:1803f400000000000000000000000000000000000000000000000000f1
+:1803f500000000000000000000000000000000000000000000000000f0
+:1803f600000000000000000000000000000000000000000000000000ef
+:1803f700000000000000000000000000000000000000000000000000ee
+:1803f800000000000000000000000000000000000000000000000000ed
+:1803f900000000000000000000000000000000000000000000000000ec
+:1803fa00000000000000000000000000000000000000000000000000eb
+:1803fb00000000000000000000000000000000000000000000000000ea
+:1803fc00000000000000000000000000000000000000000000000000e9
+:1803fd00000000000000000000000000000000000000000000000000e8
+:1803fe00000000000000000000000000000000000000000000000000e7
+:1803ff00000000000000000000000000000000000000000000000000e6
+:00000001ff
diff --git a/applications/lofar1/pfs/src/vhdl/pfs(empty).vhd b/applications/lofar1/pfs/src/vhdl/pfs(empty).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..17f27368ebcfee29a8b4dae7f64448eb260b60f4
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs(empty).vhd
@@ -0,0 +1,4 @@
+ARCHITECTURE empty OF pfs IS
+BEGIN
+END empty;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs(str).vhd b/applications/lofar1/pfs/src/vhdl/pfs(str).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..ab2eb262b5c56fabce9331fe241884bd8f5f177b
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs(str).vhd
@@ -0,0 +1,128 @@
+LIBRARY IEEE, pfs_lib;
+USE IEEE.STD_LOGIC_1164.ALL;
+LIBRARY common_lib;
+USE common_lib.common_pkg.ALL;
+
+ARCHITECTURE str OF pfs IS
+
+  CONSTANT c_nof_bands_w    : NATURAL := ceil_log2(g_nof_bands);
+  CONSTANT c_nof_fir_taps   : NATURAL := g_nof_taps / g_nof_bands;
+  CONSTANT c_nof_fir_taps_w : NATURAL := ceil_log2(c_nof_fir_taps);
+
+  SIGNAL taps_rdaddr        : STD_LOGIC_VECTOR(c_nof_bands_w-1 DOWNTO 0);
+  SIGNAL taps_wraddr        : STD_LOGIC_VECTOR(c_nof_bands_w-1 DOWNTO 0);
+  SIGNAL taps_wren          : STD_LOGIC;
+  SIGNAL taps_in_x          : STD_LOGIC_VECTOR(g_in_dat_w*c_nof_fir_taps-1 DOWNTO 0);
+  SIGNAL taps_in_y          : STD_LOGIC_VECTOR(g_in_dat_w*c_nof_fir_taps-1 DOWNTO 0);
+  SIGNAL taps_out_x         : STD_LOGIC_VECTOR(g_in_dat_w*c_nof_fir_taps-1 DOWNTO 0);
+  SIGNAL taps_out_y         : STD_LOGIC_VECTOR(g_in_dat_w*c_nof_fir_taps-1 DOWNTO 0);
+  SIGNAL coefs              : STD_LOGIC_VECTOR(g_coef_dat_w*c_nof_fir_taps-1 DOWNTO 0);
+
+BEGIN
+
+  ctrl : ENTITY pfs_lib.pfs_ctrl
+  GENERIC MAP (
+    g_nof_bands_w     => c_nof_bands_w,
+    g_nof_taps        => c_nof_fir_taps,
+    g_nof_taps_w      => c_nof_fir_taps_w,
+    g_taps_w          => g_in_dat_w
+  )
+  PORT MAP (
+    clk               => clk,
+    rst               => rst,
+    restart           => restart,
+    in_x              => in_dat_x,
+    in_y              => in_dat_y,
+    in_val            => in_val,
+    in_sync           => in_sync,
+    taps_rdaddr       => taps_rdaddr,
+    taps_wraddr       => taps_wraddr,
+    taps_wren         => taps_wren,
+    taps_in_x         => taps_in_x,
+    taps_in_y         => taps_in_y,
+    taps_out_x        => taps_out_x,
+    taps_out_y        => taps_out_y,
+    out_val           => out_val,
+    out_sync          => out_sync
+  );
+
+
+  firx : ENTITY pfs_lib.pfs_filter
+  GENERIC MAP (
+    g_coef_w          => g_coef_dat_w,
+    g_out_w           => g_out_dat_w,
+    g_taps_w          => g_in_dat_w,
+    g_nof_taps        => c_nof_fir_taps
+  )
+  PORT MAP(
+    clk               => clk,
+    taps              => taps_out_x,
+    coefs             => coefs,
+    result            => out_dat_x
+  );
+
+
+  firy : ENTITY pfs_lib.pfs_filter
+  GENERIC MAP (
+    g_coef_w          => g_coef_dat_w,
+    g_out_w           => g_out_dat_w,
+    g_taps_w          => g_in_dat_w,
+    g_nof_taps        => c_nof_fir_taps
+  )
+  PORT MAP (
+    clk               => clk,
+    taps              => taps_out_y,
+    coefs             => coefs,
+    result            => out_dat_y
+  );
+
+
+  tapsbufx : ENTITY pfs_lib.pfs_tapsbuf
+  GENERIC MAP (
+    g_data_w          => g_in_dat_w * c_nof_fir_taps,
+    g_nof_words       => g_nof_bands,
+    g_addr_w          => c_nof_bands_w
+  )
+  PORT MAP (
+    wrdata            => taps_out_x,
+    wren              => taps_wren,
+    wraddr            => taps_wraddr,
+    rdaddr            => taps_rdaddr,
+    rddata            => taps_in_x,
+    clk               => clk,
+    rst               => rst
+  );
+
+
+  tapsbufy : ENTITY pfs_lib.pfs_tapsbuf
+  GENERIC MAP (
+    g_data_w          => g_in_dat_w * c_nof_fir_taps,
+    g_nof_words       => g_nof_bands,
+    g_addr_w          => c_nof_bands_w
+  )
+  PORT MAP (
+    wrdata            => taps_out_y,
+    wren              => taps_wren,
+    wraddr            => taps_wraddr,
+    rdaddr            => taps_rdaddr,
+    rddata            => taps_in_y,
+    clk               => clk,
+    rst               => rst
+  );
+
+
+  coefsbuf : ENTITY pfs_lib.pfs_coefsbuf
+  GENERIC MAP (
+    g_data_w          => g_coef_dat_w * c_nof_fir_taps,
+    g_nof_coefs       => g_nof_bands,
+    g_addr_w          => c_nof_bands_w
+  )
+  PORT MAP (
+    addr              => taps_rdaddr,
+    data              => coefs,
+    clk               => clk,
+    rst               => rst
+  );
+
+END str;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs.vhd b/applications/lofar1/pfs/src/vhdl/pfs.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..67afceb7ab28e5d64326658cf4e7a0855a7ee186
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs.vhd
@@ -0,0 +1,27 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+
+ENTITY pfs IS
+  GENERIC (
+    g_nof_bands         : NATURAL := 1024;
+    g_nof_taps          : NATURAL := 16*1024;
+    g_in_dat_w          : NATURAL := 12;
+    g_out_dat_w         : NATURAL := 18;
+    g_coef_dat_w        : NATURAL := 16
+  );
+  PORT (
+    in_dat_x            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_dat_y            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val              : IN  STD_LOGIC;
+    in_sync             : IN  STD_LOGIC;
+    out_dat_x           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_dat_y           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val             : OUT STD_LOGIC;
+    out_sync            : OUT STD_LOGIC;
+    clk                 : IN  STD_LOGIC;
+    rst                 : IN  STD_LOGIC;
+    restart             : IN  STD_LOGIC
+  );
+END pfs;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf(str).vhd b/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf(str).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..73b65ff9f50f07de112cb0cdf7804d487a8ea5bf
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf(str).vhd
@@ -0,0 +1,33 @@
+LIBRARY IEEE, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_mem_pkg.ALL;
+
+
+ARCHITECTURE str OF pfs_coefsbuf IS
+
+  CONSTANT c_coefs_rom   : t_c_mem := (latency  => 2,
+                                       adr_w    => g_addr_w,
+                                       dat_w    => g_data_w,
+                                       nof_dat  => g_nof_coefs,  -- <= 2**g_addr_w
+                                       init_sl  => '0');
+
+BEGIN
+
+  rom : ENTITY common_lib.common_rom
+  GENERIC MAP (
+    g_ram             => c_coefs_rom,
+    g_init_file       => "data/pfs_coefsbuf_1024.hex"  -- Quartus .hex extension, replaced by .bin in common_rom works for XST
+    --g_init_file       => "data/pfs_coefsbuf_1024.bin"  -- Synplify fails on file extension change to .bin in common_rom and requires extra ../
+  )
+  PORT MAP (
+    rst               => rst,
+    clk               => clk,
+    rd_adr            => addr,
+    rd_dat            => data
+  );
+
+END str;
+
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd b/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..3887dbb350726968a288309869bd76c3c6ca163b
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
@@ -0,0 +1,59 @@
+LIBRARY IEEE, altera_mf, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE stratix OF pfs_coefsbuf IS
+
+  COMPONENT altsyncram
+  GENERIC (
+    operation_mode         : STRING;
+    width_a                : NATURAL;
+    widthad_a              : NATURAL;
+    numwords_a             : NATURAL;
+    lpm_type               : STRING;
+    width_byteena_a        : NATURAL;
+    outdata_reg_a          : STRING;
+    outdata_aclr_a         : STRING;
+    read_during_write_mode_mixed_ports : STRING;
+    ram_block_type         : STRING;
+    init_file              : STRING;
+    intended_device_family : STRING
+  );
+  PORT (
+    aclr0                  : IN  STD_LOGIC ;
+    clock0                 : IN  STD_LOGIC ;
+    address_a              : IN  STD_LOGIC_VECTOR(g_addr_w-1 DOWNTO 0);
+    q_a                    : OUT STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0)
+  );
+  END COMPONENT;
+
+BEGIN
+
+  rom : altsyncram
+  GENERIC MAP (
+    operation_mode         => "ROM",
+    width_a                => g_data_w,
+    widthad_a              => g_addr_w,
+    numwords_a             => g_nof_coefs,
+    lpm_type               => "altsyncram",
+    width_byteena_a        => 1,
+    outdata_reg_a          => "CLOCK0",
+    outdata_aclr_a         => "CLEAR0",
+    read_during_write_mode_mixed_ports => "DONT_CARE",
+    ram_block_type         => "AUTO",
+    init_file              => "../../../../pfs/src/data/pfs_coefsbuf_1024.hex",
+    intended_device_family => c_rsp_device_family
+  )
+  PORT MAP (
+    aclr0                  => rst,
+    clock0                 => clk,
+    address_a              => addr,
+    q_a                    => data
+  );
+
+END stratix;
+
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf.vhd b/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..70d2d539561d54ae1ce09373a0b4a2665a6f274b
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_coefsbuf.vhd
@@ -0,0 +1,19 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+ENTITY pfs_coefsbuf IS
+  GENERIC (
+    g_data_w    : NATURAL;
+    g_nof_coefs : NATURAL;
+    g_addr_w    : NATURAL
+  );
+  PORT (
+    addr        : IN  STD_LOGIC_VECTOR(g_addr_w-1 DOWNTO 0);
+    data        : OUT STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
+    clk         : IN  STD_LOGIC;
+    rst         : IN  STD_LOGIC
+  );
+END pfs_coefsbuf;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_combine(rtl).vhd b/applications/lofar1/pfs/src/vhdl/pfs_combine(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..c0c2489398de94c8efec203ab38f218b16120b2d
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_combine(rtl).vhd
@@ -0,0 +1,57 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+
+ARCHITECTURE rtl OF pfs_combine IS
+
+  SIGNAL i_out_dat_x        : STD_LOGIC_VECTOR(out_dat_x'RANGE);
+  SIGNAL nxt_out_dat_x      : STD_LOGIC_VECTOR(out_dat_x'RANGE);
+  SIGNAL i_out_dat_y        : STD_LOGIC_VECTOR(out_dat_y'RANGE);
+  SIGNAL nxt_out_dat_y      : STD_LOGIC_VECTOR(out_dat_y'RANGE);
+  SIGNAL nxt_out_val        : STD_LOGIC;
+  SIGNAL nxt_out_sync       : STD_LOGIC;
+
+BEGIN
+
+  out_dat_x <= i_out_dat_x;
+  out_dat_y <= i_out_dat_y;
+
+  registers : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      -- Outputs.
+      i_out_dat_x       <= (OTHERS => '0');
+      i_out_dat_y       <= (OTHERS => '0');
+      out_val           <= '0';
+      out_sync          <= '0';
+    ELSIF RISING_EDGE(clk) THEN
+      -- Inputs.
+      -- Outputs.
+      i_out_dat_x       <= nxt_out_dat_x;
+      i_out_dat_y       <= nxt_out_dat_y;
+      out_val           <= nxt_out_val;
+      out_sync          <= nxt_out_sync;
+    END IF;
+  END PROCESS;
+
+
+  select_value : PROCESS (i_out_dat_x, i_out_dat_y, in_val, in_dat_x, in_dat_y,
+      in_sync)
+  BEGIN
+    nxt_out_dat_x <= i_out_dat_x;
+    nxt_out_dat_y <= i_out_dat_y;
+    nxt_out_val <= '0';
+    nxt_out_sync <= '0';
+
+    FOR i IN in_val'RANGE LOOP
+      IF in_val(i) = '1' THEN
+        nxt_out_dat_x <= in_dat_x((i+1)*g_data_w-1 DOWNTO i*g_data_w);
+        nxt_out_dat_y <= in_dat_y((i+1)*g_data_w-1 DOWNTO i*g_data_w);
+        nxt_out_val <= '1';
+        nxt_out_sync <= in_sync(i);
+      END IF;
+    END LOOP;
+  END PROCESS;
+
+END rtl;
\ No newline at end of file
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_combine.vhd b/applications/lofar1/pfs/src/vhdl/pfs_combine.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..80ad207403be690479d4d9c3f72c34111b83c8b5
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_combine.vhd
@@ -0,0 +1,23 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+
+ENTITY pfs_combine IS
+  GENERIC (
+    g_nof_fir         : INTEGER;
+    g_data_w          : INTEGER
+  );
+  PORT (
+    in_dat_x          : IN  STD_LOGIC_VECTOR(g_nof_fir*g_data_w-1 DOWNTO 0);
+    in_dat_y          : IN  STD_LOGIC_VECTOR(g_nof_fir*g_data_w-1 DOWNTO 0);
+    in_val            : IN  STD_LOGIC_VECTOR(g_nof_fir-1 DOWNTO 0);
+    in_sync           : IN  STD_LOGIC_VECTOR(g_nof_fir-1 DOWNTO 0);
+    out_dat_x         : OUT STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
+    out_dat_y         : OUT STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
+    out_val           : OUT STD_LOGIC;
+    out_sync          : OUT STD_LOGIC;
+    clk               : IN  STD_LOGIC;
+    rst               : IN  STD_LOGIC
+  );
+END pfs_combine;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_ctrl(rtl).vhd b/applications/lofar1/pfs/src/vhdl/pfs_ctrl(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..23ea7802a52f4c12010d2a97a7a30cbe79e640a4
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_ctrl(rtl).vhd
@@ -0,0 +1,101 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+
+ARCHITECTURE rtl OF pfs_ctrl IS
+
+  -- The number of cycles that should be waited until the result that comes out
+  -- of the MAC block is the valid result. The ctrl block will generate a valid
+  -- pulse.
+  CONSTANT c_mem_delay   : INTEGER := 2;
+  CONSTANT c_fir_delay   : INTEGER := 8;
+
+  TYPE delay_reg IS ARRAY (0 TO c_mem_delay) OF STD_LOGIC_VECTOR(g_taps_w-1 DOWNTO 0);
+
+  SIGNAL in_val_reg      : STD_LOGIC;
+  SIGNAL in_x_reg        : delay_reg;
+  SIGNAL in_y_reg        : delay_reg;
+  SIGNAL i_taps_rdaddr   : STD_LOGIC_VECTOR(taps_rdaddr'RANGE);
+  SIGNAL nxt_taps_rdaddr : STD_LOGIC_VECTOR(taps_rdaddr'RANGE);
+  SIGNAL rdval           : STD_LOGIC_VECTOR(c_fir_delay-1 DOWNTO 0);
+  SIGNAL sync_reg        : STD_LOGIC_VECTOR(c_fir_delay DOWNTO 0);
+  SIGNAL nxt_rdval       : STD_LOGIC_VECTOR(rdval'RANGE);
+  SIGNAL i_taps_wraddr   : STD_LOGIC_VECTOR(taps_wraddr'RANGE);
+  SIGNAL nxt_taps_wraddr : STD_LOGIC_VECTOR(taps_wraddr'RANGE);
+
+BEGIN
+
+  -- Output signals.
+  taps_rdaddr <= i_taps_rdaddr;
+  taps_wraddr <= i_taps_wraddr;
+  out_val <= rdval(c_fir_delay-1);
+  out_sync <= sync_reg(c_fir_delay);
+
+  registers_proc : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      -- Input registers.
+      in_val_reg    <= '0';
+      in_x_reg      <= (OTHERS => (OTHERS => '0'));
+      in_y_reg      <= (OTHERS => (OTHERS => '0'));
+      -- Output registers.
+      -- Internal registers.
+      rdval         <= (OTHERS => '0');
+      sync_reg      <= (OTHERS => '0');
+      i_taps_rdaddr <= (OTHERS => '0');
+      i_taps_wraddr <= (OTHERS => '0');
+    ELSIF rising_edge(clk) THEN
+      -- Input registers.
+      in_val_reg    <= in_val;
+      in_x_reg      <= in_x & in_x_reg(0 TO in_x_reg'HIGH-1);
+      in_y_reg      <= in_y & in_y_reg(0 TO in_y_reg'HIGH-1);
+      -- Output registers.
+      -- Internal registers.
+      rdval         <= nxt_rdval;
+      sync_reg      <= sync_reg(sync_reg'HIGH-1 DOWNTO 0) & in_sync;
+      i_taps_rdaddr <= nxt_taps_rdaddr;
+      i_taps_wraddr <= nxt_taps_wraddr;
+    END IF;
+  END PROCESS;
+
+
+  read_address_gen : PROCESS (restart, i_taps_rdaddr, in_val_reg, rdval)
+  BEGIN
+    nxt_taps_rdaddr <= STD_LOGIC_VECTOR(UNSIGNED(i_taps_rdaddr)+1);
+    IF restart = '1' THEN
+      nxt_taps_rdaddr <= (OTHERS => '0');
+    ELSIF in_val_reg = '0' THEN
+      nxt_taps_rdaddr <= i_taps_rdaddr;
+    END IF;
+    
+    nxt_rdval <= rdval(rdval'HIGH-1 DOWNTO 0) & '0';
+    IF in_val_reg = '1' THEN
+      nxt_rdval <= rdval(rdval'HIGH-1 DOWNTO 0) & '1';
+    END IF;
+  END PROCESS;
+
+
+  write_control : PROCESS (restart, i_taps_wraddr, taps_in_x, taps_in_y, in_x_reg, rdval,
+      in_y_reg)
+  BEGIN
+    nxt_taps_wraddr <= STD_LOGIC_VECTOR(UNSIGNED(i_taps_wraddr)+1);
+    IF restart = '1' THEN
+      nxt_taps_wraddr <= (OTHERS => '0');
+    ELSIF rdval(c_mem_delay-1) = '0' THEN
+      nxt_taps_wraddr <= i_taps_wraddr;
+    END IF;
+    
+    taps_out_x <= (OTHERS => '0');
+    taps_out_y <= (OTHERS => '0');
+    taps_wren <= '0';
+    IF rdval(c_mem_delay-1) = '1' THEN
+      taps_out_x <= taps_in_x(taps_in_x'HIGH-g_taps_w DOWNTO 0) & in_x_reg(c_mem_delay);
+      taps_out_y <= taps_in_y(taps_in_y'HIGH-g_taps_w DOWNTO 0) & in_y_reg(c_mem_delay);
+      taps_wren <= '1';
+    END IF;
+  END PROCESS;
+
+END rtl;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_ctrl.vhd b/applications/lofar1/pfs/src/vhdl/pfs_ctrl.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..c047c852a42657b366c98efe019075a7b6107183
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_ctrl.vhd
@@ -0,0 +1,31 @@
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+
+
+ENTITY pfs_ctrl IS
+  GENERIC (
+    g_nof_bands_w     : NATURAL;
+    g_nof_taps        : NATURAL;
+    g_nof_taps_w      : NATURAL;
+    g_taps_w          : NATURAL
+  );
+  PORT (
+    clk               : IN STD_LOGIC;
+    rst               : IN STD_LOGIC;
+    restart           : IN STD_LOGIC;
+    in_x              : IN STD_LOGIC_VECTOR(g_taps_w-1 DOWNTO 0);
+    in_y              : IN STD_LOGIC_VECTOR(g_taps_w-1 DOWNTO 0);
+    in_val            : IN STD_LOGIC;
+    in_sync           : IN STD_LOGIC;
+    taps_rdaddr       : OUT STD_LOGIC_VECTOR(g_nof_bands_w-1 DOWNTO 0);
+    taps_wraddr       : OUT STD_LOGIC_VECTOR(g_nof_bands_w-1 DOWNTO 0);
+    taps_wren         : OUT STD_LOGIC;
+    taps_in_x         : IN  STD_LOGIC_VECTOR(g_nof_taps*g_taps_w-1 DOWNTO 0);
+    taps_in_y         : IN  STD_LOGIC_VECTOR(g_nof_taps*g_taps_w-1 DOWNTO 0);
+    taps_out_x        : OUT STD_LOGIC_VECTOR(g_nof_taps*g_taps_w-1 DOWNTO 0);
+    taps_out_y        : OUT STD_LOGIC_VECTOR(g_nof_taps*g_taps_w-1 DOWNTO 0);
+    out_val           : OUT STD_LOGIC;
+    out_sync          : OUT STD_LOGIC
+  );
+END pfs_ctrl;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_filter(rtl).vhd b/applications/lofar1/pfs/src/vhdl/pfs_filter(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..261395354834e961ac9562b713cf2c8959bee87e
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_filter(rtl).vhd
@@ -0,0 +1,68 @@
+LIBRARY IEEE, common_lib, common_mult_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE rtl OF pfs_filter IS
+
+  type type_res is array (0 to 7) of std_logic_vector(g_coef_w+g_taps_w+1-1 DOWNTO 0);
+  
+  SIGNAL res      : type_res;
+  SIGNAL res_0    : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL res_1    : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL res_2    : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL res_3    : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL add_a    : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+3-1 DOWNTO 0);
+  SIGNAL add_b    : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+3-1 DOWNTO 0);
+  SIGNAL add_c    : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+4-1 DOWNTO 0);
+
+BEGIN
+
+  registers : PROCESS (clk)
+  BEGIN
+    IF rising_edge(clk) THEN
+      result <= add_c(add_c'HIGH DOWNTO add_c'LENGTH - result'LENGTH);
+      add_a  <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res_0),add_a'LENGTH) + SIGNED(res_1));
+      add_b  <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res_2),add_b'LENGTH) + SIGNED(res_3));
+    END IF;
+  END PROCESS;
+
+
+  add_c <= STD_LOGIC_VECTOR(SHIFT_LEFT((RESIZE(SIGNED(add_a),add_c'LENGTH) + SIGNED(add_b)),4));
+--  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
+
+  gen : FOR i IN 0 TO 7 GENERATE
+    --MULT_ADD : ENTITY common_lib.common_mult_add(rtl)
+    --MULT_ADD : ENTITY common_lib.common_mult_add(virtex)
+    MULT_ADD : ENTITY common_mult_lib.common_mult_add   -- rtl
+    GENERIC MAP (
+      g_in_a_w     => g_taps_w,
+      g_in_b_w     => g_coef_w,
+      g_out_dat_w  => g_coef_w+g_taps_w+1,
+      g_add_sub    => "ADD",
+      g_pipeline   => 3
+    )
+    PORT MAP (
+      clk     => clk,
+      in_a0   => taps (g_taps_w*(2*i+1)-1 DOWNTO g_taps_w*2*i),
+      in_b0   => coefs(g_coef_w*(2*i+1)-1 DOWNTO g_coef_w*2*i),
+      in_a1   => taps (g_taps_w*(2*i+2)-1 DOWNTO g_taps_w*(2*i+1)),
+      in_b1   => coefs(g_coef_w*(2*i+2)-1 DOWNTO g_coef_w*(2*i+1)),
+      out_dat => res(i)
+    );
+  END GENERATE;
+
+  pipe : PROCESS (clk)
+  BEGIN
+    IF rising_edge(clk) THEN     
+      res_0 <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res(0)),res_0'LENGTH) + RESIZE(SIGNED(res(1)),res_0'LENGTH));
+      res_1 <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res(2)),res_0'LENGTH) + RESIZE(SIGNED(res(3)),res_0'LENGTH));      
+      res_2 <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res(4)),res_0'LENGTH) + RESIZE(SIGNED(res(5)),res_0'LENGTH));
+      res_3 <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res(6)),res_0'LENGTH) + RESIZE(SIGNED(res(7)),res_0'LENGTH));
+    END IF;
+  END PROCESS;
+ 
+END rtl;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_filter(stratix).vhd b/applications/lofar1/pfs/src/vhdl/pfs_filter(stratix).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..99200eb70e14cf785a52544f5ddb3dc4531eed34
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_filter(stratix).vhd
@@ -0,0 +1,151 @@
+LIBRARY IEEE, lpm, altera_mf, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE stratix OF pfs_filter IS
+
+  COMPONENT altmult_add
+  GENERIC (
+    input_register_b2                     : STRING  := "CLOCK0"     ;
+    input_register_a1                     : STRING  := "CLOCK0"     ;
+    multiplier_register0                  : STRING  := "CLOCK0"     ;
+    signed_pipeline_aclr_b                : STRING  := "ACLR3"      ;
+    input_register_b3                     : STRING  := "CLOCK0"     ;
+    input_register_a2                     : STRING  := "CLOCK0"     ;
+    multiplier_register1                  : STRING  := "CLOCK0"     ;
+    addnsub_multiplier_pipeline_aclr1     : STRING  := "ACLR3"      ;
+    input_register_a3                     : STRING  := "CLOCK0"     ;
+    multiplier_register2                  : STRING  := "CLOCK0"     ;
+    signed_aclr_a                         : STRING  := "ACLR3"      ;
+    signed_register_a                     : STRING  := "CLOCK0"     ;
+    number_of_multipliers                 : NATURAL := 4            ;
+    multiplier_register3                  : STRING  := "CLOCK0"     ;
+    multiplier_aclr0                      : STRING  := "ACLR3"      ;
+    addnsub_multiplier_pipeline_aclr3     : STRING  := "ACLR3"      ;
+    signed_aclr_b                         : STRING  := "ACLR3"      ;
+    signed_register_b                     : STRING  := "CLOCK0"     ;
+    lpm_type                              : STRING  := "altmult_add";
+    multiplier_aclr1                      : STRING  := "ACLR3"      ;
+    input_aclr_b0                         : STRING  := "ACLR3"      ;
+    output_register                       : STRING  := "CLOCK0"     ;
+    width_result                          : NATURAL := g_taps_w+g_coef_w+2;
+    representation_a                      : STRING  := "SIGNED"     ;
+    signed_pipeline_register_a            : STRING  := "CLOCK0"     ;
+    input_source_b0                       : STRING  := "DATAB"      ;
+    multiplier_aclr2                      : STRING  := "ACLR3"      ;
+    input_aclr_b1                         : STRING  := "ACLR3"      ;
+    input_aclr_a0                         : STRING  := "ACLR3"      ;
+    multiplier3_direction                 : STRING  := "ADD"        ;
+    addnsub_multiplier_register1          : STRING  := "CLOCK0"     ;
+    representation_b                      : STRING  := "SIGNED"     ;
+    signed_pipeline_register_b            : STRING  := "CLOCK0"     ;
+    input_source_b1                       : STRING  := "DATAB"      ;
+    input_source_a0                       : STRING  := "DATAA"      ;
+    multiplier_aclr3                      : STRING  := "ACLR3"      ;
+    input_aclr_b2                         : STRING  := "ACLR3"      ;
+    input_aclr_a1                         : STRING  := "ACLR3"      ;
+    dedicated_multiplier_circuitry        : STRING  := "YES"        ;
+    input_source_b2                       : STRING  := "DATAB"      ;
+    input_source_a1                       : STRING  := "DATAA"      ;
+    input_aclr_b3                         : STRING  := "ACLR3"      ;
+    input_aclr_a2                         : STRING  := "ACLR3"      ;
+    addnsub_multiplier_register3          : STRING  := "CLOCK0"     ;
+    addnsub_multiplier_aclr1              : STRING  := "ACLR3"      ;
+    output_aclr                           : STRING  := "ACLR3"      ;
+    input_source_b3                       : STRING  := "DATAB"      ;
+    input_source_a2                       : STRING  := "DATAA"      ;
+    input_aclr_a3                         : STRING  := "ACLR3"      ;
+    input_source_a3                       : STRING  := "DATAA"      ;
+    addnsub_multiplier_aclr3              : STRING  := "ACLR3"      ;
+    intended_device_family                : STRING  := "Stratix II" ;
+    addnsub_multiplier_pipeline_register1 : STRING  := "CLOCK0"     ;
+    width_a                               : NATURAL := g_taps_w     ;
+    input_register_b0                     : STRING  := "CLOCK0"     ;
+    width_b                               : NATURAL := g_coef_w     ;
+    input_register_b1                     : STRING  := "CLOCK0"     ;
+    input_register_a0                     : STRING  := "CLOCK0"     ;
+    addnsub_multiplier_pipeline_register3 : STRING  := "CLOCK0"     ;
+    multiplier1_direction                 : STRING  := "ADD"        ;
+    signed_pipeline_aclr_a                : STRING  := "ACLR3"
+  );
+  PORT (
+    dataa                                 : IN STD_LOGIC_VECTOR (g_taps_w*4-1 DOWNTO 0);
+    datab                                 : IN STD_LOGIC_VECTOR (g_coef_w*4-1 DOWNTO 0);
+    clock0                                : IN STD_LOGIC ;
+    aclr3                                 : IN STD_LOGIC ;
+    result                                : OUT STD_LOGIC_VECTOR (g_coef_w+g_taps_w+2-1 DOWNTO 0)
+  );
+  END COMPONENT;
+
+
+  SIGNAL res_0         : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL res_1         : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL res_2         : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL res_3         : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+2-1 DOWNTO 0);
+  SIGNAL add_a         : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+3-1 DOWNTO 0);
+  SIGNAL add_b         : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+3-1 DOWNTO 0);
+  SIGNAL add_c         : STD_LOGIC_VECTOR(g_coef_w+g_taps_w+4-1 DOWNTO 0);
+
+BEGIN
+
+  registers : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      result <= (OTHERS => '0');
+      add_a <= (OTHERS => '0');
+      add_b <= (OTHERS => '0');
+    ELSIF rising_edge(clk) THEN
+      result <= add_c(add_c'HIGH DOWNTO add_c'LENGTH  - result'LENGTH);
+      add_a <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res_0),add_a'LENGTH) + SIGNED(res_1));
+      add_b <= STD_LOGIC_VECTOR(RESIZE(SIGNED(res_2),add_b'LENGTH) + SIGNED(res_3));
+    END IF;
+  END PROCESS;
+
+
+  add_c <= STD_LOGIC_VECTOR(SHIFT_LEFT((RESIZE(SIGNED(add_a),add_c'LENGTH) + SIGNED(add_b)),4));
+--  nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
+
+
+  ALTMULT_ADD_0 : altmult_add
+  PORT MAP (
+    dataa  => taps(47 DOWNTO 0),
+    datab  => coefs(63 DOWNTO 0),
+    clock0 => clk,
+    aclr3  => rst,
+    result => res_0
+  );
+
+
+  ALTMULT_ADD_1 : altmult_add
+  PORT MAP (
+    dataa  => taps(95 DOWNTO 48),
+    datab  => coefs(127 DOWNTO 64),
+    clock0 => clk,
+    aclr3  => rst,
+    result => res_1
+  );
+
+  ALTMULT_ADD_2 : altmult_add
+  PORT MAP (
+    dataa  => taps(143 DOWNTO 96),
+    datab  => coefs(191 DOWNTO 128),
+    clock0 => clk,
+    aclr3  => rst,
+    result => res_2
+  );
+
+
+  ALTMULT_ADD_3 : altmult_add
+  PORT MAP (
+    dataa  => taps(191 DOWNTO 144),
+    datab  => coefs(255 DOWNTO 192),
+    clock0 => clk,
+    aclr3  => rst,
+    result => res_3
+  );
+
+END stratix;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_filter.vhd b/applications/lofar1/pfs/src/vhdl/pfs_filter.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..b6044a6682359855e4be68ddab3c91b48861a4aa
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_filter.vhd
@@ -0,0 +1,20 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+
+ENTITY pfs_filter IS
+  GENERIC (
+    g_coef_w        : NATURAL;
+    g_out_w         : NATURAL;
+    g_taps_w        : NATURAL;
+    g_nof_taps      : NATURAL
+  );
+  PORT (
+    clk             : IN STD_LOGIC;
+    taps            : IN STD_LOGIC_VECTOR (g_nof_taps*g_taps_w-1 DOWNTO 0);
+    coefs           : IN STD_LOGIC_VECTOR (g_nof_taps*g_coef_w-1 DOWNTO 0);
+    result          : OUT STD_LOGIC_VECTOR (g_out_w-1 DOWNTO 0)
+  );
+END pfs_filter;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir(str).vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir(str).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..703eb6c3fd69a097bbda15e92179ffd246b88143
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir(str).vhd
@@ -0,0 +1,176 @@
+LIBRARY IEEE, pfs_lib;
+USE IEEE.STD_LOGIC_1164.ALL;
+LIBRARY common_lib;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE str OF pfs_fir IS
+
+  CONSTANT c_nof_taps_w      : NATURAL := ceil_log2(g_nof_taps);
+  CONSTANT c_nof_prefilter_w : NATURAL := ceil_log2(g_nof_prefilter);
+  CONSTANT c_nof_coefs       : NATURAL := g_nof_prefilter * g_nof_taps;
+  CONSTANT c_addr_w          : NATURAL := ceil_log2(c_nof_coefs);
+  CONSTANT c_mult_latency    : NATURAL := 1;
+
+  SIGNAL sample_data_hor     : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL sample_data_ver     : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL sample_addr         : STD_LOGIC_VECTOR(c_addr_w-1 DOWNTO 0);
+  SIGNAL sample_wren         : STD_LOGIC;
+  SIGNAL taps_data_hor       : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL taps_data_ver       : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL taps_addr           : STD_LOGIC_VECTOR(c_addr_w-1 DOWNTO 0);
+  SIGNAL taps_rden           : STD_LOGIC;
+  SIGNAL coefs_addr          : STD_LOGIC_VECTOR(c_addr_w-1 DOWNTO 0);
+  SIGNAL coefs_data          : STD_LOGIC_VECTOR(g_coef_dat_w-1 DOWNTO 0);
+  SIGNAL coefs_rden          : STD_LOGIC;
+  SIGNAL res_clr             : STD_LOGIC;
+  SIGNAL i_res_val           : STD_LOGIC;
+
+
+BEGIN
+
+  ctrl : ENTITY pfs_lib.pfs_fir_ctrl
+  GENERIC MAP (
+    g_nof_prefilter   => g_nof_prefilter,
+    g_nof_prefilter_w => c_nof_prefilter_w,
+    g_nof_taps        => g_nof_taps,
+    g_nof_taps_w      => c_nof_taps_w,
+    g_sample_width    => g_in_dat_w
+  )
+  PORT MAP (
+    clk               => clk,
+    rst               => rst,
+    input_hor         => in_hor,
+    input_ver         => in_ver,
+    input_val         => in_val,
+    input_sync        => in_sync,
+    coefs_addr        => coefs_addr,
+    coefs_rden        => coefs_rden,
+    sample_addr       => sample_addr,
+    sample_data_hor   => sample_data_hor,
+    sample_data_ver   => sample_data_ver,
+    sample_wren       => sample_wren,
+    taps_addr         => taps_addr,
+    taps_rden         => taps_rden,
+    res_clr           => res_clr,
+    result_val        => i_res_val,
+    result_sync       => res_sync
+  );
+
+
+  mac_hor : ENTITY pfs_lib.pfs_fir_mac
+  GENERIC MAP (
+    g_a_in_w          => g_in_dat_w,
+    g_b_in_w          => g_coef_dat_w,
+    g_out_w           => g_out_dat_w,
+    g_taps_w          => c_nof_taps_w,
+    g_mult_pipeline   => c_mult_latency
+  )
+  PORT MAP (
+    data_a            => taps_data_hor,
+    data_b            => coefs_data,
+    res_clr           => res_clr,
+    res_val           => i_res_val,
+    clk               => clk,
+    rst               => rst,
+    result            => res_hor
+  );
+
+
+  mac_ver : ENTITY pfs_lib.pfs_fir_mac
+  GENERIC MAP (
+    g_a_in_w          => g_in_dat_w,
+    g_b_in_w          => g_coef_dat_w,
+    g_out_w           => g_out_dat_w,
+    g_taps_w          => c_nof_taps_w,
+    g_mult_pipeline   => c_mult_latency
+  )
+  PORT MAP (
+    data_a            => taps_data_ver,
+    data_b            => coefs_data,
+    res_clr           => res_clr,
+    res_val           => i_res_val,
+    clk               => clk,
+    rst               => rst,
+    result            => res_ver
+  );
+
+
+  coefsbuf_0 : IF g_fir_nr = 0 GENERATE
+    coefsbuf : ENTITY pfs_lib.pfs_fir_coefsbuf
+    GENERIC MAP (
+      g_data_w        => g_coef_dat_w,
+      g_coefs_w       => c_addr_w,
+      g_nof_coefs     => c_nof_coefs,
+      g_init_file     => "../../../../../EPA/pfs/src/data/pfs_fir_coeff_0_"
+          & NATURAL'IMAGE(g_nof_prefilter*g_nof_taps) & "pts.hex"
+    )
+    PORT MAP (
+      addr            => coefs_addr,
+      rden            => coefs_rden,
+      data            => coefs_data,
+      clk             => clk,
+      rst             => rst
+    );
+  END GENERATE;
+
+
+  coefsbuf_N : IF g_fir_nr > 0 GENERATE
+    coefsbuf : ENTITY pfs_lib.pfs_fir_coefsbuf
+    GENERIC MAP (
+      g_data_w        => g_coef_dat_w,
+      g_coefs_w       => c_addr_w,
+      g_nof_coefs     => c_nof_coefs,
+      g_init_file     => "../../../../../EPA/pfs/src/data/pfs_fir_coeff_"
+          & NATURAL'IMAGE(g_fir_nr) & "_" & NATURAL'IMAGE(g_nof_prefilter*g_nof_taps) & "pts.hex"
+    )
+    PORT MAP (
+      addr            => coefs_addr,
+      rden            => coefs_rden,
+      data            => coefs_data,
+      clk             => clk,
+      rst             => rst
+    );
+  END GENERATE;
+
+
+  tapsbuf_hor : ENTITY pfs_lib.pfs_fir_tapsbuf
+  GENERIC MAP (
+    g_data_w          => g_in_dat_w,
+    g_nof_words       => c_nof_coefs,
+    g_addr_w          => c_addr_w
+  )
+  PORT MAP (
+    data_a            => sample_data_hor,
+    wren_a            => sample_wren,
+    addr_a            => sample_addr,
+    addr_b            => taps_addr,
+    rden_b            => taps_rden,
+    data_b            => taps_data_hor,
+    clk               => clk,
+    rst               => rst
+  );
+
+
+  tapsbuf_ver : ENTITY pfs_lib.pfs_fir_tapsbuf
+  GENERIC MAP (
+    g_data_w          => g_in_dat_w,
+    g_nof_words       => c_nof_coefs,
+    g_addr_w          => c_addr_w
+  )
+  PORT MAP (
+    data_a            => sample_data_ver,
+    wren_a            => sample_wren,
+    addr_a            => sample_addr,
+    addr_b            => taps_addr,
+    rden_b            => taps_rden,
+    data_b            => taps_data_ver,
+    clk               => clk,
+    rst               => rst
+  );
+
+  res_val <= i_res_val;
+
+END str;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir.vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..8a5dad2371e31ea6dc726c44a10a0071e7f7366b
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir.vhd
@@ -0,0 +1,32 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+
+ENTITY pfs_fir IS
+  GENERIC (
+    g_in_dat_w          : NATURAL;
+    g_out_dat_w         : NATURAL;
+    g_coef_dat_w        : NATURAL;
+--    g_nof_fir           : NATURAL;
+--    g_nof_subbands      : NATURAL;
+    g_nof_prefilter     : NATURAL;
+--    g_nof_polarizations : NATURAL;
+    g_nof_taps          : NATURAL;
+    g_fir_nr            : NATURAL
+  );
+  PORT(
+    clk                 : IN STD_LOGIC;
+    rst                 : IN STD_LOGIC;
+    in_hor              : IN STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_ver              : IN STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val              : IN STD_LOGIC;
+    in_sync             : IN STD_LOGIC;
+    res_hor             : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    res_ver             : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    res_val             : OUT STD_LOGIC;
+    res_sync            : OUT STD_LOGIC
+  );
+END pfs_fir;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..0aa00e3fcc7b2415033d31359f878c49b93e1cc0
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
@@ -0,0 +1,59 @@
+LIBRARY IEEE, altera_mf, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE stratix OF pfs_fir_coefsbuf IS
+
+  COMPONENT altsyncram
+  GENERIC (
+    operation_mode         : STRING;
+    width_a                : NATURAL;
+    widthad_a              : NATURAL;
+    numwords_a             : NATURAL;
+    lpm_type               : STRING;
+    width_byteena_a        : NATURAL;
+    outdata_reg_a          : STRING;
+    outdata_aclr_a         : STRING;
+    read_during_write_mode_mixed_ports : STRING;
+    ram_block_type         : STRING;
+    init_file              : STRING;
+    intended_device_family : STRING
+  );
+  PORT (
+      aclr0                : IN  STD_LOGIC ;
+      clock0               : IN  STD_LOGIC ;
+      address_a            : IN  STD_LOGIC_VECTOR (g_coefs_w-1 DOWNTO 0);
+      q_a                  : OUT STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0)
+  );
+  END COMPONENT;
+
+BEGIN
+
+  rom : altsyncram
+  GENERIC MAP (
+    operation_mode         => "ROM",
+    width_a                => g_data_w,
+    widthad_a              => g_coefs_w,
+    numwords_a             => g_nof_coefs,
+    lpm_type               => "altsyncram",
+    width_byteena_a        => 1,
+    outdata_reg_a          => "CLOCK0",
+    outdata_aclr_a         => "CLEAR0",
+    read_during_write_mode_mixed_ports => "DONT_CARE",
+    ram_block_type         => "AUTO",
+    init_file              => g_init_file,
+    intended_device_family => c_rsp_device_family
+  )
+  PORT MAP (
+    aclr0                  => rst,
+    clock0                 => clk,
+    address_a              => addr,
+    q_a                    => data
+  );
+
+END stratix;
+
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_coefsbuf.vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_coefsbuf.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..cbd3079ef16f68d24296a7057b2a5b08a89ab311
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_coefsbuf.vhd
@@ -0,0 +1,21 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+ENTITY pfs_fir_coefsbuf IS
+  GENERIC (
+    g_data_w    : NATURAL;
+    g_nof_coefs : NATURAL;
+    g_coefs_w   : NATURAL;
+    g_init_file : STRING
+  );
+  PORT (
+    addr        : IN STD_LOGIC_VECTOR (g_coefs_w-1 DOWNTO 0);
+    rden        : IN STD_LOGIC;
+    data        : OUT STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0);
+    clk         : IN STD_LOGIC;
+    rst         : IN STD_LOGIC
+  );
+END pfs_fir_coefsbuf;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..76934a1d9cf3a2cf99ae154d462524396e0487e2
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_ctrl(rtl).vhd
@@ -0,0 +1,241 @@
+LIBRARY IEEE;
+USE ieee.std_logic_1164.ALL;
+USE ieee.numeric_std.ALL;
+
+
+ARCHITECTURE rtl OF pfs_fir_ctrl IS
+
+  -- The number of cycles that should be waited until the result that comes out
+  -- of the MAC block is the valid result. The ctrl block will generate a valid
+  -- pulse.
+  CONSTANT c_mac_res_delay  : INTEGER := 7;
+  -- The number of cycles before the MAC block has to be reset before it can
+  -- start with the next FIR calculation.
+  CONSTANT c_mac_clr_delay  : INTEGER := 4;
+
+
+  TYPE fir_state_enum IS (
+    idle,
+    calc
+  );
+
+
+  SIGNAL fir_state              : fir_state_enum;
+  SIGNAL nxt_fir_state          : fir_state_enum;
+  SIGNAL taps_cnt               : STD_LOGIC_VECTOR(g_nof_taps_w-1 DOWNTO 0);
+  SIGNAL nxt_taps_cnt           : STD_LOGIC_VECTOR(taps_cnt'RANGE);
+  SIGNAL prefilter_cnt          : STD_LOGIC_VECTOR(g_nof_prefilter_w-1 DOWNTO 0);
+  SIGNAL nxt_prefilter_cnt      : STD_LOGIC_VECTOR(prefilter_cnt'RANGE);
+  SIGNAL taps_addr_base         : STD_LOGIC_VECTOR(taps_cnt'RANGE);
+  SIGNAL nxt_taps_addr_base     : STD_LOGIC_VECTOR(taps_addr_base'RANGE);
+  SIGNAL nxt_sample_wren        : STD_LOGIC;
+  SIGNAL taps_addr_offset       : STD_LOGIC_VECTOR(taps_cnt'RANGE);
+  SIGNAL i_taps_addr            : STD_LOGIC_VECTOR(taps_addr'RANGE);
+  SIGNAL nxt_taps_addr          : STD_LOGIC_VECTOR(taps_addr'RANGE);
+  SIGNAL nxt_taps_rden          : STD_LOGIC;
+  SIGNAL nxt_coefs_addr         : STD_LOGIC_VECTOR(coefs_addr'RANGE);
+  SIGNAL nxt_coefs_rden         : STD_LOGIC;
+  SIGNAL i_sample_data_hor      : STD_LOGIC_VECTOR(sample_data_hor'RANGE);
+  SIGNAL nxt_sample_data_hor    : STD_LOGIC_VECTOR(sample_data_hor'RANGE);
+  SIGNAL i_sample_data_ver      : STD_LOGIC_VECTOR(sample_data_ver'RANGE);
+  SIGNAL nxt_sample_data_ver    : STD_LOGIC_VECTOR(sample_data_ver'RANGE);
+  SIGNAL i_sample_addr          : STD_LOGIC_VECTOR(sample_addr'RANGE);
+  SIGNAL nxt_sample_addr        : STD_LOGIC_VECTOR(sample_addr'RANGE);
+  SIGNAL mac_res_delay          : STD_LOGIC_VECTOR(c_mac_res_delay-1 DOWNTO 0);
+  SIGNAL nxt_mac_res_delay      : STD_LOGIC_VECTOR(mac_res_delay'RANGE);
+  SIGNAL sync_delay             : STD_LOGIC_VECTOR(c_mac_res_delay+g_nof_taps*2-1 DOWNTO 0);
+  SIGNAL nxt_sync_delay         : STD_LOGIC_VECTOR(sync_delay'RANGE);
+  SIGNAL last_tap               : STD_LOGIC;
+
+BEGIN
+
+  -- Output signals.
+  result_val       <= mac_res_delay(mac_res_delay'HIGH);
+  result_sync      <= sync_delay(sync_delay'HIGH);
+--  res_clr          <= mac_res_delay(c_mac_clr_delay - 1);
+  taps_addr        <= i_taps_addr;
+  sample_data_hor  <= i_sample_data_hor;
+  sample_data_ver  <= i_sample_data_ver;
+  sample_addr      <= i_sample_addr;
+
+
+  registers_proc : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      -- Input registers.
+      -- Output registers.
+      sample_wren        <= '0';
+      coefs_addr         <= (OTHERS => '0');
+      coefs_rden         <= '0';
+      i_taps_addr        <= (OTHERS => '0');
+      taps_rden          <= '0';
+      i_sample_data_hor  <= (OTHERS => '0');
+      i_sample_data_ver  <= (OTHERS => '0');
+      i_sample_addr      <= (OTHERS => '0');
+      -- Internal registers.
+      mac_res_delay      <= (OTHERS => '0');
+      sync_delay         <= (OTHERS => '0');
+      fir_state          <= idle;
+      taps_cnt           <= (OTHERS => '0');
+      prefilter_cnt      <= (OTHERS => '0');
+      taps_addr_base     <= (OTHERS => '0');
+    ELSIF rising_edge(clk) THEN
+      -- Input registers.
+      -- Output registers.
+      sample_wren        <= nxt_sample_wren;
+      coefs_addr         <= nxt_coefs_addr;
+      coefs_rden         <= nxt_coefs_rden;
+      i_taps_addr        <= nxt_taps_addr;
+      taps_rden          <= nxt_taps_rden;
+      i_sample_data_hor  <= nxt_sample_data_hor;
+      i_sample_data_ver  <= nxt_sample_data_ver;
+      i_sample_addr      <= nxt_sample_addr;
+      -- Internal registers.
+      mac_res_delay      <= nxt_mac_res_delay;
+      sync_delay         <= nxt_sync_delay;
+      fir_state          <= nxt_fir_state;
+      taps_cnt           <= nxt_taps_cnt;
+      prefilter_cnt      <= nxt_prefilter_cnt;
+      taps_addr_base     <= nxt_taps_addr_base;
+    END IF;
+  END PROCESS;
+
+
+  -- The state machine will stay in idle until it receives an input sample. At
+  -- that time it will start the FIR filter operation. It will return to idle
+  -- unless another sample is received at the time the calculation is ready.
+  state_machine_proc : PROCESS (fir_state, input_val, last_tap)
+  BEGIN
+    nxt_fir_state <= fir_state;
+
+    CASE fir_state IS
+      WHEN idle =>
+        IF input_val = '1' THEN
+          nxt_fir_state <= calc;
+        END IF;
+      WHEN calc =>
+        IF input_val = '0' AND last_tap = '1' THEN
+          nxt_fir_state <= idle;
+        END IF;
+      WHEN OTHERS =>
+        nxt_fir_state <= idle;
+    END CASE;
+  END PROCESS;
+
+
+  -- Pulse when the tap counter reaches it maximum.
+  last_tap <= '1' WHEN UNSIGNED(taps_cnt) = (g_nof_taps - 1) ELSE '0';
+
+  res_clr <= '1'  WHEN UNSIGNED(taps_cnt) = c_mac_clr_delay-1 ELSE '0';
+
+
+  -- Counter that will be used for addressing various RAMs, and to indicate
+  -- to the state machine when a FIR operation is finished.
+  tap_counter_proc : PROCESS (taps_cnt, input_val, fir_state, last_tap)
+  BEGIN
+    nxt_taps_cnt <= taps_cnt;
+    IF input_val = '1' OR last_tap = '1' THEN
+      nxt_taps_cnt <= (OTHERS => '0');
+    ELSIF fir_state = calc THEN
+      nxt_taps_cnt <= STD_LOGIC_VECTOR(UNSIGNED(taps_cnt) + 1);
+    END IF;
+  END PROCESS;
+
+
+  -- Keep track of the current logical FIR operation. This counter will be used
+  -- for addressing of the memories.
+  prefilter_counter_proc : PROCESS (prefilter_cnt, last_tap)
+  BEGIN
+    nxt_prefilter_cnt <= prefilter_cnt;
+    IF last_tap = '1' THEN
+      IF UNSIGNED(prefilter_cnt) >= (g_nof_prefilter - 1) THEN
+        nxt_prefilter_cnt <= (OTHERS => '0');
+      ELSE
+        nxt_prefilter_cnt <= STD_LOGIC_VECTOR(UNSIGNED(prefilter_cnt) + 1);
+      END IF;
+    END IF;
+  END PROCESS;
+
+
+  -- Generate address and read enable for the coefficient memory.
+  coefficient_control_proc : PROCESS (prefilter_cnt, taps_cnt, fir_state)
+  BEGIN
+    nxt_coefs_addr <= (OTHERS => '0');
+    nxt_coefs_rden <= '0';
+
+    IF fir_state = calc THEN
+      nxt_coefs_rden <= '1';
+      nxt_coefs_addr <= prefilter_cnt & taps_cnt;
+    END IF;
+  END PROCESS;
+
+
+  -- Generate write signals for the taps memories. The received samples will
+  -- be written into this memory at the end of the FIR calculation cycle.
+  sample_ram_control_proc : PROCESS (taps_cnt, input_val, i_sample_data_hor,
+    input_hor, prefilter_cnt, taps_addr_base, i_sample_addr, i_sample_data_ver,
+    input_ver)
+  BEGIN
+    nxt_sample_wren      <= '0';
+    nxt_sample_data_hor  <= i_sample_data_hor;
+    nxt_sample_data_ver  <= i_sample_data_ver;
+    nxt_sample_addr      <= i_sample_addr;
+
+    IF UNSIGNED(taps_cnt) = (g_nof_taps - 2) THEN
+      nxt_sample_wren <= '1';
+      nxt_sample_addr <= prefilter_cnt & taps_addr_base;
+    END IF;
+
+    IF input_val = '1' THEN
+      nxt_sample_data_hor <= STD_LOGIC_VECTOR(TO_SIGNED(
+          TO_INTEGER(SIGNED(input_hor)), i_sample_data_hor'LENGTH));
+      nxt_sample_data_ver <= STD_LOGIC_VECTOR(TO_SIGNED(
+          TO_INTEGER(SIGNED(input_ver)), i_sample_data_ver'LENGTH));
+    END IF;
+  END PROCESS;
+
+
+  -- Generate read signals for the taps memories. Also, the base address of the
+  -- current logical FIR operation will be generated here. The base address will
+  -- change in time to emulate a shift register using memories.
+  tap_ram_control_proc : PROCESS (taps_addr_base, fir_state, prefilter_cnt,
+    taps_addr_offset, last_tap)
+  BEGIN
+    nxt_taps_addr_base <= taps_addr_base;
+    nxt_taps_rden <= '0';
+    nxt_taps_addr <= (OTHERS => '0');
+
+    IF fir_state = calc THEN
+      nxt_taps_rden <= '1';
+      nxt_taps_addr <= prefilter_cnt & taps_addr_offset;
+    END IF;
+
+    IF UNSIGNED(prefilter_cnt) = (g_nof_prefilter - 1) AND last_tap = '1' THEN
+      nxt_taps_addr_base <=
+          STD_LOGIC_VECTOR(UNSIGNED(taps_addr_base) + 1);
+    END IF;
+  END PROCESS;
+
+
+  taps_addr_offset <= STD_LOGIC_VECTOR(UNSIGNED(taps_addr_base)
+      + UNSIGNED(taps_cnt));
+
+
+  -- The MAC delay register is used to generate a valid pulse for the MAC output
+  -- when the FIR calculation is done, and to generate a reset pulse to set the
+  -- intermediate result of the MAC to 0.
+  mac_controller_proc : PROCESS (taps_cnt, mac_res_delay, sync_delay, input_sync)
+  BEGIN
+    nxt_mac_res_delay <= mac_res_delay(mac_res_delay'HIGH-1 DOWNTO 0) & '0';
+    nxt_sync_delay <= sync_delay(sync_delay'HIGH-1 DOWNTO 0) & '0';
+
+    IF UNSIGNED(taps_cnt) = g_nof_taps - 1 THEN
+      nxt_mac_res_delay(0) <= '1';
+      nxt_sync_delay(0) <= input_sync;
+    END IF;
+  END PROCESS;
+
+
+END rtl;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_ctrl.vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_ctrl.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..d2376757a5381978c92ba9d7f3b5aca472322f10
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_ctrl.vhd
@@ -0,0 +1,33 @@
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+
+
+ENTITY pfs_fir_ctrl IS
+  GENERIC (
+    g_nof_prefilter   : NATURAL;
+    g_nof_prefilter_w : NATURAL;
+    g_nof_taps        : NATURAL;
+    g_nof_taps_w      : NATURAL;
+    g_sample_width    : NATURAL
+  );
+  PORT (
+    clk              : IN STD_LOGIC;
+    rst              : IN STD_LOGIC;
+    input_hor        : IN STD_LOGIC_VECTOR(g_sample_width-1 DOWNTO 0);
+    input_ver        : IN STD_LOGIC_VECTOR(g_sample_width-1 DOWNTO 0);
+    input_val        : IN STD_LOGIC;
+    input_sync       : IN STD_LOGIC;
+    coefs_addr       : OUT STD_LOGIC_VECTOR(g_nof_prefilter_w+g_nof_taps_w-1 DOWNTO 0);
+    coefs_rden       : OUT STD_LOGIC;
+    sample_addr      : OUT STD_LOGIC_VECTOR(g_nof_prefilter_w+g_nof_taps_w-1 DOWNTO 0);
+    sample_data_hor  : OUT STD_LOGIC_VECTOR(g_sample_width-1 DOWNTO 0);
+    sample_data_ver  : OUT STD_LOGIC_VECTOR(g_sample_width-1 DOWNTO 0);
+    sample_wren      : OUT STD_LOGIC;
+    taps_addr        : OUT STD_LOGIC_VECTOR(g_nof_prefilter_w+g_nof_taps_w-1 DOWNTO 0);
+    taps_rden        : OUT STD_LOGIC;
+    res_clr          : OUT STD_LOGIC;
+    result_val       : OUT STD_LOGIC;
+    result_sync      : OUT STD_LOGIC
+  );
+END pfs_fir_ctrl;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_mac(stratix).vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_mac(stratix).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..048d82047dfb4e65d883bc412772150515486578
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_mac(stratix).vhd
@@ -0,0 +1,106 @@
+LIBRARY IEEE, lpm, altera_mf, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE stratix OF pfs_fir_mac IS
+
+  COMPONENT altmult_accum
+  GENERIC (
+    intended_device_family         : STRING;
+    width_a                        : NATURAL;
+    width_b                        : NATURAL;
+    representation_a               : STRING;
+    representation_b               : STRING;
+    lpm_type                       : STRING;
+    width_result                   : NATURAL;
+    input_source_a                 : STRING;
+    input_source_b                 : STRING;
+    multiplier_rounding            : STRING;
+    multiplier_saturation          : STRING;
+    port_mult_is_saturated         : STRING;
+    accumulator_rounding           : STRING;
+    accumulator_saturation         : STRING;
+    port_accum_is_saturated        : STRING;
+    accum_direction                : STRING;
+    input_reg_a                    : STRING;
+    input_aclr_a                   : STRING;
+    input_reg_b                    : STRING;
+    input_aclr_b                   : STRING;
+    multiplier_reg                 : STRING;
+    multiplier_aclr                : STRING;
+    accum_sload_reg                : STRING;
+    accum_sload_pipeline_reg       : STRING;
+    output_reg                     : STRING;
+    output_aclr                    : STRING;
+    dedicated_multiplier_circuitry : STRING
+  );
+  PORT (
+    dataa                          : IN  STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
+    datab                          : IN  STD_LOGIC_VECTOR (width_b-1 DOWNTO 0);
+    accum_sload                    : IN  STD_LOGIC;
+    aclr0                          : IN  STD_LOGIC;
+    clock0                         : IN  STD_LOGIC;
+    result                         : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0)
+  );
+  END COMPONENT;
+
+
+  -- NOTE: although it appears otherwise, bit growth in the accumulation is accounted for!
+  SIGNAL acc_out       : STD_LOGIC_VECTOR(g_a_in_w+g_b_in_w-1 DOWNTO 0);
+
+BEGIN
+
+  registers : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      result <= (OTHERS => '0');
+    ELSIF rising_edge(clk) THEN
+      result <= acc_out(acc_out'HIGH DOWNTO acc_out'LENGTH  - result'LENGTH);
+    END IF;
+  END PROCESS;
+
+
+  mac : altmult_accum
+  GENERIC MAP (
+    intended_device_family         => c_rsp_device_family,
+    width_a                        => g_a_in_w,
+    width_b                        => g_b_in_w,
+    representation_a               => "SIGNED",
+    representation_b               => "SIGNED",
+    lpm_type                       => "altmult_accum",
+    width_result                   => g_a_in_w + g_b_in_w,
+    input_source_a                 => "DATAA",
+    input_source_b                 => "DATAB",
+    multiplier_rounding            => "NO",
+    multiplier_saturation          => "NO",
+    port_mult_is_saturated         => "UNUSED",
+    accumulator_rounding           => "NO",
+    accumulator_saturation         => "NO",
+    port_accum_is_saturated        => "UNUSED",
+    accum_direction                => "ADD",
+    input_reg_a                    => "CLOCK0",
+    input_aclr_a                   => "ACLR0",
+    input_reg_b                    => "CLOCK0",
+    input_aclr_b                   => "ACLR0",
+    multiplier_reg                 => "CLOCK0",
+    multiplier_aclr                => "ACLR0",
+    accum_sload_reg                => "CLOCK0",
+    accum_sload_pipeline_reg       => "CLOCK0",
+    output_reg                     => "CLOCK0",
+    output_aclr                    => "ACLR0",
+    dedicated_multiplier_circuitry => "YES"
+  )
+  PORT MAP (
+    dataa                          => data_a,
+    datab                          => data_b,
+    accum_sload                    => res_clr,
+    aclr0                          => rst,
+    clock0                         => clk,
+    result                         => acc_out
+  );
+
+END stratix;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_mac.vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_mac.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..939972a1a0c3b50d16b47fb7c13bb33fe33c8e60
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_mac.vhd
@@ -0,0 +1,24 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+
+ENTITY pfs_fir_mac IS
+  GENERIC (
+    g_a_in_w        : NATURAL;
+    g_b_in_w        : NATURAL;
+    g_out_w         : NATURAL;
+    g_taps_w        : NATURAL;
+    g_mult_pipeline : NATURAL
+  );
+  PORT (
+    data_a          : IN STD_LOGIC_VECTOR (g_a_in_w-1 DOWNTO 0);
+    data_b          : IN STD_LOGIC_VECTOR (g_b_in_w-1 DOWNTO 0);
+    res_clr         : IN STD_LOGIC;
+    res_val         : IN STD_LOGIC;
+    clk             : IN STD_LOGIC;
+    rst             : IN STD_LOGIC;
+    result          : OUT STD_LOGIC_VECTOR (g_out_w-1 DOWNTO 0)
+  );
+END pfs_fir_mac;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..eebca6d0073ebadae9f97dc895083f7569f07cfc
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_tapsbuf(stratix).vhd
@@ -0,0 +1,75 @@
+LIBRARY IEEE, altera_mf, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE stratix OF pfs_fir_tapsbuf IS
+
+  COMPONENT altsyncram
+  GENERIC (
+    intended_device_family : STRING;
+    operation_mode         : STRING;
+    width_a                : NATURAL;
+    widthad_a              : NATURAL;
+    numwords_a             : NATURAL;
+    width_b                : NATURAL;
+    widthad_b              : NATURAL;
+    numwords_b             : NATURAL;
+    lpm_type               : STRING;
+    width_byteena_a        : NATURAL;
+    outdata_reg_b          : STRING;
+    address_reg_b          : STRING;
+    outdata_aclr_b         : STRING;
+    read_during_write_mode_mixed_ports : STRING;
+    init_file              : STRING;
+    ram_block_type         : STRING
+  );
+  PORT (
+    wren_a                 : IN  STD_LOGIC;
+    aclr0                  : IN  STD_LOGIC;
+    clock0                 : IN  STD_LOGIC;
+    address_a              : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    address_b              : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    q_b                    : OUT STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0);
+    data_a                 : IN  STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0)
+  );
+  END COMPONENT;
+
+BEGIN
+
+  altsyncram_component : altsyncram
+  GENERIC MAP (
+    intended_device_family => c_rsp_device_family,
+    operation_mode         => "DUAL_PORT",
+    width_a                => g_data_w,
+    widthad_a              => g_addr_w,
+    numwords_a             => g_nof_words,
+    width_b                => g_data_w,
+    widthad_b              => g_addr_w,
+    numwords_b             => g_nof_words,
+    lpm_type               => "altsyncram",
+    width_byteena_a        => 1,
+    outdata_reg_b          => "CLOCK0",
+    address_reg_b          => "CLOCK0",
+    outdata_aclr_b         => "CLEAR0",
+    read_during_write_mode_mixed_ports => "DONT_CARE",
+    init_file              => "../../../../../EPA/pfs/src/data/pfs_fir_taps_"
+        & NATURAL'IMAGE(g_nof_words) & "pts.hex",
+    ram_block_type         => "AUTO"
+  )
+  PORT MAP (
+    wren_a                 => wren_a,
+    aclr0                  => rst,
+    clock0                 => clk,
+    address_a              => addr_a,
+    address_b              => addr_b,
+    data_a                 => data_a,
+    q_b                    => data_b
+  );
+
+END stratix;
+
+
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_fir_tapsbuf.vhd b/applications/lofar1/pfs/src/vhdl/pfs_fir_tapsbuf.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..8b5dac61b8778e22e88b4b733701093c3288b439
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_fir_tapsbuf.vhd
@@ -0,0 +1,23 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+ENTITY pfs_fir_tapsbuf IS
+  GENERIC (
+    g_data_w      : NATURAL;
+    g_nof_words   : NATURAL;
+    g_addr_w      : NATURAL
+  );
+  PORT (
+    data_a        : IN  STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0);
+    wren_a        : IN  STD_LOGIC;
+    addr_a        : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    addr_b        : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    rden_b        : IN  STD_LOGIC;
+    data_b        : OUT STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0);
+    clk           : IN  STD_LOGIC;
+    rst           : IN  STD_LOGIC
+  );
+END pfs_fir_tapsbuf;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_rotate(rtl).vhd b/applications/lofar1/pfs/src/vhdl/pfs_rotate(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..8b041a9d2ea192d9377b1d7170fbc8a3032ca103
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_rotate(rtl).vhd
@@ -0,0 +1,69 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+
+ARCHITECTURE rtl OF pfs_rotate IS
+
+  SIGNAL i_out_dat_x     : STD_LOGIC_VECTOR(out_dat_x'RANGE);
+  SIGNAL nxt_out_dat_x   : STD_LOGIC_VECTOR(out_dat_x'RANGE);
+  SIGNAL i_out_dat_y     : STD_LOGIC_VECTOR(out_dat_y'RANGE);
+  SIGNAL nxt_out_dat_y   : STD_LOGIC_VECTOR(out_dat_y'RANGE);
+  SIGNAL nxt_out_val     : STD_LOGIC_VECTOR(out_val'RANGE);
+  SIGNAL nxt_out_sync    : STD_LOGIC;
+  SIGNAL cnt             : INTEGER RANGE 0 TO out_val'LENGTH-1;
+  SIGNAL nxt_cnt         : INTEGER;
+
+BEGIN
+
+  out_dat_x <= i_out_dat_x;
+  out_dat_y <= i_out_dat_y;
+
+  registers_proc : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      i_out_dat_x    <= (OTHERS => '0');
+      i_out_dat_y    <= (OTHERS => '0');
+      out_val        <= (OTHERS => '0');
+      out_sync       <= '0';
+      cnt            <= 0;
+    ELSIF RISING_EDGE(clk) THEN
+      i_out_dat_x    <= nxt_out_dat_x;
+      i_out_dat_y    <= nxt_out_dat_y;
+      out_val        <= nxt_out_val;
+      out_sync       <= nxt_out_sync;
+      cnt            <= nxt_cnt;
+    END IF;
+  END PROCESS;
+
+
+  counter_proc : PROCESS (cnt, in_val)
+  BEGIN
+    nxt_cnt <= cnt;
+    IF cnt = g_nof_fir - 1 AND in_val = '1' THEN
+      nxt_cnt <= 0;
+    ELSIF in_val = '1' THEN
+      nxt_cnt <= cnt + 1;
+    END IF;
+  END PROCESS;
+
+
+  interleaver_proc : PROCESS (in_val, cnt, in_dat_x, in_dat_y, in_sync,
+      i_out_dat_x, i_out_dat_y)
+  BEGIN
+    nxt_out_dat_x <= i_out_dat_x;
+    nxt_out_dat_y <= i_out_dat_y;
+    nxt_out_val <= (OTHERS => '0');
+    nxt_out_sync <= in_sync;
+
+    IF in_val = '1' THEN
+      nxt_out_val(cnt) <= '1';
+      nxt_out_dat_x <= in_dat_x;
+      nxt_out_dat_y <= in_dat_y;
+    END IF;
+  END PROCESS;
+
+END rtl;
+
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_rotate.vhd b/applications/lofar1/pfs/src/vhdl/pfs_rotate.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..f8bc02a33c2e0eca3ade190af2b23b4a6b12caf6
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_rotate.vhd
@@ -0,0 +1,24 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+
+ENTITY pfs_rotate IS
+  GENERIC (
+    g_in_dat_w      : INTEGER;
+    g_nof_fir       : INTEGER
+  );
+  PORT (
+    clk             : IN STD_LOGIC;
+    rst             : IN STD_LOGIC;
+    in_dat_x        : IN STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_dat_y        : IN STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val          : IN STD_LOGIC;
+    in_sync         : IN STD_LOGIC;
+    out_dat_x       : OUT STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    out_dat_y       : OUT STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    out_val         : OUT STD_LOGIC_VECTOR(g_nof_fir-1 DOWNTO 0);
+    out_sync        : OUT STD_LOGIC
+  );
+END pfs_rotate;
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd b/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..f8a4ae6ab11355c63c6ed8bac2b0d7907e9a709c
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf(rtl).vhd
@@ -0,0 +1,36 @@
+LIBRARY IEEE, common_lib;
+USE IEEE.STD_LOGIC_1164.ALL;
+use ieee.std_logic_unsigned.all;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE rtl OF pfs_tapsbuf IS
+
+type RamType is array(0 to 2**g_addr_w) of std_logic_vector(g_data_w-1 downto 0);
+
+-- pfs_tapsbuf_1024.hex is empty (all zeros)
+signal RAM : RamType := (OTHERS => (OTHERS => '0'));
+
+SIGNAL read_addrb : std_logic_vector(g_addr_w-1 downto 0);
+
+BEGIN
+
+---------------------------------------------------------------
+process (clk)
+begin
+	if (clk'event and clk = '1') then
+		if (wren = '1') then
+			RAM (conv_integer(wraddr)) <= wrdata;
+		end if;
+		read_addrb <= rdaddr;
+      rddata <= RAM(conv_integer(read_addrb));
+	end if;
+end process;
+
+---------------------------------------------------------------
+---------------------------------------------------------------
+END rtl;
+
+
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd b/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..af2d49103a399d19cd355d784ca85154dddd2183
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf(stratix).vhd
@@ -0,0 +1,74 @@
+LIBRARY IEEE, altera_mf, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE stratix OF pfs_tapsbuf IS
+
+  COMPONENT altsyncram
+  GENERIC (
+    intended_device_family : STRING;
+    operation_mode         : STRING;
+    width_a                : NATURAL;
+    widthad_a              : NATURAL;
+    numwords_a             : NATURAL;
+    width_b                : NATURAL;
+    widthad_b              : NATURAL;
+    numwords_b             : NATURAL;
+    lpm_type               : STRING;
+    width_byteena_a        : NATURAL;
+    outdata_reg_b          : STRING;
+    address_reg_b          : STRING;
+    outdata_aclr_b         : STRING;
+    read_during_write_mode_mixed_ports : STRING;
+    init_file              : STRING;
+    ram_block_type         : STRING
+  );
+  PORT (
+    wren_a                 : IN  STD_LOGIC;
+    aclr0                  : IN  STD_LOGIC;
+    clock0                 : IN  STD_LOGIC;
+    address_a              : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    address_b              : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    q_b                    : OUT STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0);
+    data_a                 : IN  STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0)
+  );
+  END COMPONENT;
+
+BEGIN
+
+  altsyncram_component : altsyncram
+  GENERIC MAP (
+    intended_device_family => c_rsp_device_family,
+    operation_mode         => "DUAL_PORT",
+    width_a                => g_data_w,
+    widthad_a              => g_addr_w,
+    numwords_a             => g_nof_words,
+    width_b                => g_data_w,
+    widthad_b              => g_addr_w,
+    numwords_b             => g_nof_words,
+    lpm_type               => "altsyncram",
+    width_byteena_a        => 1,
+    outdata_reg_b          => "CLOCK0",
+    address_reg_b          => "CLOCK0",
+    outdata_aclr_b         => "CLEAR0",
+    read_during_write_mode_mixed_ports => "DONT_CARE",
+    init_file              => "../../../../pfs/src/data/pfs_tapsbuf_1024.hex",
+    ram_block_type         => "AUTO"
+  )
+  PORT MAP (
+    wren_a                 => wren,
+    aclr0                  => rst,
+    clock0                 => clk,
+    address_a              => wraddr,
+    address_b              => rdaddr,
+    data_a                 => wrdata,
+    q_b                    => rddata
+  );
+
+END stratix;
+
+
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf.vhd b/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..f2af2d1a056c5427f78c33a7b09d995c57c2e3c2
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_tapsbuf.vhd
@@ -0,0 +1,22 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+ENTITY pfs_tapsbuf IS
+  GENERIC (
+    g_data_w      : NATURAL;
+    g_nof_words   : NATURAL;
+    g_addr_w      : NATURAL
+  );
+  PORT (
+    wrdata        : IN  STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0);
+    wren          : IN  STD_LOGIC;
+    wraddr        : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    rdaddr        : IN  STD_LOGIC_VECTOR (g_addr_w-1 DOWNTO 0);
+    rddata        : OUT STD_LOGIC_VECTOR (g_data_w-1 DOWNTO 0);
+    clk           : IN  STD_LOGIC;
+    rst           : IN  STD_LOGIC
+  );
+END pfs_tapsbuf;
+
+
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_top(str).vhd b/applications/lofar1/pfs/src/vhdl/pfs_top(str).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..a298e4a2eac608c9984337a99726f93252fb9fba
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_top(str).vhd
@@ -0,0 +1,54 @@
+LIBRARY IEEE, pfs_lib;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+
+ARCHITECTURE str OF pfs_top IS
+
+  SIGNAL reg_in_dat_x : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL reg_in_dat_y : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL reg_in_val   : STD_LOGIC;
+  SIGNAL reg_in_sync  : STD_LOGIC;
+  SIGNAL d_out_dat_x  : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL d_out_dat_y  : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL d_out_val    : STD_LOGIC;
+  SIGNAL d_out_sync   : STD_LOGIC;
+  
+BEGIN
+
+  registers : PROCESS(clk)
+  BEGIN
+    IF rising_edge(clk) THEN
+      reg_in_dat_x  <= in_dat_x;
+      reg_in_dat_y  <= in_dat_y;
+      reg_in_val    <= in_val;
+      reg_in_sync   <= in_sync;
+      out_dat_x     <= d_out_dat_x;
+      out_dat_y     <= d_out_dat_y;
+      out_val       <= d_out_val;
+      out_sync      <= d_out_sync;
+    END IF;
+  END PROCESS;
+  
+  pfs : ENTITY pfs_lib.pfs
+  GENERIC MAP (
+    g_nof_bands  => g_nof_bands,
+    g_nof_taps   => g_nof_taps,
+    g_in_dat_w   => g_in_dat_w,
+    g_out_dat_w  => g_out_dat_w,
+    g_coef_dat_w => g_coef_dat_w
+  )
+  PORT MAP (
+    in_dat_x     => reg_in_dat_x,
+    in_dat_y     => reg_in_dat_y,
+    in_val       => reg_in_val,
+    in_sync      => reg_in_sync,
+    out_dat_x    => d_out_dat_x,
+    out_dat_y    => d_out_dat_y,
+    out_val      => d_out_val,
+    out_sync     => d_out_sync,
+    clk          => clk,
+    rst          => rst,
+    restart      => '0'
+  );
+
+END str;
\ No newline at end of file
diff --git a/applications/lofar1/pfs/src/vhdl/pfs_top.vhd b/applications/lofar1/pfs/src/vhdl/pfs_top.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..c169b1eb30023b60152e9d2d866e212cf7d154a1
--- /dev/null
+++ b/applications/lofar1/pfs/src/vhdl/pfs_top.vhd
@@ -0,0 +1,25 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+
+ENTITY pfs_top IS
+  GENERIC (
+    g_nof_bands         : NATURAL := 1024;
+    g_nof_taps          : NATURAL := 16*1024;
+    g_in_dat_w          : NATURAL := 12;
+    g_out_dat_w         : NATURAL := 18;
+    g_coef_dat_w        : NATURAL := 16
+  );
+  PORT (
+    in_dat_x            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_dat_y            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val              : IN  STD_LOGIC;
+    in_sync             : IN  STD_LOGIC;
+    out_dat_x           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_dat_y           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val             : OUT STD_LOGIC;
+    out_sync            : OUT STD_LOGIC;
+    clk                 : IN  STD_LOGIC;
+    rst                 : IN  STD_LOGIC
+  );
+END pfs_top;
diff --git a/applications/lofar1/pfs/tb/vhdl/tb_pfs.vhd b/applications/lofar1/pfs/tb/vhdl/tb_pfs.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..5e860b25337036c79cc48ad9cc80d6aa59f50df7
--- /dev/null
+++ b/applications/lofar1/pfs/tb/vhdl/tb_pfs.vhd
@@ -0,0 +1,85 @@
+-- Usage:
+-- > as 5
+-- > run 100 us
+-- In Wave Window:
+-- . Copy pfs_dat_x
+-- . View pfs_dat_x in decimal radix and analog format (right click)
+
+LIBRARY IEEE, pfs_lib, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ENTITY tb_pfs IS
+END tb_pfs;
+
+ARCHITECTURE tb OF tb_pfs IS
+
+  CONSTANT clk_period   : TIME := 5.0 ns;
+
+  SIGNAL in_dat_x       : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
+  SIGNAL in_dat_y       : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
+  SIGNAL in_val         : STD_LOGIC := '0';
+  SIGNAL in_sync        : STD_LOGIC := '0';
+  SIGNAL pfs_dat_x      : STD_LOGIC_VECTOR(17 DOWNTO 0);
+  SIGNAL pfs_dat_y      : STD_LOGIC_VECTOR(17 DOWNTO 0);
+  SIGNAL pfs_val        : STD_LOGIC;
+  SIGNAL pfs_sync       : STD_LOGIC;
+  SIGNAL clk            : STD_LOGIC := '1';
+  SIGNAL rst            : STD_LOGIC := '1';
+
+BEGIN
+
+  clk <= NOT clk AFTER clk_period / 2;
+  rst <= '0' AFTER 3*clk_period;
+
+  pfs : ENTITY pfs_lib.pfs
+  GENERIC MAP (
+    g_nof_bands         => 1024,
+    g_nof_taps          => 16*1024,
+    g_in_dat_w          => 12,
+    g_out_dat_w         => 18,
+    g_coef_dat_w        => 16
+  )
+  PORT MAP (
+    in_dat_x            => in_dat_x,
+    in_dat_y            => in_dat_y,
+    in_val              => in_val,
+    in_sync             => in_sync,
+    out_dat_x           => pfs_dat_x,
+    out_dat_y           => pfs_dat_y,
+    out_val             => pfs_val,
+    out_sync            => pfs_sync,
+    restart             => '0',
+    clk                 => clk,
+    rst                 => rst
+  );
+
+  -- Keep in_dat_x high for one slice to get the filter impules response. Using amplitude 1024
+  -- yields the FIR coefficients, this amplitude compensates the PFS scaling of 2^4/2^14. The
+  -- FIR coefficients come out in reversed order per each slice. The total reponse takes 16
+  -- slices, because the FIR filter has 16 taps.
+  impulse_in : PROCESS
+  BEGIN
+    in_val <= '0';
+    in_dat_x <= TO_UVEC(0, in_dat_x'LENGTH);
+    WAIT FOR 1 us;
+    WAIT UNTIL rising_edge(clk);
+    in_val <= '1';
+    FOR j IN 1 TO 16 LOOP
+      FOR i IN 1 TO 1024 LOOP
+        IF j = 1 THEN
+          in_dat_x <= TO_UVEC(2**10, in_dat_x'LENGTH);
+        ELSE
+          in_dat_x <= TO_UVEC(0, in_dat_x'LENGTH);
+        END IF;
+        WAIT UNTIL rising_edge(clk);
+      END LOOP;
+    END LOOP;
+    WAIT;
+  END PROCESS;
+
+END tb;
+
+
diff --git a/applications/lofar1/pft2/hdllib.cfg b/applications/lofar1/pft2/hdllib.cfg
new file mode 100644
index 0000000000000000000000000000000000000000..e828e3bb9101fac6ded72227bd5d3b3d995762f5
--- /dev/null
+++ b/applications/lofar1/pft2/hdllib.cfg
@@ -0,0 +1,44 @@
+hdl_lib_name = pft2
+hdl_library_clause_name = pft2_lib
+hdl_lib_uses_synth = common common_mult
+hdl_lib_uses_sim = 
+hdl_lib_technology = 
+
+synth_files =
+    src/vhdl/pft_lfsr.vhd
+    src/vhdl/pft_switch.vhd
+    src/vhdl/pft_unswitch.vhd
+    src/vhdl/pft_switch(rtl).vhd
+    src/vhdl/pft_unswitch(rtl).vhd
+    src/vhdl/pft_lfsr(rtl).vhd
+    src/vhdl/pft(pkg).vhd
+    src/vhdl/pft_bf.vhd
+    src/vhdl/pft_bf(rtl).vhd
+    src/vhdl/pft_bf_fw.vhd
+    src/vhdl/pft_bf_fw(rtl).vhd
+    src/vhdl/pft_tmult.vhd
+    src/vhdl/pft_tmult(rtl).vhd
+    src/vhdl/pft_stage.vhd
+    src/vhdl/pft_stage(str).vhd
+    src/vhdl/pft_buffer.vhd
+    src/vhdl/pft_buffer(rtl).vhd
+    src/vhdl/pft_reverse.vhd
+    src/vhdl/pft_reverse(rtl).vhd
+    src/vhdl/pft_separate.vhd
+    src/vhdl/pft_separate(rtl).vhd
+    src/vhdl/pft.vhd
+    src/vhdl/pft(str).vhd
+    src/vhdl/pft_top.vhd
+    src/vhdl/pft_top(str).vhd
+    
+test_bench_files = 
+    tb/vhdl/tb_pft2.vhd
+    #tb/vhdl/syn_pft_bf.vhd
+
+regression_test_vhdl = 
+    
+[modelsim_project_file]
+modelsim_copy_files =
+    tb/data           data
+
+[ise_project_file]
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_1.bin b/applications/lofar1/pft2/src/data/twiddle_16_1.bin
new file mode 100644
index 0000000000000000000000000000000000000000..e1d8d20449bc44b882487346962710a4c0c0cc21
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_1.bin
@@ -0,0 +1,12 @@
+00000000000000000111111111111111
+10100101011111100101101010000010
+10000000000000010000000000000000
+10100101011111011010010101111110
+00000000000000000111111111111111
+11001111000001010111011001000001
+10100101011111100101101010000010
+10001001101111110011000011111011
+00000000000000000111111111111111
+10001001101111110011000011111011
+10100101011111011010010101111110
+00110000111110101000100110111111
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_1.hex b/applications/lofar1/pft2/src/data/twiddle_16_1.hex
new file mode 100644
index 0000000000000000000000000000000000000000..73624221aa5719320e4bde9fd88551007dcfa0c2
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_1.hex
@@ -0,0 +1,13 @@
+:0400000000007FFF7E
+:04000100A57E5A82FC
+:040002008001000079
+:04000300A57DA57EB4
+:0400040000007FFF7A
+:04000500CF0576416C
+:04000600A57E5A82F7
+:0400070089BF30FB82
+:0400080000007FFF76
+:0400090089BF30FB80
+:04000A00A57DA57EAD
+:04000B0030FA89BF7F
+:00000001FF
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_1.txt b/applications/lofar1/pft2/src/data/twiddle_16_1.txt
new file mode 100644
index 0000000000000000000000000000000000000000..13e8d1f912770e737fce68291d35b73749e00e38
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_1.txt
@@ -0,0 +1,12 @@
+ 32767	     0
+ 23170	-23170
+     0	-32767
+-23170	-23170
+ 32767	     0
+ 30273	-12539
+ 23170	-23170
+ 12539	-30273
+ 32767	     0
+ 12539	-30273
+-23170	-23170
+-30273	 12539
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_2.bin b/applications/lofar1/pft2/src/data/twiddle_16_2.bin
new file mode 100644
index 0000000000000000000000000000000000000000..d342c44f3a41244da2ba811c2edf66204222aa14
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_2.bin
@@ -0,0 +1,48 @@
+00000000000000000111111111111111
+11100111000010000111110110001001
+11001111000001010111011001000001
+10111000111000110110101001101101
+10100101011111100101101010000010
+10010101100100110100011100011101
+10001001101111110011000011111011
+10000010011101110001100011111000
+10000000000000010000000000000000
+10000010011101101110011100001000
+10001001101111101100111100000101
+10010101100100101011100011100011
+10100101011111011010010101111110
+10111000111000101001010110010011
+11001111000001001000100110111111
+11100111000001111000001001110111
+00000000000000000111111111111111
+11110011011101000111111101100001
+11100111000010000111110110001001
+11011010110110010111101001111100
+11001111000001010111011001000001
+11000011101010100111000011100010
+10111000111000110110101001101101
+10101110110011000110001011110001
+10100101011111100101101010000010
+10011101000011110101000100110100
+10010101100100110100011100011101
+10001111000111100011110001010110
+10001001101111110011000011111011
+10000101100001000010010100100111
+10000010011101110001100011111000
+10000000100111110000110010001100
+00000000000000000111111111111111
+11011010110110010111101001111100
+10111000111000110110101001101101
+10011101000011110101000100110100
+10001001101111110011000011111011
+10000000100111110000110010001100
+10000010011101101110011100001000
+10001111000111011100001110101010
+10100101011111011010010101111110
+11000011101010011000111100011110
+11100111000001111000001001110111
+00001100100010111000000010011111
+00110000111110101000100110111111
+01010001001100111001110100001111
+01101010011011001011100011100011
+01111010011110111101101011011001
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_2.hex b/applications/lofar1/pft2/src/data/twiddle_16_2.hex
new file mode 100644
index 0000000000000000000000000000000000000000..e96eb04b1fadf7310ccdc97c5f654aaf068eb66f
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_2.hex
@@ -0,0 +1,49 @@
+:0400000000007FFF7E
+:04000100E7087D8906
+:04000200CF0576416F
+:04000300B8E36A6D87
+:04000400A57E5A82F9
+:040005009593471D6B
+:0400060089BF30FB83
+:04000700827718F8EC
+:040008008001000073
+:040009008276E7080C
+:04000A0089BECF05D7
+:04000B009592B8E32F
+:04000C00A57DA57EAB
+:04000D00B8E295932D
+:04000E00CF0489BFD3
+:04000F00E707827706
+:0400100000007FFF6E
+:04001100F3747F61A4
+:04001200E7087D89F5
+:04001300DAD97A7C40
+:04001400CF0576415D
+:04001500C3AA70E228
+:04001600B8E36A6D74
+:04001700AECC62F118
+:04001800A57E5A82E5
+:040019009D0F5134B2
+:04001A009593471D56
+:04001B008F1E3C56A2
+:04001C0089BF30FB6D
+:04001D00858425278A
+:04001E00827718F8D5
+:04001F00809F0C8C26
+:0400200000007FFF5E
+:04002100DAD97A7C32
+:04002200B8E36A6D68
+:040023009D0F5134A8
+:0400240089BF30FB65
+:04002500809F0C8C20
+:040026008276E708EF
+:040027008F1DC3AABC
+:04002800A57DA57E8F
+:04002900C3A98F1EBA
+:04002A00E7078277EB
+:04002B000C8B809F1B
+:04002C0030FA89BF5E
+:04002D0051339D0F9F
+:04002E006A6CB8E35D
+:04002F007A7BDAD925
+:00000001FF
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_2.txt b/applications/lofar1/pft2/src/data/twiddle_16_2.txt
new file mode 100644
index 0000000000000000000000000000000000000000..baee9363f39c1e8626948f529653afd0041a4707
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_2.txt
@@ -0,0 +1,48 @@
+ 32767	     0
+ 32137	 -6392
+ 30273	-12539
+ 27245	-18205
+ 23170	-23170
+ 18205	-27245
+ 12539	-30273
+  6392	-32137
+     0	-32767
+ -6392	-32137
+-12539	-30273
+-18205	-27245
+-23170	-23170
+-27245	-18205
+-30273	-12539
+-32137	 -6392
+ 32767	     0
+ 32609	 -3212
+ 32137	 -6392
+ 31356	 -9511
+ 30273	-12539
+ 28898	-15446
+ 27245	-18205
+ 25329	-20788
+ 23170	-23170
+ 20788	-25329
+ 18205	-27245
+ 15446	-28898
+ 12539	-30273
+  9511	-31356
+  6392	-32137
+  3212	-32609
+ 32767	     0
+ 31356	 -9511
+ 27245	-18205
+ 20788	-25329
+ 12539	-30273
+  3212	-32609
+ -6392	-32137
+-15446	-28898
+-23170	-23170
+-28898	-15446
+-32137	 -6392
+-32609	  3212
+-30273	 12539
+-25329	 20788
+-18205	 27245
+ -9511	 31356
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_3.bin b/applications/lofar1/pft2/src/data/twiddle_16_3.bin
new file mode 100644
index 0000000000000000000000000000000000000000..ef226b85951d7fd3374397cd3a8202142666cb26
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_3.bin
@@ -0,0 +1,192 @@
+00000000000000000111111111111111
+11111001101110000111111111011000
+11110011011101000111111101100001
+11101101001110000111111010011100
+11100111000010000111110110001001
+11100000111001110111110000101001
+11011010110110010111101001111100
+11010100111000010111100010000100
+11001111000001010111011001000001
+11001001010001110111001110110101
+11000011101010100111000011100010
+10111110001100100110110111001001
+10111000111000110110101001101101
+10110011110000000110011011001111
+10101110110011000110001011110001
+10101010000010110101111011010111
+10100101011111100101101010000010
+10100001001010010101010111110101
+10011101000011110101000100110100
+10011001001100010100110001000000
+10010101100100110100011100011101
+10010010001101110100000111001110
+10001111000111100011110001010110
+10001100010010110011011010111001
+10001001101111110011000011111011
+10000111011111000010101100011111
+10000101100001000010010100100111
+10000011110101110001111100011001
+10000010011101110001100011111000
+10000001011001000001001011001000
+10000000100111110000110010001100
+10000000001010000000011001001000
+10000000000000010000000000000000
+10000000001001111111100110111000
+10000000100111101111001101110100
+10000001011000111110110100111000
+10000010011101101110011100001000
+10000011110101101110000011100111
+10000101100000111101101011011001
+10000111011110111101010011100001
+10001001101111101100111100000101
+10001100010010101100100101000111
+10001111000111011100001110101010
+10010010001101101011111000110010
+10010101100100101011100011100011
+10011001001100001011001111000000
+10011101000011101010111011001100
+10100001001010001010101000001011
+10100101011111011010010101111110
+10101010000010101010000100101001
+10101110110010111001110100001111
+10110011101111111001100100110001
+10111000111000101001010110010011
+10111110001100011001001000110111
+11000011101010011000111100011110
+11001001010001101000110001001011
+11001111000001001000100110111111
+11010100111000001000011101111100
+11011010110110001000010110000100
+11100000111001101000001111010111
+11100111000001111000001001110111
+11101101001101111000000101100100
+11110011011100111000000010011111
+11111001101101111000000000101000
+00000000000000000111111111111111
+11111100110111000111111111110101
+11111001101110000111111111011000
+11110110100101100111111110100110
+11110011011101000111111101100001
+11110000010101010111111100001001
+11101101001110000111111010011100
+11101010000111100111111000011101
+11100111000010000111110110001001
+11100011111101010111110011100011
+11100000111001110111110000101001
+11011101110111010111101101011100
+11011010110110010111101001111100
+11010111110110100111100110001001
+11010100111000010111100010000100
+11010001111100000111011101101011
+11001111000001010111011001000001
+11001100001000100111010100000100
+11001001010001110111001110110101
+11000110011101000111001001010100
+11000011101010100111000011100010
+11000000111010100110111101011110
+10111110001100100110110111001001
+10111011100001010110110000100011
+10111000111000110110101001101101
+10110110010011000110100010100110
+10110011110000000110011011001111
+10110001010000000110010011101000
+10101110110011000110001011110001
+10101100011001010110000011101100
+10101010000010110101111011010111
+10100111101111100101110010110100
+10100101011111100101101010000010
+10100011010011000101100001000010
+10100001001010010101010111110101
+10011111000101000101001110011011
+10011101000011110101000100110100
+10011011000110000100111011000000
+10011001001100010100110001000000
+10010111010110100100100110110100
+10010101100100110100011100011101
+10010011110111010100010001111011
+10010010001101110100000111001110
+10010000101000100011111100010110
+10001111000111100011110001010110
+10001101101011000011100110001100
+10001100010010110011011010111001
+10001010111111000011001111011110
+10001001101111110011000011111011
+10001000100101010010111000010000
+10000111011111000010101100011111
+10000110011101110010100000100110
+10000101100001000010010100100111
+10000100101001000010001000100011
+10000011110101110001111100011001
+10000011000111010001110000001011
+10000010011101110001100011111000
+10000001111000110001010111100010
+10000001011001000001001011001000
+10000000111101110000111110101011
+10000000100111110000110010001100
+10000000010110100000100101101010
+10000000001010000000011001001000
+10000000000010110000001100100100
+00000000000000000111111111111111
+11110110100101100111111110100110
+11101101001110000111111010011100
+11100011111101010111110011100011
+11011010110110010111101001111100
+11010001111100000111011101101011
+11001001010001110111001110110101
+11000000111010100110111101011110
+10111000111000110110101001101101
+10110001010000000110010011101000
+10101010000010110101111011010111
+10100011010011000101100001000010
+10011101000011110101000100110100
+10010111010110100100100110110100
+10010010001101110100000111001110
+10001101101011000011100110001100
+10001001101111110011000011111011
+10000110011101110010100000100110
+10000011110101110001111100011001
+10000001111000110001010111100010
+10000000100111110000110010001100
+10000000000010110000001100100100
+10000000001001111111100110111000
+10000000111101101111000001010101
+10000010011101101110011100001000
+10000100101000111101110111011101
+10000111011110111101010011100001
+10001010111110111100110000100010
+10001111000111011100001110101010
+10010011110111001011101110000101
+10011001001100001011001111000000
+10011111000100111010110001100101
+10100101011111011010010101111110
+10101100011001001001111100010100
+10110011101111111001100100110001
+10111011100001001001001111011101
+11000011101010011000111100011110
+11001100001000011000101011111100
+11010100111000001000011101111100
+11011101110111001000010010100100
+11100111000001111000001001110111
+11110000010101001000000011110111
+11111001101101111000000000101000
+00000011001000111000000000001011
+00001100100010111000000010011111
+00010101111000011000000111100011
+00011111000110001000001111010111
+00101000001001011000011001110111
+00110000111110101000100110111111
+00111001100010111000110110101100
+01000001110011011001001000110111
+01001001101100111001011101011010
+01010001001100111001110100001111
+01011000010000011010001101001100
+01011110110101101010101000001011
+01100100111001111011000101000000
+01101010011011001011100011100011
+01101111010111011100000011101010
+01110011101101001100100101000111
+01110111011010101101000111110000
+01111010011110111101101011011001
+01111100111000101110001111110101
+01111110100110111110110100111000
+01111111101001011111011010010110
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_3.hex b/applications/lofar1/pft2/src/data/twiddle_16_3.hex
new file mode 100644
index 0000000000000000000000000000000000000000..0ec063492afb369f7591daf2df3ad4c244a43837
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_3.hex
@@ -0,0 +1,193 @@
+:0400000000007FFF7E
+:04000100F9B87FD8F3
+:04000200F3747F61B3
+:04000300ED387E9CBA
+:04000400E7087D8903
+:04000500E0E77C298B
+:04000600DAD97A7C4D
+:04000700D4E1788444
+:04000800CF05764169
+:04000900C94773B5BB
+:04000A00C3AA70E233
+:04000B00BE326DC9CB
+:04000C00B8E36A6D7E
+:04000D00B3C066CF47
+:04000E00AECC62F121
+:04000F00AA0B5ED703
+:04001000A57E5A82ED
+:04001100A12955F5D7
+:040012009D0F5134B9
+:0400130099314C4093
+:040014009593471D5C
+:04001500923741CE0F
+:040016008F1E3C56A7
+:040017008C4B36B91F
+:0400180089BF30FB71
+:04001900877C2B1F96
+:04001A00858425278D
+:04001B0083D71F194F
+:04001C00827718F8D7
+:04001D00816412C820
+:04001E00809F0C8C27
+:04001F0080280648E7
+:04002000800100005B
+:040021008027F9B883
+:04002200809EF37455
+:040023008163ED38D0
+:040024008276E708F1
+:0400250083D6E0E7B7
+:040026008583DAD91B
+:04002700877BD4E11E
+:0400280089BECF05B9
+:040029008C4AC947ED
+:04002A008F1DC3AAB9
+:04002B009236BE3219
+:04002C009592B8E30E
+:04002D009930B3C093
+:04002E009D0EAECCA9
+:04002F00A128AA0B4F
+:04003000A57DA57E87
+:04003100AA0AA1294D
+:04003200AECB9D0FA5
+:04003300B3BF99318D
+:04003400B8E2959306
+:04003500BE3192370F
+:04003600C3A98F1EAD
+:04003700C9468C4BDF
+:04003800CF0489BFA9
+:04003900D4E0877C0C
+:04003A00DAD8858407
+:04003B00E0E683D7A1
+:04003C00E7078277D9
+:04003D00ED378164B6
+:04003E00F373809F39
+:04003F00F9B7802865
+:0400400000007FFF3E
+:04004100FCDC7FF56F
+:04004200F9B87FD8B2
+:04004300F6967FA608
+:04004400F3747F6171
+:04004500F0557F09EA
+:04004600ED387E9C77
+:04004700EA1E7E1D12
+:04004800E7087D89BF
+:04004900E3F57CE37C
+:04004A00E0E77C2946
+:04004B00DDDD7B5C20
+:04004C00DAD97A7C07
+:04004D00D7DA7989FC
+:04004E00D4E17884FD
+:04004F00D1F0776B0A
+:04005000CF05764121
+:04005100CC22750444
+:04005200C94773B572
+:04005300C6747254A9
+:04005400C3AA70E2E9
+:04005500C0EA6F5E30
+:04005600BE326DC980
+:04005700BB856C23D6
+:04005800B8E36A6D32
+:04005900B64C68A693
+:04005A00B3C066CFFA
+:04005B00B14064E864
+:04005C00AECC62F1D3
+:04005D00AC6560EC42
+:04005E00AA0B5ED7B4
+:04005F00A7BE5CB428
+:04006000A57E5A829D
+:04006100A34C584212
+:04006200A12955F586
+:040063009F14539BF8
+:040064009D0F513467
+:040065009B184EC0D6
+:0400660099314C4040
+:04006700975A49B4A7
+:040068009593471D08
+:0400690093DD447B64
+:04006A00923741CEBA
+:04006B0090A23F160A
+:04006C008F1E3C5651
+:04006D008DAC398C91
+:04006E008C4B36B9C8
+:04006F008AFC33DEF6
+:0400700089BF30FB19
+:0400710088952E1030
+:04007200877C2B1F3D
+:04007300867728263E
+:040074008584252733
+:0400750084A422231A
+:0400760083D71F19F4
+:04007700831D1C0BBE
+:04007800827718F87B
+:0400790081E315E228
+:04007A00816412C8C3
+:04007B0080F70FAB50
+:04007C00809F0C8CC9
+:04007D00805A096A32
+:04007E008028064888
+:04007F00800B0324CB
+:0400800000007FFFFE
+:04008100F6967FA6CA
+:04008200ED387E9C3B
+:04008300E3F57CE342
+:04008400DAD97A7CCF
+:04008500D1F0776BD4
+:04008600C94773B53E
+:04008700C0EA6F5EFE
+:04008800B8E36A6D02
+:04008900B14064E836
+:04008A00AA0B5ED788
+:04008B00A34C5842E8
+:04008C009D0F51343F
+:04008D00975A49B481
+:04008E00923741CE96
+:04008F008DAC398C6F
+:0400900089BF30FBF9
+:040091008677282620
+:0400920083D71F19D8
+:0400930081E315E20E
+:04009400809F0C8CB1
+:04009500800B0324B5
+:040096008027F9B80E
+:0400970080F6F055AA
+:040098008276E7087D
+:0400990084A3DDDD82
+:04009A00877BD4E1AB
+:04009B008AFBCC22EE
+:04009C008F1DC3AA47
+:04009D0093DCBB85B0
+:04009E009930B3C022
+:04009F009F13AC659A
+:0400A000A57DA57E17
+:0400A100AC649F1498
+:0400A200B3BF99311E
+:0400A300BB8493DDAA
+:0400A400C3A98F1E3F
+:0400A500CC218AFCE4
+:0400A600D4E0877C9F
+:0400A700DDDC84A474
+:0400A800E70782776D
+:0400A900F05480F798
+:0400AA00F9B78028FA
+:0400AB000323800BA0
+:0400AC000C8B809F9A
+:0400AD0015E181E3F5
+:0400AE001F1883D7BD
+:0400AF002825867703
+:0400B00030FA89BFDA
+:0400B100398B8DAC4E
+:0400B20041CD923773
+:0400B30049B3975A5C
+:0400B40051339D0F18
+:0400B5005841A34CBF
+:0400B6005ED6AA0B5D
+:0400B70064E7B14009
+:0400B8006A6CB8E3D3
+:0400B9006F5DC0EACD
+:0400BA0073B4C9470B
+:0400BB00776AD1F09F
+:0400BC007A7BDAD998
+:0400BD007CE2E3F509
+:0400BE007E9BED3800
+:0400BF007FA5F6968D
+:00000001FF
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_3.txt b/applications/lofar1/pft2/src/data/twiddle_16_3.txt
new file mode 100644
index 0000000000000000000000000000000000000000..cd54990307d927258f81cb530410429259030a0e
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_3.txt
@@ -0,0 +1,192 @@
+ 32767	     0
+ 32728	 -1608
+ 32609	 -3212
+ 32412	 -4808
+ 32137	 -6392
+ 31785	 -7961
+ 31356	 -9511
+ 30852	-11039
+ 30273	-12539
+ 29621	-14009
+ 28898	-15446
+ 28105	-16846
+ 27245	-18205
+ 26319	-19520
+ 25329	-20788
+ 24279	-22005
+ 23170	-23170
+ 22005	-24279
+ 20788	-25329
+ 19520	-26319
+ 18205	-27245
+ 16846	-28105
+ 15446	-28898
+ 14009	-29621
+ 12539	-30273
+ 11039	-30852
+  9511	-31356
+  7961	-31785
+  6392	-32137
+  4808	-32412
+  3212	-32609
+  1608	-32728
+     0	-32767
+ -1608	-32728
+ -3212	-32609
+ -4808	-32412
+ -6392	-32137
+ -7961	-31785
+ -9511	-31356
+-11039	-30852
+-12539	-30273
+-14009	-29621
+-15446	-28898
+-16846	-28105
+-18205	-27245
+-19520	-26319
+-20788	-25329
+-22005	-24279
+-23170	-23170
+-24279	-22005
+-25329	-20788
+-26319	-19520
+-27245	-18205
+-28105	-16846
+-28898	-15446
+-29621	-14009
+-30273	-12539
+-30852	-11039
+-31356	 -9511
+-31785	 -7961
+-32137	 -6392
+-32412	 -4808
+-32609	 -3212
+-32728	 -1608
+ 32767	     0
+ 32757	  -804
+ 32728	 -1608
+ 32678	 -2410
+ 32609	 -3212
+ 32521	 -4011
+ 32412	 -4808
+ 32285	 -5602
+ 32137	 -6392
+ 31971	 -7179
+ 31785	 -7961
+ 31580	 -8739
+ 31356	 -9511
+ 31113	-10278
+ 30852	-11039
+ 30571	-11792
+ 30273	-12539
+ 29956	-13278
+ 29621	-14009
+ 29268	-14732
+ 28898	-15446
+ 28510	-16150
+ 28105	-16846
+ 27683	-17531
+ 27245	-18205
+ 26790	-18868
+ 26319	-19520
+ 25832	-20160
+ 25329	-20788
+ 24812	-21403
+ 24279	-22005
+ 23732	-22594
+ 23170	-23170
+ 22594	-23732
+ 22005	-24279
+ 21403	-24812
+ 20788	-25329
+ 20160	-25832
+ 19520	-26319
+ 18868	-26790
+ 18205	-27245
+ 17531	-27683
+ 16846	-28105
+ 16150	-28510
+ 15446	-28898
+ 14732	-29268
+ 14009	-29621
+ 13278	-29956
+ 12539	-30273
+ 11792	-30571
+ 11039	-30852
+ 10278	-31113
+  9511	-31356
+  8739	-31580
+  7961	-31785
+  7179	-31971
+  6392	-32137
+  5602	-32285
+  4808	-32412
+  4011	-32521
+  3212	-32609
+  2410	-32678
+  1608	-32728
+   804	-32757
+ 32767	     0
+ 32678	 -2410
+ 32412	 -4808
+ 31971	 -7179
+ 31356	 -9511
+ 30571	-11792
+ 29621	-14009
+ 28510	-16150
+ 27245	-18205
+ 25832	-20160
+ 24279	-22005
+ 22594	-23732
+ 20788	-25329
+ 18868	-26790
+ 16846	-28105
+ 14732	-29268
+ 12539	-30273
+ 10278	-31113
+  7961	-31785
+  5602	-32285
+  3212	-32609
+   804	-32757
+ -1608	-32728
+ -4011	-32521
+ -6392	-32137
+ -8739	-31580
+-11039	-30852
+-13278	-29956
+-15446	-28898
+-17531	-27683
+-19520	-26319
+-21403	-24812
+-23170	-23170
+-24812	-21403
+-26319	-19520
+-27683	-17531
+-28898	-15446
+-29956	-13278
+-30852	-11039
+-31580	 -8739
+-32137	 -6392
+-32521	 -4011
+-32728	 -1608
+-32757	   804
+-32609	  3212
+-32285	  5602
+-31785	  7961
+-31113	 10278
+-30273	 12539
+-29268	 14732
+-28105	 16846
+-26790	 18868
+-25329	 20788
+-23732	 22594
+-22005	 24279
+-20160	 25832
+-18205	 27245
+-16150	 28510
+-14009	 29621
+-11792	 30571
+ -9511	 31356
+ -7179	 31971
+ -4808	 32412
+ -2410	 32678
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_4.bin b/applications/lofar1/pft2/src/data/twiddle_16_4.bin
new file mode 100644
index 0000000000000000000000000000000000000000..7206444f1d5abfdff3bff49958289574cd982a8d
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_4.bin
@@ -0,0 +1,768 @@
+00000000000000000111111111111111
+11111110011011100111111111111101
+11111100110111000111111111110101
+11111011010010100111111111101001
+11111001101110000111111111011000
+11111000001001110111111111000001
+11110110100101100111111110100110
+11110101000001010111111110000110
+11110011011101000111111101100001
+11110001111001000111111100110111
+11110000010101010111111100001001
+11101110110001100111111011010101
+11101101001110000111111010011100
+11101011101010110111111001011111
+11101010000111100111111000011101
+11101000100100110111110111010101
+11100111000010000111110110001001
+11100101011111100111110100111001
+11100011111101010111110011100011
+11100010011011010111110010001000
+11100000111001110111110000101001
+11011111011000010111101111000101
+11011101110111010111101101011100
+11011100010110100111101011101110
+11011010110110010111101001111100
+11011001010110000111101000000101
+11010111110110100111100110001001
+11010110010111010111100100001001
+11010100111000010111100010000100
+11010011011010000111011111111010
+11010001111100000111011101101011
+11010000011110010111011011011000
+11001111000001010111011001000001
+11001101100100100111010110100101
+11001100001000100111010100000100
+11001010101100110111010001011111
+11001001010001110111001110110101
+11000111110111000111001100000111
+11000110011101000111001001010100
+11000101000011100111000110011101
+11000011101010100111000011100010
+11000010010010010111000000100010
+11000000111010100110111101011110
+10111111100011000110111010010110
+10111110001100100110110111001001
+10111100110110100110110011111001
+10111011100001010110110000100011
+10111010001100110110101101001010
+10111000111000110110101001101101
+10110111100101100110100110001011
+10110110010011000110100010100110
+10110101000001010110011110111100
+10110011110000000110011011001111
+10110010011111110110010111011101
+10110001010000000110010011101000
+10110000000001010110001111101111
+10101110110011000110001011110001
+10101101100101110110000111110000
+10101100011001010110000011101100
+10101011001101100101111111100011
+10101010000010110101111011010111
+10101000111000100101110111000111
+10100111101111100101110010110100
+10100110100111000101101110011101
+10100101011111100101101010000010
+10100100011000110101100101100100
+10100011010011000101100001000010
+10100010001110010101011100011110
+10100001001010010101010111110101
+10100000000111010101010011001010
+10011111000101000101001110011011
+10011110000100000101001001101001
+10011101000011110101000100110100
+10011100000100010100111111111011
+10011011000110000100111011000000
+10011010001000110100110110000001
+10011001001100010100110001000000
+10011000010001000100101011111011
+10010111010110100100100110110100
+10010110011101010100100001101010
+10010101100100110100011100011101
+10010100101101100100010111001101
+10010011110111010100010001111011
+10010011000001110100001100100110
+10010010001101110100000111001110
+10010001011010100100000001110100
+10010000101000100011111100010110
+10001111110111100011110110110111
+10001111000111100011110001010110
+10001110011000110011101011110010
+10001101101011000011100110001100
+10001100111110010011100000100100
+10001100010010110011011010111001
+10001011101000010011010101001101
+10001010111111000011001111011110
+10001010010110110011001001101110
+10001001101111110011000011111011
+10001001001010000010111110000111
+10001000100101010010111000010000
+10001000000001100010110010011000
+10000111011111000010101100011111
+10000110111101110010100110100011
+10000110011101110010100000100110
+10000101111110110010011010101000
+10000101100001000010010100100111
+10000101000100100010001110100110
+10000100101001000010001000100011
+10000100001110110010000010011111
+10000011110101110001111100011001
+10000011011110000001110110010011
+10000011000111010001110000001011
+10000010110001110001101010000010
+10000010011101110001100011111000
+10000010001010110001011101101101
+10000001111000110001010111100010
+10000001101000010001010001010101
+10000001011001000001001011001000
+10000001001010110001000100111010
+10000000111101110000111110101011
+10000000110010010000111000011100
+10000000100111110000110010001100
+10000000011110100000101011111011
+10000000010110100000100101101010
+10000000001111110000011111011001
+10000000001010000000011001001000
+10000000000101110000010010110110
+10000000000010110000001100100100
+10000000000000110000000110010010
+10000000000000010000000000000000
+10000000000000101111111001101110
+10000000000010101111110011011100
+10000000000101101111101101001010
+10000000001001111111100110111000
+10000000001111101111100000100111
+10000000010110011111011010010110
+10000000011110011111010100000101
+10000000100111101111001101110100
+10000000110010001111000111100100
+10000000111101101111000001010101
+10000001001010101110111011000110
+10000001011000111110110100111000
+10000001101000001110101110101011
+10000001111000101110101000011110
+10000010001010101110100010010011
+10000010011101101110011100001000
+10000010110001101110010101111110
+10000011000111001110001111110101
+10000011011101111110001001101101
+10000011110101101110000011100111
+10000100001110101101111101100001
+10000100101000111101110111011101
+10000101000100011101110001011010
+10000101100000111101101011011001
+10000101111110101101100101011000
+10000110011101101101011111011010
+10000110111101101101011001011101
+10000111011110111101010011100001
+10001000000001011101001101101000
+10001000100101001101000111110000
+10001001001001111101000001111001
+10001001101111101100111100000101
+10001010010110101100110110010010
+10001010111110111100110000100010
+10001011101000001100101010110011
+10001100010010101100100101000111
+10001100111110001100011111011100
+10001101101010111100011001110100
+10001110011000101100010100001110
+10001111000111011100001110101010
+10001111110111011100001001001001
+10010000101000011100000011101010
+10010001011010011011111110001100
+10010010001101101011111000110010
+10010011000001101011110011011010
+10010011110111001011101110000101
+10010100101101011011101000110011
+10010101100100101011100011100011
+10010110011101001011011110010110
+10010111010110011011011001001100
+10011000010000111011010100000101
+10011001001100001011001111000000
+10011010001000101011001001111111
+10011011000101111011000101000000
+10011100000100001011000000000101
+10011101000011101010111011001100
+10011110000011111010110110010111
+10011111000100111010110001100101
+10100000000111001010101100110110
+10100001001010001010101000001011
+10100010001110001010100011100010
+10100011010010111010011110111110
+10100100011000101010011010011100
+10100101011111011010010101111110
+10100110100110111010010001100011
+10100111101111011010001101001100
+10101000111000011010001000111001
+10101010000010101010000100101001
+10101011001101011010000000011101
+10101100011001001001111100010100
+10101101100101101001111000010000
+10101110110010111001110100001111
+10110000000001001001110000010001
+10110001001111111001101100011000
+10110010011111101001101000100011
+10110011101111111001100100110001
+10110101000001001001100001000100
+10110110010010111001011101011010
+10110111100101011001011001110101
+10111000111000101001010110010011
+10111010001100101001010010110110
+10111011100001001001001111011101
+10111100110110011001001100000111
+10111110001100011001001000110111
+10111111100010111001000101101010
+11000000111010011001000010100010
+11000010010010001000111111011110
+11000011101010011000111100011110
+11000101000011011000111001100011
+11000110011100111000110110101100
+11000111110110111000110011111001
+11001001010001101000110001001011
+11001010101100101000101110100001
+11001100001000011000101011111100
+11001101100100011000101001011011
+11001111000001001000100110111111
+11010000011110001000100100101000
+11010001111011111000100010010101
+11010011011001111000100000000110
+11010100111000001000011101111100
+11010110010111001000011011110111
+11010111110110011000011001110111
+11011001010101111000010111111011
+11011010110110001000010110000100
+11011100010110011000010100010010
+11011101110111001000010010100100
+11011111011000001000010000111011
+11100000111001101000001111010111
+11100010011011001000001101111000
+11100011111101001000001100011101
+11100101011111011000001011000111
+11100111000001111000001001110111
+11101000100100101000001000101011
+11101010000111011000000111100011
+11101011101010101000000110100001
+11101101001101111000000101100100
+11101110110001011000000100101011
+11110000010101001000000011110111
+11110001111000111000000011001001
+11110011011100111000000010011111
+11110101000001001000000001111010
+11110110100101011000000001011010
+11111000001001101000000000111111
+11111001101101111000000000101000
+11111011010010011000000000010111
+11111100110110111000000000001011
+11111110011011011000000000000011
+00000000000000000111111111111111
+11111111001101110111111111111110
+11111110011011100111111111111101
+11111101101001010111111111111001
+11111100110111000111111111110101
+11111100000100110111111111110000
+11111011010010100111111111101001
+11111010100000010111111111100001
+11111001101110000111111111011000
+11111000111011110111111111001101
+11111000001001110111111111000001
+11110111010111100111111110110100
+11110110100101100111111110100110
+11110101110011010111111110010111
+11110101000001010111111110000110
+11110100001111010111111101110100
+11110011011101000111111101100001
+11110010101011000111111101001101
+11110001111001000111111100110111
+11110001000111010111111100100001
+11110000010101010111111100001001
+11101111100011100111111011101111
+11101110110001100111111011010101
+11101101111111110111111010111001
+11101101001110000111111010011100
+11101100011100010111111001111110
+11101011101010110111111001011111
+11101010111001000111111000111110
+11101010000111100111111000011101
+11101001010110000111110111111010
+11101000100100110111110111010101
+11100111110011010111110110110000
+11100111000010000111110110001001
+11100110010000110111110101100010
+11100101011111100111110100111001
+11100100101110010111110100001110
+11100011111101010111110011100011
+11100011001100010111110010110110
+11100010011011010111110010001000
+11100001101010100111110001011001
+11100000111001110111110000101001
+11100000001001000111101111111000
+11011111011000010111101111000101
+11011110100111110111101110010001
+11011101110111010111101101011100
+11011101000110110111101100100110
+11011100010110100111101011101110
+11011011100110010111101010110110
+11011010110110010111101001111100
+11011010000110000111101001000001
+11011001010110000111101000000101
+11011000100110010111100111001000
+11010111110110100111100110001001
+11010111000110110111100101001010
+11010110010111010111100100001001
+11010101100111110111100011000111
+11010100111000010111100010000100
+11010100001001000111100000111111
+11010011011010000111011111111010
+11010010101010110111011110110011
+11010001111100000111011101101011
+11010001001101000111011100100011
+11010000011110010111011011011000
+11001111101111110111011010001101
+11001111000001010111011001000001
+11001110010010110111010111110011
+11001101100100100111010110100101
+11001100110110100111010101010101
+11001100001000100111010100000100
+11001011011010100111010010110010
+11001010101100110111010001011111
+11001001111111010111010000001011
+11001001010001110111001110110101
+11001000100100010111001101011111
+11000111110111000111001100000111
+11000111001010000111001010101110
+11000110011101000111001001010100
+11000101110000010111000111111001
+11000101000011100111000110011101
+11000100010111000111000101000000
+11000011101010100111000011100010
+11000010111110010111000010000011
+11000010010010010111000000100010
+11000001100110010110111111000001
+11000000111010100110111101011110
+11000000001110110110111011111011
+10111111100011000110111010010110
+10111110110111110110111000110000
+10111110001100100110110111001001
+10111101100001100110110101100001
+10111100110110100110110011111001
+10111100001011110110110010001111
+10111011100001010110110000100011
+10111010110111000110101110110111
+10111010001100110110101101001010
+10111001100010110110101011011100
+10111000111000110110101001101101
+10111000001111000110100111111101
+10110111100101100110100110001011
+10110110111100010110100100011001
+10110110010011000110100010100110
+10110101101010000110100000110010
+10110101000001010110011110111100
+10110100011000100110011101000110
+10110011110000000110011011001111
+10110011000111110110011001010111
+10110010011111110110010111011101
+10110001110111110110010101100011
+10110001010000000110010011101000
+10110000101000100110010001101100
+10110000000001010110001111101111
+10101111011010000110001101110001
+10101110110011000110001011110001
+10101110001100010110001001110001
+10101101100101110110000111110000
+10101100111111100110000101101111
+10101100011001010110000011101100
+10101011110011010110000001101000
+10101011001101100101111111100011
+10101010101000000101111101011110
+10101010000010110101111011010111
+10101001011101100101111001010000
+10101000111000100101110111000111
+10101000010100000101110100111110
+10100111101111100101110010110100
+10100111001011000101110000101001
+10100110100111000101101110011101
+10100110000011010101101100010000
+10100101011111100101101010000010
+10100100111100000101100111110011
+10100100011000110101100101100100
+10100011110101110101100011010100
+10100011010011000101100001000010
+10100010110000100101011110110000
+10100010001110010101011100011110
+10100001101100000101011010001010
+10100001001010010101010111110101
+10100000101000100101010101100000
+10100000000111010101010011001010
+10011111100110000101010000110011
+10011111000101000101001110011011
+10011110100100010101001100000010
+10011110000100000101001001101001
+10011101100011110101000111001111
+10011101000011110101000100110100
+10011100100011110101000010011000
+10011100000100010100111111111011
+10011011100101000100111101011110
+10011011000110000100111011000000
+10011010100111010100111000100001
+10011010001000110100110110000001
+10011001101010010100110011100001
+10011001001100010100110001000000
+10011000101110100100101110011110
+10011000010001000100101011111011
+10010111110011100100101001011000
+10010111010110100100100110110100
+10010110111001110100100100001111
+10010110011101010100100001101010
+10010110000000110100011111000100
+10010101100100110100011100011101
+10010101001001000100011001110101
+10010100101101100100010111001101
+10010100010010010100010100100100
+10010011110111010100010001111011
+10010011011100010100001111010001
+10010011000001110100001100100110
+10010010100111110100001001111010
+10010010001101110100000111001110
+10010001110100000100000100100001
+10010001011010100100000001110100
+10010001000001010011111111000101
+10010000101000100011111100010110
+10010000001111110011111001100111
+10001111110111100011110110110111
+10001111011111010011110100000111
+10001111000111100011110001010110
+10001110110000000011101110100100
+10001110011000110011101011110010
+10001110000001110011101000111111
+10001101101011000011100110001100
+10001101010100100011100011011000
+10001100111110010011100000100100
+10001100101000010011011101101111
+10001100010010110011011010111001
+10001011111101010011011000000011
+10001011101000010011010101001101
+10001011010011100011010010010110
+10001010111111000011001111011110
+10001010101010110011001100100110
+10001010010110110011001001101110
+10001010000011010011000110110101
+10001001101111110011000011111011
+10001001011100110011000001000001
+10001001001010000010111110000111
+10001000110111010010111011001100
+10001000100101010010111000010000
+10001000010011010010110101010101
+10001000000001100010110010011000
+10000111110000010010101111011100
+10000111011111000010101100011111
+10000111001110010010101001100001
+10000110111101110010100110100011
+10000110101101100010100011100101
+10000110011101110010100000100110
+10000110001110000010011101100111
+10000101111110110010011010101000
+10000101101111110010010111101000
+10000101100001000010010100100111
+10000101010010100010010001100111
+10000101000100100010001110100110
+10000100110110100010001011100101
+10000100101001000010001000100011
+10000100011011110010000101100001
+10000100001110110010000010011111
+10000100000010000001111111011100
+10000011110101110001111100011001
+10000011101001110001111001010110
+10000011011110000001110110010011
+10000011010010100001110011001111
+10000011000111010001110000001011
+10000010111100100001101101000111
+10000010110001110001101010000010
+10000010100111100001100110111101
+10000010011101110001100011111000
+10000010010100000001100000110011
+10000010001010110001011101101101
+10000010000001100001011010101000
+10000001111000110001010111100010
+10000001110000100001010100011100
+10000001101000010001010001010101
+10000001100000100001001110001111
+10000001011001000001001011001000
+10000001010001110001001000000001
+10000001001010110001000100111010
+10000001000100010001000001110010
+10000000111101110000111110101011
+10000000110111110000111011100011
+10000000110010010000111000011100
+10000000101100110000110101010100
+10000000100111110000110010001100
+10000000100011000000101111000011
+10000000011110100000101011111011
+10000000011010010000101000110011
+10000000010110100000100101101010
+10000000010011000000100010100010
+10000000001111110000011111011001
+10000000001100110000011100010001
+10000000001010000000011001001000
+10000000000111110000010101111111
+10000000000101110000010010110110
+10000000000100000000001111101101
+10000000000010110000001100100100
+10000000000001110000001001011011
+10000000000000110000000110010010
+10000000000000100000000011001001
+00000000000000000111111111111111
+11111101101001010111111111111001
+11111011010010100111111111101001
+11111000111011110111111111001101
+11110110100101100111111110100110
+11110100001111010111111101110100
+11110001111001000111111100110111
+11101111100011100111111011101111
+11101101001110000111111010011100
+11101010111001000111111000111110
+11101000100100110111110111010101
+11100110010000110111110101100010
+11100011111101010111110011100011
+11100001101010100111110001011001
+11011111011000010111101111000101
+11011101000110110111101100100110
+11011010110110010111101001111100
+11011000100110010111100111001000
+11010110010111010111100100001001
+11010100001001000111100000111111
+11010001111100000111011101101011
+11001111101111110111011010001101
+11001101100100100111010110100101
+11001011011010100111010010110010
+11001001010001110111001110110101
+11000111001010000111001010101110
+11000101000011100111000110011101
+11000010111110010111000010000011
+11000000111010100110111101011110
+10111110110111110110111000110000
+10111100110110100110110011111001
+10111010110111000110101110110111
+10111000111000110110101001101101
+10110110111100010110100100011001
+10110101000001010110011110111100
+10110011000111110110011001010111
+10110001010000000110010011101000
+10101111011010000110001101110001
+10101101100101110110000111110000
+10101011110011010110000001101000
+10101010000010110101111011010111
+10101000010100000101110100111110
+10100110100111000101101110011101
+10100100111100000101100111110011
+10100011010011000101100001000010
+10100001101100000101011010001010
+10100000000111010101010011001010
+10011110100100010101001100000010
+10011101000011110101000100110100
+10011011100101000100111101011110
+10011010001000110100110110000001
+10011000101110100100101110011110
+10010111010110100100100110110100
+10010110000000110100011111000100
+10010100101101100100010111001101
+10010011011100010100001111010001
+10010010001101110100000111001110
+10010001000001010011111111000101
+10001111110111100011110110110111
+10001110110000000011101110100100
+10001101101011000011100110001100
+10001100101000010011011101101111
+10001011101000010011010101001101
+10001010101010110011001100100110
+10001001101111110011000011111011
+10001000110111010010111011001100
+10001000000001100010110010011000
+10000111001110010010101001100001
+10000110011101110010100000100110
+10000101101111110010010111101000
+10000101000100100010001110100110
+10000100011011110010000101100001
+10000011110101110001111100011001
+10000011010010100001110011001111
+10000010110001110001101010000010
+10000010010100000001100000110011
+10000001111000110001010111100010
+10000001100000100001001110001111
+10000001001010110001000100111010
+10000000110111110000111011100011
+10000000100111110000110010001100
+10000000011010010000101000110011
+10000000001111110000011111011001
+10000000000111110000010101111111
+10000000000010110000001100100100
+10000000000000100000000011001001
+10000000000000101111111001101110
+10000000000011111111110000010011
+10000000001001111111100110111000
+10000000010010111111011101011110
+10000000011110011111010100000101
+10000000101100101111001010101100
+10000000111101101111000001010101
+10000001010001101110110111111111
+10000001101000001110101110101011
+10000010000001011110100101011000
+10000010011101101110011100001000
+10000010111100011110010010111001
+10000011011101111110001001101101
+10000100000001111110000000100100
+10000100101000111101110111011101
+10000101010010011101101110011001
+10000101111110101101100101011000
+10000110101101011101011100011011
+10000111011110111101010011100001
+10001000010011001101001010101011
+10001001001001111101000001111001
+10001010000011001100111001001011
+10001010111110111100110000100010
+10001011111101001100100111111101
+10001100111110001100011111011100
+10001110000001101100010111000001
+10001111000111011100001110101010
+10010000001111101100000110011001
+10010001011010011011111110001100
+10010010100111101011110110000110
+10010011110111001011101110000101
+10010101001000111011100110001011
+10010110011101001011011110010110
+10010111110011011011010110101000
+10011001001100001011001111000000
+10011010100111001011000111011111
+10011100000100001011000000000101
+10011101100011101010111000110001
+10011111000100111010110001100101
+10100000101000011010101010100000
+10100010001110001010100011100010
+10100011110101101010011100101100
+10100101011111011010010101111110
+10100111001010111010001111010111
+10101000111000011010001000111001
+10101010100111111010000010100010
+10101100011001001001111100010100
+10101110001100001001110110001111
+10110000000001001001110000010001
+10110001110111101001101010011101
+10110011101111111001100100110001
+10110101101001111001011111001110
+10110111100101011001011001110101
+10111001100010101001010100100100
+10111011100001001001001111011101
+10111101100001011001001010011111
+10111111100010111001000101101010
+11000001100110001001000000111111
+11000011101010011000111100011110
+11000101110000001000111000000111
+11000111110110111000110011111001
+11001001111111001000101111110101
+11001100001000011000101011111100
+11001110010010101000101000001101
+11010000011110001000100100101000
+11010010101010101000100001001101
+11010100111000001000011101111100
+11010111000110101000011010110110
+11011001010101111000010111111011
+11011011100110001000010101001010
+11011101110111001000010010100100
+11100000001000111000010000001000
+11100010011011001000001101111000
+11100100101110001000001011110010
+11100111000001111000001001110111
+11101001010101111000001000000110
+11101011101010101000000110100001
+11101101111111101000000101000111
+11110000010101001000000011110111
+11110010101010111000000010110011
+11110101000001001000000001111010
+11110111010111011000000001001100
+11111001101101111000000000101000
+11111100000100101000000000010000
+11111110011011011000000000000011
+00000000110010001000000000000010
+00000011001000111000000000001011
+00000101011111101000000000011111
+00000111110110001000000000111111
+00001010001100101000000001101001
+00001100100010111000000010011111
+00001110111000101000000011011111
+00010001001110011000000100101011
+00010011100011101000000110000010
+00010101111000011000000111100011
+00011000001100101000001001010000
+00011010100000011000001011000111
+00011100110011101000001101001010
+00011111000110001000001111010111
+00100001011000001000010001101111
+00100011101001011000010100010010
+00100101111001111000010110111111
+00101000001001011000011001110111
+00101010011000001000011100111001
+00101100100101111000100000000110
+00101110110010111000100011011101
+00110000111110101000100110111111
+00110011001001011000101010101011
+00110101010011001000101110100001
+00110111011011101000110010100001
+00111001100010111000110110101100
+00111011101000111000111011000000
+00111101101101101000111111011110
+00111111110001001001000100000101
+01000001110011011001001000110111
+01000011110100001001001101110001
+01000101110011001001010010110110
+01000111110000111001011000000011
+01001001101100111001011101011010
+01001011100111011001100010111010
+01001101100000001001101000100011
+01001111010111011001101110010100
+01010001001100111001110100001111
+01010011000000011001111010010001
+01010100110010011010000000011101
+01010110100010011010000110110000
+01011000010000011010001101001100
+01011001111100101010010011110000
+01011011100111001010011010011100
+01011101001111011010100001010000
+01011110110101101010101000001011
+01100000011001111010101111001101
+01100001111011111010110110010111
+01100011011100001010111101101000
+01100100111001111011000101000000
+01100110010101101011001100011111
+01100111101110111011010100000101
+01101001000110001011011011110001
+01101010011011001011100011100011
+01101011101101101011101011011100
+01101100111110001011110011011010
+01101110001011111011111011011111
+01101111010111011100000011101010
+01110000100000101100001011111001
+01110001100111001100010100001110
+01110010101011011100011100101000
+01110011101101001100100101000111
+01110100101100011100101101101010
+01110101101001001100110110010010
+01110110100011001100111110111111
+01110111011010101101000111110000
+01111000001111101101010000100100
+01111001000010001101011001011101
+01111001110001111101100010011001
+01111010011110111101101011011001
+01111011001001011101110100011011
+01111011110001001101111101100001
+01111100010110001110000110101010
+01111100111000101110001111110101
+01111101011000011110011001000011
+01111101110101001110100010010011
+01111110001111011110101011100100
+01111110100110111110110100111000
+01111110111011101110111110001110
+01111111001101101111000111100100
+01111111011100111111010000111101
+01111111101001011111011010010110
+01111111110011001111100011101111
+01111111111010001111101101001010
+01111111111110001111110110100101
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_4.hex b/applications/lofar1/pft2/src/data/twiddle_16_4.hex
new file mode 100644
index 0000000000000000000000000000000000000000..c50b45bf2b46e2fe4f3f8f4f6493ff836e82a28f
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_4.hex
@@ -0,0 +1,769 @@
+:0400000000007FFF7E
+:04000100FE6E7FFD13
+:04000200FCDC7FF5AE
+:04000300FB4A7FE94C
+:04000400F9B87FD8F0
+:04000500F8277FC198
+:04000600F6967FA645
+:04000700F5057F86F6
+:04000800F3747F61AD
+:04000900F1E47F3768
+:04000A00F0557F0925
+:04000B00EEC67ED5EA
+:04000C00ED387E9CB1
+:04000D00EBAB7E5F7C
+:04000E00EA1E7E1D4B
+:04000F00E8937DD520
+:04001000E7087D89F7
+:04001100E57E7D39D2
+:04001200E3F57CE3B3
+:04001300E26D7C8896
+:04001400E0E77C297C
+:04001500DF617BC567
+:04001600DDDD7B5C55
+:04001700DC5A7AEE47
+:04001800DAD97A7C3B
+:04001900D9587A0533
+:04001A00D7DA79892F
+:04001B00D65D79092C
+:04001C00D4E178842F
+:04001D00D36877FA33
+:04001E00D1F0776B3B
+:04001F00D07976D846
+:04002000CF05764151
+:04002100CD9275A562
+:04002200CC22750473
+:04002300CAB3745F89
+:04002400C94773B5A0
+:04002500C7DC7307BA
+:04002600C6747254D6
+:04002700C50E719DF4
+:04002800C3AA70E215
+:04002900C249702236
+:04002A00C0EA6F5E5B
+:04002B00BF8C6E9682
+:04002C00BE326DC9AA
+:04002D00BCDA6CF9D4
+:04002E00BB856C23FF
+:04002F00BA336B4A2B
+:04003000B8E36A6D5A
+:04003100B796698B8A
+:04003200B64C68A6BA
+:04003300B50567BCEC
+:04003400B3C066CF20
+:04003500B27F65DD54
+:04003600B14064E889
+:04003700B00563EFBE
+:04003800AECC62F1F7
+:04003900AD9761F02E
+:04003A00AC6560EC65
+:04003B00AB365FE39E
+:04003C00AA0B5ED7D6
+:04003D00A8E25DC711
+:04003E00A7BE5CB449
+:04003F00A69C5B9D83
+:04004000A57E5A82BD
+:04004100A4635964F7
+:04004200A34C584231
+:04004300A239571E69
+:04004400A12955F5A4
+:04004500A01D54CADC
+:040046009F14539B15
+:040047009E1052694C
+:040048009D0F513483
+:040049009C114FFBBC
+:04004A009B184EC0F1
+:04004B009A234D8126
+:04004C0099314C405A
+:04004D0098444AFB8E
+:04004E00975A49B4C0
+:04004F009675486AF0
+:040050009593471D20
+:0400510094B645CD4F
+:0400520093DD447B7B
+:0400530093074326A6
+:04005400923741CED0
+:04005500916A4074F8
+:0400560090A23F161F
+:040057008FDE3DB744
+:040058008F1E3C5665
+:040059008E633AF286
+:04005A008DAC398CA4
+:04005B008CF93824C0
+:04005C008C4B36B9DA
+:04005D008BA1354DF1
+:04005E008AFC33DE07
+:04005F008A5B326E18
+:0400600089BF30FB29
+:0400610089282F8734
+:0400620088952E103F
+:0400630088062C9847
+:04006400877C2B1F4B
+:0400650086F729A34E
+:04006600867728264B
+:0400670085FB26A847
+:04006800858425273F
+:04006900851223A633
+:04006A0084A4222325
+:04006B00843B209F13
+:04006C0083D71F19FE
+:04006D0083781D93E4
+:04006E00831D1C0BC7
+:04006F0082C71A82A8
+:04007000827718F883
+:04007100822B176D5A
+:0400720081E315E22F
+:0400730081A11455FE
+:04007400816412C8C9
+:04007500812B113A90
+:0400760080F70FAB55
+:0400770080C90E1C12
+:04007800809F0C8CCD
+:04007900807A0AFB84
+:04007A00805A096A35
+:04007B00803F07D9E2
+:04007C00802806488A
+:04007D00801704B62E
+:04007E00800B0324CC
+:04007F008003019267
+:0400800080010000FB
+:040081008002FE6E8D
+:04008200800AFCDC18
+:040083008016FB4A9E
+:040084008027F9B820
+:04008500803EF8279A
+:040086008059F69611
+:040087008079F50582
+:04008800809EF374EF
+:0400890080C8F1E456
+:04008A0080F6F055B7
+:04008B00812AEEC612
+:04008C008163ED3867
+:04008D0081A0EBABB8
+:04008E0081E2EA1E03
+:04008F00822AE89346
+:040090008276E70885
+:0400910082C6E57EC0
+:04009200831CE3F5F3
+:040093008377E26D20
+:0400940083D6E0E748
+:04009500843ADF6169
+:0400960084A3DDDD85
+:040097008511DC5A99
+:040098008583DAD9A9
+:0400990085FAD958B3
+:04009A008676D7DAB5
+:04009B0086F6D65DB2
+:04009C00877BD4E1A9
+:04009D008805D36897
+:04009E008894D1F081
+:04009F008927D07964
+:0400A00089BECF0541
+:0400A1008A5ACD9218
+:0400A2008AFBCC22E7
+:0400A3008BA0CAB3B1
+:0400A4008C4AC94772
+:0400A5008CF8C7DC30
+:0400A6008DABC674E4
+:0400A7008E62C50E92
+:0400A8008F1DC3AA3B
+:0400A9008FDDC249DC
+:0400AA0090A1C0EA77
+:0400AB009169BF8C0C
+:0400AC009236BE3298
+:0400AD009306BCDA20
+:0400AE0093DCBB859F
+:0400AF0094B5BA3317
+:0400B0009592B8E38A
+:0400B1009674B796F4
+:0400B2009759B64C58
+:0400B3009843B505B4
+:0400B4009930B3C00C
+:0400B5009A22B27F5A
+:0400B6009B17B140A3
+:0400B7009C10B005E4
+:0400B8009D0EAECC1F
+:0400B9009E0FAD9752
+:0400BA009F13AC657F
+:0400BB00A01CAB36A4
+:0400BC00A128AA0BC2
+:0400BD00A238A8E2DB
+:0400BE00A34BA7BEEB
+:0400BF00A462A69CF5
+:0400C000A57DA57EF7
+:0400C100A69BA463F3
+:0400C200A7BDA34CE7
+:0400C300A8E1A239D5
+:0400C400AA0AA129BA
+:0400C500AB35A01D9A
+:0400C600AC649F1473
+:0400C700AD969E1044
+:0400C800AECB9D0F0F
+:0400C900B0049C11D2
+:0400CA00B13F9B188F
+:0400CB00B27E9A2344
+:0400CC00B3BF9931F4
+:0400CD00B50498449A
+:0400CE00B64B975A3C
+:0400CF00B7959675D6
+:0400D000B8E295936A
+:0400D100BA3294B6F5
+:0400D200BB8493DD7B
+:0400D300BCD99307FA
+:0400D400BE31923770
+:0400D500BF8B916AE2
+:0400D600C0E990A24B
+:0400D700C2488FDEAE
+:0400D800C3A98F1E0B
+:0400D900C50D8E6360
+:0400DA00C6738DACB0
+:0400DB00C7DB8CF9FA
+:0400DC00C9468C4B3A
+:0400DD00CAB28BA177
+:0400DE00CC218AFCAB
+:0400DF00CD918A5BDA
+:0400E000CF0489BF01
+:0400E100D078892822
+:0400E200D1EF88953D
+:0400E300D367880651
+:0400E400D4E0877C61
+:0400E500D65C86F768
+:0400E600D7D9867769
+:0400E700D95785FB65
+:0400E800DAD8858459
+:0400E900DC59851247
+:0400EA00DDDC84A431
+:0400EB00DF60843B13
+:0400EC00E0E683D7F0
+:0400ED00E26C8378C6
+:0400EE00E3F4831D97
+:0400EF00E57D82C762
+:0400F000E707827725
+:0400F100E892822BE4
+:0400F200EA1D81E39F
+:0400F300EBAA81A152
+:0400F400ED378164FF
+:0400F500EEC5812BA8
+:0400F600F05480F74B
+:0400F700F1E380C9E8
+:0400F800F373809F7F
+:0400F900F504807A10
+:0400FA00F695805A9D
+:0400FB00F826803F24
+:0400FC00F9B78028A8
+:0400FD00FB49801724
+:0400FE00FCDB800B9C
+:0400FF00FE6D80030F
+:0401000000007FFF7D
+:04010100FF377FFE47
+:04010200FE6E7FFD11
+:04010300FDA57FF9DE
+:04010400FCDC7FF5AB
+:04010500FC137FF078
+:04010600FB4A7FE948
+:04010700FA817FE119
+:04010800F9B87FD8EB
+:04010900F8EF7FCDBF
+:04010A00F8277FC192
+:04010B00F75E7FB468
+:04010C00F6967FA63E
+:04010D00F5CD7F9716
+:04010E00F5057F86EE
+:04010F00F43D7F74C8
+:04011000F3747F61A4
+:04011100F2AC7F4D80
+:04011200F1E47F375E
+:04011300F11D7F213A
+:04011400F0557F091A
+:04011500EF8E7EEFFC
+:04011600EEC67ED5DE
+:04011700EDFF7EB9C1
+:04011800ED387E9CA4
+:04011900EC717E7E89
+:04011A00EBAB7E5F6E
+:04011B00EAE47E3E56
+:04011C00EA1E7E1D3C
+:04011D00E9587DFA26
+:04011E00E8937DD510
+:04011F00E7CD7DB0FB
+:04012000E7087D89E6
+:04012100E6437D62D2
+:04012200E57E7D39C0
+:04012300E4B97D0EB0
+:04012400E3F57CE3A0
+:04012500E3317CB690
+:04012600E26D7C8882
+:04012700E1AA7C5974
+:04012800E0E77C2967
+:04012900E0247BF85B
+:04012A00DF617BC551
+:04012B00DE9F7B9147
+:04012C00DDDD7B5C3E
+:04012D00DD1B7B2635
+:04012E00DC5A7AEE2F
+:04012F00DB997AB628
+:04013000DAD97A7C22
+:04013100DA187A411D
+:04013200D9587A0519
+:04013300D89979C816
+:04013400D7DA798914
+:04013500D71B794A11
+:04013600D65D790910
+:04013700D59F78C711
+:04013800D4E1788412
+:04013900D424783F13
+:04013A00D36877FA15
+:04013B00D2AB77B319
+:04013C00D1F0776B1C
+:04013D00D13477231F
+:04013E00D07976D826
+:04013F00CFBF768D2B
+:04014000CF05764130
+:04014100CE4B75F339
+:04014200CD9275A540
+:04014300CCDA755548
+:04014400CC22750450
+:04014500CB6A74B25B
+:04014600CAB3745F65
+:04014700C9FD740B6F
+:04014800C94773B57B
+:04014900C891735F87
+:04014A00C7DC730794
+:04014B00C72872AEA1
+:04014C00C6747254AF
+:04014D00C5C171F9BE
+:04014E00C50E719DCC
+:04014F00C45C7140DB
+:04015000C3AA70E2EC
+:04015100C2F97083FC
+:04015200C24970220C
+:04015300C1996FC11E
+:04015400C0EA6F5E30
+:04015500C03B6EFB42
+:04015600BF8C6E9656
+:04015700BEDF6E3069
+:04015800BE326DC97D
+:04015900BD866D6191
+:04015A00BCDA6CF9A6
+:04015B00BC2F6C8FBA
+:04015C00BB856C23D0
+:04015D00BADC6BB7E6
+:04015E00BA336B4AFB
+:04015F00B98B6ADC12
+:04016000B8E36A6D29
+:04016100B83C69FD40
+:04016200B796698B58
+:04016300B6F169196F
+:04016400B64C68A687
+:04016500B5A868329F
+:04016600B50567BCB8
+:04016700B4626746D1
+:04016800B3C066CFEB
+:04016900B31F665703
+:04016A00B27F65DD1E
+:04016B00B1DF656338
+:04016C00B14064E852
+:04016D00B0A2646C6C
+:04016E00B00563EF86
+:04016F00AF686371A1
+:04017000AECC62F1BE
+:04017100AE316271D8
+:04017200AD9761F0F4
+:04017300ACFE616F0E
+:04017400AC6560EC2A
+:04017500ABCD606846
+:04017600AB365FE362
+:04017700AAA05F5E7D
+:04017800AA0B5ED799
+:04017900A9765E50B5
+:04017A00A8E25DC7D3
+:04017B00A8505D3EED
+:04017C00A7BE5CB40A
+:04017D00A72C5C2926
+:04017E00A69C5B9D43
+:04017F00A60D5B105E
+:04018000A57E5A827C
+:04018100A4F059F39A
+:04018200A4635964B5
+:04018300A3D758D4D2
+:04018400A34C5842EE
+:04018500A2C257B00B
+:04018600A239571E25
+:04018700A1B0568A43
+:04018800A12955F55F
+:04018900A0A255607B
+:04018A00A01D54CA96
+:04018B009F985433B2
+:04018C009F14539BCE
+:04018D009E915302EA
+:04018E009E10526904
+:04018F009D8F51CF20
+:040190009D0F51343A
+:040191009C8F509857
+:040192009C114FFB72
+:040193009B944F5E8C
+:040194009B184EC0A6
+:040195009A9D4E21C0
+:040196009A234D81DA
+:0401970099A94CE1F5
+:0401980099314C400D
+:0401990098BA4B9E27
+:04019A0098444AFB40
+:04019B0097CE4A5859
+:04019C00975A49B471
+:04019D0096E7490F89
+:04019E009675486AA0
+:04019F00960347C4B8
+:0401A0009593471DCF
+:0401A10095244675E6
+:0401A20094B645CDFD
+:0401A3009449452412
+:0401A40093DD447B28
+:0401A500937143D13E
+:0401A6009307432652
+:0401A700929F427A67
+:0401A800923741CE7B
+:0401A90091D041218F
+:0401AA00916A4074A2
+:0401AB0091053FC5B6
+:0401AC0090A23F16C8
+:0401AD00903F3E67DA
+:0401AE008FDE3DB7EC
+:0401AF008F7D3D07FC
+:0401B0008F1E3C560C
+:0401B1008EC03BA41D
+:0401B2008E633AF22C
+:0401B3008E073A3F3A
+:0401B4008DAC398C49
+:0401B5008D5238D857
+:0401B6008CF9382464
+:0401B7008CA1376F71
+:0401B8008C4B36B97D
+:0401B9008BF5360389
+:0401BA008BA1354D93
+:0401BB008B4E34969D
+:0401BC008AFC33DEA8
+:0401BD008AAB3326B0
+:0401BE008A5B326EB8
+:0401BF008A0D31B5BF
+:0401C00089BF30FBC8
+:0401C10089733041CD
+:0401C20089282F87D2
+:0401C30088DD2ECCD9
+:0401C40088952E10DC
+:0401C500884D2D55DF
+:0401C60088062C98E3
+:0401C70087C12BDCE5
+:0401C800877C2B1FE6
+:0401C90087392A61E7
+:0401CA0086F729A3E8
+:0401CB0086B628E5E7
+:0401CC0086772826E4
+:0401CD0086382767E2
+:0401CE0085FB26A8DF
+:0401CF0085BF25E8DB
+:0401D00085842527D6
+:0401D100854A2467D0
+:0401D200851223A6C9
+:0401D30084DA22E5C3
+:0401D40084A42223BA
+:0401D500846F2161B1
+:0401D600843B209FA7
+:0401D70084081FDC9D
+:0401D80083D71F1991
+:0401D90083A71E5684
+:0401DA0083781D9376
+:0401DB00834A1CCF68
+:0401DC00831D1C0B58
+:0401DD0082F21B4748
+:0401DE0082C71A8238
+:0401DF00829E19BD26
+:0401E000827718F812
+:0401E10082501833FD
+:0401E200822B176DE8
+:0401E300820616A8D2
+:0401E40081E315E2BC
+:0401E50081C2151CA2
+:0401E60081A114558A
+:0401E7008182138F6F
+:0401E800816412C854
+:0401E9008147120137
+:0401EA00812B113A1A
+:0401EB0081111072FC
+:0401EC0080F70FABDE
+:0401ED0080DF0EE3BE
+:0401EE0080C90E1C9A
+:0401EF0080B30D5478
+:0401F000809F0C8C54
+:0401F100808C0BC330
+:0401F200807A0AFB0A
+:0401F30080690A33E2
+:0401F400805A096ABA
+:0401F500804C08A290
+:0401F600803F07D966
+:0401F7008033071139
+:0401F800802806480D
+:0401F900801F057FDF
+:0401FA00801704B6B0
+:0401FB00801003ED80
+:0401FC00800B03244D
+:0401FD008007025B1A
+:0401FE0080030192E7
+:0401FF00800200C9B1
+:0402000000007FFF7C
+:04020100FDA57FF9DF
+:04020200FB4A7FE94B
+:04020300F8EF7FCDC4
+:04020400F6967FA645
+:04020500F43D7F74D1
+:04020600F1E47F3769
+:04020700EF8E7EEF09
+:04020800ED387E9CB3
+:04020900EAE47E3E67
+:04020A00E8937DD523
+:04020B00E6437D62E7
+:04020C00E3F57CE3B7
+:04020D00E1AA7C598D
+:04020E00DF617BC56C
+:04020F00DD1B7B2652
+:04021000DAD97A7C41
+:04021100D89979C837
+:04021200D65D790933
+:04021300D424783F38
+:04021400D1F0776B43
+:04021500CFBF768D54
+:04021600CD9275A56B
+:04021700CB6A74B288
+:04021800C94773B5AA
+:04021900C72872AED2
+:04021A00C50E719DFF
+:04021B00C2F9708331
+:04021C00C0EA6F5E67
+:04021D00BEDF6E30A2
+:04021E00BCDA6CF9E1
+:04021F00BADC6BB723
+:04022000B8E36A6D68
+:04022100B6F16919B0
+:04022200B50567BCFB
+:04022300B31F665748
+:04022400B14064E899
+:04022500AF686371EA
+:04022600AD9761F03F
+:04022700ABCD606893
+:04022800AA0B5ED7E8
+:04022900A8505D3E3E
+:04022A00A69C5B9D96
+:04022B00A4F059F3EF
+:04022C00A34C584245
+:04022D00A1B0568A9C
+:04022E00A01D54CAF1
+:04022F009E91530247
+:040230009D0F513499
+:040231009B944F5EED
+:040232009A234D813D
+:0402330098BA4B9E8C
+:04023400975A49B4D8
+:04023500960347C421
+:0402360094B645CD68
+:04023700937143D1AB
+:04023800923741CEEA
+:0402390091053FC527
+:04023A008FDE3DB75F
+:04023B008EC03BA492
+:04023C008DAC398CC0
+:04023D008CA1376FEA
+:04023E008BA1354D0E
+:04023F008AAB33262D
+:0402400089BF30FB47
+:0402410088DD2ECC5A
+:0402420088062C9866
+:0402430087392A616C
+:04024400867728266B
+:0402450085BF25E864
+:04024600851223A654
+:04024700846F21613E
+:0402480083D71F1920
+:04024900834A1CCFF9
+:04024A0082C71A82CB
+:04024B008250183392
+:04024C0081E315E253
+:04024D008182138F08
+:04024E00812B113AB5
+:04024F0080DF0EE35B
+:04025000809F0C8CF3
+:0402510080690A3383
+:04025200803F07D909
+:04025300801F057F84
+:04025400800B0324F4
+:04025500800200C95A
+:040256008002FE6EB6
+:04025700800FFC1305
+:040258008027F9B84A
+:04025900804BF75E81
+:04025A008079F505AD
+:04025B0080B2F2ACCF
+:04025C0080F6F055E3
+:04025D008146EDFFEA
+:04025E0081A0EBABE5
+:04025F008205E958D3
+:040260008276E708B3
+:0402610082F1E4B989
+:040262008377E26D4F
+:040263008407E02408
+:0402640084A3DDDDB5
+:040265008549DB9953
+:0402660085FAD958E4
+:0402670086B5D71B66
+:04026800877BD4E1DB
+:04026900884CD2AB40
+:04026A008927D07997
+:04026B008A0CCE4BE0
+:04026C008AFBCC221B
+:04026D008BF4C9FD48
+:04026E008CF8C7DC65
+:04026F008E06C5C171
+:040270008F1DC3AA71
+:04027100903EC19961
+:040272009169BF8C43
+:04027300929EBD8614
+:0402740093DCBB85D7
+:040275009523B98B89
+:040276009674B7962D
+:0402770097CDB5A8C2
+:040278009930B3C046
+:040279009A9CB1DFBB
+:04027A009C10B0051F
+:04027B009D8EAE3175
+:04027C009F13AC65BB
+:04027D00A0A1AAA0F2
+:04027E00A238A8E218
+:04027F00A3D6A72C2F
+:04028000A57DA57E35
+:04028100A72BA3D72D
+:04028200A8E1A23914
+:04028300AA9FA0A2EC
+:04028400AC649F14B3
+:04028500AE309D8F6B
+:04028600B0049C1113
+:04028700B1DE9A9DAD
+:04028800B3BF993136
+:04028900B5A797CEB0
+:04028A00B795967519
+:04028B00B98A952473
+:04028C00BB8493DDBF
+:04028D00BD85929FFA
+:04028E00BF8B916A27
+:04028F00C198903F43
+:04029000C3A98F1E51
+:04029100C5C08E074F
+:04029200C7DB8CF941
+:04029300C9FC8BF522
+:04029400CC218AFCF3
+:04029500CE4A8A0DB6
+:04029600D07889286B
+:04029700D2AA884D12
+:04029800D4E0877CAB
+:04029900D71A86B634
+:04029A00D95785FBB0
+:04029B00DB98854A1D
+:04029C00DDDC84A47D
+:04029D00E0238408CE
+:04029E00E26C837813
+:04029F00E4B882F24B
+:0402A000E707827773
+:0402A100E957820691
+:0402A200EBAA81A1A1
+:0402A300EDFE8147A4
+:0402A400F05480F79B
+:0402A500F2AB80B385
+:0402A600F504807A61
+:0402A700F75D804C33
+:0402A800F9B78028FA
+:0402A900FC128010B3
+:0402AA00FE6D800362
+:0402AB0000C8800205
+:0402AC000323800B9D
+:0402AD00057E801F2B
+:0402AE0007D8803FAE
+:0402AF000A32806926
+:0402B0000C8B809F94
+:0402B1000EE280DFFA
+:0402B2001139812B52
+:0402B300138E8182A3
+:0402B40015E181E3EC
+:0402B5001832825029
+:0402B6001A8182C760
+:0402B7001CCE834A8C
+:0402B8001F1883D7B1
+:0402B9002160846FCD
+:0402BA0023A58512E1
+:0402BB0025E785BFEF
+:0402BC0028258677F4
+:0402BD002A608739F3
+:0402BE002C978806EB
+:0402BF002ECB88DDDD
+:0402C00030FA89BFC8
+:0402C10033258AABAC
+:0402C200354C8BA18B
+:0402C300376E8CA165
+:0402C400398B8DAC39
+:0402C5003BA38EC009
+:0402C6003DB68FDED4
+:0402C7003FC491059A
+:0402C80041CD92375B
+:0402C90043D093711A
+:0402CA0045CC94B6D5
+:0402CB0047C396038C
+:0402CC0049B3975A41
+:0402CD004B9D98BAF3
+:0402CE004D809A23A2
+:0402CF004F5D9B9450
+:0402D00051339D0FFA
+:0402D10053019E91A6
+:0402D20054C9A01D4E
+:0402D3005689A1B0F7
+:0402D4005841A34C9E
+:0402D50059F2A4F046
+:0402D6005B9CA69CEB
+:0402D7005D3DA85091
+:0402D8005ED6AA0B39
+:0402D9006067ABCDE2
+:0402DA0061EFAD978C
+:0402DB006370AF6835
+:0402DC0064E7B140E2
+:0402DD006656B31F8F
+:0402DE0067BBB50540
+:0402DF006918B6F1F3
+:0402E0006A6CB8E3A9
+:0402E1006BB6BADC62
+:0402E2006CF8BCDA1E
+:0402E3006E2FBEDFDD
+:0402E4006F5DC0EAA0
+:0402E5007082C2F968
+:0402E600719CC50E34
+:0402E70072ADC72805
+:0402E80073B4C947DB
+:0402E90074B1CB6AB7
+:0402EA0075A4CD9298
+:0402EB00768CCFBF7F
+:0402EC00776AD1F06C
+:0402ED00783ED4245F
+:0402EE007908D65D58
+:0402EF0079C7D8995A
+:0402F0007A7BDAD962
+:0402F1007B25DD1B71
+:0402F2007BC4DF6189
+:0402F3007C58E1AAA8
+:0402F4007CE2E3F5D0
+:0402F5007D61E643FE
+:0402F6007DD4E89338
+:0402F7007E3DEAE47A
+:0402F8007E9BED38C4
+:0402F9007EEEEF8E18
+:0402FA007F36F1E476
+:0402FB007F73F43DDC
+:0402FC007FA5F6964E
+:0402FD007FCCF8EFCB
+:0402FE007FE8FB4A50
+:0402FF007FF8FDA5E2
+:00000001FF
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_4.txt b/applications/lofar1/pft2/src/data/twiddle_16_4.txt
new file mode 100644
index 0000000000000000000000000000000000000000..a37d978b181345f1ca014f282079808bc7d115cd
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_4.txt
@@ -0,0 +1,768 @@
+ 32767	     0
+ 32765	  -402
+ 32757	  -804
+ 32745	 -1206
+ 32728	 -1608
+ 32705	 -2009
+ 32678	 -2410
+ 32646	 -2811
+ 32609	 -3212
+ 32567	 -3612
+ 32521	 -4011
+ 32469	 -4410
+ 32412	 -4808
+ 32351	 -5205
+ 32285	 -5602
+ 32213	 -5997
+ 32137	 -6392
+ 32057	 -6786
+ 31971	 -7179
+ 31880	 -7571
+ 31785	 -7961
+ 31685	 -8351
+ 31580	 -8739
+ 31470	 -9126
+ 31356	 -9511
+ 31237	 -9896
+ 31113	-10278
+ 30985	-10659
+ 30852	-11039
+ 30714	-11416
+ 30571	-11792
+ 30424	-12167
+ 30273	-12539
+ 30117	-12910
+ 29956	-13278
+ 29791	-13645
+ 29621	-14009
+ 29447	-14372
+ 29268	-14732
+ 29085	-15090
+ 28898	-15446
+ 28706	-15799
+ 28510	-16150
+ 28310	-16500
+ 28105	-16846
+ 27897	-17190
+ 27683	-17531
+ 27466	-17869
+ 27245	-18205
+ 27019	-18538
+ 26790	-18868
+ 26556	-19195
+ 26319	-19520
+ 26077	-19841
+ 25832	-20160
+ 25583	-20475
+ 25329	-20788
+ 25072	-21097
+ 24812	-21403
+ 24547	-21706
+ 24279	-22005
+ 24007	-22302
+ 23732	-22594
+ 23453	-22884
+ 23170	-23170
+ 22884	-23453
+ 22594	-23732
+ 22302	-24007
+ 22005	-24279
+ 21706	-24547
+ 21403	-24812
+ 21097	-25072
+ 20788	-25329
+ 20475	-25583
+ 20160	-25832
+ 19841	-26077
+ 19520	-26319
+ 19195	-26556
+ 18868	-26790
+ 18538	-27019
+ 18205	-27245
+ 17869	-27466
+ 17531	-27683
+ 17190	-27897
+ 16846	-28105
+ 16500	-28310
+ 16150	-28510
+ 15799	-28706
+ 15446	-28898
+ 15090	-29085
+ 14732	-29268
+ 14372	-29447
+ 14009	-29621
+ 13645	-29791
+ 13278	-29956
+ 12910	-30117
+ 12539	-30273
+ 12167	-30424
+ 11792	-30571
+ 11416	-30714
+ 11039	-30852
+ 10659	-30985
+ 10278	-31113
+  9896	-31237
+  9511	-31356
+  9126	-31470
+  8739	-31580
+  8351	-31685
+  7961	-31785
+  7571	-31880
+  7179	-31971
+  6786	-32057
+  6392	-32137
+  5997	-32213
+  5602	-32285
+  5205	-32351
+  4808	-32412
+  4410	-32469
+  4011	-32521
+  3612	-32567
+  3212	-32609
+  2811	-32646
+  2410	-32678
+  2009	-32705
+  1608	-32728
+  1206	-32745
+   804	-32757
+   402	-32765
+     0	-32767
+  -402	-32765
+  -804	-32757
+ -1206	-32745
+ -1608	-32728
+ -2009	-32705
+ -2410	-32678
+ -2811	-32646
+ -3212	-32609
+ -3612	-32567
+ -4011	-32521
+ -4410	-32469
+ -4808	-32412
+ -5205	-32351
+ -5602	-32285
+ -5997	-32213
+ -6392	-32137
+ -6786	-32057
+ -7179	-31971
+ -7571	-31880
+ -7961	-31785
+ -8351	-31685
+ -8739	-31580
+ -9126	-31470
+ -9511	-31356
+ -9896	-31237
+-10278	-31113
+-10659	-30985
+-11039	-30852
+-11416	-30714
+-11792	-30571
+-12167	-30424
+-12539	-30273
+-12910	-30117
+-13278	-29956
+-13645	-29791
+-14009	-29621
+-14372	-29447
+-14732	-29268
+-15090	-29085
+-15446	-28898
+-15799	-28706
+-16150	-28510
+-16500	-28310
+-16846	-28105
+-17190	-27897
+-17531	-27683
+-17869	-27466
+-18205	-27245
+-18538	-27019
+-18868	-26790
+-19195	-26556
+-19520	-26319
+-19841	-26077
+-20160	-25832
+-20475	-25583
+-20788	-25329
+-21097	-25072
+-21403	-24812
+-21706	-24547
+-22005	-24279
+-22302	-24007
+-22594	-23732
+-22884	-23453
+-23170	-23170
+-23453	-22884
+-23732	-22594
+-24007	-22302
+-24279	-22005
+-24547	-21706
+-24812	-21403
+-25072	-21097
+-25329	-20788
+-25583	-20475
+-25832	-20160
+-26077	-19841
+-26319	-19520
+-26556	-19195
+-26790	-18868
+-27019	-18538
+-27245	-18205
+-27466	-17869
+-27683	-17531
+-27897	-17190
+-28105	-16846
+-28310	-16500
+-28510	-16150
+-28706	-15799
+-28898	-15446
+-29085	-15090
+-29268	-14732
+-29447	-14372
+-29621	-14009
+-29791	-13645
+-29956	-13278
+-30117	-12910
+-30273	-12539
+-30424	-12167
+-30571	-11792
+-30714	-11416
+-30852	-11039
+-30985	-10659
+-31113	-10278
+-31237	 -9896
+-31356	 -9511
+-31470	 -9126
+-31580	 -8739
+-31685	 -8351
+-31785	 -7961
+-31880	 -7571
+-31971	 -7179
+-32057	 -6786
+-32137	 -6392
+-32213	 -5997
+-32285	 -5602
+-32351	 -5205
+-32412	 -4808
+-32469	 -4410
+-32521	 -4011
+-32567	 -3612
+-32609	 -3212
+-32646	 -2811
+-32678	 -2410
+-32705	 -2009
+-32728	 -1608
+-32745	 -1206
+-32757	  -804
+-32765	  -402
+ 32767	     0
+ 32766	  -201
+ 32765	  -402
+ 32761	  -603
+ 32757	  -804
+ 32752	 -1005
+ 32745	 -1206
+ 32737	 -1407
+ 32728	 -1608
+ 32717	 -1809
+ 32705	 -2009
+ 32692	 -2210
+ 32678	 -2410
+ 32663	 -2611
+ 32646	 -2811
+ 32628	 -3011
+ 32609	 -3212
+ 32589	 -3412
+ 32567	 -3612
+ 32545	 -3811
+ 32521	 -4011
+ 32495	 -4210
+ 32469	 -4410
+ 32441	 -4609
+ 32412	 -4808
+ 32382	 -5007
+ 32351	 -5205
+ 32318	 -5404
+ 32285	 -5602
+ 32250	 -5800
+ 32213	 -5997
+ 32176	 -6195
+ 32137	 -6392
+ 32098	 -6589
+ 32057	 -6786
+ 32014	 -6983
+ 31971	 -7179
+ 31926	 -7375
+ 31880	 -7571
+ 31833	 -7766
+ 31785	 -7961
+ 31736	 -8156
+ 31685	 -8351
+ 31633	 -8545
+ 31580	 -8739
+ 31526	 -8933
+ 31470	 -9126
+ 31414	 -9319
+ 31356	 -9511
+ 31297	 -9704
+ 31237	 -9896
+ 31176	-10087
+ 31113	-10278
+ 31050	-10469
+ 30985	-10659
+ 30919	-10849
+ 30852	-11039
+ 30783	-11228
+ 30714	-11416
+ 30643	-11605
+ 30571	-11792
+ 30499	-11980
+ 30424	-12167
+ 30349	-12353
+ 30273	-12539
+ 30195	-12725
+ 30117	-12910
+ 30037	-13094
+ 29956	-13278
+ 29874	-13462
+ 29791	-13645
+ 29707	-13827
+ 29621	-14009
+ 29535	-14191
+ 29447	-14372
+ 29358	-14552
+ 29268	-14732
+ 29177	-14911
+ 29085	-15090
+ 28992	-15268
+ 28898	-15446
+ 28803	-15623
+ 28706	-15799
+ 28609	-15975
+ 28510	-16150
+ 28411	-16325
+ 28310	-16500
+ 28208	-16673
+ 28105	-16846
+ 28001	-17018
+ 27897	-17190
+ 27791	-17361
+ 27683	-17531
+ 27575	-17700
+ 27466	-17869
+ 27356	-18037
+ 27245	-18205
+ 27133	-18372
+ 27019	-18538
+ 26905	-18703
+ 26790	-18868
+ 26674	-19032
+ 26556	-19195
+ 26438	-19358
+ 26319	-19520
+ 26199	-19681
+ 26077	-19841
+ 25955	-20001
+ 25832	-20160
+ 25708	-20318
+ 25583	-20475
+ 25457	-20632
+ 25329	-20788
+ 25201	-20943
+ 25072	-21097
+ 24943	-21250
+ 24812	-21403
+ 24680	-21555
+ 24547	-21706
+ 24414	-21856
+ 24279	-22005
+ 24144	-22154
+ 24007	-22302
+ 23870	-22448
+ 23732	-22594
+ 23593	-22740
+ 23453	-22884
+ 23312	-23027
+ 23170	-23170
+ 23027	-23312
+ 22884	-23453
+ 22740	-23593
+ 22594	-23732
+ 22448	-23870
+ 22302	-24007
+ 22154	-24144
+ 22005	-24279
+ 21856	-24414
+ 21706	-24547
+ 21555	-24680
+ 21403	-24812
+ 21250	-24943
+ 21097	-25072
+ 20943	-25201
+ 20788	-25329
+ 20632	-25457
+ 20475	-25583
+ 20318	-25708
+ 20160	-25832
+ 20001	-25955
+ 19841	-26077
+ 19681	-26199
+ 19520	-26319
+ 19358	-26438
+ 19195	-26556
+ 19032	-26674
+ 18868	-26790
+ 18703	-26905
+ 18538	-27019
+ 18372	-27133
+ 18205	-27245
+ 18037	-27356
+ 17869	-27466
+ 17700	-27575
+ 17531	-27683
+ 17361	-27791
+ 17190	-27897
+ 17018	-28001
+ 16846	-28105
+ 16673	-28208
+ 16500	-28310
+ 16325	-28411
+ 16150	-28510
+ 15975	-28609
+ 15799	-28706
+ 15623	-28803
+ 15446	-28898
+ 15268	-28992
+ 15090	-29085
+ 14911	-29177
+ 14732	-29268
+ 14552	-29358
+ 14372	-29447
+ 14191	-29535
+ 14009	-29621
+ 13827	-29707
+ 13645	-29791
+ 13462	-29874
+ 13278	-29956
+ 13094	-30037
+ 12910	-30117
+ 12725	-30195
+ 12539	-30273
+ 12353	-30349
+ 12167	-30424
+ 11980	-30499
+ 11792	-30571
+ 11605	-30643
+ 11416	-30714
+ 11228	-30783
+ 11039	-30852
+ 10849	-30919
+ 10659	-30985
+ 10469	-31050
+ 10278	-31113
+ 10087	-31176
+  9896	-31237
+  9704	-31297
+  9511	-31356
+  9319	-31414
+  9126	-31470
+  8933	-31526
+  8739	-31580
+  8545	-31633
+  8351	-31685
+  8156	-31736
+  7961	-31785
+  7766	-31833
+  7571	-31880
+  7375	-31926
+  7179	-31971
+  6983	-32014
+  6786	-32057
+  6589	-32098
+  6392	-32137
+  6195	-32176
+  5997	-32213
+  5800	-32250
+  5602	-32285
+  5404	-32318
+  5205	-32351
+  5007	-32382
+  4808	-32412
+  4609	-32441
+  4410	-32469
+  4210	-32495
+  4011	-32521
+  3811	-32545
+  3612	-32567
+  3412	-32589
+  3212	-32609
+  3011	-32628
+  2811	-32646
+  2611	-32663
+  2410	-32678
+  2210	-32692
+  2009	-32705
+  1809	-32717
+  1608	-32728
+  1407	-32737
+  1206	-32745
+  1005	-32752
+   804	-32757
+   603	-32761
+   402	-32765
+   201	-32766
+ 32767	     0
+ 32761	  -603
+ 32745	 -1206
+ 32717	 -1809
+ 32678	 -2410
+ 32628	 -3011
+ 32567	 -3612
+ 32495	 -4210
+ 32412	 -4808
+ 32318	 -5404
+ 32213	 -5997
+ 32098	 -6589
+ 31971	 -7179
+ 31833	 -7766
+ 31685	 -8351
+ 31526	 -8933
+ 31356	 -9511
+ 31176	-10087
+ 30985	-10659
+ 30783	-11228
+ 30571	-11792
+ 30349	-12353
+ 30117	-12910
+ 29874	-13462
+ 29621	-14009
+ 29358	-14552
+ 29085	-15090
+ 28803	-15623
+ 28510	-16150
+ 28208	-16673
+ 27897	-17190
+ 27575	-17700
+ 27245	-18205
+ 26905	-18703
+ 26556	-19195
+ 26199	-19681
+ 25832	-20160
+ 25457	-20632
+ 25072	-21097
+ 24680	-21555
+ 24279	-22005
+ 23870	-22448
+ 23453	-22884
+ 23027	-23312
+ 22594	-23732
+ 22154	-24144
+ 21706	-24547
+ 21250	-24943
+ 20788	-25329
+ 20318	-25708
+ 19841	-26077
+ 19358	-26438
+ 18868	-26790
+ 18372	-27133
+ 17869	-27466
+ 17361	-27791
+ 16846	-28105
+ 16325	-28411
+ 15799	-28706
+ 15268	-28992
+ 14732	-29268
+ 14191	-29535
+ 13645	-29791
+ 13094	-30037
+ 12539	-30273
+ 11980	-30499
+ 11416	-30714
+ 10849	-30919
+ 10278	-31113
+  9704	-31297
+  9126	-31470
+  8545	-31633
+  7961	-31785
+  7375	-31926
+  6786	-32057
+  6195	-32176
+  5602	-32285
+  5007	-32382
+  4410	-32469
+  3811	-32545
+  3212	-32609
+  2611	-32663
+  2009	-32705
+  1407	-32737
+   804	-32757
+   201	-32766
+  -402	-32765
+ -1005	-32752
+ -1608	-32728
+ -2210	-32692
+ -2811	-32646
+ -3412	-32589
+ -4011	-32521
+ -4609	-32441
+ -5205	-32351
+ -5800	-32250
+ -6392	-32137
+ -6983	-32014
+ -7571	-31880
+ -8156	-31736
+ -8739	-31580
+ -9319	-31414
+ -9896	-31237
+-10469	-31050
+-11039	-30852
+-11605	-30643
+-12167	-30424
+-12725	-30195
+-13278	-29956
+-13827	-29707
+-14372	-29447
+-14911	-29177
+-15446	-28898
+-15975	-28609
+-16500	-28310
+-17018	-28001
+-17531	-27683
+-18037	-27356
+-18538	-27019
+-19032	-26674
+-19520	-26319
+-20001	-25955
+-20475	-25583
+-20943	-25201
+-21403	-24812
+-21856	-24414
+-22302	-24007
+-22740	-23593
+-23170	-23170
+-23593	-22740
+-24007	-22302
+-24414	-21856
+-24812	-21403
+-25201	-20943
+-25583	-20475
+-25955	-20001
+-26319	-19520
+-26674	-19032
+-27019	-18538
+-27356	-18037
+-27683	-17531
+-28001	-17018
+-28310	-16500
+-28609	-15975
+-28898	-15446
+-29177	-14911
+-29447	-14372
+-29707	-13827
+-29956	-13278
+-30195	-12725
+-30424	-12167
+-30643	-11605
+-30852	-11039
+-31050	-10469
+-31237	 -9896
+-31414	 -9319
+-31580	 -8739
+-31736	 -8156
+-31880	 -7571
+-32014	 -6983
+-32137	 -6392
+-32250	 -5800
+-32351	 -5205
+-32441	 -4609
+-32521	 -4011
+-32589	 -3412
+-32646	 -2811
+-32692	 -2210
+-32728	 -1608
+-32752	 -1005
+-32765	  -402
+-32766	   201
+-32757	   804
+-32737	  1407
+-32705	  2009
+-32663	  2611
+-32609	  3212
+-32545	  3811
+-32469	  4410
+-32382	  5007
+-32285	  5602
+-32176	  6195
+-32057	  6786
+-31926	  7375
+-31785	  7961
+-31633	  8545
+-31470	  9126
+-31297	  9704
+-31113	 10278
+-30919	 10849
+-30714	 11416
+-30499	 11980
+-30273	 12539
+-30037	 13094
+-29791	 13645
+-29535	 14191
+-29268	 14732
+-28992	 15268
+-28706	 15799
+-28411	 16325
+-28105	 16846
+-27791	 17361
+-27466	 17869
+-27133	 18372
+-26790	 18868
+-26438	 19358
+-26077	 19841
+-25708	 20318
+-25329	 20788
+-24943	 21250
+-24547	 21706
+-24144	 22154
+-23732	 22594
+-23312	 23027
+-22884	 23453
+-22448	 23870
+-22005	 24279
+-21555	 24680
+-21097	 25072
+-20632	 25457
+-20160	 25832
+-19681	 26199
+-19195	 26556
+-18703	 26905
+-18205	 27245
+-17700	 27575
+-17190	 27897
+-16673	 28208
+-16150	 28510
+-15623	 28803
+-15090	 29085
+-14552	 29358
+-14009	 29621
+-13462	 29874
+-12910	 30117
+-12353	 30349
+-11792	 30571
+-11228	 30783
+-10659	 30985
+-10087	 31176
+ -9511	 31356
+ -8933	 31526
+ -8351	 31685
+ -7766	 31833
+ -7179	 31971
+ -6589	 32098
+ -5997	 32213
+ -5404	 32318
+ -4808	 32412
+ -4210	 32495
+ -3612	 32567
+ -3011	 32628
+ -2410	 32678
+ -1809	 32717
+ -1206	 32745
+  -603	 32761
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_5.bin b/applications/lofar1/pft2/src/data/twiddle_16_5.bin
new file mode 100644
index 0000000000000000000000000000000000000000..39e95d1a73a61df2a748661cd1f1646fe71fe251
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_5.bin
@@ -0,0 +1,3072 @@
+00000000000000000111111111111111
+11111111100110110111111111111111
+11111111001101110111111111111110
+11111110110100100111111111111110
+11111110011011100111111111111101
+11111110000010010111111111111011
+11111101101001010111111111111001
+11111101010000000111111111110111
+11111100110111000111111111110101
+11111100011101110111111111110011
+11111100000100110111111111110000
+11111011101011100111111111101100
+11111011010010100111111111101001
+11111010111001100111111111100101
+11111010100000010111111111100001
+11111010000111010111111111011100
+11111001101110000111111111011000
+11111001010101000111111111010010
+11111000111011110111111111001101
+11111000100010110111111111000111
+11111000001001110111111111000001
+11110111110000100111111110111011
+11110111010111100111111110110100
+11110110111110100111111110101101
+11110110100101100111111110100110
+11110110001100010111111110011111
+11110101110011010111111110010111
+11110101011010010111111110001111
+11110101000001010111111110000110
+11110100101000010111111101111101
+11110100001111010111111101110100
+11110011110110000111111101101011
+11110011011101000111111101100001
+11110011000100000111111101010111
+11110010101011000111111101001101
+11110010010010000111111101000010
+11110001111001000111111100110111
+11110001100000010111111100101100
+11110001000111010111111100100001
+11110000101110010111111100010101
+11110000010101010111111100001001
+11101111111100010111111011111100
+11101111100011100111111011101111
+11101111001010100111111011100010
+11101110110001100111111011010101
+11101110011000110111111011000111
+11101101111111110111111010111001
+11101101100111000111111010101011
+11101101001110000111111010011100
+11101100110101010111111010001101
+11101100011100010111111001111110
+11101100000011100111111001101111
+11101011101010110111111001011111
+11101011010010000111111001001111
+11101010111001000111111000111110
+11101010100000010111111000101110
+11101010000111100111111000011101
+11101001101110110111111000001011
+11101001010110000111110111111010
+11101000111101010111110111101000
+11101000100100110111110111010101
+11101000001100000111110111000011
+11100111110011010111110110110000
+11100111011010100111110110011101
+11100111000010000111110110001001
+11100110101001010111110101110110
+11100110010000110111110101100010
+11100101111000000111110101001101
+11100101011111100111110100111001
+11100101000110110111110100100100
+11100100101110010111110100001110
+11100100010101110111110011111001
+11100011111101010111110011100011
+11100011100100110111110011001101
+11100011001100010111110010110110
+11100010110011110111110010011111
+11100010011011010111110010001000
+11100010000010110111110001110001
+11100001101010100111110001011001
+11100001010010000111110001000001
+11100000111001110111110000101001
+11100000100001010111110000010000
+11100000001001000111101111111000
+11011111110000100111101111011110
+11011111011000010111101111000101
+11011111000000000111101110101011
+11011110100111110111101110010001
+11011110001111100111101101110111
+11011101110111010111101101011100
+11011101011111000111101101000001
+11011101000110110111101100100110
+11011100101110110111101100001010
+11011100010110100111101011101110
+11011011111110100111101011010010
+11011011100110010111101010110110
+11011011001110010111101010011001
+11011010110110010111101001111100
+11011010011110000111101001011111
+11011010000110000111101001000001
+11011001101110000111101000100011
+11011001010110000111101000000101
+11011000111110010111100111100111
+11011000100110010111100111001000
+11011000001110010111100110101001
+11010111110110100111100110001001
+11010111011110110111100101101010
+11010111000110110111100101001010
+11010110101111000111100100101001
+11010110010111010111100100001001
+11010101111111100111100011101000
+11010101100111110111100011000111
+11010101010000000111100010100101
+11010100111000010111100010000100
+11010100100000110111100001100010
+11010100001001000111100000111111
+11010011110001100111100000011101
+11010011011010000111011111111010
+11010011000010100111011111010111
+11010010101010110111011110110011
+11010010010011100111011110001111
+11010001111100000111011101101011
+11010001100100100111011101000111
+11010001001101000111011100100011
+11010000110101110111011011111110
+11010000011110010111011011011000
+11010000000111000111011010110011
+11001111101111110111011010001101
+11001111011000100111011001100111
+11001111000001010111011001000001
+11001110101010000111011000011010
+11001110010010110111010111110011
+11001101111011110111010111001100
+11001101100100100111010110100101
+11001101001101100111010101111101
+11001100110110100111010101010101
+11001100011111100111010100101101
+11001100001000100111010100000100
+11001011110001100111010011011011
+11001011011010100111010010110010
+11001011000011110111010010001001
+11001010101100110111010001011111
+11001010010110000111010000110101
+11001001111111010111010000001011
+11001001101000100111001111100000
+11001001010001110111001110110101
+11001000111011000111001110001010
+11001000100100010111001101011111
+11001000001101110111001100110011
+11000111110111000111001100000111
+11000111100000100111001011011011
+11000111001010000111001010101110
+11000110110011100111001010000001
+11000110011101000111001001010100
+11000110000110100111001000100111
+11000101110000010111000111111001
+11000101011001110111000111001100
+11000101000011100111000110011101
+11000100101101010111000101101111
+11000100010111000111000101000000
+11000100000000110111000100010001
+11000011101010100111000011100010
+11000011010100100111000010110011
+11000010111110010111000010000011
+11000010101000010111000001010011
+11000010010010010111000000100010
+11000001111100010110111111110010
+11000001100110010110111111000001
+11000001010000010110111110010000
+11000000111010100110111101011110
+11000000100100100110111100101101
+11000000001110110110111011111011
+10111111111000110110111011001000
+10111111100011000110111010010110
+10111111001101010110111001100011
+10111110110111110110111000110000
+10111110100010000110110111111101
+10111110001100100110110111001001
+10111101110111000110110110010110
+10111101100001100110110101100001
+10111101001100000110110100101101
+10111100110110100110110011111001
+10111100100001010110110011000100
+10111100001011110110110010001111
+10111011110110100110110001011001
+10111011100001010110110000100011
+10111011001100000110101111101110
+10111010110111000110101110110111
+10111010100001110110101110000001
+10111010001100110110101101001010
+10111001110111110110101100010011
+10111001100010110110101011011100
+10111001001101110110101010100101
+10111000111000110110101001101101
+10111000100100000110101000110101
+10111000001111000110100111111101
+10110111111010010110100111000100
+10110111100101100110100110001011
+10110111010000110110100101010010
+10110110111100010110100100011001
+10110110100111100110100011100000
+10110110010011000110100010100110
+10110101111110100110100001101100
+10110101101010000110100000110010
+10110101010101100110011111110111
+10110101000001010110011110111100
+10110100101100110110011110000001
+10110100011000100110011101000110
+10110100000100010110011100001011
+10110011110000000110011011001111
+10110011011100000110011010010011
+10110011000111110110011001010111
+10110010110011110110011000011010
+10110010011111110110010111011101
+10110010001011110110010110100000
+10110001110111110110010101100011
+10110001100100000110010100100110
+10110001010000000110010011101000
+10110000111100010110010010101010
+10110000101000100110010001101100
+10110000010100110110010000101101
+10110000000001010110001111101111
+10101111101101100110001110110000
+10101111011010000110001101110001
+10101111000110100110001100110001
+10101110110011000110001011110001
+10101110011111110110001010110010
+10101110001100010110001001110001
+10101101111001000110001000110001
+10101101100101110110000111110000
+10101101010010100110000110110000
+10101100111111100110000101101111
+10101100101100010110000100101101
+10101100011001010110000011101100
+10101100000110010110000010101010
+10101011110011010110000001101000
+10101011100000100110000000100110
+10101011001101100101111111100011
+10101010111010110101111110100000
+10101010101000000101111101011110
+10101010010101010101111100011010
+10101010000010110101111011010111
+10101001110000000101111010010011
+10101001011101100101111001010000
+10101001001011000101111000001011
+10101000111000100101110111000111
+10101000100110010101110110000011
+10101000010100000101110100111110
+10101000000001100101110011111001
+10100111101111100101110010110100
+10100111011101010101110001101110
+10100111001011000101110000101001
+10100110111001000101101111100011
+10100110100111000101101110011101
+10100110010101000101101101010110
+10100110000011010101101100010000
+10100101110001010101101011001001
+10100101011111100101101010000010
+10100101001101110101101000111011
+10100100111100000101100111110011
+10100100101010100101100110101100
+10100100011000110101100101100100
+10100100000111010101100100011100
+10100011110101110101100011010100
+10100011100100100101100010001011
+10100011010011000101100001000010
+10100011000001110101011111111010
+10100010110000100101011110110000
+10100010011111010101011101100111
+10100010001110010101011100011110
+10100001111101010101011011010100
+10100001101100000101011010001010
+10100001011011010101011001000000
+10100001001010010101010111110101
+10100000111001100101010110101011
+10100000101000100101010101100000
+10100000011000000101010100010101
+10100000000111010101010011001010
+10011111110110100101010001111110
+10011111100110000101010000110011
+10011111010101100101001111100111
+10011111000101000101001110011011
+10011110110100110101001101001111
+10011110100100010101001100000010
+10011110010100000101001010110110
+10011110000100000101001001101001
+10011101110011110101001000011100
+10011101100011110101000111001111
+10011101010011100101000110000001
+10011101000011110101000100110100
+10011100110011110101000011100110
+10011100100011110101000010011000
+10011100010100000101000001001010
+10011100000100010100111111111011
+10011011110100110100111110101101
+10011011100101000100111101011110
+10011011010101100100111100001111
+10011011000110000100111011000000
+10011010110110100100111001110000
+10011010100111010100111000100001
+10011010011000000100110111010001
+10011010001000110100110110000001
+10011001111001100100110100110001
+10011001101010010100110011100001
+10011001011011010100110010010000
+10011001001100010100110001000000
+10011000111101010100101111101111
+10011000101110100100101110011110
+10011000011111110100101101001101
+10011000010001000100101011111011
+10011000000010010100101010101010
+10010111110011100100101001011000
+10010111100101000100101000000110
+10010111010110100100100110110100
+10010111001000000100100101100010
+10010110111001110100100100001111
+10010110101011100100100010111101
+10010110011101010100100001101010
+10010110001111000100100000010111
+10010110000000110100011111000100
+10010101110010110100011101110000
+10010101100100110100011100011101
+10010101010110110100011011001001
+10010101001001000100011001110101
+10010100111011010100011000100001
+10010100101101100100010111001101
+10010100011111110100010101111001
+10010100010010010100010100100100
+10010100000100100100010011010000
+10010011110111010100010001111011
+10010011101001110100010000100110
+10010011011100010100001111010001
+10010011001111000100001101111011
+10010011000001110100001100100110
+10010010110100110100001011010000
+10010010100111110100001001111010
+10010010011010100100001000100100
+10010010001101110100000111001110
+10010010000000110100000101111000
+10010001110100000100000100100001
+10010001100111010100000011001011
+10010001011010100100000001110100
+10010001001110000100000000011101
+10010001000001010011111111000101
+10010000110100110011111101101110
+10010000101000100011111100010110
+10010000011100000011111010111111
+10010000001111110011111001100111
+10010000000011100011111000001111
+10001111110111100011110110110111
+10001111101011010011110101011111
+10001111011111010011110100000111
+10001111010011010011110010101110
+10001111000111100011110001010110
+10001110111011110011101111111101
+10001110110000000011101110100100
+10001110100100010011101101001011
+10001110011000110011101011110010
+10001110001101000011101010011001
+10001110000001110011101000111111
+10001101110110010011100111100110
+10001101101011000011100110001100
+10001101011111110011100100110010
+10001101010100100011100011011000
+10001101001001010011100001111110
+10001100111110010011100000100100
+10001100110011010011011111001001
+10001100101000010011011101101111
+10001100011101100011011100010100
+10001100010010110011011010111001
+10001100001000000011011001011110
+10001011111101010011011000000011
+10001011110010110011010110101000
+10001011101000010011010101001101
+10001011011101110011010011110001
+10001011010011100011010010010110
+10001011001001010011010000111010
+10001010111111000011001111011110
+10001010110100110011001110000010
+10001010101010110011001100100110
+10001010100000110011001011001010
+10001010010110110011001001101110
+10001010001101000011001000010001
+10001010000011010011000110110101
+10001001111001100011000101011000
+10001001101111110011000011111011
+10001001100110010011000010011110
+10001001011100110011000001000001
+10001001010011010010111111100100
+10001001001010000010111110000111
+10001001000000100010111100101001
+10001000110111010010111011001100
+10001000101110010010111001101110
+10001000100101010010111000010000
+10001000011100010010110110110010
+10001000010011010010110101010101
+10001000001010010010110011110110
+10001000000001100010110010011000
+10000111111000110010110000111010
+10000111110000010010101111011100
+10000111100111100010101101111101
+10000111011111000010101100011111
+10000111010110110010101011000000
+10000111001110010010101001100001
+10000111000110000010101000000010
+10000110111101110010100110100011
+10000110110101110010100101000100
+10000110101101100010100011100101
+10000110100101100010100010000101
+10000110011101110010100000100110
+10000110010101110010011111000111
+10000110001110000010011101100111
+10000110000110010010011100000111
+10000101111110110010011010101000
+10000101110111010010011001001000
+10000101101111110010010111101000
+10000101101000010010010110001000
+10000101100001000010010100100111
+10000101011001110010010011000111
+10000101010010100010010001100111
+10000101001011100010010000000110
+10000101000100100010001110100110
+10000100111101100010001101000101
+10000100110110100010001011100101
+10000100101111110010001010000100
+10000100101001000010001000100011
+10000100100010010010000111000010
+10000100011011110010000101100001
+10000100010101010010000100000000
+10000100001110110010000010011111
+10000100001000100010000000111110
+10000100000010000001111111011100
+10000011111100000001111101111011
+10000011110101110001111100011001
+10000011101111110001111010111000
+10000011101001110001111001010110
+10000011100011110001110111110101
+10000011011110000001110110010011
+10000011011000010001110100110001
+10000011010010100001110011001111
+10000011001100110001110001101101
+10000011000111010001110000001011
+10000011000001110001101110101001
+10000010111100100001101101000111
+10000010110111000001101011100101
+10000010110001110001101010000010
+10000010101100110001101000100000
+10000010100111100001100110111101
+10000010100010100001100101011011
+10000010011101110001100011111000
+10000010011000110001100010010110
+10000010010100000001100000110011
+10000010001111010001011111010000
+10000010001010110001011101101101
+10000010000110000001011100001011
+10000010000001100001011010101000
+10000001111101010001011001000101
+10000001111000110001010111100010
+10000001110100100001010101111111
+10000001110000100001010100011100
+10000001101100010001010010111000
+10000001101000010001010001010101
+10000001100100010001001111110010
+10000001100000100001001110001111
+10000001011100110001001100101011
+10000001011001000001001011001000
+10000001010101010001001001100100
+10000001010001110001001000000001
+10000001001110010001000110011101
+10000001001010110001000100111010
+10000001000111100001000011010110
+10000001000100010001000001110010
+10000001000001000001000000001111
+10000000111101110000111110101011
+10000000111010110000111101000111
+10000000110111110000111011100011
+10000000110101000000111001111111
+10000000110010010000111000011100
+10000000101111100000110110111000
+10000000101100110000110101010100
+10000000101010010000110011110000
+10000000100111110000110010001100
+10000000100101010000110000101000
+10000000100011000000101111000011
+10000000100000110000101101011111
+10000000011110100000101011111011
+10000000011100010000101010010111
+10000000011010010000101000110011
+10000000011000010000100111001111
+10000000010110100000100101101010
+10000000010100110000100100000110
+10000000010011000000100010100010
+10000000010001010000100000111110
+10000000001111110000011111011001
+10000000001110010000011101110101
+10000000001100110000011100010001
+10000000001011100000011010101100
+10000000001010000000011001001000
+10000000001001000000010111100011
+10000000000111110000010101111111
+10000000000110110000010100011010
+10000000000101110000010010110110
+10000000000101000000010001010010
+10000000000100000000001111101101
+10000000000011010000001110001001
+10000000000010110000001100100100
+10000000000010010000001011000000
+10000000000001110000001001011011
+10000000000001010000000111110111
+10000000000000110000000110010010
+10000000000000100000000100101110
+10000000000000100000000011001001
+10000000000000010000000001100101
+10000000000000010000000000000000
+10000000000000001111111110011011
+10000000000000011111111100110111
+10000000000000011111111011010010
+10000000000000101111111001101110
+10000000000001001111111000001001
+10000000000001101111110110100101
+10000000000010001111110101000000
+10000000000010101111110011011100
+10000000000011001111110001110111
+10000000000011111111110000010011
+10000000000100111111101110101110
+10000000000101101111101101001010
+10000000000110101111101011100110
+10000000000111101111101010000001
+10000000001000111111101000011101
+10000000001001111111100110111000
+10000000001011011111100101010100
+10000000001100101111100011101111
+10000000001110001111100010001011
+10000000001111101111100000100111
+10000000010001001111011111000010
+10000000010010111111011101011110
+10000000010100101111011011111010
+10000000010110011111011010010110
+10000000011000001111011000110001
+10000000011010001111010111001101
+10000000011100001111010101101001
+10000000011110011111010100000101
+10000000100000101111010010100001
+10000000100010111111010000111101
+10000000100101001111001111011000
+10000000100111101111001101110100
+10000000101010001111001100010000
+10000000101100101111001010101100
+10000000101111011111001001001000
+10000000110010001111000111100100
+10000000110100111111000110000001
+10000000110111101111000100011101
+10000000111010101111000010111001
+10000000111101101111000001010101
+10000001000000111110111111110001
+10000001000100001110111110001110
+10000001000111011110111100101010
+10000001001010101110111011000110
+10000001001110001110111001100011
+10000001010001101110110111111111
+10000001010101001110110110011100
+10000001011000111110110100111000
+10000001011100101110110011010101
+10000001100000011110110001110001
+10000001100100001110110000001110
+10000001101000001110101110101011
+10000001101100001110101101001000
+10000001110000011110101011100100
+10000001110100011110101010000001
+10000001111000101110101000011110
+10000001111101001110100110111011
+10000010000001011110100101011000
+10000010000101111110100011110101
+10000010001010101110100010010011
+10000010001111001110100000110000
+10000010010011111110011111001101
+10000010011000101110011101101010
+10000010011101101110011100001000
+10000010100010011110011010100101
+10000010100111011110011001000011
+10000010101100101110010111100000
+10000010110001101110010101111110
+10000010110110111110010100011011
+10000010111100011110010010111001
+10000011000001101110010001010111
+10000011000111001110001111110101
+10000011001100101110001110010011
+10000011010010011110001100110001
+10000011011000001110001011001111
+10000011011101111110001001101101
+10000011100011101110001000001011
+10000011101001101110000110101010
+10000011101111101110000101001000
+10000011110101101110000011100111
+10000011111011111110000010000101
+10000100000001111110000000100100
+10000100001000011101111111000010
+10000100001110101101111101100001
+10000100010101001101111100000000
+10000100011011101101111010011111
+10000100100010001101111000111110
+10000100101000111101110111011101
+10000100101111101101110101111100
+10000100110110011101110100011011
+10000100111101011101110010111011
+10000101000100011101110001011010
+10000101001011011101101111111010
+10000101010010011101101110011001
+10000101011001101101101100111001
+10000101100000111101101011011001
+10000101101000001101101001111000
+10000101101111101101101000011000
+10000101110111001101100110111000
+10000101111110101101100101011000
+10000110000110001101100011111001
+10000110001101111101100010011001
+10000110010101101101100000111001
+10000110011101101101011111011010
+10000110100101011101011101111011
+10000110101101011101011100011011
+10000110110101101101011010111100
+10000110111101101101011001011101
+10000111000101111101010111111110
+10000111001110001101010110011111
+10000111010110101101010101000000
+10000111011110111101010011100001
+10000111100111011101010010000011
+10000111110000001101010000100100
+10000111111000101101001111000110
+10001000000001011101001101101000
+10001000001010001101001100001010
+10001000010011001101001010101011
+10001000011100001101001001001110
+10001000100101001101000111110000
+10001000101110001101000110010010
+10001000110111001101000100110100
+10001001000000011101000011010111
+10001001001001111101000001111001
+10001001010011001101000000011100
+10001001011100101100111110111111
+10001001100110001100111101100010
+10001001101111101100111100000101
+10001001111001011100111010101000
+10001010000011001100111001001011
+10001010001100111100110111101111
+10001010010110101100110110010010
+10001010100000101100110100110110
+10001010101010101100110011011010
+10001010110100101100110001111110
+10001010111110111100110000100010
+10001011001001001100101111000110
+10001011010011011100101101101010
+10001011011101101100101100001111
+10001011101000001100101010110011
+10001011110010101100101001011000
+10001011111101001100100111111101
+10001100000111111100100110100010
+10001100010010101100100101000111
+10001100011101011100100011101100
+10001100101000001100100010010001
+10001100110011001100100000110111
+10001100111110001100011111011100
+10001101001001001100011110000010
+10001101010100011100011100101000
+10001101011111101100011011001110
+10001101101010111100011001110100
+10001101110110001100011000011010
+10001110000001101100010111000001
+10001110001100111100010101100111
+10001110011000101100010100001110
+10001110100100001100010010110101
+10001110101111111100010001011100
+10001110111011101100010000000011
+10001111000111011100001110101010
+10001111010011001100001101010010
+10001111011111001100001011111001
+10001111101011001100001010100001
+10001111110111011100001001001001
+10010000000011011100000111110001
+10010000001111101100000110011001
+10010000011011111100000101000001
+10010000101000011100000011101010
+10010000110100101100000010010010
+10010001000001001100000000111011
+10010001001101111011111111100011
+10010001011010011011111110001100
+10010001100111001011111100110101
+10010001110011111011111011011111
+10010010000000101011111010001000
+10010010001101101011111000110010
+10010010011010011011110111011100
+10010010100111101011110110000110
+10010010110100101011110100110000
+10010011000001101011110011011010
+10010011001110111011110010000101
+10010011011100001011110000101111
+10010011101001101011101111011010
+10010011110111001011101110000101
+10010100000100011011101100110000
+10010100010010001011101011011100
+10010100011111101011101010000111
+10010100101101011011101000110011
+10010100111011001011100111011111
+10010101001000111011100110001011
+10010101010110101011100100110111
+10010101100100101011100011100011
+10010101110010101011100010010000
+10010110000000101011100000111100
+10010110001110111011011111101001
+10010110011101001011011110010110
+10010110101011011011011101000011
+10010110111001101011011011110001
+10010111000111111011011010011110
+10010111010110011011011001001100
+10010111100100111011010111111010
+10010111110011011011010110101000
+10011000000010001011010101010110
+10011000010000111011010100000101
+10011000011111101011010010110011
+10011000101110011011010001100010
+10011000111101001011010000010001
+10011001001100001011001111000000
+10011001011011001011001101110000
+10011001101010001011001100011111
+10011001111001011011001011001111
+10011010001000101011001001111111
+10011010010111111011001000101111
+10011010100111001011000111011111
+10011010110110011011000110010000
+10011011000101111011000101000000
+10011011010101011011000011110001
+10011011100100111011000010100010
+10011011110100101011000001010011
+10011100000100001011000000000101
+10011100010011111010111110110110
+10011100100011101010111101101000
+10011100110011101010111100011010
+10011101000011101010111011001100
+10011101010011011010111001111111
+10011101100011101010111000110001
+10011101110011101010110111100100
+10011110000011111010110110010111
+10011110010011111010110101001010
+10011110100100001010110011111110
+10011110110100101010110010110001
+10011111000100111010110001100101
+10011111010101011010110000011001
+10011111100101111010101111001101
+10011111110110011010101110000010
+10100000000111001010101100110110
+10100000010111111010101011101011
+10100000101000011010101010100000
+10100000111001011010101001010101
+10100001001010001010101000001011
+10100001011011001010100111000000
+10100001101011111010100101110110
+10100001111101001010100100101100
+10100010001110001010100011100010
+10100010011111001010100010011001
+10100010110000011010100001010000
+10100011000001101010100000000110
+10100011010010111010011110111110
+10100011100100011010011101110101
+10100011110101101010011100101100
+10100100000111001010011011100100
+10100100011000101010011010011100
+10100100101010011010011001010100
+10100100111011111010011000001101
+10100101001101101010010111000101
+10100101011111011010010101111110
+10100101110001001010010100110111
+10100110000011001010010011110000
+10100110010100111010010010101010
+10100110100110111010010001100011
+10100110111000111010010000011101
+10100111001010111010001111010111
+10100111011101001010001110010010
+10100111101111011010001101001100
+10101000000001011010001100000111
+10101000010011111010001011000010
+10101000100110001010001001111101
+10101000111000011010001000111001
+10101001001010111010000111110101
+10101001011101011010000110110000
+10101001101111111010000101101101
+10101010000010101010000100101001
+10101010010101001010000011100110
+10101010100111111010000010100010
+10101010111010101010000001100000
+10101011001101011010000000011101
+10101011100000011001111111011010
+10101011110011001001111110011000
+10101100000110001001111101010110
+10101100011001001001111100010100
+10101100101100001001111011010011
+10101100111111011001111010010001
+10101101010010011001111001010000
+10101101100101101001111000010000
+10101101111000111001110111001111
+10101110001100001001110110001111
+10101110011111101001110101001110
+10101110110010111001110100001111
+10101111000110011001110011001111
+10101111011001111001110010001111
+10101111101101011001110001010000
+10110000000001001001110000010001
+10110000010100101001101111010011
+10110000101000011001101110010100
+10110000111100001001101101010110
+10110001001111111001101100011000
+10110001100011111001101011011010
+10110001110111101001101010011101
+10110010001011101001101001100000
+10110010011111101001101000100011
+10110010110011101001100111100110
+10110011000111101001100110101001
+10110011011011111001100101101101
+10110011101111111001100100110001
+10110100000100001001100011110101
+10110100011000011001100010111010
+10110100101100101001100001111111
+10110101000001001001100001000100
+10110101010101011001100000001001
+10110101101001111001011111001110
+10110101111110011001011110010100
+10110110010010111001011101011010
+10110110100111011001011100100000
+10110110111100001001011011100111
+10110111010000101001011010101110
+10110111100101011001011001110101
+10110111111010001001011000111100
+10111000001110111001011000000011
+10111000100011111001010111001011
+10111000111000101001010110010011
+10111001001101101001010101011011
+10111001100010101001010100100100
+10111001110111101001010011101101
+10111010001100101001010010110110
+10111010100001101001010001111111
+10111010110110111001010001001001
+10111011001011111001010000010010
+10111011100001001001001111011101
+10111011110110011001001110100111
+10111100001011101001001101110001
+10111100100001001001001100111100
+10111100110110011001001100000111
+10111101001011111001001011010011
+10111101100001011001001010011111
+10111101110110111001001001101010
+10111110001100011001001000110111
+10111110100001111001001000000011
+10111110110111101001000111010000
+10111111001101001001000110011101
+10111111100010111001000101101010
+10111111111000101001000100111000
+11000000001110101001000100000101
+11000000100100011001000011010011
+11000000111010011001000010100010
+11000001010000001001000001110000
+11000001100110001001000000111111
+11000001111100001001000000001110
+11000010010010001000111111011110
+11000010101000001000111110101101
+11000010111110001000111101111101
+11000011010100011000111101001101
+11000011101010011000111100011110
+11000100000000101000111011101111
+11000100010110111000111011000000
+11000100101101001000111010010001
+11000101000011011000111001100011
+11000101011001101000111000110100
+11000101110000001000111000000111
+11000110000110011000110111011001
+11000110011100111000110110101100
+11000110110011011000110101111111
+11000111001001111000110101010010
+11000111100000011000110100100101
+11000111110110111000110011111001
+11001000001101101000110011001101
+11001000100100001000110010100001
+11001000111010111000110001110110
+11001001010001101000110001001011
+11001001101000011000110000100000
+11001001111111001000101111110101
+11001010010101111000101111001011
+11001010101100101000101110100001
+11001011000011101000101101110111
+11001011011010011000101101001110
+11001011110001011000101100100101
+11001100001000011000101011111100
+11001100011111011000101011010011
+11001100110110011000101010101011
+11001101001101011000101010000011
+11001101100100011000101001011011
+11001101111011101000101000110100
+11001110010010101000101000001101
+11001110101001111000100111100110
+11001111000001001000100110111111
+11001111011000011000100110011001
+11001111101111101000100101110011
+11010000000110111000100101001101
+11010000011110001000100100101000
+11010000110101101000100100000010
+11010001001100111000100011011101
+11010001100100011000100010111001
+11010001111011111000100010010101
+11010010010011011000100001110001
+11010010101010101000100001001101
+11010011000010011000100000101001
+11010011011001111000100000000110
+11010011110001011000011111100011
+11010100001000111000011111000001
+11010100100000101000011110011110
+11010100111000001000011101111100
+11010101001111111000011101011011
+11010101100111101000011100111001
+11010101111111011000011100011000
+11010110010111001000011011110111
+11010110101110111000011011010111
+11010111000110101000011010110110
+11010111011110101000011010010110
+11010111110110011000011001110111
+11011000001110001000011001010111
+11011000100110001000011000111000
+11011000111110001000011000011001
+11011001010101111000010111111011
+11011001101101111000010111011101
+11011010000101111000010110111111
+11011010011101111000010110100001
+11011010110110001000010110000100
+11011011001110001000010101100111
+11011011100110001000010101001010
+11011011111110011000010100101110
+11011100010110011000010100010010
+11011100101110101000010011110110
+11011101000110101000010011011010
+11011101011110111000010010111111
+11011101110111001000010010100100
+11011110001111011000010010001001
+11011110100111101000010001101111
+11011110111111111000010001010101
+11011111011000001000010000111011
+11011111110000011000010000100010
+11100000001000111000010000001000
+11100000100001001000001111110000
+11100000111001101000001111010111
+11100001010001111000001110111111
+11100001101010011000001110100111
+11100010000010101000001110001111
+11100010011011001000001101111000
+11100010110011101000001101100001
+11100011001100001000001101001010
+11100011100100101000001100110011
+11100011111101001000001100011101
+11100100010101101000001100000111
+11100100101110001000001011110010
+11100101000110101000001011011100
+11100101011111011000001011000111
+11100101110111111000001010110011
+11100110010000101000001010011110
+11100110101001001000001010001010
+11100111000001111000001001110111
+11100111011010011000001001100011
+11100111110011001000001001010000
+11101000001011111000001000111101
+11101000100100101000001000101011
+11101000111101001000001000011000
+11101001010101111000001000000110
+11101001101110101000000111110101
+11101010000111011000000111100011
+11101010100000001000000111010010
+11101010111000111000000111000010
+11101011010001111000000110110001
+11101011101010101000000110100001
+11101100000011011000000110010001
+11101100011100001000000110000010
+11101100110101001000000101110011
+11101101001101111000000101100100
+11101101100110111000000101010101
+11101101111111101000000101000111
+11101110011000101000000100111001
+11101110110001011000000100101011
+11101111001010011000000100011110
+11101111100011011000000100010001
+11101111111100001000000100000100
+11110000010101001000000011110111
+11110000101110001000000011101011
+11110001000111001000000011011111
+11110001100000001000000011010100
+11110001111000111000000011001001
+11110010010001111000000010111110
+11110010101010111000000010110011
+11110011000011111000000010101001
+11110011011100111000000010011111
+11110011110101111000000010010101
+11110100001111001000000010001100
+11110100101000001000000010000011
+11110101000001001000000001111010
+11110101011010001000000001110001
+11110101110011001000000001101001
+11110110001100001000000001100001
+11110110100101011000000001011010
+11110110111110011000000001010011
+11110111010111011000000001001100
+11110111110000011000000001000101
+11111000001001101000000000111111
+11111000100010101000000000111001
+11111000111011101000000000110011
+11111001010100111000000000101110
+11111001101101111000000000101000
+11111010000111001000000000100100
+11111010100000001000000000011111
+11111010111001011000000000011011
+11111011010010011000000000010111
+11111011101011011000000000010100
+11111100000100101000000000010000
+11111100011101101000000000001101
+11111100110110111000000000001011
+11111101001111111000000000001001
+11111101101001001000000000000111
+11111110000010001000000000000101
+11111110011011011000000000000011
+11111110110100011000000000000010
+11111111001101101000000000000010
+11111111100110101000000000000001
+00000000000000000111111111111111
+11111111110011100111111111111111
+11111111100110110111111111111111
+11111111011010010111111111111111
+11111111001101110111111111111110
+11111111000001010111111111111110
+11111110110100100111111111111110
+11111110101000000111111111111101
+11111110011011100111111111111101
+11111110001111000111111111111100
+11111110000010010111111111111011
+11111101110101110111111111111010
+11111101101001010111111111111001
+11111101011100110111111111111000
+11111101010000000111111111110111
+11111101000011100111111111110110
+11111100110111000111111111110101
+11111100101010100111111111110100
+11111100011101110111111111110011
+11111100010001010111111111110001
+11111100000100110111111111110000
+11111011111000010111111111101110
+11111011101011100111111111101100
+11111011011111000111111111101011
+11111011010010100111111111101001
+11111011000110000111111111100111
+11111010111001100111111111100101
+11111010101100110111111111100011
+11111010100000010111111111100001
+11111010010011110111111111011111
+11111010000111010111111111011100
+11111001111010100111111111011010
+11111001101110000111111111011000
+11111001100001100111111111010101
+11111001010101000111111111010010
+11111001001000100111111111010000
+11111000111011110111111111001101
+11111000101111010111111111001010
+11111000100010110111111111000111
+11111000010110010111111111000100
+11111000001001110111111111000001
+11110111111101010111111110111110
+11110111110000100111111110111011
+11110111100100000111111110111000
+11110111010111100111111110110100
+11110111001011000111111110110001
+11110110111110100111111110101101
+11110110110010000111111110101010
+11110110100101100111111110100110
+11110110011000110111111110100010
+11110110001100010111111110011111
+11110101111111110111111110011011
+11110101110011010111111110010111
+11110101100110110111111110010011
+11110101011010010111111110001111
+11110101001101110111111110001010
+11110101000001010111111110000110
+11110100110100110111111110000010
+11110100101000010111111101111101
+11110100011011110111111101111001
+11110100001111010111111101110100
+11110100000010100111111101110000
+11110011110110000111111101101011
+11110011101001100111111101100110
+11110011011101000111111101100001
+11110011010000100111111101011100
+11110011000100000111111101010111
+11110010110111100111111101010010
+11110010101011000111111101001101
+11110010011110100111111101001000
+11110010010010000111111101000010
+11110010000101100111111100111101
+11110001111001000111111100110111
+11110001101100110111111100110010
+11110001100000010111111100101100
+11110001010011110111111100100110
+11110001000111010111111100100001
+11110000111010110111111100011011
+11110000101110010111111100010101
+11110000100001110111111100001111
+11110000010101010111111100001001
+11110000001000110111111100000010
+11101111111100010111111011111100
+11101111101111110111111011110110
+11101111100011100111111011101111
+11101111010111000111111011101001
+11101111001010100111111011100010
+11101110111110000111111011011100
+11101110110001100111111011010101
+11101110100101010111111011001110
+11101110011000110111111011000111
+11101110001100010111111011000000
+11101101111111110111111010111001
+11101101110011010111111010110010
+11101101100111000111111010101011
+11101101011010100111111010100100
+11101101001110000111111010011100
+11101101000001110111111010010101
+11101100110101010111111010001101
+11101100101000110111111010000110
+11101100011100010111111001111110
+11101100010000000111111001110111
+11101100000011100111111001101111
+11101011110111000111111001100111
+11101011101010110111111001011111
+11101011011110010111111001010111
+11101011010010000111111001001111
+11101011000101100111111001000111
+11101010111001000111111000111110
+11101010101100110111111000110110
+11101010100000010111111000101110
+11101010010100000111111000100101
+11101010000111100111111000011101
+11101001111011010111111000010100
+11101001101110110111111000001011
+11101001100010100111111000000010
+11101001010110000111110111111010
+11101001001001110111110111110001
+11101000111101010111110111101000
+11101000110001000111110111011111
+11101000100100110111110111010101
+11101000011000010111110111001100
+11101000001100000111110111000011
+11100111111111100111110110111001
+11100111110011010111110110110000
+11100111100111000111110110100110
+11100111011010100111110110011101
+11100111001110010111110110010011
+11100111000010000111110110001001
+11100110110101100111110110000000
+11100110101001010111110101110110
+11100110011101000111110101101100
+11100110010000110111110101100010
+11100110000100010111110101010111
+11100101111000000111110101001101
+11100101101011110111110101000011
+11100101011111100111110100111001
+11100101010011010111110100101110
+11100101000110110111110100100100
+11100100111010100111110100011001
+11100100101110010111110100001110
+11100100100010000111110100000100
+11100100010101110111110011111001
+11100100001001100111110011101110
+11100011111101010111110011100011
+11100011110001000111110011011000
+11100011100100110111110011001101
+11100011011000100111110011000001
+11100011001100010111110010110110
+11100011000000000111110010101011
+11100010110011110111110010011111
+11100010100111100111110010010100
+11100010011011010111110010001000
+11100010001111000111110001111101
+11100010000010110111110001110001
+11100001110110110111110001100101
+11100001101010100111110001011001
+11100001011110010111110001001101
+11100001010010000111110001000001
+11100001000101110111110000110101
+11100000111001110111110000101001
+11100000101101100111110000011101
+11100000100001010111110000010000
+11100000010101000111110000000100
+11100000001001000111101111111000
+11011111111100110111101111101011
+11011111110000100111101111011110
+11011111100100100111101111010010
+11011111011000010111101111000101
+11011111001100000111101110111000
+11011111000000000111101110101011
+11011110110011110111101110011110
+11011110100111110111101110010001
+11011110011011100111101110000100
+11011110001111100111101101110111
+11011110000011010111101101101001
+11011101110111010111101101011100
+11011101101011000111101101001111
+11011101011111000111101101000001
+11011101010011000111101100110100
+11011101000110110111101100100110
+11011100111010110111101100011000
+11011100101110110111101100001010
+11011100100010100111101011111100
+11011100010110100111101011101110
+11011100001010100111101011100000
+11011011111110100111101011010010
+11011011110010010111101011000100
+11011011100110010111101010110110
+11011011011010010111101010101000
+11011011001110010111101010011001
+11011011000010010111101010001011
+11011010110110010111101001111100
+11011010101010000111101001101101
+11011010011110000111101001011111
+11011010010010000111101001010000
+11011010000110000111101001000001
+11011001111010000111101000110010
+11011001101110000111101000100011
+11011001100010000111101000010100
+11011001010110000111101000000101
+11011001001010010111100111110110
+11011000111110010111100111100111
+11011000110010010111100111010111
+11011000100110010111100111001000
+11011000011010010111100110111000
+11011000001110010111100110101001
+11011000000010100111100110011001
+11010111110110100111100110001001
+11010111101010100111100101111001
+11010111011110110111100101101010
+11010111010010110111100101011010
+11010111000110110111100101001010
+11010110111011000111100100111001
+11010110101111000111100100101001
+11010110100011000111100100011001
+11010110010111010111100100001001
+11010110001011010111100011111000
+11010101111111100111100011101000
+11010101110011100111100011010111
+11010101100111110111100011000111
+11010101011100000111100010110110
+11010101010000000111100010100101
+11010101000100010111100010010101
+11010100111000010111100010000100
+11010100101100100111100001110011
+11010100100000110111100001100010
+11010100010101000111100001010001
+11010100001001000111100000111111
+11010011111101010111100000101110
+11010011110001100111100000011101
+11010011100101110111100000001011
+11010011011010000111011111111010
+11010011001110010111011111101000
+11010011000010100111011111010111
+11010010110110100111011111000101
+11010010101010110111011110110011
+11010010011111000111011110100001
+11010010010011100111011110001111
+11010010000111110111011101111101
+11010001111100000111011101101011
+11010001110000010111011101011001
+11010001100100100111011101000111
+11010001011000110111011100110101
+11010001001101000111011100100011
+11010001000001100111011100010000
+11010000110101110111011011111110
+11010000101010000111011011101011
+11010000011110010111011011011000
+11010000010010110111011011000110
+11010000000111000111011010110011
+11001111111011100111011010100000
+11001111101111110111011010001101
+11001111100100000111011001111010
+11001111011000100111011001100111
+11001111001100110111011001010100
+11001111000001010111011001000001
+11001110110101110111011000101110
+11001110101010000111011000011010
+11001110011110100111011000000111
+11001110010010110111010111110011
+11001110000111010111010111100000
+11001101111011110111010111001100
+11001101110000010111010110111000
+11001101100100100111010110100101
+11001101011001000111010110010001
+11001101001101100111010101111101
+11001101000010000111010101101001
+11001100110110100111010101010101
+11001100101011000111010101000001
+11001100011111100111010100101101
+11001100010100000111010100011000
+11001100001000100111010100000100
+11001011111101000111010011110000
+11001011110001100111010011011011
+11001011100110000111010011000111
+11001011011010100111010010110010
+11001011001111010111010010011101
+11001011000011110111010010001001
+11001010111000010111010001110100
+11001010101100110111010001011111
+11001010100001100111010001001010
+11001010010110000111010000110101
+11001010001010100111010000100000
+11001001111111010111010000001011
+11001001110011110111001111110101
+11001001101000100111001111100000
+11001001011101000111001111001011
+11001001010001110111001110110101
+11001001000110010111001110100000
+11001000111011000111001110001010
+11001000101111110111001101110100
+11001000100100010111001101011111
+11001000011001000111001101001001
+11001000001101110111001100110011
+11001000000010010111001100011101
+11000111110111000111001100000111
+11000111101011110111001011110001
+11000111100000100111001011011011
+11000111010101010111001011000101
+11000111001010000111001010101110
+11000110111110110111001010011000
+11000110110011100111001010000001
+11000110101000010111001001101011
+11000110011101000111001001010100
+11000110010001110111001000111110
+11000110000110100111001000100111
+11000101111011100111001000010000
+11000101110000010111000111111001
+11000101100101000111000111100011
+11000101011001110111000111001100
+11000101001110110111000110110101
+11000101000011100111000110011101
+11000100111000010111000110000110
+11000100101101010111000101101111
+11000100100010000111000101011000
+11000100010111000111000101000000
+11000100001011110111000100101001
+11000100000000110111000100010001
+11000011110101110111000011111010
+11000011101010100111000011100010
+11000011011111100111000011001010
+11000011010100100111000010110011
+11000011001001010111000010011011
+11000010111110010111000010000011
+11000010110011010111000001101011
+11000010101000010111000001010011
+11000010011101010111000000111011
+11000010010010010111000000100010
+11000010000111010111000000001010
+11000001111100010110111111110010
+11000001110001010110111111011001
+11000001100110010110111111000001
+11000001011011010110111110101000
+11000001010000010110111110010000
+11000001000101010110111101110111
+11000000111010100110111101011110
+11000000101111100110111101000101
+11000000100100100110111100101101
+11000000011001110110111100010100
+11000000001110110110111011111011
+11000000000100000110111011100010
+10111111111000110110111011001000
+10111111101110000110111010101111
+10111111100011000110111010010110
+10111111011000010110111001111101
+10111111001101010110111001100011
+10111111000010100110111001001010
+10111110110111110110111000110000
+10111110101100110110111000010111
+10111110100010000110110111111101
+10111110010111010110110111100011
+10111110001100100110110111001001
+10111110000001110110110110101111
+10111101110111000110110110010110
+10111101101100010110110101111100
+10111101100001100110110101100001
+10111101010110110110110101000111
+10111101001100000110110100101101
+10111101000001010110110100010011
+10111100110110100110110011111001
+10111100101100000110110011011110
+10111100100001010110110011000100
+10111100010110100110110010101001
+10111100001011110110110010001111
+10111100000001010110110001110100
+10111011110110100110110001011001
+10111011101100000110110000111110
+10111011100001010110110000100011
+10111011010110110110110000001001
+10111011001100000110101111101110
+10111011000001100110101111010011
+10111010110111000110101110110111
+10111010101100010110101110011100
+10111010100001110110101110000001
+10111010010111010110101101100110
+10111010001100110110101101001010
+10111010000010010110101100101111
+10111001110111110110101100010011
+10111001101101010110101011111000
+10111001100010110110101011011100
+10111001011000010110101011000000
+10111001001101110110101010100101
+10111001000011010110101010001001
+10111000111000110110101001101101
+10111000101110010110101001010001
+10111000100100000110101000110101
+10111000011001100110101000011001
+10111000001111000110100111111101
+10111000000100110110100111100001
+10110111111010010110100111000100
+10110111110000000110100110101000
+10110111100101100110100110001011
+10110111011011010110100101101111
+10110111010000110110100101010010
+10110111000110100110100100110110
+10110110111100010110100100011001
+10110110110010000110100011111101
+10110110100111100110100011100000
+10110110011101010110100011000011
+10110110010011000110100010100110
+10110110001000110110100010001001
+10110101111110100110100001101100
+10110101110100010110100001001111
+10110101101010000110100000110010
+10110101011111110110100000010100
+10110101010101100110011111110111
+10110101001011100110011111011010
+10110101000001010110011110111100
+10110100110111000110011110011111
+10110100101100110110011110000001
+10110100100010110110011101100100
+10110100011000100110011101000110
+10110100001110100110011100101000
+10110100000100010110011100001011
+10110011111010010110011011101101
+10110011110000000110011011001111
+10110011100110000110011010110001
+10110011011100000110011010010011
+10110011010001110110011001110101
+10110011000111110110011001010111
+10110010111101110110011000111000
+10110010110011110110011000011010
+10110010101001110110010111111100
+10110010011111110110010111011101
+10110010010101110110010110111111
+10110010001011110110010110100000
+10110010000001110110010110000010
+10110001110111110110010101100011
+10110001101101110110010101000100
+10110001100100000110010100100110
+10110001011010000110010100000111
+10110001010000000110010011101000
+10110001000110010110010011001001
+10110000111100010110010010101010
+10110000110010100110010010001011
+10110000101000100110010001101100
+10110000011110110110010001001101
+10110000010100110110010000101101
+10110000001011000110010000001110
+10110000000001010110001111101111
+10101111110111100110001111001111
+10101111101101100110001110110000
+10101111100011110110001110010000
+10101111011010000110001101110001
+10101111010000010110001101010001
+10101111000110100110001100110001
+10101110111100110110001100010001
+10101110110011000110001011110001
+10101110101001100110001011010010
+10101110011111110110001010110010
+10101110010110000110001010010010
+10101110001100010110001001110001
+10101110000010110110001001010001
+10101101111001000110001000110001
+10101101101111100110001000010001
+10101101100101110110000111110000
+10101101011100010110000111010000
+10101101010010100110000110110000
+10101101001001000110000110001111
+10101100111111100110000101101111
+10101100110110000110000101001110
+10101100101100010110000100101101
+10101100100010110110000100001101
+10101100011001010110000011101100
+10101100001111110110000011001011
+10101100000110010110000010101010
+10101011111100110110000010001001
+10101011110011010110000001101000
+10101011101001110110000001000111
+10101011100000100110000000100110
+10101011010111000110000000000100
+10101011001101100101111111100011
+10101011000100010101111111000010
+10101010111010110101111110100000
+10101010110001100101111101111111
+10101010101000000101111101011110
+10101010011110110101111100111100
+10101010010101010101111100011010
+10101010001100000101111011111001
+10101010000010110101111011010111
+10101001111001010101111010110101
+10101001110000000101111010010011
+10101001100110110101111001110001
+10101001011101100101111001010000
+10101001010100010101111000101110
+10101001001011000101111000001011
+10101001000001110101110111101001
+10101000111000100101110111000111
+10101000101111100101110110100101
+10101000100110010101110110000011
+10101000011101000101110101100000
+10101000010100000101110100111110
+10101000001010110101110100011011
+10101000000001100101110011111001
+10100111111000100101110011010110
+10100111101111100101110010110100
+10100111100110010101110010010001
+10100111011101010101110001101110
+10100111010100010101110001001011
+10100111001011000101110000101001
+10100111000010000101110000000110
+10100110111001000101101111100011
+10100110110000000101101111000000
+10100110100111000101101110011101
+10100110011110000101101101111010
+10100110010101000101101101010110
+10100110001100000101101100110011
+10100110000011010101101100010000
+10100101111010010101101011101100
+10100101110001010101101011001001
+10100101101000100101101010100110
+10100101011111100101101010000010
+10100101010110100101101001011110
+10100101001101110101101000111011
+10100101000101000101101000010111
+10100100111100000101100111110011
+10100100110011010101100111010000
+10100100101010100101100110101100
+10100100100001100101100110001000
+10100100011000110101100101100100
+10100100010000000101100101000000
+10100100000111010101100100011100
+10100011111110100101100011111000
+10100011110101110101100011010100
+10100011101101010101100010101111
+10100011100100100101100010001011
+10100011011011110101100001100111
+10100011010011000101100001000010
+10100011001010100101100000011110
+10100011000001110101011111111010
+10100010111001010101011111010101
+10100010110000100101011110110000
+10100010101000000101011110001100
+10100010011111010101011101100111
+10100010010110110101011101000010
+10100010001110010101011100011110
+10100010000101110101011011111001
+10100001111101010101011011010100
+10100001110100100101011010101111
+10100001101100000101011010001010
+10100001100011110101011001100101
+10100001011011010101011001000000
+10100001010010110101011000011011
+10100001001010010101010111110101
+10100001000001110101010111010000
+10100000111001100101010110101011
+10100000110001000101010110000101
+10100000101000100101010101100000
+10100000100000010101010100111010
+10100000011000000101010100010101
+10100000001111100101010011101111
+10100000000111010101010011001010
+10011111111111000101010010100100
+10011111110110100101010001111110
+10011111101110010101010001011001
+10011111100110000101010000110011
+10011111011101110101010000001101
+10011111010101100101001111100111
+10011111001101010101001111000001
+10011111000101000101001110011011
+10011110111100110101001101110101
+10011110110100110101001101001111
+10011110101100100101001100101000
+10011110100100010101001100000010
+10011110011100010101001011011100
+10011110010100000101001010110110
+10011110001100000101001010001111
+10011110000100000101001001101001
+10011101111011110101001001000010
+10011101110011110101001000011100
+10011101101011110101000111110101
+10011101100011110101000111001111
+10011101011011100101000110101000
+10011101010011100101000110000001
+10011101001011100101000101011010
+10011101000011110101000100110100
+10011100111011110101000100001101
+10011100110011110101000011100110
+10011100101011110101000010111111
+10011100100011110101000010011000
+10011100011100000101000001110001
+10011100010100000101000001001010
+10011100001100010101000000100010
+10011100000100010100111111111011
+10011011111100100100111111010100
+10011011110100110100111110101101
+10011011101100110100111110000101
+10011011100101000100111101011110
+10011011011101010100111100110110
+10011011010101100100111100001111
+10011011001101110100111011100111
+10011011000110000100111011000000
+10011010111110010100111010011000
+10011010110110100100111001110000
+10011010101111000100111001001001
+10011010100111010100111000100001
+10011010011111100100110111111001
+10011010011000000100110111010001
+10011010010000010100110110101001
+10011010001000110100110110000001
+10011010000001000100110101011001
+10011001111001100100110100110001
+10011001110010000100110100001001
+10011001101010010100110011100001
+10011001100010110100110010111001
+10011001011011010100110010010000
+10011001010011110100110001101000
+10011001001100010100110001000000
+10011001000100110100110000010111
+10011000111101010100101111101111
+10011000110110000100101111000110
+10011000101110100100101110011110
+10011000100111000100101101110101
+10011000011111110100101101001101
+10011000011000010100101100100100
+10011000010001000100101011111011
+10011000001001100100101011010010
+10011000000010010100101010101010
+10010111111011000100101010000001
+10010111110011100100101001011000
+10010111101100010100101000101111
+10010111100101000100101000000110
+10010111011101110100100111011101
+10010111010110100100100110110100
+10010111001111010100100110001011
+10010111001000000100100101100010
+10010111000000110100100100111000
+10010110111001110100100100001111
+10010110110010100100100011100110
+10010110101011100100100010111101
+10010110100100010100100010010011
+10010110011101010100100001101010
+10010110010110000100100001000000
+10010110001111000100100000010111
+10010110000111110100011111101101
+10010110000000110100011111000100
+10010101111001110100011110011010
+10010101110010110100011101110000
+10010101101011110100011101000111
+10010101100100110100011100011101
+10010101011101110100011011110011
+10010101010110110100011011001001
+10010101010000000100011010011111
+10010101001001000100011001110101
+10010101000010000100011001001011
+10010100111011010100011000100001
+10010100110100010100010111110111
+10010100101101100100010111001101
+10010100100110100100010110100011
+10010100011111110100010101111001
+10010100011001000100010101001111
+10010100010010010100010100100100
+10010100001011010100010011111010
+10010100000100100100010011010000
+10010011111101110100010010100101
+10010011110111010100010001111011
+10010011110000100100010001010000
+10010011101001110100010000100110
+10010011100011000100001111111011
+10010011011100010100001111010001
+10010011010101110100001110100110
+10010011001111000100001101111011
+10010011001000100100001101010000
+10010011000001110100001100100110
+10010010111011010100001011111011
+10010010110100110100001011010000
+10010010101110010100001010100101
+10010010100111110100001001111010
+10010010100001000100001001001111
+10010010011010100100001000100100
+10010010010100010100000111111001
+10010010001101110100000111001110
+10010010000111010100000110100011
+10010010000000110100000101111000
+10010001111010010100000101001101
+10010001110100000100000100100001
+10010001101101100100000011110110
+10010001100111010100000011001011
+10010001100000110100000010011111
+10010001011010100100000001110100
+10010001010100010100000001001000
+10010001001110000100000000011101
+10010001000111100011111111110000
+10010001000001010011111111000101
+10010000111011000011111110011001
+10010000110100110011111101101110
+10010000101110110011111101000010
+10010000101000100011111100010110
+10010000100010010011111011101011
+10010000011100000011111010111111
+10010000010110000011111010010011
+10010000001111110011111001100111
+10010000001001110011111000111011
+10010000000011100011111000001111
+10001111111101100011110111100011
+10001111110111100011110110110111
+10001111110001010011110110001011
+10001111101011010011110101011111
+10001111100101010011110100110011
+10001111011111010011110100000111
+10001111011001010011110011011011
+10001111010011010011110010101110
+10001111001101100011110010000010
+10001111000111100011110001010110
+10001111000001100011110000101001
+10001110111011110011101111111101
+10001110110101110011101111010001
+10001110110000000011101110100100
+10001110101010000011101101111000
+10001110100100010011101101001011
+10001110011110100011101100011111
+10001110011000110011101011110010
+10001110010010110011101011000101
+10001110001101000011101010011001
+10001110000111010011101001101100
+10001110000001110011101000111111
+10001101111100000011101000010010
+10001101110110010011100111100110
+10001101110000100011100110111001
+10001101101011000011100110001100
+10001101100101010011100101011111
+10001101011111110011100100110010
+10001101011010000011100100000101
+10001101010100100011100011011000
+10001101001110110011100010101011
+10001101001001010011100001111110
+10001101000011110011100001010001
+10001100111110010011100000100100
+10001100111000110011011111110111
+10001100110011010011011111001001
+10001100101101110011011110011100
+10001100101000010011011101101111
+10001100100011000011011101000001
+10001100011101100011011100010100
+10001100011000000011011011100111
+10001100010010110011011010111001
+10001100001101010011011010001100
+10001100001000000011011001011110
+10001100000010110011011000110001
+10001011111101010011011000000011
+10001011111000000011010111010110
+10001011110010110011010110101000
+10001011101101100011010101111010
+10001011101000010011010101001101
+10001011100011000011010100011111
+10001011011101110011010011110001
+10001011011000110011010011000011
+10001011010011100011010010010110
+10001011001110010011010001101000
+10001011001001010011010000111010
+10001011000100000011010000001100
+10001010111111000011001111011110
+10001010111010000011001110110000
+10001010110100110011001110000010
+10001010101111110011001101010100
+10001010101010110011001100100110
+10001010100101110011001011111000
+10001010100000110011001011001010
+10001010011011110011001010011100
+10001010010110110011001001101110
+10001010010010000011001000111111
+10001010001101000011001000010001
+10001010001000000011000111100011
+10001010000011010011000110110101
+10001001111110010011000110000110
+10001001111001100011000101011000
+10001001110100100011000100101001
+10001001101111110011000011111011
+10001001101011000011000011001101
+10001001100110010011000010011110
+10001001100001100011000001110000
+10001001011100110011000001000001
+10001001011000000011000000010010
+10001001010011010010111111100100
+10001001001110100010111110110101
+10001001001010000010111110000111
+10001001000101010010111101011000
+10001001000000100010111100101001
+10001000111100000010111011111010
+10001000110111010010111011001100
+10001000110010110010111010011101
+10001000101110010010111001101110
+10001000101001110010111000111111
+10001000100101010010111000010000
+10001000100000110010110111100001
+10001000011100010010110110110010
+10001000010111110010110110000100
+10001000010011010010110101010101
+10001000001110110010110100100110
+10001000001010010010110011110110
+10001000000110000010110011000111
+10001000000001100010110010011000
+10000111111101010010110001101001
+10000111111000110010110000111010
+10000111110100100010110000001011
+10000111110000010010101111011100
+10000111101011110010101110101100
+10000111100111100010101101111101
+10000111100011010010101101001110
+10000111011111000010101100011111
+10000111011010110010101011101111
+10000111010110110010101011000000
+10000111010010100010101010010000
+10000111001110010010101001100001
+10000111001010010010101000110010
+10000111000110000010101000000010
+10000111000010000010100111010011
+10000110111101110010100110100011
+10000110111001110010100101110100
+10000110110101110010100101000100
+10000110110001110010100100010100
+10000110101101100010100011100101
+10000110101001100010100010110101
+10000110100101100010100010000101
+10000110100001110010100001010110
+10000110011101110010100000100110
+10000110011001110010011111110110
+10000110010101110010011111000111
+10000110010010000010011110010111
+10000110001110000010011101100111
+10000110001010010010011100110111
+10000110000110010010011100000111
+10000110000010100010011011010111
+10000101111110110010011010101000
+10000101111011000010011001111000
+10000101110111010010011001001000
+10000101110011100010011000011000
+10000101101111110010010111101000
+10000101101100000010010110111000
+10000101101000010010010110001000
+10000101100100110010010101011000
+10000101100001000010010100100111
+10000101011101010010010011110111
+10000101011001110010010011000111
+10000101010110000010010010010111
+10000101010010100010010001100111
+10000101001111000010010000110111
+10000101001011100010010000000110
+10000101001000000010001111010110
+10000101000100100010001110100110
+10000101000001000010001101110110
+10000100111101100010001101000101
+10000100111010000010001100010101
+10000100110110100010001011100101
+10000100110011000010001010110100
+10000100101111110010001010000100
+10000100101100010010001001010100
+10000100101001000010001000100011
+10000100100101110010000111110011
+10000100100010010010000111000010
+10000100011111000010000110010010
+10000100011011110010000101100001
+10000100011000100010000100110001
+10000100010101010010000100000000
+10000100010010000010000011010000
+10000100001110110010000010011111
+10000100001011100010000001101110
+10000100001000100010000000111110
+10000100000101010010000000001101
+10000100000010000001111111011100
+10000011111111000001111110101100
+10000011111100000001111101111011
+10000011111000110001111101001010
+10000011110101110001111100011001
+10000011110010110001111011101001
+10000011101111110001111010111000
+10000011101100110001111010000111
+10000011101001110001111001010110
+10000011100110110001111000100101
+10000011100011110001110111110101
+10000011100000110001110111000100
+10000011011110000001110110010011
+10000011011011000001110101100010
+10000011011000010001110100110001
+10000011010101010001110100000000
+10000011010010100001110011001111
+10000011001111110001110010011110
+10000011001100110001110001101101
+10000011001010000001110000111100
+10000011000111010001110000001011
+10000011000100100001101111011010
+10000011000001110001101110101001
+10000010111111000001101101111000
+10000010111100100001101101000111
+10000010111001110001101100010110
+10000010110111000001101011100101
+10000010110100100001101010110011
+10000010110001110001101010000010
+10000010101111010001101001010001
+10000010101100110001101000100000
+10000010101010010001100111101111
+10000010100111100001100110111101
+10000010100101000001100110001100
+10000010100010100001100101011011
+10000010100000000001100100101010
+10000010011101110001100011111000
+10000010011011010001100011000111
+10000010011000110001100010010110
+10000010010110100001100001100100
+10000010010100000001100000110011
+10000010010001110001100000000010
+10000010001111010001011111010000
+10000010001101000001011110011111
+10000010001010110001011101101101
+10000010001000010001011100111100
+10000010000110000001011100001011
+10000010000011110001011011011001
+10000010000001100001011010101000
+10000001111111100001011001110110
+10000001111101010001011001000101
+10000001111011000001011000010011
+10000001111000110001010111100010
+10000001110110110001010110110000
+10000001110100100001010101111111
+10000001110010100001010101001101
+10000001110000100001010100011100
+10000001101110010001010011101010
+10000001101100010001010010111000
+10000001101010010001010010000111
+10000001101000010001010001010101
+10000001100110010001010000100100
+10000001100100010001001111110010
+10000001100010010001001111000000
+10000001100000100001001110001111
+10000001011110100001001101011101
+10000001011100110001001100101011
+10000001011010110001001011111001
+10000001011001000001001011001000
+10000001010111000001001010010110
+10000001010101010001001001100100
+10000001010011100001001000110011
+10000001010001110001001000000001
+10000001010000000001000111001111
+10000001001110010001000110011101
+10000001001100100001000101101011
+10000001001010110001000100111010
+10000001001001000001000100001000
+10000001000111100001000011010110
+10000001000101110001000010100100
+10000001000100010001000001110010
+10000001000010100001000001000001
+10000001000001000001000000001111
+10000000111111100000111111011101
+10000000111101110000111110101011
+10000000111100010000111101111001
+10000000111010110000111101000111
+10000000111001010000111100010101
+10000000110111110000111011100011
+10000000110110100000111010110001
+10000000110101000000111001111111
+10000000110011100000111001001101
+10000000110010010000111000011100
+10000000110000110000110111101010
+10000000101111100000110110111000
+10000000101110000000110110000110
+10000000101100110000110101010100
+10000000101011100000110100100010
+10000000101010010000110011110000
+10000000101001000000110010111110
+10000000100111110000110010001100
+10000000100110100000110001011010
+10000000100101010000110000101000
+10000000100100000000101111110110
+10000000100011000000101111000011
+10000000100001110000101110010001
+10000000100000110000101101011111
+10000000011111100000101100101101
+10000000011110100000101011111011
+10000000011101100000101011001001
+10000000011100010000101010010111
+10000000011011010000101001100101
+10000000011010010000101000110011
+10000000011001010000101000000001
+10000000011000010000100111001111
+10000000010111100000100110011101
+10000000010110100000100101101010
+10000000010101100000100100111000
+10000000010100110000100100000110
+10000000010011110000100011010100
+10000000010011000000100010100010
+10000000010010000000100001110000
+10000000010001010000100000111110
+10000000010000100000100000001011
+10000000001111110000011111011001
+10000000001111000000011110100111
+10000000001110010000011101110101
+10000000001101100000011101000011
+10000000001100110000011100010001
+10000000001100000000011011011110
+10000000001011100000011010101100
+10000000001010110000011001111010
+10000000001010000000011001001000
+10000000001001100000011000010110
+10000000001001000000010111100011
+10000000001000010000010110110001
+10000000000111110000010101111111
+10000000000111010000010101001101
+10000000000110110000010100011010
+10000000000110010000010011101000
+10000000000101110000010010110110
+10000000000101010000010010000100
+10000000000101000000010001010010
+10000000000100100000010000011111
+10000000000100000000001111101101
+10000000000011110000001110111011
+10000000000011010000001110001001
+10000000000011000000001101010110
+10000000000010110000001100100100
+10000000000010100000001011110010
+10000000000010010000001011000000
+10000000000010000000001010001101
+10000000000001110000001001011011
+10000000000001100000001000101001
+10000000000001010000000111110111
+10000000000001000000000111000100
+10000000000000110000000110010010
+10000000000000110000000101100000
+10000000000000100000000100101110
+10000000000000100000000011111011
+10000000000000100000000011001001
+10000000000000010000000010010111
+10000000000000010000000001100101
+10000000000000010000000000110010
+00000000000000000111111111111111
+11111111011010010111111111111111
+11111110110100100111111111111110
+11111110001111000111111111111100
+11111101101001010111111111111001
+11111101000011100111111111110110
+11111100011101110111111111110011
+11111011111000010111111111101110
+11111011010010100111111111101001
+11111010101100110111111111100011
+11111010000111010111111111011100
+11111001100001100111111111010101
+11111000111011110111111111001101
+11111000010110010111111111000100
+11110111110000100111111110111011
+11110111001011000111111110110001
+11110110100101100111111110100110
+11110101111111110111111110011011
+11110101011010010111111110001111
+11110100110100110111111110000010
+11110100001111010111111101110100
+11110011101001100111111101100110
+11110011000100000111111101010111
+11110010011110100111111101001000
+11110001111001000111111100110111
+11110001010011110111111100100110
+11110000101110010111111100010101
+11110000001000110111111100000010
+11101111100011100111111011101111
+11101110111110000111111011011100
+11101110011000110111111011000111
+11101101110011010111111010110010
+11101101001110000111111010011100
+11101100101000110111111010000110
+11101100000011100111111001101111
+11101011011110010111111001010111
+11101010111001000111111000111110
+11101010010100000111111000100101
+11101001101110110111111000001011
+11101001001001110111110111110001
+11101000100100110111110111010101
+11100111111111100111110110111001
+11100111011010100111110110011101
+11100110110101100111110110000000
+11100110010000110111110101100010
+11100101101011110111110101000011
+11100101000110110111110100100100
+11100100100010000111110100000100
+11100011111101010111110011100011
+11100011011000100111110011000001
+11100010110011110111110010011111
+11100010001111000111110001111101
+11100001101010100111110001011001
+11100001000101110111110000110101
+11100000100001010111110000010000
+11011111111100110111101111101011
+11011111011000010111101111000101
+11011110110011110111101110011110
+11011110001111100111101101110111
+11011101101011000111101101001111
+11011101000110110111101100100110
+11011100100010100111101011111100
+11011011111110100111101011010010
+11011011011010010111101010101000
+11011010110110010111101001111100
+11011010010010000111101001010000
+11011001101110000111101000100011
+11011001001010010111100111110110
+11011000100110010111100111001000
+11011000000010100111100110011001
+11010111011110110111100101101010
+11010110111011000111100100111001
+11010110010111010111100100001001
+11010101110011100111100011010111
+11010101010000000111100010100101
+11010100101100100111100001110011
+11010100001001000111100000111111
+11010011100101110111100000001011
+11010011000010100111011111010111
+11010010011111000111011110100001
+11010001111100000111011101101011
+11010001011000110111011100110101
+11010000110101110111011011111110
+11010000010010110111011011000110
+11001111101111110111011010001101
+11001111001100110111011001010100
+11001110101010000111011000011010
+11001110000111010111010111100000
+11001101100100100111010110100101
+11001101000010000111010101101001
+11001100011111100111010100101101
+11001011111101000111010011110000
+11001011011010100111010010110010
+11001010111000010111010001110100
+11001010010110000111010000110101
+11001001110011110111001111110101
+11001001010001110111001110110101
+11001000101111110111001101110100
+11001000001101110111001100110011
+11000111101011110111001011110001
+11000111001010000111001010101110
+11000110101000010111001001101011
+11000110000110100111001000100111
+11000101100101000111000111100011
+11000101000011100111000110011101
+11000100100010000111000101011000
+11000100000000110111000100010001
+11000011011111100111000011001010
+11000010111110010111000010000011
+11000010011101010111000000111011
+11000001111100010110111111110010
+11000001011011010110111110101000
+11000000111010100110111101011110
+11000000011001110110111100010100
+10111111111000110110111011001000
+10111111011000010110111001111101
+10111110110111110110111000110000
+10111110010111010110110111100011
+10111101110111000110110110010110
+10111101010110110110110101000111
+10111100110110100110110011111001
+10111100010110100110110010101001
+10111011110110100110110001011001
+10111011010110110110110000001001
+10111010110111000110101110110111
+10111010010111010110101101100110
+10111001110111110110101100010011
+10111001011000010110101011000000
+10111000111000110110101001101101
+10111000011001100110101000011001
+10110111111010010110100111000100
+10110111011011010110100101101111
+10110110111100010110100100011001
+10110110011101010110100011000011
+10110101111110100110100001101100
+10110101011111110110100000010100
+10110101000001010110011110111100
+10110100100010110110011101100100
+10110100000100010110011100001011
+10110011100110000110011010110001
+10110011000111110110011001010111
+10110010101001110110010111111100
+10110010001011110110010110100000
+10110001101101110110010101000100
+10110001010000000110010011101000
+10110000110010100110010010001011
+10110000010100110110010000101101
+10101111110111100110001111001111
+10101111011010000110001101110001
+10101110111100110110001100010001
+10101110011111110110001010110010
+10101110000010110110001001010001
+10101101100101110110000111110000
+10101101001001000110000110001111
+10101100101100010110000100101101
+10101100001111110110000011001011
+10101011110011010110000001101000
+10101011010111000110000000000100
+10101010111010110101111110100000
+10101010011110110101111100111100
+10101010000010110101111011010111
+10101001100110110101111001110001
+10101001001011000101111000001011
+10101000101111100101110110100101
+10101000010100000101110100111110
+10100111111000100101110011010110
+10100111011101010101110001101110
+10100111000010000101110000000110
+10100110100111000101101110011101
+10100110001100000101101100110011
+10100101110001010101101011001001
+10100101010110100101101001011110
+10100100111100000101100111110011
+10100100100001100101100110001000
+10100100000111010101100100011100
+10100011101101010101100010101111
+10100011010011000101100001000010
+10100010111001010101011111010101
+10100010011111010101011101100111
+10100010000101110101011011111001
+10100001101100000101011010001010
+10100001010010110101011000011011
+10100000111001100101010110101011
+10100000100000010101010100111010
+10100000000111010101010011001010
+10011111101110010101010001011001
+10011111010101100101001111100111
+10011110111100110101001101110101
+10011110100100010101001100000010
+10011110001100000101001010001111
+10011101110011110101001000011100
+10011101011011100101000110101000
+10011101000011110101000100110100
+10011100101011110101000010111111
+10011100010100000101000001001010
+10011011111100100100111111010100
+10011011100101000100111101011110
+10011011001101110100111011100111
+10011010110110100100111001110000
+10011010011111100100110111111001
+10011010001000110100110110000001
+10011001110010000100110100001001
+10011001011011010100110010010000
+10011001000100110100110000010111
+10011000101110100100101110011110
+10011000011000010100101100100100
+10011000000010010100101010101010
+10010111101100010100101000101111
+10010111010110100100100110110100
+10010111000000110100100100111000
+10010110101011100100100010111101
+10010110010110000100100001000000
+10010110000000110100011111000100
+10010101101011110100011101000111
+10010101010110110100011011001001
+10010101000010000100011001001011
+10010100101101100100010111001101
+10010100011001000100010101001111
+10010100000100100100010011010000
+10010011110000100100010001010000
+10010011011100010100001111010001
+10010011001000100100001101010000
+10010010110100110100001011010000
+10010010100001000100001001001111
+10010010001101110100000111001110
+10010001111010010100000101001101
+10010001100111010100000011001011
+10010001010100010100000001001000
+10010001000001010011111111000101
+10010000101110110011111101000010
+10010000011100000011111010111111
+10010000001001110011111000111011
+10001111110111100011110110110111
+10001111100101010011110100110011
+10001111010011010011110010101110
+10001111000001100011110000101001
+10001110110000000011101110100100
+10001110011110100011101100011111
+10001110001101000011101010011001
+10001101111100000011101000010010
+10001101101011000011100110001100
+10001101011010000011100100000101
+10001101001001010011100001111110
+10001100111000110011011111110111
+10001100101000010011011101101111
+10001100011000000011011011100111
+10001100001000000011011001011110
+10001011111000000011010111010110
+10001011101000010011010101001101
+10001011011000110011010011000011
+10001011001001010011010000111010
+10001010111010000011001110110000
+10001010101010110011001100100110
+10001010011011110011001010011100
+10001010001101000011001000010001
+10001001111110010011000110000110
+10001001101111110011000011111011
+10001001100001100011000001110000
+10001001010011010010111111100100
+10001001000101010010111101011000
+10001000110111010010111011001100
+10001000101001110010111000111111
+10001000011100010010110110110010
+10001000001110110010110100100110
+10001000000001100010110010011000
+10000111110100100010110000001011
+10000111100111100010101101111101
+10000111011010110010101011101111
+10000111001110010010101001100001
+10000111000010000010100111010011
+10000110110101110010100101000100
+10000110101001100010100010110101
+10000110011101110010100000100110
+10000110010010000010011110010111
+10000110000110010010011100000111
+10000101111011000010011001111000
+10000101101111110010010111101000
+10000101100100110010010101011000
+10000101011001110010010011000111
+10000101001111000010010000110111
+10000101000100100010001110100110
+10000100111010000010001100010101
+10000100101111110010001010000100
+10000100100101110010000111110011
+10000100011011110010000101100001
+10000100010010000010000011010000
+10000100001000100010000000111110
+10000011111111000001111110101100
+10000011110101110001111100011001
+10000011101100110001111010000111
+10000011100011110001110111110101
+10000011011011000001110101100010
+10000011010010100001110011001111
+10000011001010000001110000111100
+10000011000001110001101110101001
+10000010111001110001101100010110
+10000010110001110001101010000010
+10000010101010010001100111101111
+10000010100010100001100101011011
+10000010011011010001100011000111
+10000010010100000001100000110011
+10000010001101000001011110011111
+10000010000110000001011100001011
+10000001111111100001011001110110
+10000001111000110001010111100010
+10000001110010100001010101001101
+10000001101100010001010010111000
+10000001100110010001010000100100
+10000001100000100001001110001111
+10000001011010110001001011111001
+10000001010101010001001001100100
+10000001010000000001000111001111
+10000001001010110001000100111010
+10000001000101110001000010100100
+10000001000001000001000000001111
+10000000111100010000111101111001
+10000000110111110000111011100011
+10000000110011100000111001001101
+10000000101111100000110110111000
+10000000101011100000110100100010
+10000000100111110000110010001100
+10000000100100000000101111110110
+10000000100000110000101101011111
+10000000011101100000101011001001
+10000000011010010000101000110011
+10000000010111100000100110011101
+10000000010100110000100100000110
+10000000010010000000100001110000
+10000000001111110000011111011001
+10000000001101100000011101000011
+10000000001011100000011010101100
+10000000001001100000011000010110
+10000000000111110000010101111111
+10000000000110010000010011101000
+10000000000101000000010001010010
+10000000000011110000001110111011
+10000000000010110000001100100100
+10000000000010000000001010001101
+10000000000001010000000111110111
+10000000000000110000000101100000
+10000000000000100000000011001001
+10000000000000010000000000110010
+10000000000000001111111110011011
+10000000000000011111111100000101
+10000000000000101111111001101110
+10000000000001011111110111010111
+10000000000010001111110101000000
+10000000000010111111110010101010
+10000000000011111111110000010011
+10000000000101001111101101111100
+10000000000110101111101011100110
+10000000001000001111101001001111
+10000000001001111111100110111000
+10000000001011111111100100100010
+10000000001110001111100010001011
+10000000010000011111011111110101
+10000000010010111111011101011110
+10000000010101011111011011001000
+10000000011000001111011000110001
+10000000011011001111010110011011
+10000000011110011111010100000101
+10000000100001101111010001101111
+10000000100101001111001111011000
+10000000101000111111001101000010
+10000000101100101111001010101100
+10000000110000101111001000010110
+10000000110100111111000110000001
+10000000111001001111000011101011
+10000000111101101111000001010101
+10000001000010011110111110111111
+10000001000111011110111100101010
+10000001001100011110111010010101
+10000001010001101110110111111111
+10000001010110111110110101101010
+10000001011100101110110011010101
+10000001100010001110110001000000
+10000001101000001110101110101011
+10000001101110001110101100010110
+10000001110100011110101010000001
+10000001111010111110100111101101
+10000010000001011110100101011000
+10000010001000001110100011000100
+10000010001111001110100000110000
+10000010010110011110011110011100
+10000010011101101110011100001000
+10000010100100111110011001110100
+10000010101100101110010111100000
+10000010110100011110010101001101
+10000010111100011110010010111001
+10000011000100011110010000100110
+10000011001100101110001110010011
+10000011010101001110001100000000
+10000011011101111110001001101101
+10000011100110101110000111011011
+10000011101111101110000101001000
+10000011111000101110000010110110
+10000100000001111110000000100100
+10000100001011011101111110010010
+10000100010101001101111100000000
+10000100011110111101111001101110
+10000100101000111101110111011101
+10000100110010111101110101001100
+10000100111101011101110010111011
+10000101000111111101110000101010
+10000101010010011101101110011001
+10000101011101001101101100001001
+10000101101000001101101001111000
+10000101110011011101100111101000
+10000101111110101101100101011000
+10000110001010001101100011001001
+10000110010101101101100000111001
+10000110100001101101011110101010
+10000110101101011101011100011011
+10000110111001101101011010001100
+10000111000101111101010111111110
+10000111010010011101010101110000
+10000111011110111101010011100001
+10000111101011101101010001010100
+10000111111000101101001111000110
+10001000000101111101001100111001
+10001000010011001101001010101011
+10001000100000101101001000011111
+10001000101110001101000110010010
+10001000111011111101000100000110
+10001001001001111101000001111001
+10001001010111111100111111101110
+10001001100110001100111101100010
+10001001110100011100111011010111
+10001010000011001100111001001011
+10001010010001111100110111000001
+10001010100000101100110100110110
+10001010101111101100110010101100
+10001010111110111100110000100010
+10001011001110001100101110011000
+10001011011101101100101100001111
+10001011101101011100101010000110
+10001011111101001100100111111101
+10001100001101001100100101110100
+10001100011101011100100011101100
+10001100101101101100100001100100
+10001100111110001100011111011100
+10001101001110101100011101010101
+10001101011111101100011011001110
+10001101110000011100011001000111
+10001110000001101100010111000001
+10001110010010101100010100111011
+10001110100100001100010010110101
+10001110110101101100010000101111
+10001111000111011100001110101010
+10001111011001001100001100100101
+10001111101011001100001010100001
+10001111111101011100001000011101
+10010000001111101100000110011001
+10010000100010001100000100010101
+10010000110100101100000010010010
+10010001000111011100000000010000
+10010001011010011011111110001100
+10010001101101011011111100001010
+10010010000000101011111010001000
+10010010010100001011111000000111
+10010010100111101011110110000110
+10010010111011001011110100000101
+10010011001110111011110010000101
+10010011100010111011110000000101
+10010011110111001011101110000101
+10010100001011001011101100000110
+10010100011111101011101010000111
+10010100110100001011101000001001
+10010101001000111011100110001011
+10010101011101101011100100001101
+10010101110010101011100010010000
+10010110000111101011100000010011
+10010110011101001011011110010110
+10010110110010011011011100011010
+10010111000111111011011010011110
+10010111011101101011011000100011
+10010111110011011011010110101000
+10011000001001011011010100101110
+10011000011111101011010010110011
+10011000110101111011010000111010
+10011001001100001011001111000000
+10011001100010101011001101000111
+10011001111001011011001011001111
+10011010010000001011001001010111
+10011010100111001011000111011111
+10011010111110001011000101101000
+10011011010101011011000011110001
+10011011101100101011000001111011
+10011100000100001011000000000101
+10011100011011111010111110001111
+10011100110011101010111100011010
+10011101001011011010111010100110
+10011101100011101010111000110001
+10011101111011101010110110111110
+10011110010011111010110101001010
+10011110101100011010110011011000
+10011111000100111010110001100101
+10011111011101101010101111110011
+10011111110110011010101110000010
+10100000001111011010101100010001
+10100000101000011010101010100000
+10100001000001101010101000110000
+10100001011011001010100111000000
+10100001110100011010100101010001
+10100010001110001010100011100010
+10100010100111111010100001110100
+10100011000001101010100000000110
+10100011011011101010011110011001
+10100011110101101010011100101100
+10100100001111111010011011000000
+10100100101010011010011001010100
+10100101000100111010010111101001
+10100101011111011010010101111110
+10100101111010001010010100010100
+10100110010100111010010010101010
+10100110101111111010010001000000
+10100111001010111010001111010111
+10100111100110001010001101101111
+10101000000001011010001100000111
+10101000011100111010001010100000
+10101000111000011010001000111001
+10101001010100001010000111010010
+10101001101111111010000101101101
+10101010001011111010000100000111
+10101010100111111010000010100010
+10101011000100001010000000111110
+10101011100000011001111111011010
+10101011111100101001111101110111
+10101100011001001001111100010100
+10101100110101111001111010110010
+10101101010010011001111001010000
+10101101101111011001110111101111
+10101110001100001001110110001111
+10101110101001011001110100101110
+10101111000110011001110011001111
+10101111100011101001110001110000
+10110000000001001001110000010001
+10110000011110101001101110110011
+10110000111100001001101101010110
+10110001011001111001101011111001
+10110001110111101001101010011101
+10110010010101101001101001000001
+10110010110011101001100111100110
+10110011010001101001100110001011
+10110011101111111001100100110001
+10110100001110011001100011011000
+10110100101100101001100001111111
+10110101001011011001100000100110
+10110101101001111001011111001110
+10110110001000101001011101110111
+10110110100111011001011100100000
+10110111000110011001011011001010
+10110111100101011001011001110101
+10111000000100101001011000011111
+10111000100011111001010111001011
+10111001000011001001010101110111
+10111001100010101001010100100100
+10111010000010001001010011010001
+10111010100001101001010001111111
+10111011000001011001010000101101
+10111011100001001001001111011101
+10111100000001001001001110001100
+10111100100001001001001100111100
+10111101000001001001001011101101
+10111101100001011001001010011111
+10111110000001101001001001010001
+10111110100001111001001000000011
+10111111000010011001000110110110
+10111111100010111001000101101010
+11000000000011111001000100011110
+11000000100100011001000011010011
+11000001000101001001000010001001
+11000001100110001001000000111111
+11000010000111001000111111110110
+11000010101000001000111110101101
+11000011001001001000111101100101
+11000011101010011000111100011110
+11000100001011101000111011010111
+11000100101101001000111010010001
+11000101001110101000111001001011
+11000101110000001000111000000111
+11000110010001101000110111000010
+11000110110011011000110101111111
+11000111010101001000110100111011
+11000111110110111000110011111001
+11001000011000111000110010110111
+11001000111010111000110001110110
+11001001011100111000110000110101
+11001001111111001000101111110101
+11001010100001011000101110110110
+11001011000011101000101101110111
+11001011100101111000101100111001
+11001100001000011000101011111100
+11001100101010111000101010111111
+11001101001101011000101010000011
+11001101110000001000101001001000
+11001110010010101000101000001101
+11001110110101101000100111010010
+11001111011000011000100110011001
+11001111111011011000100101100000
+11010000011110001000100100101000
+11010001000001011000100011110000
+11010001100100011000100010111001
+11010010000111101000100010000011
+11010010101010101000100001001101
+11010011001110001000100000011000
+11010011110001011000011111100011
+11010100010100111000011110101111
+11010100111000001000011101111100
+11010101011011111000011101001010
+11010101111111011000011100011000
+11010110100010111000011011100111
+11010111000110101000011010110110
+11010111101010011000011010000111
+11011000001110001000011001010111
+11011000110010001000011000101001
+11011001010101111000010111111011
+11011001111001111000010111001110
+11011010011101111000010110100001
+11011011000010001000010101110101
+11011011100110001000010101001010
+11011100001010011000010100100000
+11011100101110101000010011110110
+11011101010010111000010011001100
+11011101110111001000010010100100
+11011110011011011000010001111100
+11011110111111111000010001010101
+11011111100100011000010000101110
+11100000001000111000010000001000
+11100000101101011000001111100011
+11100001010001111000001110111111
+11100001110110101000001110011011
+11100010011011001000001101111000
+11100010111111111000001101010101
+11100011100100101000001100110011
+11100100001001011000001100010010
+11100100101110001000001011110010
+11100101010011001000001011010010
+11100101110111111000001010110011
+11100110011100111000001010010100
+11100111000001111000001001110111
+11100111100110111000001001011010
+11101000001011111000001000111101
+11101000110000111000001000100001
+11101001010101111000001000000110
+11101001111011001000000111101100
+11101010100000001000000111010010
+11101011000101011000000110111001
+11101011101010101000000110100001
+11101100001111111000000110001001
+11101100110101001000000101110011
+11101101011010011000000101011100
+11101101111111101000000101000111
+11101110100101001000000100110010
+11101111001010011000000100011110
+11101111101111101000000100001010
+11110000010101001000000011110111
+11110000111010101000000011100101
+11110001100000001000000011010100
+11110010000101011000000011000011
+11110010101010111000000010110011
+11110011010000011000000010100100
+11110011110101111000000010010101
+11110100011011101000000010000111
+11110101000001001000000001111010
+11110101100110101000000001101101
+11110110001100001000000001100001
+11110110110001111000000001010110
+11110111010111011000000001001100
+11110111111101001000000001000010
+11111000100010101000000000111001
+11111001001000011000000000110000
+11111001101101111000000000101000
+11111010010011101000000000100001
+11111010111001011000000000011011
+11111011011110111000000000010101
+11111100000100101000000000010000
+11111100101010011000000000001100
+11111101001111111000000000001001
+11111101110101101000000000000110
+11111110011011011000000000000011
+11111111000001001000000000000010
+11111111100110101000000000000001
+00000000001100011000000000000001
+00000000110010001000000000000010
+00000001010111111000000000000011
+00000001111101101000000000000101
+00000010100011001000000000001000
+00000011001000111000000000001011
+00000011101110101000000000001111
+00000100010100011000000000010100
+00000100111001111000000000011001
+00000101011111101000000000011111
+00000110000101011000000000100110
+00000110101010111000000000101110
+00000111010000101000000000110110
+00000111110110001000000000111111
+00001000011011111000000001001000
+00001001000001011000000001010011
+00001001100111001000000001011110
+00001010001100101000000001101001
+00001010110010001000000001110110
+00001011010111101000000010000011
+00001011111101011000000010010000
+00001100100010111000000010011111
+00001101001000011000000010101110
+00001101101101111000000010111110
+00001110010011001000000011001110
+00001110111000101000000011011111
+00001111011110001000000011110001
+00010000000011101000000100000100
+00010000101000111000000100010111
+00010001001110011000000100101011
+00010001110011101000000101000000
+00010010011000111000000101010101
+00010010111110001000000101101011
+00010011100011101000000110000010
+00010100001000111000000110011001
+00010100101101111000000110110001
+00010101010011001000000111001010
+00010101111000011000000111100011
+00010110011101011000000111111110
+00010111000010101000001000011000
+00010111100111101000001000110100
+00011000001100101000001001010000
+00011000110001101000001001101101
+00011001010110101000001010001010
+00011001111011101000001010101001
+00011010100000011000001011000111
+00011011000101011000001011100111
+00011011101010001000001100000111
+00011100001110111000001100101000
+00011100110011101000001101001010
+00011101011000011000001101101100
+00011101111101001000001110001111
+00011110100001101000001110110011
+00011111000110001000001111010111
+00011111101010111000001111111100
+00100000001111011000010000100010
+00100000110011111000010001001000
+00100001011000001000010001101111
+00100001111100101000010010010111
+00100010100000111000010010111111
+00100011000101001000010011101000
+00100011101001011000010100010010
+00100100001101101000010100111100
+00100100110001101000010101100111
+00100101010101111000010110010011
+00100101111001111000010110111111
+00100110011101111000010111101100
+00100111000001101000011000011001
+00100111100101101000011001001000
+00101000001001011000011001110111
+00101000101101001000011010100110
+00101001010000111000011011010111
+00101001110100101000011100001000
+00101010011000001000011100111001
+00101010111011101000011101101011
+00101011011111001000011110011110
+00101100000010101000011111010010
+00101100100101111000100000000110
+00101101001001011000100000111011
+00101101101100011000100001110001
+00101110001111101000100010100111
+00101110110010111000100011011101
+00101111010101111000100100010101
+00101111111000111000100101001101
+00110000011011111000100110000110
+00110000111110101000100110111111
+00110001100001011000100111111001
+00110010000100001000101000110100
+00110010100110111000101001101111
+00110011001001011000101010101011
+00110011101011111000101011101000
+00110100001110011000101100100101
+00110100110000101000101101100011
+00110101010011001000101110100001
+00110101110101011000101111100000
+00110110010111011000110000100000
+00110110111001101000110001100000
+00110111011011101000110010100001
+00110111111101101000110011100011
+00111000011111011000110100100101
+00111001000001001000110101101000
+00111001100010111000110110101100
+00111010000100011000110111110000
+00111010100110001000111000110100
+00111011000111101000111001111010
+00111011101000111000111011000000
+00111100001010001000111100000110
+00111100101011011000111101001101
+00111101001100101000111110010101
+00111101101101101000111111011110
+00111110001110101001000000100111
+00111110101111101001000001110000
+00111111010000011001000010111011
+00111111110001001001000100000101
+01000000010001111001000101010001
+01000000110010101001000110011101
+01000001010011001001000111101001
+01000001110011011001001000110111
+01000010010011101001001010000100
+01000010110011111001001011010011
+01000011010011111001001100100010
+01000011110100001001001101110001
+01000100010011111001001111000010
+01000100110011111001010000010010
+01000101010011101001010001100100
+01000101110011001001010010110110
+01000110010010101001010100001000
+01000110110010001001010101011011
+01000111010001101001010110101111
+01000111110000111001011000000011
+01001000001111111001011001011000
+01001000101111001001011010101110
+01001001001101111001011100000011
+01001001101100111001011101011010
+01001010001011101001011110110001
+01001010101010011001100000001001
+01001011001000111001100001100001
+01001011100111011001100010111010
+01001100000101101001100100010011
+01001100100011111001100101101101
+01001101000010001001100111001000
+01001101100000001001101000100011
+01001101111110001001101001111110
+01001110011011111001101011011010
+01001110111001101001101100110111
+01001111010111011001101110010100
+01001111110100111001101111110010
+01010000010010011001110001010000
+01010000101111101001110010101111
+01010001001100111001110100001111
+01010001101001111001110101101110
+01010010000110111001110111001111
+01010010100011101001111000110000
+01010011000000011001111010010001
+01010011011101001001111011110011
+01010011111001101001111101010110
+01010100010110001001111110111001
+01010100110010011010000000011101
+01010101001110011010000010000001
+01010101101010101010000011100110
+01010110000110101010000101001011
+01010110100010011010000110110000
+01010110111110001010001000010111
+01010111011001101010001001111101
+01010111110101001010001011100101
+01011000010000011010001101001100
+01011000101011101010001110110101
+01011001000110111010010000011101
+01011001100001111010010010000110
+01011001111100101010010011110000
+01011010010111011010010101011010
+01011010110010001010010111000101
+01011011001100101010011000110000
+01011011100111001010011010011100
+01011100000001011010011100001000
+01011100011011011010011101110101
+01011100110101011010011111100010
+01011101001111011010100001010000
+01011101101001001010100010111110
+01011110000010101010100100101100
+01011110011100001010100110011011
+01011110110101101010101000001011
+01011111001110111010101001111011
+01011111100111111010101011101011
+01100000000000111010101101011100
+01100000011001111010101111001101
+01100000110010101010110000111111
+01100001001011001010110010110001
+01100001100011101010110100100100
+01100001111011111010110110010111
+01100010010100001010111000001011
+01100010101100011010111001111111
+01100011000100001010111011110011
+01100011011100001010111101101000
+01100011110011101010111111011110
+01100100001011001011000001010011
+01100100100010101011000011001010
+01100100111001111011000101000000
+01100101010000111011000110110111
+01100101100111111011001000101111
+01100101111110111011001010100111
+01100110010101101011001100011111
+01100110101100001011001110011000
+01100111000010101011010000010001
+01100111011000111011010010001011
+01100111101110111011010100000101
+01101000000100111011010101111111
+01101000011010111011010111111010
+01101000110000101011011001110101
+01101001000110001011011011110001
+01101001011011101011011101101101
+01101001110000111011011111101001
+01101010000110001011100001100110
+01101010011011001011100011100011
+01101010101111111011100101100001
+01101011000100101011100111011111
+01101011011001011011101001011101
+01101011101101101011101011011100
+01101100000010001011101101011011
+01101100010110001011101111011010
+01101100101010001011110001011010
+01101100111110001011110011011010
+01101101010001101011110101011011
+01101101100101011011110111011100
+01101101111000101011111001011101
+01101110001011111011111011011111
+01101110011111001011111101100001
+01101110110001111011111111100011
+01101111000100111100000001100111
+01101111010111011100000011101010
+01101111101001111100000101101101
+01101111111100011100000111110001
+01110000001110101100001001110101
+01110000100000101100001011111001
+01110000110010011100001101111110
+01110001000100001100010000000011
+01110001010101111100010010001000
+01110001100111001100010100001110
+01110001111000101100010110010100
+01110010001001101100011000011010
+01110010011010101100011010100001
+01110010101011011100011100101000
+01110010111100001100011110101111
+01110011001100101100100000110111
+01110011011100111100100010111111
+01110011101101001100100101000111
+01110011111101001100100111001111
+01110100001101001100101001011000
+01110100011100111100101011100001
+01110100101100011100101101101010
+01110100111011111100101111110100
+01110101001011001100110001111110
+01110101011010001100110100001000
+01110101101001001100110110010010
+01110101110111111100111000011101
+01110110000110011100111010101000
+01110110010100111100111100110011
+01110110100011001100111110111111
+01110110110001011101000001001011
+01110110111111011101000011010111
+01110111001101001101000101100011
+01110111011010101101000111110000
+01110111101000001101001001111100
+01110111110101101101001100001010
+01111000000010101101001110010111
+01111000001111101101010000100100
+01111000011100101101010010110010
+01111000101001001101010101000000
+01111000110101101101010111001110
+01111001000010001101011001011101
+01111001001110001101011011101100
+01111001011010011101011101111011
+01111001100110001101100000001010
+01111001110001111101100010011001
+01111001111101011101100100101001
+01111010001000101101100110111000
+01111010010011111101101001001000
+01111010011110111101101011011001
+01111010101001111101101101101001
+01111010110100011101101111111010
+01111010111110111101110010001010
+01111011001001011101110100011011
+01111011010011101101110110101100
+01111011011101101101111000111110
+01111011100111011101111011001111
+01111011110001001101111101100001
+01111011111010101101111111110011
+01111100000011111110000010000101
+01111100001101001110000100010111
+01111100010110001110000110101010
+01111100011111001110001000111100
+01111100100111101110001011001111
+01111100110000001110001101100010
+01111100111000101110001111110101
+01111101000000111110010010001000
+01111101001000111110010100011011
+01111101010000101110010110101111
+01111101011000011110011001000011
+01111101011111111110011011010110
+01111101100111001110011101101010
+01111101101110001110011111111110
+01111101110101001110100010010011
+01111101111100001110100100100111
+01111110000010101110100110111011
+01111110001001001110101001010000
+01111110001111011110101011100100
+01111110010101101110101101111001
+01111110011011101110110000001110
+01111110100001011110110010100011
+01111110100110111110110100111000
+01111110101100011110110111001101
+01111110110001101110111001100011
+01111110110110111110111011111000
+01111110111011101110111110001110
+01111111000000011111000000100011
+01111111000101001111000010111001
+01111111001001011111000101001111
+01111111001101101111000111100100
+01111111010001111111001001111010
+01111111010101101111001100010000
+01111111011001011111001110100110
+01111111011100111111010000111101
+01111111100000011111010011010011
+01111111100011101111010101101001
+01111111100110101111010111111111
+01111111101001011111011010010110
+01111111101100001111011100101100
+01111111101110101111011111000010
+01111111110000111111100001011001
+01111111110011001111100011101111
+01111111110101001111100110000110
+01111111110110111111101000011101
+01111111111000101111101010110011
+01111111111010001111101101001010
+01111111111011011111101111100001
+01111111111100101111110001110111
+01111111111101011111110100001110
+01111111111110001111110110100101
+01111111111110111111111000111100
+01111111111111011111111011010010
+01111111111111101111111101101001
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_5.hex b/applications/lofar1/pft2/src/data/twiddle_16_5.hex
new file mode 100644
index 0000000000000000000000000000000000000000..452c4381b04e19eb1188092ae0dae6575bde02a1
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_5.hex
@@ -0,0 +1,3073 @@
+:0400000000007FFF7E
+:04000100FF9B7FFFE3
+:04000200FF377FFE47
+:04000300FED27FFEAC
+:04000400FE6E7FFD10
+:04000500FE097FFB76
+:04000600FDA57FF9DC
+:04000700FD407FF742
+:04000800FCDC7FF5A8
+:04000900FC777FF30E
+:04000A00FC137FF074
+:04000B00FBAE7FECDD
+:04000C00FB4A7FE943
+:04000D00FAE67FE5AB
+:04000E00FA817FE113
+:04000F00FA1D7FDC7B
+:04001000F9B87FD8E4
+:04001100F9547FD24D
+:04001200F8EF7FCDB7
+:04001300F88B7FC720
+:04001400F8277FC189
+:04001500F7C27FBBF4
+:04001600F75E7FB45E
+:04001700F6FA7FADC9
+:04001800F6967FA633
+:04001900F6317F9F9E
+:04001A00F5CD7F970A
+:04001B00F5697F8F75
+:04001C00F5057F86E1
+:04001D00F4A17F7D4E
+:04001E00F43D7F74BA
+:04001F00F3D87F6B28
+:04002000F3747F6195
+:04002100F3107F5702
+:04002200F2AC7F4D70
+:04002300F2487F42DE
+:04002400F1E47F374D
+:04002500F1817F2CBA
+:04002600F11D7F2128
+:04002700F0B97F1598
+:04002800F0557F0907
+:04002900EFF17EFC79
+:04002A00EF8E7EEFE8
+:04002B00EF2A7EE258
+:04002C00EEC67ED5C9
+:04002D00EE637EC739
+:04002E00EDFF7EB9AB
+:04002F00ED9C7EAB1B
+:04003000ED387E9C8D
+:04003100ECD57E8DFF
+:04003200EC717E7E71
+:04003300EC0E7E6FE2
+:04003400EBAB7E5F55
+:04003500EB487E4FC7
+:04003600EAE47E3E3C
+:04003700EA817E2EAE
+:04003800EA1E7E1D21
+:04003900E9BB7E0B96
+:04003A00E9587DFA0A
+:04003B00E8F57DE87F
+:04003C00E8937DD5F3
+:04003D00E8307DC367
+:04003E00E7CD7DB0DD
+:04003F00E76A7D9D52
+:04004000E7087D89C7
+:04004100E6A57D763D
+:04004200E6437D62B2
+:04004300E5E07D4D2A
+:04004400E57E7D399F
+:04004500E51B7D2416
+:04004600E4B97D0E8E
+:04004700E4577CF905
+:04004800E3F57CE37D
+:04004900E3937CCDF4
+:04004A00E3317CB66C
+:04004B00E2CF7C9FE5
+:04004C00E26D7C885D
+:04004D00E20B7C71D5
+:04004E00E1AA7C594E
+:04004F00E1487C41C7
+:04005000E0E77C2940
+:04005100E0857C10BA
+:04005200E0247BF833
+:04005300DFC27BDEAF
+:04005400DF617BC528
+:04005500DF007BABA2
+:04005600DE9F7B911D
+:04005700DE3E7B7797
+:04005800DDDD7B5C13
+:04005900DD7C7B418E
+:04005A00DD1B7B2609
+:04005B00DCBB7B0A85
+:04005C00DC5A7AEE02
+:04005D00DBFA7AD27E
+:04005E00DB997AB6FA
+:04005F00DB397A9976
+:04006000DAD97A7CF3
+:04006100DA787A5F70
+:04006200DA187A41ED
+:04006300D9B87A236B
+:04006400D9587A05E8
+:04006500D8F979E766
+:04006600D89979C8E4
+:04006700D83979A962
+:04006800D7DA7989E1
+:04006900D77B796A5E
+:04006A00D71B794ADD
+:04006B00D6BC79295D
+:04006C00D65D7909DB
+:04006D00D5FE78E85C
+:04006E00D59F78C7DB
+:04006F00D54078A55B
+:04007000D4E17884DB
+:04007100D48378625A
+:04007200D424783FDB
+:04007300D3C6781D5B
+:04007400D36877FADC
+:04007500D30A77D75C
+:04007600D2AB77B3DF
+:04007700D24E778F5F
+:04007800D1F0776BE1
+:04007900D192774762
+:04007A00D1347723E3
+:04007B00D0D776FE66
+:04007C00D07976D8E9
+:04007D00D01C76B36A
+:04007E00CFBF768DED
+:04007F00CF6276676F
+:04008000CF057641F1
+:04008100CEA8761A75
+:04008200CE4B75F3F9
+:04008300CDEF75CC7C
+:04008400CD9275A5FF
+:04008500CD36757D82
+:04008600CCDA755506
+:04008700CC7E752D89
+:04008800CC2275040D
+:04008900CBC674DB93
+:04008A00CB6A74B217
+:04008B00CB0F74899A
+:04008C00CAB3745F20
+:04008D00CA587435A4
+:04008E00C9FD740B29
+:04008F00C9A273E0AF
+:04009000C94773B534
+:04009100C8EC738ABA
+:04009200C891735F3F
+:04009300C8377333C4
+:04009400C7DC73074B
+:04009500C78272DBD1
+:04009600C72872AE57
+:04009700C6CE7281DE
+:04009800C674725464
+:04009900C61A7227EA
+:04009A00C5C171F972
+:04009B00C56771CCF8
+:04009C00C50E719D7F
+:04009D00C4B5716F06
+:04009E00C45C71408D
+:04009F00C403711114
+:0400A000C3AA70E29D
+:0400A100C35270B323
+:0400A200C2F97083AC
+:0400A300C2A1705333
+:0400A400C2497022BB
+:0400A500C1F16FF244
+:0400A600C1996FC1CC
+:0400A700C1416F9054
+:0400A800C0EA6F5EDD
+:0400A900C0926F2D65
+:0400AA00C03B6EFBEE
+:0400AB00BFE36EC879
+:0400AC00BF8C6E9601
+:0400AD00BF356E638A
+:0400AE00BEDF6E3013
+:0400AF00BE886DFD9D
+:0400B000BE326DC926
+:0400B100BDDC6D96AF
+:0400B200BD866D6139
+:0400B300BD306D2DC2
+:0400B400BCDA6CF94D
+:0400B500BC856CC4D6
+:0400B600BC2F6C8F60
+:0400B700BBDA6C59EB
+:0400B800BB856C2375
+:0400B900BB306BEEFF
+:0400BA00BADC6BB78A
+:0400BB00BA876B8114
+:0400BC00BA336B4A9E
+:0400BD00B9DF6B1329
+:0400BE00B98B6ADCB4
+:0400BF00B9376AA53E
+:0400C000B8E36A6DCA
+:0400C100B8906A3554
+:0400C200B83C69FDE0
+:0400C300B7E969C46C
+:0400C400B796698BF7
+:0400C500B743695282
+:0400C600B6F169190D
+:0400C700B69E68E099
+:0400C800B64C68A624
+:0400C900B5FA686CB0
+:0400CA00B5A868323B
+:0400CB00B55667F7C8
+:0400CC00B50567BC53
+:0400CD00B4B36781E0
+:0400CE00B46267466B
+:0400CF00B411670BF6
+:0400D000B3C066CF84
+:0400D100B37066930F
+:0400D200B31F66579B
+:0400D300B2CF661A28
+:0400D400B27F65DDB5
+:0400D500B22F65A041
+:0400D600B1DF6563CE
+:0400D700B190652659
+:0400D800B14064E8E7
+:0400D900B0F164AA74
+:0400DA00B0A2646C00
+:0400DB00B053642D8D
+:0400DC00B00563EF19
+:0400DD00AFB663B0A7
+:0400DE00AF68637133
+:0400DF00AF1A6331C0
+:0400E000AECC62F14F
+:0400E100AE7F62B2DA
+:0400E200AE31627168
+:0400E300ADE46231F5
+:0400E400AD9761F083
+:0400E500AD4A61B00F
+:0400E600ACFE616F9C
+:0400E700ACB1612D2A
+:0400E800AC6560ECB7
+:0400E900AC1960AA44
+:0400EA00ABCD6068D2
+:0400EB00AB8260265E
+:0400EC00AB365FE3ED
+:0400ED00AAEB5FA07B
+:0400EE00AAA05F5E07
+:0400EF00AA555F1A95
+:0400F000AA0B5ED722
+:0400F100A9C05E93B1
+:0400F200A9765E503D
+:0400F300A92C5E0BCB
+:0400F400A8E25DC75A
+:0400F500A8995D83E6
+:0400F600A8505D3E73
+:0400F700A8065CF902
+:0400F800A7BE5CB48F
+:0400F900A7755C6E1D
+:0400FA00A72C5C29AA
+:0400FB00A6E45BE339
+:0400FC00A69C5B9DC6
+:0400FD00A6545B5654
+:0400FE00A60D5B10E0
+:0400FF00A5C55AC970
+:04010000A57E5A82FC
+:04010100A5375A3B89
+:04010200A4F059F319
+:04010300A4AA59ACA5
+:04010400A463596433
+:04010500A41D591CC0
+:04010600A3D758D44F
+:04010700A392588BDC
+:04010800A34C58426A
+:04010900A30757FAF7
+:04010A00A2C257B086
+:04010B00A27D576713
+:04010C00A239571E9F
+:04010D00A1F556D42E
+:04010E00A1B0568ABC
+:04010F00A16D564048
+:04011000A12955F5D7
+:04011100A0E655AB64
+:04011200A0A25560F2
+:04011300A06055157E
+:04011400A01D54CA0C
+:040115009FDA547E9B
+:040116009F98543327
+:040117009F5653E7B5
+:040118009F14539B42
+:040119009ED3534FCF
+:04011A009E9153025D
+:04011B009E5052B6EA
+:04011C009E10526976
+:04011D009DCF521C04
+:04011E009D8F51CF91
+:04011F009D4E51811F
+:040120009D0F5134AA
+:040121009CCF50E639
+:040122009C8F5098C6
+:040123009C50504A52
+:040124009C114FFBE0
+:040125009BD34FAD6C
+:040126009B944F5EF9
+:040127009B564F0F85
+:040128009B184EC012
+:040129009ADA4E70A0
+:04012A009A9D4E212B
+:04012B009A604DD1B8
+:04012C009A234D8144
+:04012D0099E64D31D1
+:04012E0099A94CE15E
+:04012F00996D4C90EA
+:0401300099314C4075
+:0401310098F54BEF03
+:0401320098BA4B9E8E
+:04013300987F4B4D19
+:0401340098444AFBA6
+:0401350098094AAA31
+:0401360097CE4A58BE
+:0401370097944A0649
+:04013800975A49B4D5
+:040139009720496260
+:04013A0096E7490FEC
+:04013B0096AE48BD77
+:04013C009675486A02
+:04013D00963C48178D
+:04013E00960347C419
+:04013F0095CB4770A5
+:040140009593471D2F
+:04014100955B46C9BB
+:040142009524467545
+:0401430094ED4621D0
+:0401440094B645CD5B
+:04014500947F4579E5
+:04014600944945246F
+:04014700941244D0FA
+:0401480093DD447B84
+:0401490093A744260E
+:04014A00937143D199
+:04014B00933C437B23
+:04014C0093074326AC
+:04014D0092D342D037
+:04014E00929F427AC0
+:04014F00926A42244A
+:04015000923741CED3
+:04015100920341785C
+:0401520091D04121E6
+:04015300919D40CB6F
+:04015400916A4074F8
+:040155009138401D80
+:0401560091053FC50B
+:0401570090D33F6E94
+:0401580090A23F161C
+:0401590090703EBFA5
+:04015A00903F3E672D
+:04015B00900E3E0FB5
+:04015C008FDE3DB73E
+:04015D008FAD3D5FC6
+:04015E008F7D3D074D
+:04015F008F4D3CAED6
+:040160008F1E3C565C
+:040161008EEF3BFDE5
+:040162008EC03BA46C
+:040163008E913B4BF3
+:040164008E633AF27A
+:040165008E343A9901
+:040166008E073A3F87
+:040167008DD939E60F
+:040168008DAC398C95
+:040169008D7F39321B
+:04016A008D5238D8A2
+:04016B008D25387E28
+:04016C008CF93824AE
+:04016D008CCD37C935
+:04016E008CA1376FBA
+:04016F008C7637143F
+:040170008C4B36B9C5
+:040171008C20365E4A
+:040172008BF53603D0
+:040173008BCB35A855
+:040174008BA1354DD9
+:040175008B7734F15F
+:040176008B4E3496E2
+:040177008B25343A66
+:040178008AFC33DEEC
+:040179008AD3338270
+:04017A008AAB3326F3
+:04017B008A8332CA77
+:04017C008A5B326EFA
+:04017D008A3432117D
+:04017E008A0D31B500
+:04017F0089E6315884
+:0401800089BF30FB08
+:040181008999309E8A
+:04018200897330410C
+:04018300894D2FE48F
+:0401840089282F8710
+:0401850089022F2993
+:0401860088DD2ECC16
+:0401870088B92E6E97
+:0401880088952E1018
+:0401890088712DB29A
+:04018A00884D2D551A
+:04018B0088292CF69D
+:04018C0088062C981D
+:04018D0087E32C3A9E
+:04018E0087C12BDC1E
+:04018F00879E2B7D9F
+:04019000877C2B1F1E
+:04019100875B2AC09E
+:0401920087392A611E
+:0401930087182A029D
+:0401940086F729A31E
+:0401950086D729449C
+:0401960086B628E51C
+:04019700869628859B
+:040198008677282618
+:04019900865727C797
+:04019A008638276715
+:04019B008619270793
+:04019C0085FB26A811
+:04019D0085DD26488E
+:04019E0085BF25E80C
+:04019F0085A1258889
+:0401A0008584252706
+:0401A100856724C783
+:0401A200854A2467FF
+:0401A300852E24067B
+:0401A400851223A6F7
+:0401A50084F6234574
+:0401A60084DA22E5F0
+:0401A70084BF22846B
+:0401A80084A42223E6
+:0401A900848921C262
+:0401AA00846F2161DC
+:0401AB008455210056
+:0401AC00843B209FD1
+:0401AD008422203E4A
+:0401AE0084081FDCC6
+:0401AF0083F01F7B3F
+:0401B00083D71F19B9
+:0401B10083BF1EB832
+:0401B20083A71E56AB
+:0401B300838F1DF524
+:0401B40083781D939C
+:0401B50083611D3114
+:0401B600834A1CCF8D
+:0401B70083331C6D05
+:0401B800831D1C0B7C
+:0401B90083071BA9F4
+:0401BA0082F21B476B
+:0401BB0082DC1AE5E3
+:0401BC0082C71A825A
+:0401BD0082B31A20CF
+:0401BE00829E19BD47
+:0401BF00828A195BBC
+:0401C000827718F832
+:0401C10082631896A7
+:0401C200825018331C
+:0401C300823D17D092
+:0401C400822B176D06
+:0401C5008218170B7A
+:0401C600820616A8EF
+:0401C70081F5164563
+:0401C80081E315E2D8
+:0401C90081D2157F4B
+:0401CA0081C2151CBD
+:0401CB0081B114B832
+:0401CC0081A11455A4
+:0401CD00819113F217
+:0401CE008182138F88
+:0401CF008173132BFA
+:0401D000816412C86C
+:0401D10081551264DE
+:0401D200814712014E
+:0401D3008139119DC0
+:0401D400812B113A30
+:0401D500811E10D6A1
+:0401D6008111107211
+:0401D7008104100F80
+:0401D80080F70FABF2
+:0401D90080EB0F4761
+:0401DA0080DF0EE3D1
+:0401DB0080D40E7F3F
+:0401DC0080C90E1CAC
+:0401DD0080BE0DB81B
+:0401DE0080B30D5489
+:0401DF0080A90CF0F7
+:0401E000809F0C8C64
+:0401E10080950C28D1
+:0401E200808C0BC33F
+:0401E30080830B5FAB
+:0401E400807A0AFB18
+:0401E50080710A9784
+:0401E60080690A33EF
+:0401E700806109CF5B
+:0401E800805A096AC6
+:0401E9008053090630
+:0401EA00804C08A29B
+:0401EB008045083E05
+:0401EC00803F07D970
+:0401ED0080390775D9
+:0401EE008033071142
+:0401EF00802E06ACAC
+:0401F0008028064815
+:0401F100802405E37E
+:0401F200801F057FE6
+:0401F300801B051A4E
+:0401F400801704B6B6
+:0401F500801404521C
+:0401F600801003ED85
+:0401F700800D0389EB
+:0401F800800B032451
+:0401F900800902C0B7
+:0401FA008007025B1D
+:0401FB00800501F783
+:0401FC0080030192E9
+:0401FD008002012E4D
+:0401FE00800200C9B2
+:0401FF008001006516
+:040200008001000079
+:040201008000FF9BDF
+:040202008001FF3741
+:040203008001FED2A6
+:040204008002FE6E08
+:040205008004FE096A
+:040206008006FDA5CC
+:040207008008FD402E
+:04020800800AFCDC90
+:04020900800CFC77F2
+:04020A00800FFC1352
+:04020B008013FBAEB3
+:04020C008016FB4A13
+:04020D00801AFAE673
+:04020E00801EFA81D3
+:04020F008023FA1D31
+:040210008027F9B892
+:04021100802DF954EF
+:040212008032F8EF4F
+:040213008038F88BAC
+:04021400803EF82709
+:040215008044F7C268
+:04021600804BF75EC4
+:040217008052F6FA21
+:040218008059F6967D
+:040219008060F631DA
+:04021A008068F5CD36
+:04021B008070F56991
+:04021C008079F505EB
+:04021D008082F4A146
+:04021E00808BF43DA0
+:04021F008094F3D8FC
+:04022000809EF37455
+:0402210080A8F310AE
+:0402220080B2F2AC08
+:0402230080BDF24860
+:0402240080C8F1E4B9
+:0402250080D3F18110
+:0402260080DEF11D68
+:0402270080EAF0B9C0
+:0402280080F6F05517
+:040229008103EFF16D
+:04022A008110EF8EC2
+:04022B00811DEF2A18
+:04022C00812AEEC66F
+:04022D008138EE63C3
+:04022E008146EDFF19
+:04022F008154ED9C6D
+:040230008163ED38C1
+:040231008172ECD515
+:040232008181EC7169
+:040233008190EC0EBC
+:0402340081A0EBAB0F
+:0402350081B0EB4861
+:0402360081C1EAE4B4
+:0402370081D1EA8106
+:0402380081E2EA1E57
+:0402390081F4E9BBA8
+:04023A008205E958F8
+:04023B008217E8F549
+:04023C00822AE89397
+:04023D00823CE830E7
+:04023E00824FE7CD37
+:04023F008262E76A86
+:040240008276E708D3
+:040241008289E6A523
+:04024200829DE64370
+:0402430082B2E5E0BE
+:0402440082C6E57E0B
+:0402450082DBE51B58
+:0402460082F1E4B9A4
+:040247008306E457EF
+:04024800831CE3F53B
+:040249008332E39386
+:04024A008349E331D0
+:04024B008360E2CF1B
+:04024C008377E26D65
+:04024D00838EE20BAF
+:04024E0083A6E1AAF8
+:04024F0083BEE14841
+:0402500083D6E0E78A
+:0402510083EFE085D2
+:040252008407E02419
+:040253008421DFC261
+:04025400843ADF61A8
+:040255008454DF00EE
+:04025600846EDE9F35
+:040257008488DE3E7B
+:0402580084A3DDDDC1
+:0402590084BEDD7C06
+:04025A0084D9DD1B4B
+:04025B0084F5DCBB8F
+:04025C008511DC5AD2
+:04025D00852DDBFA16
+:04025E008549DB995A
+:04025F008566DB399C
+:040260008583DAD9DF
+:0402610085A0DA7822
+:0402620085BEDA1863
+:0402630085DCD9B8A5
+:0402640085FAD958E6
+:040265008618D8F926
+:040266008637D89966
+:040267008656D839A6
+:040268008676D7DAE5
+:040269008695D77B24
+:04026A0086B5D71B63
+:04026B0086D6D6BCA1
+:04026C0086F6D65DDF
+:04026D008717D5FE1C
+:04026E008738D59F59
+:04026F00875AD54095
+:04027000877BD4E1D3
+:04027100879DD4830E
+:0402720087C0D42449
+:0402730087E2D3C685
+:040274008805D368BE
+:040275008828D30AF8
+:04027600884CD2AB33
+:040277008870D24E6B
+:040278008894D1F0A5
+:0402790088B8D192DE
+:04027A0088DCD13417
+:04027B008901D0D74E
+:04027C008927D07985
+:04027D00894CD01CBC
+:04027E008972CFBFF3
+:04027F008998CF6229
+:0402800089BECF055F
+:0402810089E5CEA895
+:040282008A0CCE4BC9
+:040283008A33CDEFFE
+:040284008A5ACD9233
+:040285008A82CD3666
+:040286008AAACCDA9A
+:040287008AD2CC7ECD
+:040288008AFBCC22FF
+:040289008B24CBC631
+:04028A008B4DCB6A63
+:04028B008B76CB0F94
+:04028C008BA0CAB3C6
+:04028D008BCACA58F6
+:04028E008BF4C9FD27
+:04028F008C1FC9A255
+:040290008C4AC94784
+:040291008C75C8ECB4
+:040292008CA0C891E3
+:040293008CCCC83710
+:040294008CF8C7DC3F
+:040295008D24C7826B
+:040296008D51C72897
+:040297008D7EC6CEC4
+:040298008DABC674F0
+:040299008DD8C61A1C
+:04029A008E06C5C146
+:04029B008E33C56772
+:04029C008E62C50E9B
+:04029D008E90C4B5C6
+:04029E008EBFC45CEF
+:04029F008EEEC40318
+:0402A0008F1DC3AA41
+:0402A1008F4CC35269
+:0402A2008F7CC2F992
+:0402A3008FACC2A1B9
+:0402A4008FDDC249DF
+:0402A500900DC1F106
+:0402A600903EC1992C
+:0402A700906FC14152
+:0402A80090A1C0EA77
+:0402A90090D2C0929D
+:0402AA009104C03BC0
+:0402AB009137BFE3E5
+:0402AC009169BF8C09
+:0402AD00919CBF352C
+:0402AE0091CFBEDF4F
+:0402AF009202BE8871
+:0402B0009236BE3292
+:0402B1009269BDDCB5
+:0402B200929EBD86D5
+:0402B30092D2BD30F6
+:0402B4009306BCDA17
+:0402B500933BBC8536
+:0402B6009370BC2F56
+:0402B70093A6BBDA75
+:0402B80093DCBB8593
+:0402B9009411BB30B1
+:0402BA009448BADCCE
+:0402BB00947EBA87EC
+:0402BC0094B5BA3308
+:0402BD0094ECB9DF25
+:0402BE009523B98B40
+:0402BF00955AB9375C
+:0402C0009592B8E378
+:0402C10095CAB89092
+:0402C2009602B83CAC
+:0402C300963BB7E9C6
+:0402C4009674B796DF
+:0402C50096ADB743F8
+:0402C60096E6B6F111
+:0402C700971FB69E29
+:0402C8009759B64C40
+:0402C9009793B5FA58
+:0402CA0097CDB5A86F
+:0402CB009808B55684
+:0402CC009843B50599
+:0402CD00987EB4B3B0
+:0402CE0098B9B462C5
+:0402CF0098F4B411DA
+:0402D0009930B3C0EE
+:0402D100996CB37001
+:0402D20099A8B31F15
+:0402D30099E5B2CF28
+:0402D4009A22B27F39
+:0402D5009A5FB22F4B
+:0402D6009A9CB1DF5E
+:0402D7009AD9B1906F
+:0402D8009B17B1407F
+:0402D9009B55B0F190
+:0402DA009B93B0A2A0
+:0402DB009BD2B053AF
+:0402DC009C10B005BD
+:0402DD009C4FAFB6CD
+:0402DE009C8EAF68DB
+:0402DF009CCEAF1AE8
+:0402E0009D0EAECCF5
+:0402E1009D4DAE7F02
+:0402E2009D8EAE310E
+:0402E3009DCEADE41B
+:0402E4009E0FAD9725
+:0402E5009E4FAD4A31
+:0402E6009E90ACFE3C
+:0402E7009ED2ACB146
+:0402E8009F13AC654F
+:0402E9009F55AC1958
+:0402EA009F97ABCD62
+:0402EB009FD9AB826A
+:0402EC00A01CAB3671
+:0402ED00A05FAAEB79
+:0402EE00A0A1AAA081
+:0402EF00A0E5AA5587
+:0402F000A128AA0B8C
+:0402F100A16CA9C093
+:0402F200A1AFA97699
+:0402F300A1F4A92C9D
+:0402F400A238A8E2A2
+:0402F500A27CA899A6
+:0402F600A2C1A850A9
+:0402F700A306A806AC
+:0402F800A34BA7BEAF
+:0402F900A391A775B1
+:0402FA00A3D6A72CB4
+:0402FB00A41CA6E4B5
+:0402FC00A462A69CB6
+:0402FD00A4A9A654B6
+:0402FE00A4EFA60DB6
+:0402FF00A536A5C5B6
+:04030000A57DA57EB4
+:04030100A5C4A537B3
+:04030200A60CA4F0B1
+:04030300A653A4AAAF
+:04030400A69BA463AD
+:04030500A6E3A41DAA
+:04030600A72BA3D7A7
+:04030700A774A392A2
+:04030800A7BDA34C9E
+:04030900A805A30799
+:04030A00A84FA2C294
+:04030B00A898A27D8F
+:04030C00A8E1A23989
+:04030D00A92BA1F582
+:04030E00A975A1B07C
+:04030F00A9BFA16D74
+:04031000AA0AA1296B
+:04031100AA54A0E664
+:04031200AA9FA0A25C
+:04031300AAEAA06052
+:04031400AB35A01D48
+:04031500AB819FDA3F
+:04031600ABCC9F9835
+:04031700AC189F5629
+:04031800AC649F141E
+:04031900ACB09ED313
+:04031A00ACFD9E9107
+:04031B00AD499E50FA
+:04031C00AD969E10EC
+:04031D00ADE39DCFE0
+:04031E00AE309D8FD1
+:04031F00AE7E9D4EC3
+:04032000AECB9D0FB4
+:04032100AF199CCFA5
+:04032200AF679C8F96
+:04032300AFB59C5086
+:04032400B0049C1174
+:04032500B0529BD364
+:04032600B0A19B9453
+:04032700B0F09B5641
+:04032800B13F9B182E
+:04032900B18F9ADA1C
+:04032A00B1DE9A9D09
+:04032B00B22E9A60F4
+:04032C00B27E9A23E0
+:04032D00B2CE99E6CD
+:04032E00B31E99A9B8
+:04032F00B36F996DA2
+:04033000B3BF99318D
+:04033100B41098F577
+:04033200B46198BA60
+:04033300B4B2987F49
+:04033400B504984430
+:04033500B555980919
+:04033600B5A797CE02
+:04033700B5F99794E9
+:04033800B64B975ACF
+:04033900B69D9720B6
+:04033A00B6F096E79C
+:04033B00B74296AE81
+:04033C00B795967566
+:04033D00B7E8963C4B
+:04033E00B83B96032F
+:04033F00B88F95CB13
+:04034000B8E29593F7
+:04034100B936955BD9
+:04034200B98A9524BB
+:04034300B9DE94ED9E
+:04034400BA3294B67F
+:04034500BA86947F61
+:04034600BADB944941
+:04034700BB2F941222
+:04034800BB8493DD02
+:04034900BBD993A7E2
+:04034A00BC2E9371C1
+:04034B00BC84933C9F
+:04034C00BCD993077E
+:04034D00BD2F92D35B
+:04034E00BD85929F38
+:04034F00BDDB926A16
+:04035000BE319237F1
+:04035100BE879203CE
+:04035200BEDE91D0AA
+:04035300BF34919D85
+:04035400BF8B916A60
+:04035500BFE291383A
+:04035600C03A910513
+:04035700C09190D3EE
+:04035800C0E990A2C6
+:04035900C14090709F
+:04035A00C198903F77
+:04035B00C1F0900E4F
+:04035C00C2488FDE26
+:04035D00C2A08FADFE
+:04035E00C2F88F7DD5
+:04035F00C3518F4DAA
+:04036000C3A98F1E80
+:04036100C4028EEF55
+:04036200C45B8EC02A
+:04036300C4B48E91FF
+:04036400C50D8E63D2
+:04036500C5668E34A7
+:04036600C5C08E0779
+:04036700C6198DD94D
+:04036800C6738DAC1F
+:04036900C6CD8D7FF1
+:04036A00C7278D52C2
+:04036B00C7818D2594
+:04036C00C7DB8CF966
+:04036D00C8368CCD35
+:04036E00C8908CA106
+:04036F00C8EB8C76D5
+:04037000C9468C4BA3
+:04037100C9A18C2072
+:04037200C9FC8BF542
+:04037300CA578BCB0F
+:04037400CAB28BA1DD
+:04037500CB0E8B77A9
+:04037600CB698B4E76
+:04037700CBC58B2542
+:04037800CC218AFC0E
+:04037900CC7D8AD3DA
+:04037A00CCD98AABA5
+:04037B00CD358A836F
+:04037C00CD918A5B3A
+:04037D00CDEE8A3403
+:04037E00CE4A8A0DCC
+:04037F00CEA789E696
+:04038000CF0489BF5E
+:04038100CF61899926
+:04038200CFBE8973EE
+:04038300D01B894DB5
+:04038400D07889287C
+:04038500D0D6890243
+:04038600D13388DD0A
+:04038700D19188B9CF
+:04038800D1EF889594
+:04038900D24D887158
+:04038A00D2AA884D1E
+:04038B00D3098829E1
+:04038C00D3678806A5
+:04038D00D3C587E36A
+:04038E00D42387C12C
+:04038F00D482879EEF
+:04039000D4E0877CB2
+:04039100D53F875B72
+:04039200D59E873934
+:04039300D5FD8718F5
+:04039400D65C86F7B6
+:04039500D6BB86D776
+:04039600D71A86B636
+:04039700D77A8696F5
+:04039800D7D98677B4
+:04039900D838865773
+:04039A00D898863831
+:04039B00D8F88619EF
+:04039C00D95785FBAD
+:04039D00D9B785DD6A
+:04039E00DA1785BF26
+:04039F00DA7785A1E3
+:0403A000DAD885849E
+:0403A100DB38856759
+:0403A200DB98854A15
+:0403A300DBF9852ECF
+:0403A400DC59851289
+:0403A500DCBA84F644
+:0403A600DD1A84DAFE
+:0403A700DD7B84BFB7
+:0403A800DDDC84A470
+:0403A900DE3D848928
+:0403AA00DE9E846FE0
+:0403AB00DEFF845598
+:0403AC00DF60843B4F
+:0403AD00DFC1842206
+:0403AE00E0238408BC
+:0403AF00E08483F073
+:0403B000E0E683D729
+:0403B100E14783BFDE
+:0403B200E1A983A793
+:0403B300E20A838F48
+:0403B400E26C8378FC
+:0403B500E2CE8361B0
+:0403B600E330834A63
+:0403B700E392833317
+:0403B800E3F4831DCA
+:0403B900E45683077C
+:0403BA00E4B882F22F
+:0403BB00E51A82DCE1
+:0403BC00E57D82C792
+:0403BD00E5DF82B343
+:0403BE00E642829EF3
+:0403BF00E6A4828AA4
+:0403C000E707827752
+:0403C100E769826303
+:0403C200E7CC8250B2
+:0403C300E82F823D60
+:0403C400E892822B0E
+:0403C500E8F48218BE
+:0403C600E95782066B
+:0403C700E9BA81F519
+:0403C800EA1D81E3C6
+:0403C900EA8081D273
+:0403CA00EAE381C21F
+:0403CB00EB4781B1CA
+:0403CC00EBAA81A176
+:0403CD00EC0D819121
+:0403CE00EC708182CC
+:0403CF00ECD4817376
+:0403D000ED37816420
+:0403D100ED9B8155CA
+:0403D200EDFE814774
+:0403D300EE6281391C
+:0403D400EEC5812BC6
+:0403D500EF29811E6D
+:0403D600EF8D811115
+:0403D700EFF08104BE
+:0403D800F05480F766
+:0403D900F0B880EB0D
+:0403DA00F11C80DFB3
+:0403DB00F18080D459
+:0403DC00F1E380C900
+:0403DD00F24780BEA5
+:0403DE00F2AB80B34B
+:0403DF00F30F80A9EF
+:0403E000F373809F94
+:0403E100F3D7809539
+:0403E200F43C808CDB
+:0403E300F4A080837F
+:0403E400F504807A22
+:0403E500F5688071C6
+:0403E600F5CC806969
+:0403E700F63080610B
+:0403E800F695805AAC
+:0403E900F6F980534E
+:0403EA00F75D804CEF
+:0403EB00F7C1804591
+:0403EC00F826803F30
+:0403ED00F88A8039D1
+:0403EE00F8EE803372
+:0403EF00F953802E10
+:0403F000F9B78028B1
+:0403F100FA1C80244E
+:0403F200FA80801FEE
+:0403F300FAE5801B8C
+:0403F400FB4980172A
+:0403F500FBAD8014C8
+:0403F600FC12801065
+:0403F700FC76800D03
+:0403F800FCDB800B9F
+:0403F900FD3F80093B
+:0403FA00FDA48007D7
+:0403FB00FE08800573
+:0403FC00FE6D80030F
+:0403FD00FED18002AB
+:0403FE00FF36800244
+:0403FF00FF9A8001E0
+:0404000000007FFF7A
+:04040100FFCE7FFFAC
+:04040200FF9B7FFFDE
+:04040300FF697FFF0F
+:04040400FF377FFE41
+:04040500FF057FFE72
+:04040600FED27FFEA5
+:04040700FEA07FFDD7
+:04040800FE6E7FFD08
+:04040900FE3C7FFC3A
+:04040A00FE097FFB6D
+:04040B00FDD77FFAA0
+:04040C00FDA57FF9D2
+:04040D00FD737FF804
+:04040E00FD407FF737
+:04040F00FD0E7FF669
+:04041000FCDC7FF59C
+:04041100FCAA7FF4CE
+:04041200FC777FF301
+:04041300FC457FF134
+:04041400FC137FF066
+:04041500FBE17FEE9A
+:04041600FBAE7FECCE
+:04041700FB7C7FEB00
+:04041800FB4A7FE933
+:04041900FB187FE766
+:04041A00FAE67FE59A
+:04041B00FAB37FE3CE
+:04041C00FA817FE101
+:04041D00FA4F7FDF34
+:04041E00FA1D7FDC68
+:04041F00F9EA7FDA9D
+:04042000F9B87FD8D0
+:04042100F9867FD504
+:04042200F9547FD238
+:04042300F9227FD06B
+:04042400F8EF7FCDA1
+:04042500F8BD7FCAD5
+:04042600F88B7FC709
+:04042700F8597FC43D
+:04042800F8277FC171
+:04042900F7F57FBEA6
+:04042A00F7C27FBBDB
+:04042B00F7907FB80F
+:04042C00F75E7FB444
+:04042D00F72C7FB178
+:04042E00F6FA7FADAE
+:04042F00F6C87FAAE2
+:04043000F6967FA617
+:04043100F6637FA24D
+:04043200F6317F9F81
+:04043300F5FF7F9BB7
+:04043400F5CD7F97EC
+:04043500F59B7F9321
+:04043600F5697F8F56
+:04043700F5377F8A8C
+:04043800F5057F86C1
+:04043900F4D37F82F7
+:04043A00F4A17F7D2D
+:04043B00F46F7F7962
+:04043C00F43D7F7498
+:04043D00F40A7F70CE
+:04043E00F3D87F6B05
+:04043F00F3A67F663B
+:04044000F3747F6171
+:04044100F3427F5CA7
+:04044200F3107F57DD
+:04044300F2DE7F5214
+:04044400F2AC7F4D4A
+:04044500F27A7F4880
+:04044600F2487F42B7
+:04044700F2167F3DED
+:04044800F1E47F3725
+:04044900F1B37F325A
+:04044A00F1817F2C91
+:04044B00F14F7F26C8
+:04044C00F11D7F21FE
+:04044D00F0EB7F1B36
+:04044E00F0B97F156D
+:04044F00F0877F0FA4
+:04045000F0557F09DB
+:04045100F0237F0213
+:04045200EFF17EFC4C
+:04045300EFBF7EF683
+:04045400EF8E7EEFBA
+:04045500EF5C7EE9F1
+:04045600EF2A7EE229
+:04045700EEF87EDC61
+:04045800EEC67ED599
+:04045900EE957ECED0
+:04045A00EE637EC708
+:04045B00EE317EC040
+:04045C00EDFF7EB979
+:04045D00EDCD7EB2B1
+:04045E00ED9C7EABE8
+:04045F00ED6A7EA420
+:04046000ED387E9C59
+:04046100ED077E9590
+:04046200ECD57E8DCA
+:04046300ECA37E8602
+:04046400EC717E7E3B
+:04046500EC407E7772
+:04046600EC0E7E6FAB
+:04046700EBDC7E67E5
+:04046800EBAB7E5F1D
+:04046900EB797E5756
+:04046A00EB487E4F8E
+:04046B00EB167E47C7
+:04046C00EAE47E3E02
+:04046D00EAB37E363A
+:04046E00EA817E2E73
+:04046F00EA507E25AC
+:04047000EA1E7E1DE5
+:04047100E9ED7E141F
+:04047200E9BB7E0B59
+:04047300E98A7E0292
+:04047400E9587DFACC
+:04047500E9277DF105
+:04047600E8F57DE840
+:04047700E8C47DDF79
+:04047800E8937DD5B3
+:04047900E8617DCCED
+:04047A00E8307DC326
+:04047B00E7FE7DB962
+:04047C00E7CD7DB09B
+:04047D00E79C7DA6D5
+:04047E00E76A7D9D0F
+:04047F00E7397D9349
+:04048000E7087D8983
+:04048100E6D67D80BE
+:04048200E6A57D76F8
+:04048300E6747D6C32
+:04048400E6437D626C
+:04048500E6117D57A8
+:04048600E5E07D4DE3
+:04048700E5AF7D431D
+:04048800E57E7D3957
+:04048900E54D7D2E92
+:04048A00E51B7D24CD
+:04048B00E4EA7D1909
+:04048C00E4B97D0E44
+:04048D00E4887D047E
+:04048E00E4577CF9BA
+:04048F00E4267CEEF5
+:04049000E3F57CE331
+:04049100E3C47CD86C
+:04049200E3937CCDA7
+:04049300E3627CC1E3
+:04049400E3317CB61E
+:04049500E3007CAB59
+:04049600E2CF7C9F96
+:04049700E29E7C94D1
+:04049800E26D7C880D
+:04049900E23C7C7D48
+:04049A00E20B7C7184
+:04049B00E1DB7C65C0
+:04049C00E1AA7C59FC
+:04049D00E1797C4D38
+:04049E00E1487C4174
+:04049F00E1177C35B0
+:0404A000E0E77C29EC
+:0404A100E0B67C1D28
+:0404A200E0857C1065
+:0404A300E0547C04A1
+:0404A400E0247BF8DD
+:0404A500DFF37BEB1B
+:0404A600DFC27BDE58
+:0404A700DF927BD293
+:0404A800DF617BC5D0
+:0404A900DF307BB80D
+:0404AA00DF007BAB49
+:0404AB00DECF7B9E87
+:0404AC00DE9F7B91C3
+:0404AD00DE6E7B8400
+:0404AE00DE3E7B773C
+:0404AF00DE0D7B697A
+:0404B000DDDD7B5CB7
+:0404B100DDAC7B4FF4
+:0404B200DD7C7B4131
+:0404B300DD4C7B346D
+:0404B400DD1B7B26AB
+:0404B500DCEB7B18E9
+:0404B600DCBB7B0A26
+:0404B700DC8A7AFC65
+:0404B800DC5A7AEEA2
+:0404B900DC2A7AE0DF
+:0404BA00DBFA7AD21D
+:0404BB00DBC97AC45B
+:0404BC00DB997AB698
+:0404BD00DB697AA8D5
+:0404BE00DB397A9913
+:0404BF00DB097A8B50
+:0404C000DAD97A7C8F
+:0404C100DAA87A6DCE
+:0404C200DA787A5F0B
+:0404C300DA487A5049
+:0404C400DA187A4187
+:0404C500D9E87A32C6
+:0404C600D9B87A2304
+:0404C700D9887A1442
+:0404C800D9587A0580
+:0404C900D92979F6BE
+:0404CA00D8F979E7FD
+:0404CB00D8C979D73C
+:0404CC00D89979C87A
+:0404CD00D86979B8B9
+:0404CE00D83979A9F7
+:0404CF00D80A799935
+:0404D000D7DA798975
+:0404D100D7AA7979B4
+:0404D200D77B796AF1
+:0404D300D74B795A30
+:0404D400D71B794A6F
+:0404D500D6EC7939AF
+:0404D600D6BC7929EE
+:0404D700D68C79192D
+:0404D800D65D79096B
+:0404D900D62D78F8AC
+:0404DA00D5FE78E8EB
+:0404DB00D5CE78D72B
+:0404DC00D59F78C769
+:0404DD00D57078B6A8
+:0404DE00D54078A5E8
+:0404DF00D511789526
+:0404E000D4E1788467
+:0404E100D4B27873A6
+:0404E200D4837862E5
+:0404E300D454785124
+:0404E400D424783F65
+:0404E500D3F5782EA5
+:0404E600D3C6781DE4
+:0404E700D397780B24
+:0404E800D36877FA64
+:0404E900D33977E8A4
+:0404EA00D30A77D7E3
+:0404EB00D2DA77C525
+:0404EC00D2AB77B365
+:0404ED00D27C77A1A5
+:0404EE00D24E778FE4
+:0404EF00D21F777D24
+:0404F000D1F0776B65
+:0404F100D1C17759A5
+:0404F200D1927747E5
+:0404F300D163773525
+:0404F400D134772365
+:0404F500D1067710A5
+:0404F600D0D776FEE7
+:0404F700D0A876EB28
+:0404F800D07976D869
+:0404F900D04B76C6A8
+:0404FA00D01C76B3E9
+:0404FB00CFEE76A02A
+:0404FC00CFBF768D6B
+:0404FD00CF90767AAC
+:0404FE00CF627667EC
+:0404FF00CF3376542D
+:04050000CF0576416C
+:04050100CED7762EAD
+:04050200CEA8761AEF
+:04050300CE7A76072F
+:04050400CE4B75F372
+:04050500CE1D75E0B2
+:04050600CDEF75CCF4
+:04050700CDC175B835
+:04050800CD9275A576
+:04050900CD647591B7
+:04050A00CD36757DF8
+:04050B00CD08756939
+:04050C00CCDA75557B
+:04050D00CCAC7541BC
+:04050E00CC7E752DFD
+:04050F00CC5075183F
+:04051000CC22750480
+:04051100CBF474F0C3
+:04051200CBC674DB05
+:04051300CB9874C746
+:04051400CB6A74B288
+:04051500CB3D749DC9
+:04051600CB0F74890A
+:04051700CAE174744D
+:04051800CAB3745F8F
+:04051900CA86744AD0
+:04051A00CA58743512
+:04051B00CA2A742054
+:04051C00C9FD740B96
+:04051D00C9CF73F5DA
+:04051E00C9A273E01B
+:04051F00C97473CB5D
+:04052000C94773B59F
+:04052100C91973A0E1
+:04052200C8EC738A24
+:04052300C8BF737466
+:04052400C891735FA8
+:04052500C8647349EA
+:04052600C83773332C
+:04052700C809731D6F
+:04052800C7DC7307B2
+:04052900C7AF72F1F5
+:04052A00C78272DB37
+:04052B00C75572C579
+:04052C00C72872AEBC
+:04052D00C6FB7298FF
+:04052E00C6CE728142
+:04052F00C6A1726B84
+:04053000C6747254C7
+:04053100C647723E09
+:04053200C61A72274C
+:04053300C5EE72108F
+:04053400C5C171F9D3
+:04053500C59471E315
+:04053600C56771CC58
+:04053700C53B71B59A
+:04053800C50E719DDE
+:04053900C4E1718622
+:04053A00C4B5716F64
+:04053B00C4887158A7
+:04053C00C45C7140EA
+:04053D00C42F71292D
+:04053E00C403711170
+:04053F00C3D770FAB4
+:04054000C3AA70E2F8
+:04054100C37E70CA3B
+:04054200C35270B37D
+:04054300C325709BC1
+:04054400C2F9708305
+:04054500C2CD706B48
+:04054600C2A170538B
+:04054700C275703BCE
+:04054800C249702212
+:04054900C21D700A55
+:04054A00C1F16FF29A
+:04054B00C1C56FD9DE
+:04054C00C1996FC121
+:04054D00C16D6FA865
+:04054E00C1416F90A8
+:04054F00C1156F77EC
+:04055000C0EA6F5E30
+:04055100C0BE6F4574
+:04055200C0926F2DB7
+:04055300C0676F14FA
+:04055400C03B6EFB3F
+:04055500C0106EE282
+:04055600BFE36EC8C9
+:04055700BFB86EAF0C
+:04055800BF8C6E9650
+:04055900BF616E7D93
+:04055A00BF356E63D8
+:04055B00BF0A6E4A1B
+:04055C00BEDF6E3060
+:04055D00BEB36E17A4
+:04055E00BE886DFDE9
+:04055F00BE5D6DE32D
+:04056000BE326DC971
+:04056100BE076DAFB5
+:04056200BDDC6D96F9
+:04056300BDB16D7C3D
+:04056400BD866D6182
+:04056500BD5B6D47C6
+:04056600BD306D2D0A
+:04056700BD056D134E
+:04056800BCDA6CF994
+:04056900BCB06CDED8
+:04056A00BC856CC41C
+:04056B00BC5A6CA961
+:04056C00BC2F6C8FA5
+:04056D00BC056C74E9
+:04056E00BBDA6C592F
+:04056F00BBB06C3E73
+:04057000BB856C23B8
+:04057100BB5B6C09FB
+:04057200BB306BEE41
+:04057300BB066BD385
+:04057400BADC6BB7CB
+:04057500BAB16B9C10
+:04057600BA876B8154
+:04057700BA5D6B6698
+:04057800BA336B4ADD
+:04057900BA096B2F21
+:04057A00B9DF6B1367
+:04057B00B9B56AF8AC
+:04057C00B98B6ADCF1
+:04057D00B9616AC036
+:04057E00B9376AA57A
+:04057F00B90D6A89BF
+:04058000B8E36A6D05
+:04058100B8B96A514A
+:04058200B8906A358E
+:04058300B8666A19D3
+:04058400B83C69FD19
+:04058500B81369E15D
+:04058600B7E969C4A4
+:04058700B7C069A8E8
+:04058800B796698B2E
+:04058900B76D696F72
+:04058A00B7436952B8
+:04058B00B71A6936FC
+:04058C00B6F1691942
+:04058D00B6C868FD87
+:04058E00B69E68E0CD
+:04058F00B67568C312
+:04059000B64C68A657
+:04059100B62368899C
+:04059200B5FA686CE2
+:04059300B5D1684F27
+:04059400B5A868326C
+:04059500B57F6814B2
+:04059600B55667F7F8
+:04059700B52E67DA3C
+:04059800B50567BC82
+:04059900B4DC679FC8
+:04059A00B4B367810E
+:04059B00B48B676452
+:04059C00B462674698
+:04059D00B43A6728DD
+:04059E00B411670B22
+:04059F00B3E966ED69
+:0405A000B3C066CFAF
+:0405A100B39866B1F4
+:0405A200B370669339
+:0405A300B34766757F
+:0405A400B31F6657C4
+:0405A500B2F766380B
+:0405A600B2CF661A50
+:0405A700B2A765FC96
+:0405A800B27F65DDDC
+:0405A900B25765BF21
+:0405AA00B22F65A067
+:0405AB00B2076582AC
+:0405AC00B1DF6563F3
+:0405AD00B1B7654439
+:0405AE00B19065267D
+:0405AF00B1686507C3
+:0405B000B14064E80A
+:0405B100B11964C94F
+:0405B200B0F164AA96
+:0405B300B0CA648BDB
+:0405B400B0A2646C21
+:0405B500B07B644D66
+:0405B600B053642DAD
+:0405B700B02C640EF2
+:0405B800B00563EF38
+:0405B900AFDE63CF7F
+:0405BA00AFB663B0C5
+:0405BB00AF8F63900B
+:0405BC00AF68637150
+:0405BD00AF41635196
+:0405BE00AF1A6331DC
+:0405BF00AEF3631123
+:0405C000AECC62F16A
+:0405C100AEA662D2AE
+:0405C200AE7F62B2F4
+:0405C300AE5862923A
+:0405C400AE31627181
+:0405C500AE0B6251C6
+:0405C600ADE462310D
+:0405C700ADBE621152
+:0405C800AD9761F09A
+:0405C900AD7161D0DF
+:0405CA00AD4A61B025
+:0405CB00AD24618F6B
+:0405CC00ACFE616FB1
+:0405CD00ACD8614EF7
+:0405CE00ACB1612D3E
+:0405CF00AC8B610D83
+:0405D000AC6560ECCA
+:0405D100AC3F60CB10
+:0405D200AC1960AA56
+:0405D300ABF360899D
+:0405D400ABCD6068E3
+:0405D500ABA7604729
+:0405D600AB8260266E
+:0405D700AB5C6004B5
+:0405D800AB365FE3FC
+:0405D900AB115FC241
+:0405DA00AAEB5FA089
+:0405DB00AAC65F7FCE
+:0405DC00AAA05F5E14
+:0405DD00AA7B5F3C5A
+:0405DE00AA555F1AA1
+:0405DF00AA305EF9E7
+:0405E000AA0B5ED72D
+:0405E100A9E55EB575
+:0405E200A9C05E93BB
+:0405E300A99B5E7101
+:0405E400A9765E5046
+:0405E500A9515E2E8C
+:0405E600A92C5E0BD3
+:0405E700A9075DE91A
+:0405E800A8E25DC761
+:0405E900A8BE5DA5A6
+:0405EA00A8995D83EC
+:0405EB00A8745D6033
+:0405EC00A8505D3E78
+:0405ED00A82B5D1BBF
+:0405EE00A8065CF906
+:0405EF00A7E25CD64D
+:0405F000A7BE5CB492
+:0405F100A7995C91D9
+:0405F200A7755C6E1F
+:0405F300A7515C4B65
+:0405F400A72C5C29AB
+:0405F500A7085C06F1
+:0405F600A6E45BE339
+:0405F700A6C05BC07F
+:0405F800A69C5B9DC5
+:0405F900A6785B7A0B
+:0405FA00A6545B5652
+:0405FB00A6305B3398
+:0405FC00A60D5B10DD
+:0405FD00A5E95AEC26
+:0405FE00A5C55AC96C
+:0405FF00A5A25AA6B1
+:04060000A57E5A82F7
+:04060100A55A5A5E3E
+:04060200A5375A3B83
+:04060300A5145A17C9
+:04060400A4F059F312
+:04060500A4CD59D057
+:04060600A4AA59AC9D
+:04060700A4865988E4
+:04060800A46359642A
+:04060900A440594070
+:04060A00A41D591CB6
+:04060B00A3FA58F8FE
+:04060C00A3D758D444
+:04060D00A3B558AF8A
+:04060E00A392588BD0
+:04060F00A36F586716
+:04061000A34C58425D
+:04061100A32A581EA2
+:04061200A30757FAE9
+:04061300A2E557D530
+:04061400A2C257B077
+:04061500A2A0578CBC
+:04061600A27D576703
+:04061700A25B574249
+:04061800A239571E8E
+:04061900A21756F9D5
+:04061A00A1F556D41C
+:04061B00A1D256AF63
+:04061C00A1B0568AA9
+:04061D00A18F5665EE
+:04061E00A16D564034
+:04061F00A14B561B7A
+:04062000A12955F5C2
+:04062100A10755D008
+:04062200A0E655AB4E
+:04062300A0C4558595
+:04062400A0A25560DB
+:04062500A081553A21
+:04062600A060551566
+:04062700A03E54EFAE
+:04062800A01D54CAF3
+:040629009FFC54A43A
+:04062A009FDA547E81
+:04062B009FB95459C6
+:04062C009F9854330C
+:04062D009F77540D52
+:04062E009F5653E799
+:04062F009F3553C1DF
+:040630009F14539B25
+:040631009EF353756C
+:040632009ED3534FB1
+:040633009EB25328F8
+:040634009E9153023E
+:040635009E7152DC84
+:040636009E5052B6CA
+:040637009E30528F10
+:040638009E10526955
+:040639009DEF52429D
+:04063A009DCF521CE2
+:04063B009DAF51F529
+:04063C009D8F51CF6E
+:04063D009D6E51A8B5
+:04063E009D4E5181FB
+:04063F009D2E515A41
+:040640009D0F513485
+:040641009CEF510DCC
+:040642009CCF50E613
+:040643009CAF50BF59
+:040644009C8F50989F
+:040645009C705071E4
+:040646009C50504A2A
+:040647009C31502270
+:040648009C114FFBB7
+:040649009BF24FD4FD
+:04064A009BD34FAD42
+:04064B009BB34F8589
+:04064C009B944F5ECE
+:04064D009B754F3614
+:04064E009B564F0F59
+:04064F009B374EE7A0
+:040650009B184EC0E5
+:040651009AF94E982C
+:040652009ADA4E7072
+:040653009ABC4E49B6
+:040654009A9D4E21FC
+:040655009A7E4DF943
+:040656009A604DD188
+:040657009A414DA9CE
+:040658009A234D8113
+:040659009A044D5959
+:04065A0099E64D319F
+:04065B0099C84D09E4
+:04065C0099A94CE12B
+:04065D00998B4CB970
+:04065E00996D4C90B6
+:04065F00994F4C68FB
+:0406600099314C4040
+:0406610099134C1786
+:0406620098F54BEFCD
+:0406630098D84BC612
+:0406640098BA4B9E57
+:04066500989C4B759D
+:04066600987F4B4DE1
+:0406670098614B2427
+:0406680098444AFB6D
+:0406690098264AD2B3
+:04066A0098094AAAF7
+:04066B0097EC4A813D
+:04066C0097CE4A5883
+:04066D0097B14A2FC8
+:04066E0097944A060D
+:04066F00977749DD53
+:04067000975A49B498
+:04067100973D498BDD
+:040672009720496222
+:040673009703493868
+:0406740096E7490FAD
+:0406750096CA48E6F3
+:0406760096AE48BD37
+:04067700969148937D
+:040678009675486AC1
+:040679009658484007
+:04067A00963C48174B
+:04067B00961F47ED92
+:04067C00960347C4D6
+:04067D0095E7479A1C
+:04067E0095CB477061
+:04067F0095AF4747A5
+:040680009593471DEA
+:04068100957746F330
+:04068200955B46C975
+:040683009540469FB9
+:0406840095244675FE
+:040685009508464B43
+:0406860094ED462188
+:0406870094D145F7CE
+:0406880094B645CD12
+:04068900949A45A357
+:04068A00947F45799B
+:04068B009464454FDF
+:04068C009449452424
+:04068D00942D44FA6A
+:04068E00941244D0AE
+:04068F0093F744A5F4
+:0406900093DD447B37
+:0406910093C244507C
+:0406920093A74426C0
+:04069300938C43FB06
+:04069400937143D14A
+:04069500935743A68E
+:04069600933C437BD3
+:040697009322435017
+:04069800930743265B
+:0406990092ED42FBA1
+:04069A0092D342D0E5
+:04069B0092B942A529
+:04069C00929F427A6D
+:04069D009284424FB2
+:04069E00926A4224F6
+:04069F00925141F93A
+:0406A000923741CE7E
+:0406A100921D41A3C2
+:0406A2009203417806
+:0406A30091E9414D4B
+:0406A40091D041218F
+:0406A50091B640F6D4
+:0406A600919D40CB17
+:0406A7009183409F5C
+:0406A800916A40749F
+:0406A90091514048E3
+:0406AA009138401D26
+:0406AB00911E3FF06D
+:0406AC0091053FC5B0
+:0406AD0090EC3F99F5
+:0406AE0090D33F6E38
+:0406AF0090BB3F427B
+:0406B00090A23F16BF
+:0406B10090893EEB03
+:0406B20090703EBF47
+:0406B30090583E938A
+:0406B400903F3E67CE
+:0406B50090273E3B11
+:0406B600900E3E0F55
+:0406B7008FF63DE39A
+:0406B8008FDE3DB7DD
+:0406B9008FC53D8B21
+:0406BA008FAD3D5F64
+:0406BB008F953D33A7
+:0406BC008F7D3D07EA
+:0406BD008F653CDB2E
+:0406BE008F4D3CAE72
+:0406BF008F363C82B4
+:0406C0008F1E3C56F7
+:0406C1008F063C293B
+:0406C2008EEF3BFD7F
+:0406C3008ED73BD1C2
+:0406C4008EC03BA405
+:0406C5008EA83B7848
+:0406C6008E913B4B8B
+:0406C7008E7A3B1FCD
+:0406C8008E633AF211
+:0406C9008E4B3AC555
+:0406CA008E343A9997
+:0406CB008E1D3A6CDA
+:0406CC008E073A3F1C
+:0406CD008DF03A1260
+:0406CE008DD939E6A3
+:0406CF008DC239B9E6
+:0406D0008DAC398C28
+:0406D1008D95395F6B
+:0406D2008D7F3932AD
+:0406D3008D683905F0
+:0406D4008D5238D833
+:0406D5008D3B38AB76
+:0406D6008D25387EB8
+:0406D7008D0F3851FA
+:0406D8008CF938243D
+:0406D9008CE337F780
+:0406DA008CCD37C9C3
+:0406DB008CB7379C05
+:0406DC008CA1376F47
+:0406DD008C8C374189
+:0406DE008C763714CB
+:0406DF008C6036E70E
+:0406E0008C4B36B950
+:0406E1008C35368C92
+:0406E2008C20365ED4
+:0406E3008C0B363115
+:0406E4008BF5360359
+:0406E5008BE035D69B
+:0406E6008BCB35A8DD
+:0406E7008BB6357A1F
+:0406E8008BA1354D60
+:0406E9008B8C351FA2
+:0406EA008B7734F1E5
+:0406EB008B6334C326
+:0406EC008B4E349667
+:0406ED008B393468A9
+:0406EE008B25343AEA
+:0406EF008B10340C2C
+:0406F0008AFC33DE6F
+:0406F1008AE833B0B0
+:0406F2008AD33382F2
+:0406F3008ABF335433
+:0406F4008AAB332674
+:0406F5008A9732F8B6
+:0406F6008A8332CAF7
+:0406F7008A6F329C38
+:0406F8008A5B326E79
+:0406F9008A48323FBA
+:0406FA008A343211FB
+:0406FB008A2031E33D
+:0406FC008A0D31B57D
+:0406FD0089F93186C0
+:0406FE0089E6315800
+:0406FF0089D2312942
+:0407000089BF30FB82
+:0407010089AC30CDC2
+:040702008999309E03
+:040703008986307043
+:040704008973304184
+:0407050089603012C5
+:04070600894D2FE406
+:04070700893A2FB547
+:0407080089282F8786
+:0407090089152F58C7
+:04070A0089022F2908
+:04070B0088F02EFA4A
+:04070C0088DD2ECC8A
+:04070D0088CB2E9DCA
+:04070E0088B92E6E0A
+:04070F0088A72E3F4A
+:0407100088952E108A
+:0407110088832DE1CB
+:0407120088712DB20B
+:04071300885F2D844A
+:04071400884D2D558A
+:04071500883B2D26CA
+:0407160088292CF60C
+:0407170088182CC74B
+:0407180088062C988B
+:0407190087F52C69CB
+:04071A0087E32C3A0B
+:04071B0087D22C0B4A
+:04071C0087C12BDC8A
+:04071D0087AF2BACCB
+:04071E00879E2B7D0A
+:04071F00878D2B4E49
+:04072000877C2B1F88
+:04072100876B2AEFC9
+:04072200875B2AC007
+:04072300874A2A9047
+:0407240087392A6186
+:0407250087292A32C4
+:0407260087182A0204
+:04072700870829D343
+:0407280086F729A384
+:0407290086E72974C2
+:04072A0086D7294401
+:04072B0086C7291440
+:04072C0086B628E580
+:04072D0086A628B5BF
+:04072E0086962885FE
+:04072F00868728563B
+:04073000867728267A
+:04073100866727F6BA
+:04073200865727C7F8
+:040733008648279736
+:040734008638276775
+:0407350086292737B3
+:0407360086192707F2
+:04073700860A26D731
+:0407380085FB26A86F
+:0407390085EC2678AD
+:04073A0085DD2648EB
+:04073B0085CE261829
+:04073C0085BF25E868
+:04073D0085B025B8A6
+:04073E0085A12588E4
+:04073F008593255821
+:040740008584252760
+:04074100857524F79F
+:04074200856724C7DC
+:04074300855824971A
+:04074400854A246757
+:04074500853C243794
+:04074600852E2406D2
+:04074700852023D610
+:04074800851223A64D
+:04074900850423768A
+:04074A0084F62345C9
+:04074B0084E8231506
+:04074C0084DA22E544
+:04074D0084CC22B482
+:04074E0084BF2284BE
+:04074F0084B12254FB
+:0407500084A4222338
+:04075100849721F375
+:04075200848921C2B3
+:04075300847C2192EF
+:04075400846F21612C
+:040755008462213168
+:0407560084552100A5
+:04075700844820D0E2
+:04075800843B209F1F
+:04075900842E206E5C
+:04075A008422203E97
+:04075B008415200DD4
+:04075C0084081FDC12
+:04075D0083FC1FAC4E
+:04075E0083F01F7B8A
+:04075F0083E31F4AC7
+:0407600083D71F1903
+:0407610083CB1EE93F
+:0407620083BF1EB87B
+:0407630083B31E87B7
+:0407640083A71E56F3
+:04076500839B1E252F
+:04076600838F1DF56B
+:0407670083831DC4A7
+:0407680083781D93E2
+:04076900836C1D621E
+:04076A0083611D3159
+:04076B0083551D0095
+:04076C00834A1CCFD1
+:04076D00833F1C9E0C
+:04076E0083331C6D48
+:04076F0083281C3C83
+:04077000831D1C0BBE
+:0407710083121BDAFA
+:0407720083071BA935
+:0407730082FC1B7871
+:0407740082F21B47AB
+:0407750082E71B16E6
+:0407760082DC1AE522
+:0407770082D21AB35D
+:0407780082C71A8298
+:0407790082BD1A51D2
+:04077A0082B31A200C
+:04077B0082A919EF47
+:04077C00829E19BD83
+:04077D008294198CBD
+:04077E00828A195BF7
+:04077F008280192A31
+:04078000827718F86C
+:04078100826D18C7A6
+:0407820082631896E0
+:04078300825A18641A
+:040784008250183354
+:04078500824718028D
+:04078600823D17D0C9
+:040787008234179F02
+:04078800822B176D3C
+:040789008221173C76
+:04078A008218170BAF
+:04078B00820F16D9EA
+:04078C00820616A823
+:04078D0081FE16765D
+:04078E0081F5164596
+:04078F0081EC1613D0
+:0407900081E315E20A
+:0407910081DB15B043
+:0407920081D2157F7C
+:0407930081CA154DB5
+:0407940081C2151CED
+:0407950081B914EA28
+:0407960081B114B861
+:0407970081A9148799
+:0407980081A11455D2
+:04079900819914240A
+:04079A00819113F244
+:04079B00818913C07D
+:04079C008182138FB4
+:04079D00817A135DED
+:04079E008173132B25
+:04079F00816B12F95F
+:0407A000816412C896
+:0407A100815C1296CF
+:0407A2008155126407
+:0407A300814E12333E
+:0407A4008147120176
+:0407A500814011CFAF
+:0407A6008139119DE7
+:0407A7008132116B1F
+:0407A800812B113A56
+:0407A900812411088E
+:0407AA00811E10D6C6
+:0407AB00811710A4FE
+:0407AC008111107235
+:0407AD00810A10416C
+:0407AE008104100FA3
+:0407AF0080FE0FDDDC
+:0407B00080F70FAB14
+:0407B10080F10F794B
+:0407B20080EB0F4782
+:0407B30080E50F15B9
+:0407B40080DF0EE3F1
+:0407B50080DA0EB127
+:0407B60080D40E7F5E
+:0407B70080CE0E4D95
+:0407B80080C90E1CCA
+:0407B90080C30DEA02
+:0407BA0080BE0DB838
+:0407BB0080B80D866F
+:0407BC0080B30D54A5
+:0407BD0080AE0D22DB
+:0407BE0080A90CF012
+:0407BF0080A40CBE48
+:0407C000809F0C8C7E
+:0407C100809A0C5AB4
+:0407C20080950C28EA
+:0407C30080900BF621
+:0407C400808C0BC357
+:0407C50080870B918D
+:0407C60080830B5FC2
+:0407C700807E0B2DF8
+:0407C800807A0AFB2E
+:0407C90080760AC963
+:0407CA0080710A9799
+:0407CB00806D0A65CE
+:0407CC0080690A3303
+:0407CD0080650A0138
+:0407CE00806109CF6E
+:0407CF00805E099DA2
+:0407D000805A096AD8
+:0407D100805609380D
+:0407D2008053090641
+:0407D300804F08D477
+:0407D400804C08A2AB
+:0407D50080480870E0
+:0407D6008045083E14
+:0407D7008042080B49
+:0407D800803F07D97E
+:0407D900803C07A7B2
+:0407DA0080390775E6
+:0407DB00803607431A
+:0407DC00803307114E
+:0407DD00803006DE84
+:0407DE00802E06ACB7
+:0407DF00802B067AEB
+:0407E000802806481F
+:0407E1008026061652
+:0407E200802405E387
+:0407E300802105B1BB
+:0407E400801F057FEE
+:0407E500801D054D21
+:0407E600801B051A55
+:0407E700801904E889
+:0407E800801704B6BC
+:0407E90080150484EF
+:0407EA008014045221
+:0407EB008012041F55
+:0407EC00801003ED89
+:0407ED00800F03BBBB
+:0407EE00800D0389EE
+:0407EF00800C035621
+:0407F000800B032453
+:0407F100800A02F286
+:0407F200800902C0B8
+:0407F3008008028DEB
+:0407F4008007025B1D
+:0407F500800602294F
+:0407F600800501F782
+:0407F700800401C4B5
+:0407F80080030192E7
+:0407F9008003016018
+:0407FA008002012E4A
+:0407FB00800200FB7D
+:0407FC00800200C9AE
+:0407FD0080010097E0
+:0407FE008001006511
+:0407FF008001003243
+:0408000000007FFF76
+:04080100FF697FFF0D
+:04080200FED27FFEA5
+:04080300FE3C7FFC3C
+:04080400FDA57FF9D6
+:04080500FD0E7FF66F
+:04080600FC777FF309
+:04080700FBE17FEEA4
+:04080800FB4A7FE93F
+:04080900FAB37FE3DC
+:04080A00FA1D7FDC78
+:04080B00F9867FD516
+:04080C00F8EF7FCDB5
+:04080D00F8597FC453
+:04080E00F7C27FBBF3
+:04080F00F72C7FB192
+:04081000F6967FA633
+:04081100F5FF7F9BD5
+:04081200F5697F8F76
+:04081300F4D37F8219
+:04081400F43D7F74BC
+:04081500F3A67F6661
+:04081600F3107F5705
+:04081700F27A7F48AA
+:04081800F1E47F3751
+:04081900F14F7F26F6
+:04081A00F0B97F159D
+:04081B00F0237F0245
+:04081C00EF8E7EEFEE
+:04081D00EEF87EDC97
+:04081E00EE637EC740
+:04081F00EDCD7EB2EB
+:04082000ED387E9C95
+:04082100ECA37E8640
+:04082200EC0E7E6FEB
+:04082300EB797E5798
+:04082400EAE47E3E46
+:04082500EA507E25F2
+:04082600E9BB7E0BA1
+:04082700E9277DF14F
+:04082800E8937DD5FF
+:04082900E7FE7DB9B0
+:04082A00E76A7D9D5F
+:04082B00E6D67D8010
+:04082C00E6437D62C0
+:04082D00E5AF7D4373
+:04082E00E51B7D2425
+:04082F00E4887D04D8
+:04083000E3F57CE38D
+:04083100E3627CC141
+:04083200E2CF7C9FF6
+:04083300E23C7C7DAA
+:04083400E1AA7C5960
+:04083500E1177C3516
+:04083600E0857C10CD
+:04083700DFF37BEB85
+:04083800DF617BC53C
+:04083900DECF7B9EF5
+:04083A00DE3E7B77AC
+:04083B00DDAC7B4F66
+:04083C00DD1B7B261F
+:04083D00DC8A7AFCDB
+:04083E00DBFA7AD295
+:04083F00DB697AA84F
+:04084000DAD97A7C0B
+:04084100DA487A50C7
+:04084200D9B87A2384
+:04084300D92979F640
+:04084400D89979C8FE
+:04084500D80A7999BB
+:04084600D77B796A79
+:04084700D6EC793939
+:04084800D65D7909F7
+:04084900D5CE78D7B9
+:04084A00D54078A578
+:04084B00D4B2787338
+:04084C00D424783FF9
+:04084D00D397780BBA
+:04084E00D30A77D77B
+:04084F00D27C77A13F
+:04085000D1F0776B01
+:04085100D1637735C3
+:04085200D0D776FE87
+:04085300D04B76C64A
+:04085400CFBF768D0F
+:04085500CF337654D3
+:04085600CEA8761A98
+:04085700CE1D75E05D
+:04085800CD9275A523
+:04085900CD087569E8
+:04085A00CC7E752DAE
+:04085B00CBF474F076
+:04085C00CB6A74B23D
+:04085D00CAE1747404
+:04085E00CA587435CB
+:04085F00C9CF73F595
+:04086000C94773B55C
+:04086100C8BF737425
+:04086200C8377333ED
+:04086300C7AF72F1B8
+:04086400C72872AE81
+:04086500C6A1726B4B
+:04086600C61A722715
+:04086700C59471E3E0
+:04086800C50E719DAB
+:04086900C488715876
+:04086A00C403711141
+:04086B00C37E70CA0E
+:04086C00C2F97083DA
+:04086D00C275703BA5
+:04086E00C1F16FF273
+:04086F00C16D6FA840
+:04087000C0EA6F5E0D
+:04087100C0676F14D9
+:04087200BFE36EC8AA
+:04087300BF616E7D76
+:04087400BEDF6E3045
+:04087500BE5D6DE314
+:04087600BDDC6D96E2
+:04087700BD5B6D47B1
+:04087800BCDA6CF981
+:04087900BC5A6CA950
+:04087A00BBDA6C5920
+:04087B00BB5B6C09EE
+:04087C00BADC6BB7C0
+:04087D00BA5D6B668F
+:04087E00B9DF6B1360
+:04087F00B9616AC031
+:04088000B8E36A6D02
+:04088100B8666A19D2
+:04088200B7E969C4A5
+:04088300B76D696F75
+:04088400B6F1691947
+:04088500B67568C319
+:04088600B5FA686CEB
+:04088700B57F6814BD
+:04088800B50567BC8F
+:04088900B48B676461
+:04088A00B411670B33
+:04088B00B39866B107
+:04088C00B31F6657D9
+:04088D00B2A765FCAD
+:04088E00B22F65A080
+:04088F00B1B7654454
+:04089000B14064E827
+:04089100B0CA648BFA
+:04089200B053642DCE
+:04089300AFDE63CFA2
+:04089400AF68637175
+:04089500AEF363114A
+:04089600AE7F62B21D
+:04089700AE0B6251F1
+:04089800AD9761F0C7
+:04089900AD24618F9A
+:04089A00ACB1612D6F
+:04089B00AC3F60CB43
+:04089C00ABCD606818
+:04089D00AB5C6004EC
+:04089E00AAEB5FA0C2
+:04089F00AA7B5F3C95
+:0408A000AA0B5ED76A
+:0408A100A99B5E7140
+:0408A200A92C5E0B14
+:0408A300A8BE5DA5E9
+:0408A400A8505D3EBD
+:0408A500A7E25CD694
+:0408A600A7755C6E68
+:0408A700A7085C063C
+:0408A800A69C5B9D12
+:0408A900A6305B33E7
+:0408AA00A5C55AC9BD
+:0408AB00A55A5A5E92
+:0408AC00A4F059F368
+:0408AD00A48659883C
+:0408AE00A41D591C10
+:0408AF00A3B558AFE6
+:0408B000A34C5842BB
+:0408B100A2E557D590
+:0408B200A27D576765
+:0408B300A21756F939
+:0408B400A1B0568A0F
+:0408B500A14B561BE2
+:0408B600A0E655ABB8
+:0408B700A081553A8D
+:0408B800A01D54CA61
+:0408B9009FB9545936
+:0408BA009F5653E70B
+:0408BB009EF35375E0
+:0408BC009E915302B4
+:0408BD009E30528F88
+:0408BE009DCF521C5C
+:0408BF009D6E51A831
+:0408C0009D0F513403
+:0408C1009CAF50BFD9
+:0408C2009C50504AAC
+:0408C3009BF24FD481
+:0408C4009B944F5E54
+:0408C5009B374EE728
+:0408C6009ADA4E70FC
+:0408C7009A7E4DF9CF
+:0408C8009A234D81A1
+:0408C90099C84D0974
+:0408CA00996D4C9048
+:0408CB0099134C171A
+:0408CC0098BA4B9EED
+:0408CD0098614B24BF
+:0408CE0098094AAA91
+:0408CF0097B14A2F64
+:0408D000975A49B436
+:0408D1009703493808
+:0408D20096AE48BDD9
+:0408D30096584840AB
+:0408D400960347C47C
+:0408D50095AF47474D
+:0408D600955B46C91F
+:0408D7009508464BEF
+:0408D80094B645CDC0
+:0408D9009464454F8F
+:0408DA00941244D060
+:0408DB0093C2445030
+:0408DC00937143D100
+:0408DD0093224350CF
+:0408DE0092D342D09F
+:0408DF009284424F6E
+:0408E000923741CE3C
+:0408E10091E9414D0B
+:0408E200919D40CBD9
+:0408E30091514048A7
+:0408E40091053FC576
+:0408E50090BB3F4243
+:0408E60090703EBF11
+:0408E70090273E3BDD
+:0408E8008FDE3DB7AB
+:0408E9008F953D3377
+:0408EA008F4D3CAE44
+:0408EB008F063C290F
+:0408EC008EC03BA4DB
+:0408ED008E7A3B1FA5
+:0408EE008E343A9971
+:0408EF008DF03A123C
+:0408F0008DAC398C06
+:0408F1008D683905D0
+:0408F2008D25387E9A
+:0408F3008CE337F764
+:0408F4008CA1376F2D
+:0408F5008C6036E7F6
+:0408F6008C20365EBE
+:0408F7008BE035D687
+:0408F8008BA1354D4E
+:0408F9008B6334C316
+:0408FA008B25343ADC
+:0408FB008AE833B0A4
+:0408FC008AAB33266A
+:0408FD008A6F329C30
+:0408FE008A343211F5
+:0408FF0089F93186BC
+:0409000089BF30FB80
+:040901008986307043
+:04090200894D2FE408
+:0409030089152F58CB
+:0409040088DD2ECC90
+:0409050088A72E3F52
+:0409060088712DB215
+:04090700883B2D26D6
+:0409080088062C9899
+:0409090087D22C0B5A
+:04090A00879E2B7D1C
+:04090B00876B2AEFDD
+:04090C0087392A619C
+:04090D00870829D35B
+:04090E0086D729441B
+:04090F0086A628B5DB
+:040910008677282698
+:040911008648279756
+:040912008619270714
+:0409130085EC2678D1
+:0409140085BF25E88E
+:040915008593255849
+:04091600856724C706
+:04091700853C2437C0
+:04091800851223A67B
+:0409190084E8231536
+:04091A0084BF2284F0
+:04091B00849721F3A9
+:04091C00846F216162
+:04091D00844820D01A
+:04091E008422203ED1
+:04091F0083FC1FAC8A
+:0409200083D71F1941
+:0409210083B31E87F7
+:04092200838F1DF5AD
+:04092300836C1D6262
+:04092400834A1CCF17
+:0409250083281C3CCB
+:0409260083071BA97F
+:0409270082E71B1632
+:0409280082C71A82E6
+:0409290082A919EF97
+:04092A00828A195B49
+:04092B00826D18C7FA
+:04092C0082501833AA
+:04092D008234179F5A
+:04092E008218170B09
+:04092F0081FE1676B9
+:0409300081E315E268
+:0409310081CA154D15
+:0409320081B114B8C3
+:04093300819914246E
+:040934008182138F1A
+:04093500816B12F9C7
+:040936008155126471
+:04093700814011CF1B
+:04093800812B113AC4
+:04093900811710A46E
+:04093A008104100F15
+:04093B0080F10F79BF
+:04093C0080DF0EE367
+:04093D0080CE0E4D0D
+:04093E0080BE0DB8B2
+:04093F0080AE0D2257
+:04094000809F0C8CFC
+:0409410080900BF6A1
+:0409420080830B5F44
+:0409430080760AC9E7
+:0409440080690A3389
+:04094500805E099D2A
+:0409460080530906CB
+:04094700804808706C
+:04094800803F07D90C
+:0409490080360743AA
+:04094A00802E06AC49
+:04094B0080260616E6
+:04094C00801F057F84
+:04094D00801904E821
+:04094E0080140452BB
+:04094F00800F03BB57
+:04095000800B0324F1
+:040951008008028D8B
+:04095200800501F724
+:0409530080030160BC
+:04095400800200C954
+:0409550080010032EB
+:040956008000FF9B83
+:040957008001FF0517
+:040958008002FE6EAD
+:040959008005FDD741
+:04095A008008FD40D4
+:04095B00800BFCAA67
+:04095C00800FFC13F9
+:04095D008014FB7C8B
+:04095E00801AFAE61B
+:04095F008020FA4FAB
+:040960008027F9B83B
+:04096100802FF922C8
+:040962008038F88B56
+:040963008041F7F5E3
+:04096400804BF75E6F
+:040965008055F6C8FB
+:040966008060F63186
+:04096700806CF59B10
+:040968008079F50598
+:040969008086F46F21
+:04096A008094F3D8AA
+:04096B0080A3F34230
+:04096C0080B2F2ACB7
+:04096D0080C2F2163C
+:04096E0080D3F181C0
+:04096F0080E4F0EB45
+:0409700080F6F055C8
+:040971008109EFBF4A
+:04097200811DEF2ACA
+:040973008131EE954B
+:040974008146EDFFCC
+:04097500815BED6A4B
+:040976008172ECD5C9
+:040977008188EC4047
+:0409780081A0EBABC4
+:0409790081B8EB1640
+:04097A0081D1EA81BC
+:04097B0081EBE9ED36
+:04097C008205E958AF
+:04097D008220E8C428
+:04097E00823CE8309F
+:04097F008259E79C16
+:040980008276E7088C
+:040981008293E67403
+:0409820082B2E5E078
+:0409830082D1E54DEB
+:0409840082F1E4B95F
+:040985008311E426D0
+:040986008332E39342
+:040987008354E300B2
+:040988008377E26D22
+:04098900839AE1DB91
+:04098A0083BEE148FF
+:04098B0083E2E0B66D
+:04098C008407E024D8
+:04098D00842DDF9244
+:04098E008454DF00AE
+:04098F00847BDE6E19
+:0409900084A3DDDD82
+:0409910084CBDD4CEA
+:0409920084F5DCBB51
+:04099300851FDC2AB6
+:040994008549DB991D
+:040995008574DB0981
+:0409960085A0DA78E6
+:0409970085CDD9E849
+:0409980085FAD958AB
+:040999008628D8C90B
+:04099A008656D8396C
+:04099B008686D7AACB
+:04099C0086B5D71B2A
+:04099D0086E6D68C88
+:04099E008717D5FEE4
+:04099F008749D5703F
+:0409A000877BD4E19C
+:0409A10087AED454F5
+:0409A20087E2D3C64F
+:0409A3008817D339A5
+:0409A400884CD2ABFE
+:0409A5008882D21F53
+:0409A60088B8D192AA
+:0409A70088EFD106FE
+:0409A8008927D07952
+:0409A900895FCFEEA5
+:0409AA008998CF62F7
+:0409AB0089D1CED749
+:0409AC008A0CCE4B98
+:0409AD008A47CDC1E7
+:0409AE008A82CD3636
+:0409AF008ABECCAC84
+:0409B0008AFBCC22D0
+:0409B1008B38CB981C
+:0409B2008B76CB0F66
+:0409B3008BB5CA86B0
+:0409B4008BF4C9FDFA
+:0409B5008C34C97441
+:0409B6008C75C8EC88
+:0409B7008CB6C864CE
+:0409B8008CF8C7DC14
+:0409B9008D3AC75557
+:0409BA008D7EC6CE9A
+:0409BB008DC1C647DD
+:0409BC008E06C5C11D
+:0409BD008E4AC53B5E
+:0409BE008E90C4B59E
+:0409BF008ED6C42FDD
+:0409C0008F1DC3AA1A
+:0409C1008F64C32557
+:0409C2008FACC2A193
+:0409C3008FF5C21DCD
+:0409C400903EC19907
+:0409C5009088C11540
+:0409C60090D2C09279
+:0409C700911DC010AE
+:0409C8009169BF8CE6
+:0409C90091B5BF0A1B
+:0409CA009202BE884F
+:0409CB009250BE0781
+:0409CC00929EBD86B4
+:0409CD0092ECBD05E6
+:0409CE00933BBC8516
+:0409CF00938BBC0545
+:0409D00093DCBB8574
+:0409D100942CBB06A1
+:0409D200947EBA87CE
+:0409D30094D0BA09F9
+:0409D4009523B98B23
+:0409D5009576B90D4D
+:0409D60095CAB89076
+:0409D700961EB8139D
+:0409D8009674B796C4
+:0409D90096C9B71AEA
+:0409DA00971FB69E0F
+:0409DB009776B62332
+:0409DC0097CDB5A856
+:0409DD009825B52E76
+:0409DE00987EB4B398
+:0409DF0098D7B43AB7
+:0409E0009930B3C0D7
+:0409E100998AB347F5
+:0409E20099E5B2CF12
+:0409E3009A40B2572D
+:0409E4009A9CB1DF49
+:0409E5009AF8B16863
+:0409E6009B55B0F17C
+:0409E7009BB2B07B94
+:0409E8009C10B005AA
+:0409E9009C6FAF8FC1
+:0409EA009CCEAF1AD6
+:0409EB009D2DAEA6EA
+:0409EC009D8EAE31FD
+:0409ED009DEEADBE10
+:0409EE009E4FAD4A21
+:0409EF009EB1ACD831
+:0409F0009F13AC6540
+:0409F1009F76ABF34F
+:0409F2009FD9AB825C
+:0409F300A03DAB1167
+:0409F400A0A1AAA074
+:0409F500A106AA307D
+:0409F600A16CA9C087
+:0409F700A1D1A95190
+:0409F800A238A8E297
+:0409F900A29FA8749D
+:0409FA00A306A806A2
+:0409FB00A36EA799A7
+:0409FC00A3D6A72CAB
+:0409FD00A43FA6C0AD
+:0409FE00A4A9A654AE
+:0409FF00A513A5E9AE
+:040A0000A57DA57EAD
+:040A0100A5E8A514AB
+:040A0200A653A4AAA9
+:040A0300A6BFA440A6
+:040A0400A72BA3D7A2
+:040A0500A798A36F9C
+:040A0600A805A30795
+:040A0700A873A2A08E
+:040A0800A8E1A23986
+:040A0900A950A1D27D
+:040A0A00A9BFA16D72
+:040A0B00AA2FA10766
+:040A0C00AA9FA0A25B
+:040A0D00AB10A03E4C
+:040A0E00AB819FDA3F
+:040A0F00ABF29F7730
+:040A1000AC649F141F
+:040A1100ACD79EB20E
+:040A1200AD499E50FC
+:040A1300ADBD9DEFE9
+:040A1400AE309D8FD4
+:040A1500AEA59D2EBF
+:040A1600AF199CCFA9
+:040A1700AF8E9C7092
+:040A1800B0049C1179
+:040A1900B07A9BB361
+:040A1A00B0F09B5647
+:040A1B00B1679AF92C
+:040A1C00B1DE9A9D10
+:040A1D00B2569A41F2
+:040A1E00B2CE99E6D5
+:040A1F00B346998BB6
+:040A2000B3BF993196
+:040A2100B43998D874
+:040A2200B4B2987F53
+:040A2300B52D98262F
+:040A2400B5A797CE0D
+:040A2500B6229777E7
+:040A2600B69D9720C2
+:040A2700B71996CA9B
+:040A2800B795967573
+:040A2900B812961F4A
+:040A2A00B88F95CB21
+:040A2B00B90C9577F6
+:040A2C00B98A9524CA
+:040A2D00BA0894D19E
+:040A2E00BA86947F71
+:040A2F00BB05942D42
+:040A3000BB8493DD13
+:040A3100BC04938CE2
+:040A3200BC84933CB1
+:040A3300BD0492ED7F
+:040A3400BD85929F4B
+:040A3500BE06925116
+:040A3600BE879203E2
+:040A3700BF0991B6AC
+:040A3800BF8B916A75
+:040A3900C00F911E3B
+:040A3A00C09190D304
+:040A3B00C1149089C9
+:040A3C00C198903F8E
+:040A3D00C21C8FF652
+:040A3E00C2A08FAD16
+:040A3F00C3248F65D8
+:040A4000C3A98F1E99
+:040A4100C42E8ED75A
+:040A4200C4B48E9119
+:040A4300C53A8E4BD7
+:040A4400C5C08E0794
+:040A4500C6468DC252
+:040A4600C6CD8D7F0D
+:040A4700C7548D3BC8
+:040A4800C7DB8CF983
+:040A4900C8638CB73B
+:040A4A00C8EB8C76F3
+:040A4B00C9738C35AA
+:040A4C00C9FC8BF561
+:040A4D00CA858BB615
+:040A4E00CB0E8B77C9
+:040A4F00CB978B397D
+:040A5000CC218AFC2F
+:040A5100CCAB8ABFE1
+:040A5200CD358A8391
+:040A5300CDC08A4840
+:040A5400CE4A8A0DEF
+:040A5500CED689D29E
+:040A5600CF6189994A
+:040A5700CFED8960F6
+:040A5800D0788928A1
+:040A5900D10588F04B
+:040A5A00D19188B9F5
+:040A5B00D21E88839C
+:040A5C00D2AA884D45
+:040A5D00D3388818EA
+:040A5E00D3C587E392
+:040A5F00D45387AF36
+:040A6000D4E0877CDB
+:040A6100D56F874A7C
+:040A6200D5FD87181F
+:040A6300D68B86E7C1
+:040A6400D71A86B661
+:040A6500D7A9868700
+:040A6600D83886579F
+:040A6700D8C886293C
+:040A6800D95785FBDA
+:040A6900D9E785CE76
+:040A6A00DA7785A111
+:040A6B00DB088575AA
+:040A6C00DB98854A44
+:040A6D00DC298520DB
+:040A6E00DCBA84F674
+:040A6F00DD4B84CC0B
+:040A7000DDDC84A4A1
+:040A7100DE6D847C36
+:040A7200DEFF8455CA
+:040A7300DF91842E5D
+:040A7400E0238408EF
+:040A7500E0B583E382
+:040A7600E14783BF12
+:040A7700E1DA839BA2
+:040A7800E26C837831
+:040A7900E2FF8355C0
+:040A7A00E39283334D
+:040A7B00E4258312D9
+:040A7C00E4B882F266
+:040A7D00E54C82D2F0
+:040A7E00E5DF82B37B
+:040A7F00E673829404
+:040A8000E70782778B
+:040A8100E79B825A13
+:040A8200E82F823D9A
+:040A8300E8C3822121
+:040A8400E9578206A6
+:040A8500E9EC81EC2B
+:040A8600EA8081D2AF
+:040A8700EB1581B931
+:040A8800EBAA81A1B3
+:040A8900EC3F818934
+:040A8A00ECD48173B4
+:040A8B00ED69815C34
+:040A8C00EDFE8147B3
+:040A8D00EE94813230
+:040A8E00EF29811EAD
+:040A8F00EFBE810A2B
+:040A9000F05480F7A7
+:040A9100F0EA80E522
+:040A9200F18080D49B
+:040A9300F21580C315
+:040A9400F2AB80B38E
+:040A9500F34180A405
+:040A9600F3D780957D
+:040A9700F46E8087F2
+:040A9800F504807A67
+:040A9900F59A806DDD
+:040A9A00F630806151
+:040A9B00F6C78056C4
+:040A9C00F75D804C36
+:040A9D00F7F48042A8
+:040A9E00F88A803919
+:040A9F00F921803089
+:040AA000F9B78028FA
+:040AA100FA4E802168
+:040AA200FAE5801BD6
+:040AA300FB7B801544
+:040AA400FC128010B0
+:040AA500FCA9800C1C
+:040AA600FD3F800987
+:040AA700FDD68006F2
+:040AA800FE6D80035C
+:040AA900FF048002C4
+:040AAA00FF9A80012E
+:040AAB000031800195
+:040AAC0000C88002FC
+:040AAD00015F800362
+:040AAE0001F68005C8
+:040AAF00028C80082D
+:040AB0000323800B91
+:040AB10003BA800FF5
+:040AB2000451801457
+:040AB30004E78019BB
+:040AB400057E801F1C
+:040AB500061580267C
+:040AB60006AB802EDD
+:040AB700074280363C
+:040AB80007D8803F9C
+:040AB900086F8048FA
+:040ABA000905805357
+:040ABB00099C805EB4
+:040ABC000A32806911
+:040ABD000AC880766D
+:040ABE000B5E8083C8
+:040ABF000BF5809023
+:040AC0000C8B809F7C
+:040AC1000D2180AED5
+:040AC2000DB780BE2E
+:040AC3000E4C80CE87
+:040AC4000EE280DFDF
+:040AC5000F7880F135
+:040AC600100E810489
+:040AC70010A38117E0
+:040AC8001139812B34
+:040AC90011CE814089
+:040ACA0012638155DD
+:040ACB0012F8816B31
+:040ACC00138E818282
+:040ACD0014238199D4
+:040ACE0014B781B127
+:040ACF00154C81CA77
+:040AD00015E181E3C8
+:040AD100167581FE17
+:040AD200170A821865
+:040AD300179E8234B4
+:040AD4001832825002
+:040AD50018C6826D50
+:040AD600195A828A9D
+:040AD70019EE82A9E9
+:040AD8001A8182C736
+:040AD9001B1582E780
+:040ADA001BA88307CB
+:040ADB001C3B832815
+:040ADC001CCE834A5F
+:040ADD001D61836CA8
+:040ADE001DF4838FF1
+:040ADF001E8683B339
+:040AE0001F1883D781
+:040AE1001FAB83FCC8
+:040AE200203D84220D
+:040AE30020CF844854
+:040AE4002160846F9A
+:040AE50021F28497DF
+:040AE600228384BF24
+:040AE700231484E868
+:040AE80023A58512AB
+:040AE9002436853CEE
+:040AEA0024C6856732
+:040AEB002557859373
+:040AEC0025E785BFB6
+:040AED00267785ECF7
+:040AEE002706861938
+:040AEF002796864878
+:040AF00028258677B8
+:040AF10028B486A6F9
+:040AF200294386D737
+:040AF30029D2870875
+:040AF4002A608739B4
+:040AF5002AEE876BF3
+:040AF6002B7C879E30
+:040AF7002C0A87D26C
+:040AF8002C978806A9
+:040AF9002D25883BE4
+:040AFA002DB1887121
+:040AFB002E3E88A75C
+:040AFC002ECB88DD98
+:040AFD002F578915D1
+:040AFE002FE3894D0C
+:040AFF00306F898645
+:040B000030FA89BF7F
+:040B0100318589F9B8
+:040B020032108A34EF
+:040B0300329B8A6F28
+:040B040033258AAB60
+:040B050033AF8AE898
+:040B060034398B25CE
+:040B070034C28B6306
+:040B0800354C8BA13C
+:040B090035D58BE073
+:040B0A00365D8C20A8
+:040B0B0036E68C60DE
+:040B0C00376E8CA113
+:040B0D0037F68CE348
+:040B0E00387D8D257C
+:040B0F0039048D68B0
+:040B1000398B8DACE4
+:040B11003A118DF018
+:040B12003A988E344B
+:040B13003B1E8E7A7D
+:040B14003BA38EC0B1
+:040B15003C288F06E3
+:040B16003CAD8F4D16
+:040B17003D328F9547
+:040B18003DB68FDE79
+:040B19003E3A9027A9
+:040B1A003EBE9070DB
+:040B1B003F4190BB0B
+:040B1C003FC491053C
+:040B1D00404791516B
+:040B1E0040CA919D9B
+:040B1F00414C91E9CB
+:040B200041CD9237FA
+:040B2100424E92842A
+:040B220042CF92D359
+:040B2300434F932287
+:040B240043D09371B6
+:040B2500444F93C2E4
+:040B260044CF941212
+:040B2700454E94643F
+:040B280045CC94B66E
+:040B2900464A95089B
+:040B2A0046C8955BC9
+:040B2B00474695AFF5
+:040B2C0047C3960322
+:040B2D00483F96584F
+:040B2E0048BC96AE7B
+:040B2F0049379703A8
+:040B300049B3975AD4
+:040B31004A2E97B100
+:040B32004AA998092B
+:040B33004B23986157
+:040B34004B9D98BA83
+:040B35004C169913AE
+:040B36004C8F996DDA
+:040B37004D0899C804
+:040B38004D809A232F
+:040B39004DF89A7E5B
+:040B3A004E6F9ADA86
+:040B3B004EE69B37B0
+:040B3C004F5D9B94DA
+:040B3D004FD39BF205
+:040B3E0050499C502E
+:040B3F0050BE9CAF59
+:040B400051339D0F81
+:040B410051A79D6EAD
+:040B4200521B9DCFD6
+:040B4300528E9E3000
+:040B440053019E912A
+:040B450053749EF354
+:040B460053E69F567D
+:040B470054589FB9A6
+:040B480054C9A01DCF
+:040B49005539A081F9
+:040B4A0055AAA0E622
+:040B4B00561AA14B4A
+:040B4C005689A1B075
+:040B4D0056F8A2179D
+:040B4E005766A27DC7
+:040B4F0057D4A2E5F0
+:040B50005841A34C19
+:040B510058AEA3B542
+:040B5200591BA41D6A
+:040B53005987A48694
+:040B540059F2A4F0BE
+:040B55005A5DA55AE6
+:040B56005AC8A5C50F
+:040B57005B32A63037
+:040B58005B9CA69C60
+:040B59005C05A70888
+:040B5A005C6DA775B2
+:040B5B005CD5A7E2DC
+:040B5C005D3DA85003
+:040B5D005DA4A8BE2D
+:040B5E005E0AA92C56
+:040B5F005E70A99B80
+:040B60005ED6AA0BA8
+:040B61005F3BAA7BD1
+:040B62005F9FAAEBFC
+:040B63006003AB5C24
+:040B64006067ABCD4E
+:040B650060CAAC3F77
+:040B6600612CACB1A1
+:040B6700618EAD24CA
+:040B680061EFAD97F5
+:040B69006250AE0B1D
+:040B6A0062B1AE7F47
+:040B6B006310AEF372
+:040B6C006370AF689B
+:040B6D0063CEAFDEC6
+:040B6E00642CB053F0
+:040B6F00648AB0CA1A
+:040B700064E7B14045
+:040B71006543B1B770
+:040B7200659FB22F9A
+:040B730065FBB2A7C5
+:040B74006656B31FEF
+:040B750066B0B3981B
+:040B7600670AB41145
+:040B77006763B48B71
+:040B780067BBB5059D
+:040B79006813B57FC9
+:040B7A00686BB5FAF5
+:040B7B0068C2B67521
+:040B7C006918B6F14D
+:040B7D00696EB76D79
+:040B7E0069C3B7E9A7
+:040B7F006A18B866D2
+:040B80006A6CB8E300
+:040B81006ABFB9612D
+:040B82006B12B9DF5A
+:040B83006B65BA5D87
+:040B84006BB6BADCB6
+:040B85006C08BB5BE2
+:040B86006C58BBDA12
+:040B87006CA8BC5A40
+:040B88006CF8BCDA6F
+:040B89006D46BD5B9D
+:040B8A006D95BDDCCC
+:040B8B006DE2BE5DFC
+:040B8C006E2FBEDF2B
+:040B8D006E7CBF615A
+:040B8E006EC7BFE38C
+:040B8F006F13C067B9
+:040B90006F5DC0EAEB
+:040B91006FA7C16D1C
+:040B92006FF1C1F14D
+:040B9300703AC2757D
+:040B94007082C2F9B0
+:040B950070C9C37EE2
+:040B96007110C40313
+:040B97007157C48846
+:040B9800719CC50E79
+:040B990071E2C594AC
+:040B9A007226C61ADF
+:040B9B00726AC6A113
+:040B9C0072ADC72847
+:040B9D0072F0C7AF7C
+:040B9E007332C837AF
+:040B9F007373C8BFE5
+:040BA00073B4C9471A
+:040BA10073F4C9CF51
+:040BA2007434CA5885
+:040BA3007473CAE1BC
+:040BA40074B1CB6AF3
+:040BA50074EFCBF42A
+:040BA600752CCC7E60
+:040BA7007568CD0898
+:040BA80075A4CD92D1
+:040BA90075DFCE1D09
+:040BAA007619CEA842
+:040BAB007653CF337B
+:040BAC00768CCFBFB5
+:040BAD0076C5D04BEE
+:040BAE0076FDD0D729
+:040BAF007734D16363
+:040BB000776AD1F09F
+:040BB10077A0D27CDB
+:040BB20077D6D30A15
+:040BB300780AD39752
+:040BB400783ED4248F
+:040BB5007872D4B2CC
+:040BB60078A4D5400A
+:040BB70078D6D5CE49
+:040BB8007908D65D85
+:040BB9007938D6ECC5
+:040BBA007969D77B03
+:040BBB007998D80A43
+:040BBC0079C7D89984
+:040BBD0079F5D929C4
+:040BBE007A22D9B806
+:040BBF007A4FDA4847
+:040BC0007A7BDAD989
+:040BC1007AA7DB69CB
+:040BC2007AD1DBFA0F
+:040BC3007AFBDC8A53
+:040BC4007B25DD1B95
+:040BC5007B4EDDACDA
+:040BC6007B76DE3E1E
+:040BC7007B9DDECF65
+:040BC8007BC4DF61AA
+:040BC9007BEADFF3F1
+:040BCA007C0FE08537
+:040BCB007C34E1177E
+:040BCC007C58E1AAC6
+:040BCD007C7CE23C0E
+:040BCE007C9EE2CF58
+:040BCF007CC0E362A1
+:040BD0007CE2E3F5EB
+:040BD1007D03E48834
+:040BD2007D23E51B7F
+:040BD3007D42E5AFCB
+:040BD4007D61E64316
+:040BD5007D7FE6D664
+:040BD6007D9CE76AB1
+:040BD7007DB8E7FE00
+:040BD8007DD4E8934D
+:040BD9007DF0E9279B
+:040BDA007E0AE9BBEB
+:040BDB007E24EA503A
+:040BDC007E3DEAE48C
+:040BDD007E56EB79DC
+:040BDE007E6EEC0E2D
+:040BDF007E85ECA380
+:040BE0007E9BED38D3
+:040BE1007EB1EDCD27
+:040BE2007EC6EE637A
+:040BE3007EDBEEF8CF
+:040BE4007EEEEF8E24
+:040BE5007F01F02379
+:040BE6007F14F0B9CF
+:040BE7007F25F14F26
+:040BE8007F36F1E47F
+:040BE9007F47F27AD6
+:040BEA007F56F3102F
+:040BEB007F65F3A689
+:040BEC007F73F43DE2
+:040BED007F81F4D33D
+:040BEE007F8EF56998
+:040BEF007F9AF5FFF5
+:040BF0007FA5F69651
+:040BF1007FB0F72CAE
+:040BF2007FBAF7C20D
+:040BF3007FC3F8596B
+:040BF4007FCCF8EFCB
+:040BF5007FD4F9862A
+:040BF6007FDBFA1D8A
+:040BF7007FE2FAB3EC
+:040BF8007FE8FB4A4D
+:040BF9007FEDFBE1B0
+:040BFA007FF2FC7713
+:040BFB007FF5FD0E77
+:040BFC007FF8FDA5DC
+:040BFD007FFBFE3C40
+:040BFE007FFDFED2A7
+:040BFF007FFEFF690D
+:00000001FF
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_5.txt b/applications/lofar1/pft2/src/data/twiddle_16_5.txt
new file mode 100644
index 0000000000000000000000000000000000000000..8e7f3706d0a26cb5a13aa3d64ff060f37b2a3697
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_5.txt
@@ -0,0 +1,3072 @@
+ 32767	     0
+ 32767	  -101
+ 32766	  -201
+ 32766	  -302
+ 32765	  -402
+ 32763	  -503
+ 32761	  -603
+ 32759	  -704
+ 32757	  -804
+ 32755	  -905
+ 32752	 -1005
+ 32748	 -1106
+ 32745	 -1206
+ 32741	 -1306
+ 32737	 -1407
+ 32732	 -1507
+ 32728	 -1608
+ 32722	 -1708
+ 32717	 -1809
+ 32711	 -1909
+ 32705	 -2009
+ 32699	 -2110
+ 32692	 -2210
+ 32685	 -2310
+ 32678	 -2410
+ 32671	 -2511
+ 32663	 -2611
+ 32655	 -2711
+ 32646	 -2811
+ 32637	 -2911
+ 32628	 -3011
+ 32619	 -3112
+ 32609	 -3212
+ 32599	 -3312
+ 32589	 -3412
+ 32578	 -3512
+ 32567	 -3612
+ 32556	 -3711
+ 32545	 -3811
+ 32533	 -3911
+ 32521	 -4011
+ 32508	 -4111
+ 32495	 -4210
+ 32482	 -4310
+ 32469	 -4410
+ 32455	 -4509
+ 32441	 -4609
+ 32427	 -4708
+ 32412	 -4808
+ 32397	 -4907
+ 32382	 -5007
+ 32367	 -5106
+ 32351	 -5205
+ 32335	 -5304
+ 32318	 -5404
+ 32302	 -5503
+ 32285	 -5602
+ 32267	 -5701
+ 32250	 -5800
+ 32232	 -5899
+ 32213	 -5997
+ 32195	 -6096
+ 32176	 -6195
+ 32157	 -6294
+ 32137	 -6392
+ 32118	 -6491
+ 32098	 -6589
+ 32077	 -6688
+ 32057	 -6786
+ 32036	 -6885
+ 32014	 -6983
+ 31993	 -7081
+ 31971	 -7179
+ 31949	 -7277
+ 31926	 -7375
+ 31903	 -7473
+ 31880	 -7571
+ 31857	 -7669
+ 31833	 -7766
+ 31809	 -7864
+ 31785	 -7961
+ 31760	 -8059
+ 31736	 -8156
+ 31710	 -8254
+ 31685	 -8351
+ 31659	 -8448
+ 31633	 -8545
+ 31607	 -8642
+ 31580	 -8739
+ 31553	 -8836
+ 31526	 -8933
+ 31498	 -9029
+ 31470	 -9126
+ 31442	 -9222
+ 31414	 -9319
+ 31385	 -9415
+ 31356	 -9511
+ 31327	 -9608
+ 31297	 -9704
+ 31267	 -9800
+ 31237	 -9896
+ 31207	 -9991
+ 31176	-10087
+ 31145	-10183
+ 31113	-10278
+ 31082	-10373
+ 31050	-10469
+ 31017	-10564
+ 30985	-10659
+ 30952	-10754
+ 30919	-10849
+ 30885	-10944
+ 30852	-11039
+ 30818	-11133
+ 30783	-11228
+ 30749	-11322
+ 30714	-11416
+ 30679	-11510
+ 30643	-11605
+ 30607	-11698
+ 30571	-11792
+ 30535	-11886
+ 30499	-11980
+ 30462	-12073
+ 30424	-12167
+ 30387	-12260
+ 30349	-12353
+ 30311	-12446
+ 30273	-12539
+ 30234	-12632
+ 30195	-12725
+ 30156	-12817
+ 30117	-12910
+ 30077	-13002
+ 30037	-13094
+ 29997	-13186
+ 29956	-13278
+ 29915	-13370
+ 29874	-13462
+ 29833	-13553
+ 29791	-13645
+ 29749	-13736
+ 29707	-13827
+ 29664	-13918
+ 29621	-14009
+ 29578	-14100
+ 29535	-14191
+ 29491	-14281
+ 29447	-14372
+ 29403	-14462
+ 29358	-14552
+ 29313	-14642
+ 29268	-14732
+ 29223	-14822
+ 29177	-14911
+ 29132	-15001
+ 29085	-15090
+ 29039	-15179
+ 28992	-15268
+ 28945	-15357
+ 28898	-15446
+ 28851	-15534
+ 28803	-15623
+ 28755	-15711
+ 28706	-15799
+ 28658	-15887
+ 28609	-15975
+ 28560	-16063
+ 28510	-16150
+ 28461	-16238
+ 28411	-16325
+ 28360	-16413
+ 28310	-16500
+ 28259	-16587
+ 28208	-16673
+ 28157	-16760
+ 28105	-16846
+ 28054	-16932
+ 28001	-17018
+ 27949	-17104
+ 27897	-17190
+ 27844	-17275
+ 27791	-17361
+ 27737	-17446
+ 27683	-17531
+ 27630	-17616
+ 27575	-17700
+ 27521	-17785
+ 27466	-17869
+ 27411	-17953
+ 27356	-18037
+ 27301	-18121
+ 27245	-18205
+ 27189	-18288
+ 27133	-18372
+ 27076	-18455
+ 27019	-18538
+ 26962	-18621
+ 26905	-18703
+ 26848	-18786
+ 26790	-18868
+ 26732	-18950
+ 26674	-19032
+ 26615	-19114
+ 26556	-19195
+ 26497	-19277
+ 26438	-19358
+ 26379	-19439
+ 26319	-19520
+ 26259	-19600
+ 26199	-19681
+ 26138	-19761
+ 26077	-19841
+ 26016	-19921
+ 25955	-20001
+ 25894	-20080
+ 25832	-20160
+ 25770	-20239
+ 25708	-20318
+ 25645	-20397
+ 25583	-20475
+ 25520	-20554
+ 25457	-20632
+ 25393	-20710
+ 25329	-20788
+ 25266	-20865
+ 25201	-20943
+ 25137	-21020
+ 25072	-21097
+ 25008	-21174
+ 24943	-21250
+ 24877	-21327
+ 24812	-21403
+ 24746	-21479
+ 24680	-21555
+ 24614	-21630
+ 24547	-21706
+ 24480	-21781
+ 24414	-21856
+ 24346	-21931
+ 24279	-22005
+ 24211	-22080
+ 24144	-22154
+ 24075	-22228
+ 24007	-22302
+ 23939	-22375
+ 23870	-22448
+ 23801	-22522
+ 23732	-22594
+ 23662	-22667
+ 23593	-22740
+ 23523	-22812
+ 23453	-22884
+ 23382	-22956
+ 23312	-23027
+ 23241	-23099
+ 23170	-23170
+ 23099	-23241
+ 23027	-23312
+ 22956	-23382
+ 22884	-23453
+ 22812	-23523
+ 22740	-23593
+ 22667	-23662
+ 22594	-23732
+ 22522	-23801
+ 22448	-23870
+ 22375	-23939
+ 22302	-24007
+ 22228	-24075
+ 22154	-24144
+ 22080	-24211
+ 22005	-24279
+ 21931	-24346
+ 21856	-24414
+ 21781	-24480
+ 21706	-24547
+ 21630	-24614
+ 21555	-24680
+ 21479	-24746
+ 21403	-24812
+ 21327	-24877
+ 21250	-24943
+ 21174	-25008
+ 21097	-25072
+ 21020	-25137
+ 20943	-25201
+ 20865	-25266
+ 20788	-25329
+ 20710	-25393
+ 20632	-25457
+ 20554	-25520
+ 20475	-25583
+ 20397	-25645
+ 20318	-25708
+ 20239	-25770
+ 20160	-25832
+ 20080	-25894
+ 20001	-25955
+ 19921	-26016
+ 19841	-26077
+ 19761	-26138
+ 19681	-26199
+ 19600	-26259
+ 19520	-26319
+ 19439	-26379
+ 19358	-26438
+ 19277	-26497
+ 19195	-26556
+ 19114	-26615
+ 19032	-26674
+ 18950	-26732
+ 18868	-26790
+ 18786	-26848
+ 18703	-26905
+ 18621	-26962
+ 18538	-27019
+ 18455	-27076
+ 18372	-27133
+ 18288	-27189
+ 18205	-27245
+ 18121	-27301
+ 18037	-27356
+ 17953	-27411
+ 17869	-27466
+ 17785	-27521
+ 17700	-27575
+ 17616	-27630
+ 17531	-27683
+ 17446	-27737
+ 17361	-27791
+ 17275	-27844
+ 17190	-27897
+ 17104	-27949
+ 17018	-28001
+ 16932	-28054
+ 16846	-28105
+ 16760	-28157
+ 16673	-28208
+ 16587	-28259
+ 16500	-28310
+ 16413	-28360
+ 16325	-28411
+ 16238	-28461
+ 16150	-28510
+ 16063	-28560
+ 15975	-28609
+ 15887	-28658
+ 15799	-28706
+ 15711	-28755
+ 15623	-28803
+ 15534	-28851
+ 15446	-28898
+ 15357	-28945
+ 15268	-28992
+ 15179	-29039
+ 15090	-29085
+ 15001	-29132
+ 14911	-29177
+ 14822	-29223
+ 14732	-29268
+ 14642	-29313
+ 14552	-29358
+ 14462	-29403
+ 14372	-29447
+ 14281	-29491
+ 14191	-29535
+ 14100	-29578
+ 14009	-29621
+ 13918	-29664
+ 13827	-29707
+ 13736	-29749
+ 13645	-29791
+ 13553	-29833
+ 13462	-29874
+ 13370	-29915
+ 13278	-29956
+ 13186	-29997
+ 13094	-30037
+ 13002	-30077
+ 12910	-30117
+ 12817	-30156
+ 12725	-30195
+ 12632	-30234
+ 12539	-30273
+ 12446	-30311
+ 12353	-30349
+ 12260	-30387
+ 12167	-30424
+ 12073	-30462
+ 11980	-30499
+ 11886	-30535
+ 11792	-30571
+ 11698	-30607
+ 11605	-30643
+ 11510	-30679
+ 11416	-30714
+ 11322	-30749
+ 11228	-30783
+ 11133	-30818
+ 11039	-30852
+ 10944	-30885
+ 10849	-30919
+ 10754	-30952
+ 10659	-30985
+ 10564	-31017
+ 10469	-31050
+ 10373	-31082
+ 10278	-31113
+ 10183	-31145
+ 10087	-31176
+  9991	-31207
+  9896	-31237
+  9800	-31267
+  9704	-31297
+  9608	-31327
+  9511	-31356
+  9415	-31385
+  9319	-31414
+  9222	-31442
+  9126	-31470
+  9029	-31498
+  8933	-31526
+  8836	-31553
+  8739	-31580
+  8642	-31607
+  8545	-31633
+  8448	-31659
+  8351	-31685
+  8254	-31710
+  8156	-31736
+  8059	-31760
+  7961	-31785
+  7864	-31809
+  7766	-31833
+  7669	-31857
+  7571	-31880
+  7473	-31903
+  7375	-31926
+  7277	-31949
+  7179	-31971
+  7081	-31993
+  6983	-32014
+  6885	-32036
+  6786	-32057
+  6688	-32077
+  6589	-32098
+  6491	-32118
+  6392	-32137
+  6294	-32157
+  6195	-32176
+  6096	-32195
+  5997	-32213
+  5899	-32232
+  5800	-32250
+  5701	-32267
+  5602	-32285
+  5503	-32302
+  5404	-32318
+  5304	-32335
+  5205	-32351
+  5106	-32367
+  5007	-32382
+  4907	-32397
+  4808	-32412
+  4708	-32427
+  4609	-32441
+  4509	-32455
+  4410	-32469
+  4310	-32482
+  4210	-32495
+  4111	-32508
+  4011	-32521
+  3911	-32533
+  3811	-32545
+  3711	-32556
+  3612	-32567
+  3512	-32578
+  3412	-32589
+  3312	-32599
+  3212	-32609
+  3112	-32619
+  3011	-32628
+  2911	-32637
+  2811	-32646
+  2711	-32655
+  2611	-32663
+  2511	-32671
+  2410	-32678
+  2310	-32685
+  2210	-32692
+  2110	-32699
+  2009	-32705
+  1909	-32711
+  1809	-32717
+  1708	-32722
+  1608	-32728
+  1507	-32732
+  1407	-32737
+  1306	-32741
+  1206	-32745
+  1106	-32748
+  1005	-32752
+   905	-32755
+   804	-32757
+   704	-32759
+   603	-32761
+   503	-32763
+   402	-32765
+   302	-32766
+   201	-32766
+   101	-32767
+     0	-32767
+  -101	-32767
+  -201	-32766
+  -302	-32766
+  -402	-32765
+  -503	-32763
+  -603	-32761
+  -704	-32759
+  -804	-32757
+  -905	-32755
+ -1005	-32752
+ -1106	-32748
+ -1206	-32745
+ -1306	-32741
+ -1407	-32737
+ -1507	-32732
+ -1608	-32728
+ -1708	-32722
+ -1809	-32717
+ -1909	-32711
+ -2009	-32705
+ -2110	-32699
+ -2210	-32692
+ -2310	-32685
+ -2410	-32678
+ -2511	-32671
+ -2611	-32663
+ -2711	-32655
+ -2811	-32646
+ -2911	-32637
+ -3011	-32628
+ -3112	-32619
+ -3212	-32609
+ -3312	-32599
+ -3412	-32589
+ -3512	-32578
+ -3612	-32567
+ -3711	-32556
+ -3811	-32545
+ -3911	-32533
+ -4011	-32521
+ -4111	-32508
+ -4210	-32495
+ -4310	-32482
+ -4410	-32469
+ -4509	-32455
+ -4609	-32441
+ -4708	-32427
+ -4808	-32412
+ -4907	-32397
+ -5007	-32382
+ -5106	-32367
+ -5205	-32351
+ -5304	-32335
+ -5404	-32318
+ -5503	-32302
+ -5602	-32285
+ -5701	-32267
+ -5800	-32250
+ -5899	-32232
+ -5997	-32213
+ -6096	-32195
+ -6195	-32176
+ -6294	-32157
+ -6392	-32137
+ -6491	-32118
+ -6589	-32098
+ -6688	-32077
+ -6786	-32057
+ -6885	-32036
+ -6983	-32014
+ -7081	-31993
+ -7179	-31971
+ -7277	-31949
+ -7375	-31926
+ -7473	-31903
+ -7571	-31880
+ -7669	-31857
+ -7766	-31833
+ -7864	-31809
+ -7961	-31785
+ -8059	-31760
+ -8156	-31736
+ -8254	-31710
+ -8351	-31685
+ -8448	-31659
+ -8545	-31633
+ -8642	-31607
+ -8739	-31580
+ -8836	-31553
+ -8933	-31526
+ -9029	-31498
+ -9126	-31470
+ -9222	-31442
+ -9319	-31414
+ -9415	-31385
+ -9511	-31356
+ -9608	-31327
+ -9704	-31297
+ -9800	-31267
+ -9896	-31237
+ -9991	-31207
+-10087	-31176
+-10183	-31145
+-10278	-31113
+-10373	-31082
+-10469	-31050
+-10564	-31017
+-10659	-30985
+-10754	-30952
+-10849	-30919
+-10944	-30885
+-11039	-30852
+-11133	-30818
+-11228	-30783
+-11322	-30749
+-11416	-30714
+-11510	-30679
+-11605	-30643
+-11698	-30607
+-11792	-30571
+-11886	-30535
+-11980	-30499
+-12073	-30462
+-12167	-30424
+-12260	-30387
+-12353	-30349
+-12446	-30311
+-12539	-30273
+-12632	-30234
+-12725	-30195
+-12817	-30156
+-12910	-30117
+-13002	-30077
+-13094	-30037
+-13186	-29997
+-13278	-29956
+-13370	-29915
+-13462	-29874
+-13553	-29833
+-13645	-29791
+-13736	-29749
+-13827	-29707
+-13918	-29664
+-14009	-29621
+-14100	-29578
+-14191	-29535
+-14281	-29491
+-14372	-29447
+-14462	-29403
+-14552	-29358
+-14642	-29313
+-14732	-29268
+-14822	-29223
+-14911	-29177
+-15001	-29132
+-15090	-29085
+-15179	-29039
+-15268	-28992
+-15357	-28945
+-15446	-28898
+-15534	-28851
+-15623	-28803
+-15711	-28755
+-15799	-28706
+-15887	-28658
+-15975	-28609
+-16063	-28560
+-16150	-28510
+-16238	-28461
+-16325	-28411
+-16413	-28360
+-16500	-28310
+-16587	-28259
+-16673	-28208
+-16760	-28157
+-16846	-28105
+-16932	-28054
+-17018	-28001
+-17104	-27949
+-17190	-27897
+-17275	-27844
+-17361	-27791
+-17446	-27737
+-17531	-27683
+-17616	-27630
+-17700	-27575
+-17785	-27521
+-17869	-27466
+-17953	-27411
+-18037	-27356
+-18121	-27301
+-18205	-27245
+-18288	-27189
+-18372	-27133
+-18455	-27076
+-18538	-27019
+-18621	-26962
+-18703	-26905
+-18786	-26848
+-18868	-26790
+-18950	-26732
+-19032	-26674
+-19114	-26615
+-19195	-26556
+-19277	-26497
+-19358	-26438
+-19439	-26379
+-19520	-26319
+-19600	-26259
+-19681	-26199
+-19761	-26138
+-19841	-26077
+-19921	-26016
+-20001	-25955
+-20080	-25894
+-20160	-25832
+-20239	-25770
+-20318	-25708
+-20397	-25645
+-20475	-25583
+-20554	-25520
+-20632	-25457
+-20710	-25393
+-20788	-25329
+-20865	-25266
+-20943	-25201
+-21020	-25137
+-21097	-25072
+-21174	-25008
+-21250	-24943
+-21327	-24877
+-21403	-24812
+-21479	-24746
+-21555	-24680
+-21630	-24614
+-21706	-24547
+-21781	-24480
+-21856	-24414
+-21931	-24346
+-22005	-24279
+-22080	-24211
+-22154	-24144
+-22228	-24075
+-22302	-24007
+-22375	-23939
+-22448	-23870
+-22522	-23801
+-22594	-23732
+-22667	-23662
+-22740	-23593
+-22812	-23523
+-22884	-23453
+-22956	-23382
+-23027	-23312
+-23099	-23241
+-23170	-23170
+-23241	-23099
+-23312	-23027
+-23382	-22956
+-23453	-22884
+-23523	-22812
+-23593	-22740
+-23662	-22667
+-23732	-22594
+-23801	-22522
+-23870	-22448
+-23939	-22375
+-24007	-22302
+-24075	-22228
+-24144	-22154
+-24211	-22080
+-24279	-22005
+-24346	-21931
+-24414	-21856
+-24480	-21781
+-24547	-21706
+-24614	-21630
+-24680	-21555
+-24746	-21479
+-24812	-21403
+-24877	-21327
+-24943	-21250
+-25008	-21174
+-25072	-21097
+-25137	-21020
+-25201	-20943
+-25266	-20865
+-25329	-20788
+-25393	-20710
+-25457	-20632
+-25520	-20554
+-25583	-20475
+-25645	-20397
+-25708	-20318
+-25770	-20239
+-25832	-20160
+-25894	-20080
+-25955	-20001
+-26016	-19921
+-26077	-19841
+-26138	-19761
+-26199	-19681
+-26259	-19600
+-26319	-19520
+-26379	-19439
+-26438	-19358
+-26497	-19277
+-26556	-19195
+-26615	-19114
+-26674	-19032
+-26732	-18950
+-26790	-18868
+-26848	-18786
+-26905	-18703
+-26962	-18621
+-27019	-18538
+-27076	-18455
+-27133	-18372
+-27189	-18288
+-27245	-18205
+-27301	-18121
+-27356	-18037
+-27411	-17953
+-27466	-17869
+-27521	-17785
+-27575	-17700
+-27630	-17616
+-27683	-17531
+-27737	-17446
+-27791	-17361
+-27844	-17275
+-27897	-17190
+-27949	-17104
+-28001	-17018
+-28054	-16932
+-28105	-16846
+-28157	-16760
+-28208	-16673
+-28259	-16587
+-28310	-16500
+-28360	-16413
+-28411	-16325
+-28461	-16238
+-28510	-16150
+-28560	-16063
+-28609	-15975
+-28658	-15887
+-28706	-15799
+-28755	-15711
+-28803	-15623
+-28851	-15534
+-28898	-15446
+-28945	-15357
+-28992	-15268
+-29039	-15179
+-29085	-15090
+-29132	-15001
+-29177	-14911
+-29223	-14822
+-29268	-14732
+-29313	-14642
+-29358	-14552
+-29403	-14462
+-29447	-14372
+-29491	-14281
+-29535	-14191
+-29578	-14100
+-29621	-14009
+-29664	-13918
+-29707	-13827
+-29749	-13736
+-29791	-13645
+-29833	-13553
+-29874	-13462
+-29915	-13370
+-29956	-13278
+-29997	-13186
+-30037	-13094
+-30077	-13002
+-30117	-12910
+-30156	-12817
+-30195	-12725
+-30234	-12632
+-30273	-12539
+-30311	-12446
+-30349	-12353
+-30387	-12260
+-30424	-12167
+-30462	-12073
+-30499	-11980
+-30535	-11886
+-30571	-11792
+-30607	-11698
+-30643	-11605
+-30679	-11510
+-30714	-11416
+-30749	-11322
+-30783	-11228
+-30818	-11133
+-30852	-11039
+-30885	-10944
+-30919	-10849
+-30952	-10754
+-30985	-10659
+-31017	-10564
+-31050	-10469
+-31082	-10373
+-31113	-10278
+-31145	-10183
+-31176	-10087
+-31207	 -9991
+-31237	 -9896
+-31267	 -9800
+-31297	 -9704
+-31327	 -9608
+-31356	 -9511
+-31385	 -9415
+-31414	 -9319
+-31442	 -9222
+-31470	 -9126
+-31498	 -9029
+-31526	 -8933
+-31553	 -8836
+-31580	 -8739
+-31607	 -8642
+-31633	 -8545
+-31659	 -8448
+-31685	 -8351
+-31710	 -8254
+-31736	 -8156
+-31760	 -8059
+-31785	 -7961
+-31809	 -7864
+-31833	 -7766
+-31857	 -7669
+-31880	 -7571
+-31903	 -7473
+-31926	 -7375
+-31949	 -7277
+-31971	 -7179
+-31993	 -7081
+-32014	 -6983
+-32036	 -6885
+-32057	 -6786
+-32077	 -6688
+-32098	 -6589
+-32118	 -6491
+-32137	 -6392
+-32157	 -6294
+-32176	 -6195
+-32195	 -6096
+-32213	 -5997
+-32232	 -5899
+-32250	 -5800
+-32267	 -5701
+-32285	 -5602
+-32302	 -5503
+-32318	 -5404
+-32335	 -5304
+-32351	 -5205
+-32367	 -5106
+-32382	 -5007
+-32397	 -4907
+-32412	 -4808
+-32427	 -4708
+-32441	 -4609
+-32455	 -4509
+-32469	 -4410
+-32482	 -4310
+-32495	 -4210
+-32508	 -4111
+-32521	 -4011
+-32533	 -3911
+-32545	 -3811
+-32556	 -3711
+-32567	 -3612
+-32578	 -3512
+-32589	 -3412
+-32599	 -3312
+-32609	 -3212
+-32619	 -3112
+-32628	 -3011
+-32637	 -2911
+-32646	 -2811
+-32655	 -2711
+-32663	 -2611
+-32671	 -2511
+-32678	 -2410
+-32685	 -2310
+-32692	 -2210
+-32699	 -2110
+-32705	 -2009
+-32711	 -1909
+-32717	 -1809
+-32722	 -1708
+-32728	 -1608
+-32732	 -1507
+-32737	 -1407
+-32741	 -1306
+-32745	 -1206
+-32748	 -1106
+-32752	 -1005
+-32755	  -905
+-32757	  -804
+-32759	  -704
+-32761	  -603
+-32763	  -503
+-32765	  -402
+-32766	  -302
+-32766	  -201
+-32767	  -101
+ 32767	     0
+ 32767	   -50
+ 32767	  -101
+ 32767	  -151
+ 32766	  -201
+ 32766	  -251
+ 32766	  -302
+ 32765	  -352
+ 32765	  -402
+ 32764	  -452
+ 32763	  -503
+ 32762	  -553
+ 32761	  -603
+ 32760	  -653
+ 32759	  -704
+ 32758	  -754
+ 32757	  -804
+ 32756	  -854
+ 32755	  -905
+ 32753	  -955
+ 32752	 -1005
+ 32750	 -1055
+ 32748	 -1106
+ 32747	 -1156
+ 32745	 -1206
+ 32743	 -1256
+ 32741	 -1306
+ 32739	 -1357
+ 32737	 -1407
+ 32735	 -1457
+ 32732	 -1507
+ 32730	 -1558
+ 32728	 -1608
+ 32725	 -1658
+ 32722	 -1708
+ 32720	 -1758
+ 32717	 -1809
+ 32714	 -1859
+ 32711	 -1909
+ 32708	 -1959
+ 32705	 -2009
+ 32702	 -2059
+ 32699	 -2110
+ 32696	 -2160
+ 32692	 -2210
+ 32689	 -2260
+ 32685	 -2310
+ 32682	 -2360
+ 32678	 -2410
+ 32674	 -2461
+ 32671	 -2511
+ 32667	 -2561
+ 32663	 -2611
+ 32659	 -2661
+ 32655	 -2711
+ 32650	 -2761
+ 32646	 -2811
+ 32642	 -2861
+ 32637	 -2911
+ 32633	 -2961
+ 32628	 -3011
+ 32624	 -3062
+ 32619	 -3112
+ 32614	 -3162
+ 32609	 -3212
+ 32604	 -3262
+ 32599	 -3312
+ 32594	 -3362
+ 32589	 -3412
+ 32584	 -3462
+ 32578	 -3512
+ 32573	 -3562
+ 32567	 -3612
+ 32562	 -3661
+ 32556	 -3711
+ 32550	 -3761
+ 32545	 -3811
+ 32539	 -3861
+ 32533	 -3911
+ 32527	 -3961
+ 32521	 -4011
+ 32514	 -4061
+ 32508	 -4111
+ 32502	 -4161
+ 32495	 -4210
+ 32489	 -4260
+ 32482	 -4310
+ 32476	 -4360
+ 32469	 -4410
+ 32462	 -4459
+ 32455	 -4509
+ 32448	 -4559
+ 32441	 -4609
+ 32434	 -4659
+ 32427	 -4708
+ 32420	 -4758
+ 32412	 -4808
+ 32405	 -4857
+ 32397	 -4907
+ 32390	 -4957
+ 32382	 -5007
+ 32375	 -5056
+ 32367	 -5106
+ 32359	 -5156
+ 32351	 -5205
+ 32343	 -5255
+ 32335	 -5304
+ 32327	 -5354
+ 32318	 -5404
+ 32310	 -5453
+ 32302	 -5503
+ 32293	 -5552
+ 32285	 -5602
+ 32276	 -5651
+ 32267	 -5701
+ 32258	 -5750
+ 32250	 -5800
+ 32241	 -5849
+ 32232	 -5899
+ 32223	 -5948
+ 32213	 -5997
+ 32204	 -6047
+ 32195	 -6096
+ 32185	 -6146
+ 32176	 -6195
+ 32166	 -6244
+ 32157	 -6294
+ 32147	 -6343
+ 32137	 -6392
+ 32128	 -6442
+ 32118	 -6491
+ 32108	 -6540
+ 32098	 -6589
+ 32087	 -6639
+ 32077	 -6688
+ 32067	 -6737
+ 32057	 -6786
+ 32046	 -6835
+ 32036	 -6885
+ 32025	 -6934
+ 32014	 -6983
+ 32004	 -7032
+ 31993	 -7081
+ 31982	 -7130
+ 31971	 -7179
+ 31960	 -7228
+ 31949	 -7277
+ 31937	 -7326
+ 31926	 -7375
+ 31915	 -7424
+ 31903	 -7473
+ 31892	 -7522
+ 31880	 -7571
+ 31869	 -7620
+ 31857	 -7669
+ 31845	 -7717
+ 31833	 -7766
+ 31821	 -7815
+ 31809	 -7864
+ 31797	 -7913
+ 31785	 -7961
+ 31773	 -8010
+ 31760	 -8059
+ 31748	 -8108
+ 31736	 -8156
+ 31723	 -8205
+ 31710	 -8254
+ 31698	 -8302
+ 31685	 -8351
+ 31672	 -8400
+ 31659	 -8448
+ 31646	 -8497
+ 31633	 -8545
+ 31620	 -8594
+ 31607	 -8642
+ 31593	 -8691
+ 31580	 -8739
+ 31567	 -8788
+ 31553	 -8836
+ 31540	 -8884
+ 31526	 -8933
+ 31512	 -8981
+ 31498	 -9029
+ 31484	 -9078
+ 31470	 -9126
+ 31456	 -9174
+ 31442	 -9222
+ 31428	 -9271
+ 31414	 -9319
+ 31400	 -9367
+ 31385	 -9415
+ 31371	 -9463
+ 31356	 -9511
+ 31341	 -9560
+ 31327	 -9608
+ 31312	 -9656
+ 31297	 -9704
+ 31282	 -9752
+ 31267	 -9800
+ 31252	 -9848
+ 31237	 -9896
+ 31222	 -9943
+ 31207	 -9991
+ 31191	-10039
+ 31176	-10087
+ 31160	-10135
+ 31145	-10183
+ 31129	-10230
+ 31113	-10278
+ 31097	-10326
+ 31082	-10373
+ 31066	-10421
+ 31050	-10469
+ 31033	-10516
+ 31017	-10564
+ 31001	-10612
+ 30985	-10659
+ 30968	-10707
+ 30952	-10754
+ 30935	-10802
+ 30919	-10849
+ 30902	-10896
+ 30885	-10944
+ 30869	-10991
+ 30852	-11039
+ 30835	-11086
+ 30818	-11133
+ 30801	-11180
+ 30783	-11228
+ 30766	-11275
+ 30749	-11322
+ 30731	-11369
+ 30714	-11416
+ 30696	-11463
+ 30679	-11510
+ 30661	-11558
+ 30643	-11605
+ 30625	-11652
+ 30607	-11698
+ 30589	-11745
+ 30571	-11792
+ 30553	-11839
+ 30535	-11886
+ 30517	-11933
+ 30499	-11980
+ 30480	-12026
+ 30462	-12073
+ 30443	-12120
+ 30424	-12167
+ 30406	-12213
+ 30387	-12260
+ 30368	-12306
+ 30349	-12353
+ 30330	-12400
+ 30311	-12446
+ 30292	-12493
+ 30273	-12539
+ 30254	-12585
+ 30234	-12632
+ 30215	-12678
+ 30195	-12725
+ 30176	-12771
+ 30156	-12817
+ 30136	-12863
+ 30117	-12910
+ 30097	-12956
+ 30077	-13002
+ 30057	-13048
+ 30037	-13094
+ 30017	-13140
+ 29997	-13186
+ 29976	-13232
+ 29956	-13278
+ 29936	-13324
+ 29915	-13370
+ 29895	-13416
+ 29874	-13462
+ 29853	-13507
+ 29833	-13553
+ 29812	-13599
+ 29791	-13645
+ 29770	-13690
+ 29749	-13736
+ 29728	-13782
+ 29707	-13827
+ 29685	-13873
+ 29664	-13918
+ 29643	-13964
+ 29621	-14009
+ 29600	-14055
+ 29578	-14100
+ 29556	-14145
+ 29535	-14191
+ 29513	-14236
+ 29491	-14281
+ 29469	-14327
+ 29447	-14372
+ 29425	-14417
+ 29403	-14462
+ 29381	-14507
+ 29358	-14552
+ 29336	-14597
+ 29313	-14642
+ 29291	-14687
+ 29268	-14732
+ 29246	-14777
+ 29223	-14822
+ 29200	-14866
+ 29177	-14911
+ 29155	-14956
+ 29132	-15001
+ 29109	-15045
+ 29085	-15090
+ 29062	-15135
+ 29039	-15179
+ 29016	-15224
+ 28992	-15268
+ 28969	-15313
+ 28945	-15357
+ 28922	-15401
+ 28898	-15446
+ 28874	-15490
+ 28851	-15534
+ 28827	-15579
+ 28803	-15623
+ 28779	-15667
+ 28755	-15711
+ 28731	-15755
+ 28706	-15799
+ 28682	-15843
+ 28658	-15887
+ 28633	-15931
+ 28609	-15975
+ 28584	-16019
+ 28560	-16063
+ 28535	-16107
+ 28510	-16150
+ 28485	-16194
+ 28461	-16238
+ 28436	-16281
+ 28411	-16325
+ 28386	-16368
+ 28360	-16413
+ 28335	-16456
+ 28310	-16500
+ 28285	-16543
+ 28259	-16587
+ 28234	-16630
+ 28208	-16673
+ 28183	-16717
+ 28157	-16760
+ 28131	-16803
+ 28105	-16846
+ 28079	-16889
+ 28054	-16932
+ 28028	-16975
+ 28001	-17018
+ 27975	-17061
+ 27949	-17104
+ 27923	-17147
+ 27897	-17190
+ 27870	-17232
+ 27844	-17275
+ 27817	-17318
+ 27791	-17361
+ 27764	-17403
+ 27737	-17446
+ 27710	-17488
+ 27683	-17531
+ 27657	-17573
+ 27630	-17616
+ 27603	-17658
+ 27575	-17700
+ 27548	-17743
+ 27521	-17785
+ 27494	-17827
+ 27466	-17869
+ 27439	-17911
+ 27411	-17953
+ 27384	-17995
+ 27356	-18037
+ 27328	-18079
+ 27301	-18121
+ 27273	-18163
+ 27245	-18205
+ 27217	-18247
+ 27189	-18288
+ 27161	-18330
+ 27133	-18372
+ 27105	-18413
+ 27076	-18455
+ 27048	-18496
+ 27019	-18538
+ 26991	-18579
+ 26962	-18621
+ 26934	-18662
+ 26905	-18703
+ 26877	-18744
+ 26848	-18786
+ 26819	-18827
+ 26790	-18868
+ 26761	-18909
+ 26732	-18950
+ 26703	-18991
+ 26674	-19032
+ 26644	-19073
+ 26615	-19114
+ 26586	-19154
+ 26556	-19195
+ 26527	-19236
+ 26497	-19277
+ 26468	-19317
+ 26438	-19358
+ 26408	-19398
+ 26379	-19439
+ 26349	-19479
+ 26319	-19520
+ 26289	-19560
+ 26259	-19600
+ 26229	-19641
+ 26199	-19681
+ 26168	-19721
+ 26138	-19761
+ 26108	-19801
+ 26077	-19841
+ 26047	-19881
+ 26016	-19921
+ 25986	-19961
+ 25955	-20001
+ 25924	-20041
+ 25894	-20080
+ 25863	-20120
+ 25832	-20160
+ 25801	-20199
+ 25770	-20239
+ 25739	-20278
+ 25708	-20318
+ 25677	-20357
+ 25645	-20397
+ 25614	-20436
+ 25583	-20475
+ 25551	-20514
+ 25520	-20554
+ 25488	-20593
+ 25457	-20632
+ 25425	-20671
+ 25393	-20710
+ 25361	-20749
+ 25329	-20788
+ 25298	-20826
+ 25266	-20865
+ 25234	-20904
+ 25201	-20943
+ 25169	-20981
+ 25137	-21020
+ 25105	-21058
+ 25072	-21097
+ 25040	-21135
+ 25008	-21174
+ 24975	-21212
+ 24943	-21250
+ 24910	-21288
+ 24877	-21327
+ 24845	-21365
+ 24812	-21403
+ 24779	-21441
+ 24746	-21479
+ 24713	-21517
+ 24680	-21555
+ 24647	-21593
+ 24614	-21630
+ 24580	-21668
+ 24547	-21706
+ 24514	-21743
+ 24480	-21781
+ 24447	-21818
+ 24414	-21856
+ 24380	-21893
+ 24346	-21931
+ 24313	-21968
+ 24279	-22005
+ 24245	-22043
+ 24211	-22080
+ 24177	-22117
+ 24144	-22154
+ 24110	-22191
+ 24075	-22228
+ 24041	-22265
+ 24007	-22302
+ 23973	-22338
+ 23939	-22375
+ 23904	-22412
+ 23870	-22448
+ 23835	-22485
+ 23801	-22522
+ 23766	-22558
+ 23732	-22594
+ 23697	-22631
+ 23662	-22667
+ 23627	-22703
+ 23593	-22740
+ 23558	-22776
+ 23523	-22812
+ 23488	-22848
+ 23453	-22884
+ 23418	-22920
+ 23382	-22956
+ 23347	-22992
+ 23312	-23027
+ 23276	-23063
+ 23241	-23099
+ 23206	-23134
+ 23170	-23170
+ 23134	-23206
+ 23099	-23241
+ 23063	-23276
+ 23027	-23312
+ 22992	-23347
+ 22956	-23382
+ 22920	-23418
+ 22884	-23453
+ 22848	-23488
+ 22812	-23523
+ 22776	-23558
+ 22740	-23593
+ 22703	-23627
+ 22667	-23662
+ 22631	-23697
+ 22594	-23732
+ 22558	-23766
+ 22522	-23801
+ 22485	-23835
+ 22448	-23870
+ 22412	-23904
+ 22375	-23939
+ 22338	-23973
+ 22302	-24007
+ 22265	-24041
+ 22228	-24075
+ 22191	-24110
+ 22154	-24144
+ 22117	-24177
+ 22080	-24211
+ 22043	-24245
+ 22005	-24279
+ 21968	-24313
+ 21931	-24346
+ 21893	-24380
+ 21856	-24414
+ 21818	-24447
+ 21781	-24480
+ 21743	-24514
+ 21706	-24547
+ 21668	-24580
+ 21630	-24614
+ 21593	-24647
+ 21555	-24680
+ 21517	-24713
+ 21479	-24746
+ 21441	-24779
+ 21403	-24812
+ 21365	-24845
+ 21327	-24877
+ 21288	-24910
+ 21250	-24943
+ 21212	-24975
+ 21174	-25008
+ 21135	-25040
+ 21097	-25072
+ 21058	-25105
+ 21020	-25137
+ 20981	-25169
+ 20943	-25201
+ 20904	-25234
+ 20865	-25266
+ 20826	-25298
+ 20788	-25329
+ 20749	-25361
+ 20710	-25393
+ 20671	-25425
+ 20632	-25457
+ 20593	-25488
+ 20554	-25520
+ 20514	-25551
+ 20475	-25583
+ 20436	-25614
+ 20397	-25645
+ 20357	-25677
+ 20318	-25708
+ 20278	-25739
+ 20239	-25770
+ 20199	-25801
+ 20160	-25832
+ 20120	-25863
+ 20080	-25894
+ 20041	-25924
+ 20001	-25955
+ 19961	-25986
+ 19921	-26016
+ 19881	-26047
+ 19841	-26077
+ 19801	-26108
+ 19761	-26138
+ 19721	-26168
+ 19681	-26199
+ 19641	-26229
+ 19600	-26259
+ 19560	-26289
+ 19520	-26319
+ 19479	-26349
+ 19439	-26379
+ 19398	-26408
+ 19358	-26438
+ 19317	-26468
+ 19277	-26497
+ 19236	-26527
+ 19195	-26556
+ 19154	-26586
+ 19114	-26615
+ 19073	-26644
+ 19032	-26674
+ 18991	-26703
+ 18950	-26732
+ 18909	-26761
+ 18868	-26790
+ 18827	-26819
+ 18786	-26848
+ 18744	-26877
+ 18703	-26905
+ 18662	-26934
+ 18621	-26962
+ 18579	-26991
+ 18538	-27019
+ 18496	-27048
+ 18455	-27076
+ 18413	-27105
+ 18372	-27133
+ 18330	-27161
+ 18288	-27189
+ 18247	-27217
+ 18205	-27245
+ 18163	-27273
+ 18121	-27301
+ 18079	-27328
+ 18037	-27356
+ 17995	-27384
+ 17953	-27411
+ 17911	-27439
+ 17869	-27466
+ 17827	-27494
+ 17785	-27521
+ 17743	-27548
+ 17700	-27575
+ 17658	-27603
+ 17616	-27630
+ 17573	-27657
+ 17531	-27683
+ 17488	-27710
+ 17446	-27737
+ 17403	-27764
+ 17361	-27791
+ 17318	-27817
+ 17275	-27844
+ 17232	-27870
+ 17190	-27897
+ 17147	-27923
+ 17104	-27949
+ 17061	-27975
+ 17018	-28001
+ 16975	-28028
+ 16932	-28054
+ 16889	-28079
+ 16846	-28105
+ 16803	-28131
+ 16760	-28157
+ 16717	-28183
+ 16673	-28208
+ 16630	-28234
+ 16587	-28259
+ 16543	-28285
+ 16500	-28310
+ 16456	-28335
+ 16413	-28360
+ 16368	-28386
+ 16325	-28411
+ 16281	-28436
+ 16238	-28461
+ 16194	-28485
+ 16150	-28510
+ 16107	-28535
+ 16063	-28560
+ 16019	-28584
+ 15975	-28609
+ 15931	-28633
+ 15887	-28658
+ 15843	-28682
+ 15799	-28706
+ 15755	-28731
+ 15711	-28755
+ 15667	-28779
+ 15623	-28803
+ 15579	-28827
+ 15534	-28851
+ 15490	-28874
+ 15446	-28898
+ 15401	-28922
+ 15357	-28945
+ 15313	-28969
+ 15268	-28992
+ 15224	-29016
+ 15179	-29039
+ 15135	-29062
+ 15090	-29085
+ 15045	-29109
+ 15001	-29132
+ 14956	-29155
+ 14911	-29177
+ 14866	-29200
+ 14822	-29223
+ 14777	-29246
+ 14732	-29268
+ 14687	-29291
+ 14642	-29313
+ 14597	-29336
+ 14552	-29358
+ 14507	-29381
+ 14462	-29403
+ 14417	-29425
+ 14372	-29447
+ 14327	-29469
+ 14281	-29491
+ 14236	-29513
+ 14191	-29535
+ 14145	-29556
+ 14100	-29578
+ 14055	-29600
+ 14009	-29621
+ 13964	-29643
+ 13918	-29664
+ 13873	-29685
+ 13827	-29707
+ 13782	-29728
+ 13736	-29749
+ 13690	-29770
+ 13645	-29791
+ 13599	-29812
+ 13553	-29833
+ 13507	-29853
+ 13462	-29874
+ 13416	-29895
+ 13370	-29915
+ 13324	-29936
+ 13278	-29956
+ 13232	-29976
+ 13186	-29997
+ 13140	-30017
+ 13094	-30037
+ 13048	-30057
+ 13002	-30077
+ 12956	-30097
+ 12910	-30117
+ 12863	-30136
+ 12817	-30156
+ 12771	-30176
+ 12725	-30195
+ 12678	-30215
+ 12632	-30234
+ 12585	-30254
+ 12539	-30273
+ 12493	-30292
+ 12446	-30311
+ 12400	-30330
+ 12353	-30349
+ 12306	-30368
+ 12260	-30387
+ 12213	-30406
+ 12167	-30424
+ 12120	-30443
+ 12073	-30462
+ 12026	-30480
+ 11980	-30499
+ 11933	-30517
+ 11886	-30535
+ 11839	-30553
+ 11792	-30571
+ 11745	-30589
+ 11698	-30607
+ 11652	-30625
+ 11605	-30643
+ 11558	-30661
+ 11510	-30679
+ 11463	-30696
+ 11416	-30714
+ 11369	-30731
+ 11322	-30749
+ 11275	-30766
+ 11228	-30783
+ 11180	-30801
+ 11133	-30818
+ 11086	-30835
+ 11039	-30852
+ 10991	-30869
+ 10944	-30885
+ 10896	-30902
+ 10849	-30919
+ 10802	-30935
+ 10754	-30952
+ 10707	-30968
+ 10659	-30985
+ 10612	-31001
+ 10564	-31017
+ 10516	-31033
+ 10469	-31050
+ 10421	-31066
+ 10373	-31082
+ 10326	-31097
+ 10278	-31113
+ 10230	-31129
+ 10183	-31145
+ 10135	-31160
+ 10087	-31176
+ 10039	-31191
+  9991	-31207
+  9943	-31222
+  9896	-31237
+  9848	-31252
+  9800	-31267
+  9752	-31282
+  9704	-31297
+  9656	-31312
+  9608	-31327
+  9560	-31341
+  9511	-31356
+  9463	-31371
+  9415	-31385
+  9367	-31400
+  9319	-31414
+  9271	-31428
+  9222	-31442
+  9174	-31456
+  9126	-31470
+  9078	-31484
+  9029	-31498
+  8981	-31512
+  8933	-31526
+  8884	-31540
+  8836	-31553
+  8788	-31567
+  8739	-31580
+  8691	-31593
+  8642	-31607
+  8594	-31620
+  8545	-31633
+  8497	-31646
+  8448	-31659
+  8400	-31672
+  8351	-31685
+  8302	-31698
+  8254	-31710
+  8205	-31723
+  8156	-31736
+  8108	-31748
+  8059	-31760
+  8010	-31773
+  7961	-31785
+  7913	-31797
+  7864	-31809
+  7815	-31821
+  7766	-31833
+  7717	-31845
+  7669	-31857
+  7620	-31869
+  7571	-31880
+  7522	-31892
+  7473	-31903
+  7424	-31915
+  7375	-31926
+  7326	-31937
+  7277	-31949
+  7228	-31960
+  7179	-31971
+  7130	-31982
+  7081	-31993
+  7032	-32004
+  6983	-32014
+  6934	-32025
+  6885	-32036
+  6835	-32046
+  6786	-32057
+  6737	-32067
+  6688	-32077
+  6639	-32087
+  6589	-32098
+  6540	-32108
+  6491	-32118
+  6442	-32128
+  6392	-32137
+  6343	-32147
+  6294	-32157
+  6244	-32166
+  6195	-32176
+  6146	-32185
+  6096	-32195
+  6047	-32204
+  5997	-32213
+  5948	-32223
+  5899	-32232
+  5849	-32241
+  5800	-32250
+  5750	-32258
+  5701	-32267
+  5651	-32276
+  5602	-32285
+  5552	-32293
+  5503	-32302
+  5453	-32310
+  5404	-32318
+  5354	-32327
+  5304	-32335
+  5255	-32343
+  5205	-32351
+  5156	-32359
+  5106	-32367
+  5056	-32375
+  5007	-32382
+  4957	-32390
+  4907	-32397
+  4857	-32405
+  4808	-32412
+  4758	-32420
+  4708	-32427
+  4659	-32434
+  4609	-32441
+  4559	-32448
+  4509	-32455
+  4459	-32462
+  4410	-32469
+  4360	-32476
+  4310	-32482
+  4260	-32489
+  4210	-32495
+  4161	-32502
+  4111	-32508
+  4061	-32514
+  4011	-32521
+  3961	-32527
+  3911	-32533
+  3861	-32539
+  3811	-32545
+  3761	-32550
+  3711	-32556
+  3661	-32562
+  3612	-32567
+  3562	-32573
+  3512	-32578
+  3462	-32584
+  3412	-32589
+  3362	-32594
+  3312	-32599
+  3262	-32604
+  3212	-32609
+  3162	-32614
+  3112	-32619
+  3062	-32624
+  3011	-32628
+  2961	-32633
+  2911	-32637
+  2861	-32642
+  2811	-32646
+  2761	-32650
+  2711	-32655
+  2661	-32659
+  2611	-32663
+  2561	-32667
+  2511	-32671
+  2461	-32674
+  2410	-32678
+  2360	-32682
+  2310	-32685
+  2260	-32689
+  2210	-32692
+  2160	-32696
+  2110	-32699
+  2059	-32702
+  2009	-32705
+  1959	-32708
+  1909	-32711
+  1859	-32714
+  1809	-32717
+  1758	-32720
+  1708	-32722
+  1658	-32725
+  1608	-32728
+  1558	-32730
+  1507	-32732
+  1457	-32735
+  1407	-32737
+  1357	-32739
+  1306	-32741
+  1256	-32743
+  1206	-32745
+  1156	-32747
+  1106	-32748
+  1055	-32750
+  1005	-32752
+   955	-32753
+   905	-32755
+   854	-32756
+   804	-32757
+   754	-32758
+   704	-32759
+   653	-32760
+   603	-32761
+   553	-32762
+   503	-32763
+   452	-32764
+   402	-32765
+   352	-32765
+   302	-32766
+   251	-32766
+   201	-32766
+   151	-32767
+   101	-32767
+    50	-32767
+ 32767	     0
+ 32767	  -151
+ 32766	  -302
+ 32764	  -452
+ 32761	  -603
+ 32758	  -754
+ 32755	  -905
+ 32750	 -1055
+ 32745	 -1206
+ 32739	 -1357
+ 32732	 -1507
+ 32725	 -1658
+ 32717	 -1809
+ 32708	 -1959
+ 32699	 -2110
+ 32689	 -2260
+ 32678	 -2410
+ 32667	 -2561
+ 32655	 -2711
+ 32642	 -2861
+ 32628	 -3011
+ 32614	 -3162
+ 32599	 -3312
+ 32584	 -3462
+ 32567	 -3612
+ 32550	 -3761
+ 32533	 -3911
+ 32514	 -4061
+ 32495	 -4210
+ 32476	 -4360
+ 32455	 -4509
+ 32434	 -4659
+ 32412	 -4808
+ 32390	 -4957
+ 32367	 -5106
+ 32343	 -5255
+ 32318	 -5404
+ 32293	 -5552
+ 32267	 -5701
+ 32241	 -5849
+ 32213	 -5997
+ 32185	 -6146
+ 32157	 -6294
+ 32128	 -6442
+ 32098	 -6589
+ 32067	 -6737
+ 32036	 -6885
+ 32004	 -7032
+ 31971	 -7179
+ 31937	 -7326
+ 31903	 -7473
+ 31869	 -7620
+ 31833	 -7766
+ 31797	 -7913
+ 31760	 -8059
+ 31723	 -8205
+ 31685	 -8351
+ 31646	 -8497
+ 31607	 -8642
+ 31567	 -8788
+ 31526	 -8933
+ 31484	 -9078
+ 31442	 -9222
+ 31400	 -9367
+ 31356	 -9511
+ 31312	 -9656
+ 31267	 -9800
+ 31222	 -9943
+ 31176	-10087
+ 31129	-10230
+ 31082	-10373
+ 31033	-10516
+ 30985	-10659
+ 30935	-10802
+ 30885	-10944
+ 30835	-11086
+ 30783	-11228
+ 30731	-11369
+ 30679	-11510
+ 30625	-11652
+ 30571	-11792
+ 30517	-11933
+ 30462	-12073
+ 30406	-12213
+ 30349	-12353
+ 30292	-12493
+ 30234	-12632
+ 30176	-12771
+ 30117	-12910
+ 30057	-13048
+ 29997	-13186
+ 29936	-13324
+ 29874	-13462
+ 29812	-13599
+ 29749	-13736
+ 29685	-13873
+ 29621	-14009
+ 29556	-14145
+ 29491	-14281
+ 29425	-14417
+ 29358	-14552
+ 29291	-14687
+ 29223	-14822
+ 29155	-14956
+ 29085	-15090
+ 29016	-15224
+ 28945	-15357
+ 28874	-15490
+ 28803	-15623
+ 28731	-15755
+ 28658	-15887
+ 28584	-16019
+ 28510	-16150
+ 28436	-16281
+ 28360	-16413
+ 28285	-16543
+ 28208	-16673
+ 28131	-16803
+ 28054	-16932
+ 27975	-17061
+ 27897	-17190
+ 27817	-17318
+ 27737	-17446
+ 27657	-17573
+ 27575	-17700
+ 27494	-17827
+ 27411	-17953
+ 27328	-18079
+ 27245	-18205
+ 27161	-18330
+ 27076	-18455
+ 26991	-18579
+ 26905	-18703
+ 26819	-18827
+ 26732	-18950
+ 26644	-19073
+ 26556	-19195
+ 26468	-19317
+ 26379	-19439
+ 26289	-19560
+ 26199	-19681
+ 26108	-19801
+ 26016	-19921
+ 25924	-20041
+ 25832	-20160
+ 25739	-20278
+ 25645	-20397
+ 25551	-20514
+ 25457	-20632
+ 25361	-20749
+ 25266	-20865
+ 25169	-20981
+ 25072	-21097
+ 24975	-21212
+ 24877	-21327
+ 24779	-21441
+ 24680	-21555
+ 24580	-21668
+ 24480	-21781
+ 24380	-21893
+ 24279	-22005
+ 24177	-22117
+ 24075	-22228
+ 23973	-22338
+ 23870	-22448
+ 23766	-22558
+ 23662	-22667
+ 23558	-22776
+ 23453	-22884
+ 23347	-22992
+ 23241	-23099
+ 23134	-23206
+ 23027	-23312
+ 22920	-23418
+ 22812	-23523
+ 22703	-23627
+ 22594	-23732
+ 22485	-23835
+ 22375	-23939
+ 22265	-24041
+ 22154	-24144
+ 22043	-24245
+ 21931	-24346
+ 21818	-24447
+ 21706	-24547
+ 21593	-24647
+ 21479	-24746
+ 21365	-24845
+ 21250	-24943
+ 21135	-25040
+ 21020	-25137
+ 20904	-25234
+ 20788	-25329
+ 20671	-25425
+ 20554	-25520
+ 20436	-25614
+ 20318	-25708
+ 20199	-25801
+ 20080	-25894
+ 19961	-25986
+ 19841	-26077
+ 19721	-26168
+ 19600	-26259
+ 19479	-26349
+ 19358	-26438
+ 19236	-26527
+ 19114	-26615
+ 18991	-26703
+ 18868	-26790
+ 18744	-26877
+ 18621	-26962
+ 18496	-27048
+ 18372	-27133
+ 18247	-27217
+ 18121	-27301
+ 17995	-27384
+ 17869	-27466
+ 17743	-27548
+ 17616	-27630
+ 17488	-27710
+ 17361	-27791
+ 17232	-27870
+ 17104	-27949
+ 16975	-28028
+ 16846	-28105
+ 16717	-28183
+ 16587	-28259
+ 16456	-28335
+ 16325	-28411
+ 16194	-28485
+ 16063	-28560
+ 15931	-28633
+ 15799	-28706
+ 15667	-28779
+ 15534	-28851
+ 15401	-28922
+ 15268	-28992
+ 15135	-29062
+ 15001	-29132
+ 14866	-29200
+ 14732	-29268
+ 14597	-29336
+ 14462	-29403
+ 14327	-29469
+ 14191	-29535
+ 14055	-29600
+ 13918	-29664
+ 13782	-29728
+ 13645	-29791
+ 13507	-29853
+ 13370	-29915
+ 13232	-29976
+ 13094	-30037
+ 12956	-30097
+ 12817	-30156
+ 12678	-30215
+ 12539	-30273
+ 12400	-30330
+ 12260	-30387
+ 12120	-30443
+ 11980	-30499
+ 11839	-30553
+ 11698	-30607
+ 11558	-30661
+ 11416	-30714
+ 11275	-30766
+ 11133	-30818
+ 10991	-30869
+ 10849	-30919
+ 10707	-30968
+ 10564	-31017
+ 10421	-31066
+ 10278	-31113
+ 10135	-31160
+  9991	-31207
+  9848	-31252
+  9704	-31297
+  9560	-31341
+  9415	-31385
+  9271	-31428
+  9126	-31470
+  8981	-31512
+  8836	-31553
+  8691	-31593
+  8545	-31633
+  8400	-31672
+  8254	-31710
+  8108	-31748
+  7961	-31785
+  7815	-31821
+  7669	-31857
+  7522	-31892
+  7375	-31926
+  7228	-31960
+  7081	-31993
+  6934	-32025
+  6786	-32057
+  6639	-32087
+  6491	-32118
+  6343	-32147
+  6195	-32176
+  6047	-32204
+  5899	-32232
+  5750	-32258
+  5602	-32285
+  5453	-32310
+  5304	-32335
+  5156	-32359
+  5007	-32382
+  4857	-32405
+  4708	-32427
+  4559	-32448
+  4410	-32469
+  4260	-32489
+  4111	-32508
+  3961	-32527
+  3811	-32545
+  3661	-32562
+  3512	-32578
+  3362	-32594
+  3212	-32609
+  3062	-32624
+  2911	-32637
+  2761	-32650
+  2611	-32663
+  2461	-32674
+  2310	-32685
+  2160	-32696
+  2009	-32705
+  1859	-32714
+  1708	-32722
+  1558	-32730
+  1407	-32737
+  1256	-32743
+  1106	-32748
+   955	-32753
+   804	-32757
+   653	-32760
+   503	-32763
+   352	-32765
+   201	-32766
+    50	-32767
+  -101	-32767
+  -251	-32766
+  -402	-32765
+  -553	-32762
+  -704	-32759
+  -854	-32756
+ -1005	-32752
+ -1156	-32747
+ -1306	-32741
+ -1457	-32735
+ -1608	-32728
+ -1758	-32720
+ -1909	-32711
+ -2059	-32702
+ -2210	-32692
+ -2360	-32682
+ -2511	-32671
+ -2661	-32659
+ -2811	-32646
+ -2961	-32633
+ -3112	-32619
+ -3262	-32604
+ -3412	-32589
+ -3562	-32573
+ -3711	-32556
+ -3861	-32539
+ -4011	-32521
+ -4161	-32502
+ -4310	-32482
+ -4459	-32462
+ -4609	-32441
+ -4758	-32420
+ -4907	-32397
+ -5056	-32375
+ -5205	-32351
+ -5354	-32327
+ -5503	-32302
+ -5651	-32276
+ -5800	-32250
+ -5948	-32223
+ -6096	-32195
+ -6244	-32166
+ -6392	-32137
+ -6540	-32108
+ -6688	-32077
+ -6835	-32046
+ -6983	-32014
+ -7130	-31982
+ -7277	-31949
+ -7424	-31915
+ -7571	-31880
+ -7717	-31845
+ -7864	-31809
+ -8010	-31773
+ -8156	-31736
+ -8302	-31698
+ -8448	-31659
+ -8594	-31620
+ -8739	-31580
+ -8884	-31540
+ -9029	-31498
+ -9174	-31456
+ -9319	-31414
+ -9463	-31371
+ -9608	-31327
+ -9752	-31282
+ -9896	-31237
+-10039	-31191
+-10183	-31145
+-10326	-31097
+-10469	-31050
+-10612	-31001
+-10754	-30952
+-10896	-30902
+-11039	-30852
+-11180	-30801
+-11322	-30749
+-11463	-30696
+-11605	-30643
+-11745	-30589
+-11886	-30535
+-12026	-30480
+-12167	-30424
+-12306	-30368
+-12446	-30311
+-12585	-30254
+-12725	-30195
+-12863	-30136
+-13002	-30077
+-13140	-30017
+-13278	-29956
+-13416	-29895
+-13553	-29833
+-13690	-29770
+-13827	-29707
+-13964	-29643
+-14100	-29578
+-14236	-29513
+-14372	-29447
+-14507	-29381
+-14642	-29313
+-14777	-29246
+-14911	-29177
+-15045	-29109
+-15179	-29039
+-15313	-28969
+-15446	-28898
+-15579	-28827
+-15711	-28755
+-15843	-28682
+-15975	-28609
+-16107	-28535
+-16238	-28461
+-16368	-28386
+-16500	-28310
+-16630	-28234
+-16760	-28157
+-16889	-28079
+-17018	-28001
+-17147	-27923
+-17275	-27844
+-17403	-27764
+-17531	-27683
+-17658	-27603
+-17785	-27521
+-17911	-27439
+-18037	-27356
+-18163	-27273
+-18288	-27189
+-18413	-27105
+-18538	-27019
+-18662	-26934
+-18786	-26848
+-18909	-26761
+-19032	-26674
+-19154	-26586
+-19277	-26497
+-19398	-26408
+-19520	-26319
+-19641	-26229
+-19761	-26138
+-19881	-26047
+-20001	-25955
+-20120	-25863
+-20239	-25770
+-20357	-25677
+-20475	-25583
+-20593	-25488
+-20710	-25393
+-20826	-25298
+-20943	-25201
+-21058	-25105
+-21174	-25008
+-21288	-24910
+-21403	-24812
+-21517	-24713
+-21630	-24614
+-21743	-24514
+-21856	-24414
+-21968	-24313
+-22080	-24211
+-22191	-24110
+-22302	-24007
+-22412	-23904
+-22522	-23801
+-22631	-23697
+-22740	-23593
+-22848	-23488
+-22956	-23382
+-23063	-23276
+-23170	-23170
+-23276	-23063
+-23382	-22956
+-23488	-22848
+-23593	-22740
+-23697	-22631
+-23801	-22522
+-23904	-22412
+-24007	-22302
+-24110	-22191
+-24211	-22080
+-24313	-21968
+-24414	-21856
+-24514	-21743
+-24614	-21630
+-24713	-21517
+-24812	-21403
+-24910	-21288
+-25008	-21174
+-25105	-21058
+-25201	-20943
+-25298	-20826
+-25393	-20710
+-25488	-20593
+-25583	-20475
+-25677	-20357
+-25770	-20239
+-25863	-20120
+-25955	-20001
+-26047	-19881
+-26138	-19761
+-26229	-19641
+-26319	-19520
+-26408	-19398
+-26497	-19277
+-26586	-19154
+-26674	-19032
+-26761	-18909
+-26848	-18786
+-26934	-18662
+-27019	-18538
+-27105	-18413
+-27189	-18288
+-27273	-18163
+-27356	-18037
+-27439	-17911
+-27521	-17785
+-27603	-17658
+-27683	-17531
+-27764	-17403
+-27844	-17275
+-27923	-17147
+-28001	-17018
+-28079	-16889
+-28157	-16760
+-28234	-16630
+-28310	-16500
+-28386	-16368
+-28461	-16238
+-28535	-16107
+-28609	-15975
+-28682	-15843
+-28755	-15711
+-28827	-15579
+-28898	-15446
+-28969	-15313
+-29039	-15179
+-29109	-15045
+-29177	-14911
+-29246	-14777
+-29313	-14642
+-29381	-14507
+-29447	-14372
+-29513	-14236
+-29578	-14100
+-29643	-13964
+-29707	-13827
+-29770	-13690
+-29833	-13553
+-29895	-13416
+-29956	-13278
+-30017	-13140
+-30077	-13002
+-30136	-12863
+-30195	-12725
+-30254	-12585
+-30311	-12446
+-30368	-12306
+-30424	-12167
+-30480	-12026
+-30535	-11886
+-30589	-11745
+-30643	-11605
+-30696	-11463
+-30749	-11322
+-30801	-11180
+-30852	-11039
+-30902	-10896
+-30952	-10754
+-31001	-10612
+-31050	-10469
+-31097	-10326
+-31145	-10183
+-31191	-10039
+-31237	 -9896
+-31282	 -9752
+-31327	 -9608
+-31371	 -9463
+-31414	 -9319
+-31456	 -9174
+-31498	 -9029
+-31540	 -8884
+-31580	 -8739
+-31620	 -8594
+-31659	 -8448
+-31698	 -8302
+-31736	 -8156
+-31773	 -8010
+-31809	 -7864
+-31845	 -7717
+-31880	 -7571
+-31915	 -7424
+-31949	 -7277
+-31982	 -7130
+-32014	 -6983
+-32046	 -6835
+-32077	 -6688
+-32108	 -6540
+-32137	 -6392
+-32166	 -6244
+-32195	 -6096
+-32223	 -5948
+-32250	 -5800
+-32276	 -5651
+-32302	 -5503
+-32327	 -5354
+-32351	 -5205
+-32375	 -5056
+-32397	 -4907
+-32420	 -4758
+-32441	 -4609
+-32462	 -4459
+-32482	 -4310
+-32502	 -4161
+-32521	 -4011
+-32539	 -3861
+-32556	 -3711
+-32573	 -3562
+-32589	 -3412
+-32604	 -3262
+-32619	 -3112
+-32633	 -2961
+-32646	 -2811
+-32659	 -2661
+-32671	 -2511
+-32682	 -2360
+-32692	 -2210
+-32702	 -2059
+-32711	 -1909
+-32720	 -1758
+-32728	 -1608
+-32735	 -1457
+-32741	 -1306
+-32747	 -1156
+-32752	 -1005
+-32756	  -854
+-32759	  -704
+-32762	  -553
+-32765	  -402
+-32766	  -251
+-32767	  -101
+-32767	    50
+-32766	   201
+-32765	   352
+-32763	   503
+-32760	   653
+-32757	   804
+-32753	   955
+-32748	  1106
+-32743	  1256
+-32737	  1407
+-32730	  1558
+-32722	  1708
+-32714	  1859
+-32705	  2009
+-32696	  2160
+-32685	  2310
+-32674	  2461
+-32663	  2611
+-32650	  2761
+-32637	  2911
+-32624	  3062
+-32609	  3212
+-32594	  3362
+-32578	  3512
+-32562	  3661
+-32545	  3811
+-32527	  3961
+-32508	  4111
+-32489	  4260
+-32469	  4410
+-32448	  4559
+-32427	  4708
+-32405	  4857
+-32382	  5007
+-32359	  5156
+-32335	  5304
+-32310	  5453
+-32285	  5602
+-32258	  5750
+-32232	  5899
+-32204	  6047
+-32176	  6195
+-32147	  6343
+-32118	  6491
+-32087	  6639
+-32057	  6786
+-32025	  6934
+-31993	  7081
+-31960	  7228
+-31926	  7375
+-31892	  7522
+-31857	  7669
+-31821	  7815
+-31785	  7961
+-31748	  8108
+-31710	  8254
+-31672	  8400
+-31633	  8545
+-31593	  8691
+-31553	  8836
+-31512	  8981
+-31470	  9126
+-31428	  9271
+-31385	  9415
+-31341	  9560
+-31297	  9704
+-31252	  9848
+-31207	  9991
+-31160	 10135
+-31113	 10278
+-31066	 10421
+-31017	 10564
+-30968	 10707
+-30919	 10849
+-30869	 10991
+-30818	 11133
+-30766	 11275
+-30714	 11416
+-30661	 11558
+-30607	 11698
+-30553	 11839
+-30499	 11980
+-30443	 12120
+-30387	 12260
+-30330	 12400
+-30273	 12539
+-30215	 12678
+-30156	 12817
+-30097	 12956
+-30037	 13094
+-29976	 13232
+-29915	 13370
+-29853	 13507
+-29791	 13645
+-29728	 13782
+-29664	 13918
+-29600	 14055
+-29535	 14191
+-29469	 14327
+-29403	 14462
+-29336	 14597
+-29268	 14732
+-29200	 14866
+-29132	 15001
+-29062	 15135
+-28992	 15268
+-28922	 15401
+-28851	 15534
+-28779	 15667
+-28706	 15799
+-28633	 15931
+-28560	 16063
+-28485	 16194
+-28411	 16325
+-28335	 16456
+-28259	 16587
+-28183	 16717
+-28105	 16846
+-28028	 16975
+-27949	 17104
+-27870	 17232
+-27791	 17361
+-27710	 17488
+-27630	 17616
+-27548	 17743
+-27466	 17869
+-27384	 17995
+-27301	 18121
+-27217	 18247
+-27133	 18372
+-27048	 18496
+-26962	 18621
+-26877	 18744
+-26790	 18868
+-26703	 18991
+-26615	 19114
+-26527	 19236
+-26438	 19358
+-26349	 19479
+-26259	 19600
+-26168	 19721
+-26077	 19841
+-25986	 19961
+-25894	 20080
+-25801	 20199
+-25708	 20318
+-25614	 20436
+-25520	 20554
+-25425	 20671
+-25329	 20788
+-25234	 20904
+-25137	 21020
+-25040	 21135
+-24943	 21250
+-24845	 21365
+-24746	 21479
+-24647	 21593
+-24547	 21706
+-24447	 21818
+-24346	 21931
+-24245	 22043
+-24144	 22154
+-24041	 22265
+-23939	 22375
+-23835	 22485
+-23732	 22594
+-23627	 22703
+-23523	 22812
+-23418	 22920
+-23312	 23027
+-23206	 23134
+-23099	 23241
+-22992	 23347
+-22884	 23453
+-22776	 23558
+-22667	 23662
+-22558	 23766
+-22448	 23870
+-22338	 23973
+-22228	 24075
+-22117	 24177
+-22005	 24279
+-21893	 24380
+-21781	 24480
+-21668	 24580
+-21555	 24680
+-21441	 24779
+-21327	 24877
+-21212	 24975
+-21097	 25072
+-20981	 25169
+-20865	 25266
+-20749	 25361
+-20632	 25457
+-20514	 25551
+-20397	 25645
+-20278	 25739
+-20160	 25832
+-20041	 25924
+-19921	 26016
+-19801	 26108
+-19681	 26199
+-19560	 26289
+-19439	 26379
+-19317	 26468
+-19195	 26556
+-19073	 26644
+-18950	 26732
+-18827	 26819
+-18703	 26905
+-18579	 26991
+-18455	 27076
+-18330	 27161
+-18205	 27245
+-18079	 27328
+-17953	 27411
+-17827	 27494
+-17700	 27575
+-17573	 27657
+-17446	 27737
+-17318	 27817
+-17190	 27897
+-17061	 27975
+-16932	 28054
+-16803	 28131
+-16673	 28208
+-16543	 28285
+-16413	 28360
+-16281	 28436
+-16150	 28510
+-16019	 28584
+-15887	 28658
+-15755	 28731
+-15623	 28803
+-15490	 28874
+-15357	 28945
+-15224	 29016
+-15090	 29085
+-14956	 29155
+-14822	 29223
+-14687	 29291
+-14552	 29358
+-14417	 29425
+-14281	 29491
+-14145	 29556
+-14009	 29621
+-13873	 29685
+-13736	 29749
+-13599	 29812
+-13462	 29874
+-13324	 29936
+-13186	 29997
+-13048	 30057
+-12910	 30117
+-12771	 30176
+-12632	 30234
+-12493	 30292
+-12353	 30349
+-12213	 30406
+-12073	 30462
+-11933	 30517
+-11792	 30571
+-11652	 30625
+-11510	 30679
+-11369	 30731
+-11228	 30783
+-11086	 30835
+-10944	 30885
+-10802	 30935
+-10659	 30985
+-10516	 31033
+-10373	 31082
+-10230	 31129
+-10087	 31176
+ -9943	 31222
+ -9800	 31267
+ -9656	 31312
+ -9511	 31356
+ -9367	 31400
+ -9222	 31442
+ -9078	 31484
+ -8933	 31526
+ -8788	 31567
+ -8642	 31607
+ -8497	 31646
+ -8351	 31685
+ -8205	 31723
+ -8059	 31760
+ -7913	 31797
+ -7766	 31833
+ -7620	 31869
+ -7473	 31903
+ -7326	 31937
+ -7179	 31971
+ -7032	 32004
+ -6885	 32036
+ -6737	 32067
+ -6589	 32098
+ -6442	 32128
+ -6294	 32157
+ -6146	 32185
+ -5997	 32213
+ -5849	 32241
+ -5701	 32267
+ -5552	 32293
+ -5404	 32318
+ -5255	 32343
+ -5106	 32367
+ -4957	 32390
+ -4808	 32412
+ -4659	 32434
+ -4509	 32455
+ -4360	 32476
+ -4210	 32495
+ -4061	 32514
+ -3911	 32533
+ -3761	 32550
+ -3612	 32567
+ -3462	 32584
+ -3312	 32599
+ -3162	 32614
+ -3011	 32628
+ -2861	 32642
+ -2711	 32655
+ -2561	 32667
+ -2410	 32678
+ -2260	 32689
+ -2110	 32699
+ -1959	 32708
+ -1809	 32717
+ -1658	 32725
+ -1507	 32732
+ -1357	 32739
+ -1206	 32745
+ -1055	 32750
+  -905	 32755
+  -754	 32758
+  -603	 32761
+  -452	 32764
+  -302	 32766
+  -151	 32767
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_6.bin b/applications/lofar1/pft2/src/data/twiddle_16_6.bin
new file mode 100644
index 0000000000000000000000000000000000000000..ec4c5ea3f3a7c3ed43b7ab483d0fd8a81a79ab36
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_6.bin
@@ -0,0 +1,12288 @@
+00000000000000000111111111111111
+11111111111001110111111111111111
+11111111110011100111111111111111
+11111111101101010111111111111111
+11111111100110110111111111111111
+11111111100000100111111111111111
+11111111011010010111111111111111
+11111111010100000111111111111111
+11111111001101110111111111111110
+11111111000111100111111111111110
+11111111000001010111111111111110
+11111110111011000111111111111110
+11111110110100100111111111111110
+11111110101110010111111111111101
+11111110101000000111111111111101
+11111110100001110111111111111101
+11111110011011100111111111111101
+11111110010101010111111111111100
+11111110001111000111111111111100
+11111110001000110111111111111100
+11111110000010010111111111111011
+11111101111100000111111111111011
+11111101110101110111111111111010
+11111101101111100111111111111010
+11111101101001010111111111111001
+11111101100011000111111111111001
+11111101011100110111111111111000
+11111101010110100111111111111000
+11111101010000000111111111110111
+11111101001001110111111111110111
+11111101000011100111111111110110
+11111100111101010111111111110110
+11111100110111000111111111110101
+11111100110000110111111111110101
+11111100101010100111111111110100
+11111100100100010111111111110011
+11111100011101110111111111110011
+11111100010111100111111111110010
+11111100010001010111111111110001
+11111100001011000111111111110000
+11111100000100110111111111110000
+11111011111110100111111111101111
+11111011111000010111111111101110
+11111011110010000111111111101101
+11111011101011100111111111101100
+11111011100101010111111111101011
+11111011011111000111111111101011
+11111011011000110111111111101010
+11111011010010100111111111101001
+11111011001100010111111111101000
+11111011000110000111111111100111
+11111010111111110111111111100110
+11111010111001100111111111100101
+11111010110011000111111111100100
+11111010101100110111111111100011
+11111010100110100111111111100010
+11111010100000010111111111100001
+11111010011010000111111111100000
+11111010010011110111111111011111
+11111010001101100111111111011101
+11111010000111010111111111011100
+11111010000001000111111111011011
+11111001111010100111111111011010
+11111001110100010111111111011001
+11111001101110000111111111011000
+11111001100111110111111111010110
+11111001100001100111111111010101
+11111001011011010111111111010100
+11111001010101000111111111010010
+11111001001110110111111111010001
+11111001001000100111111111010000
+11111001000010010111111111001110
+11111000111011110111111111001101
+11111000110101100111111111001100
+11111000101111010111111111001010
+11111000101001000111111111001001
+11111000100010110111111111000111
+11111000011100100111111111000110
+11111000010110010111111111000100
+11111000010000000111111111000011
+11111000001001110111111111000001
+11111000000011100111111111000000
+11110111111101010111111110111110
+11110111110111000111111110111101
+11110111110000100111111110111011
+11110111101010010111111110111001
+11110111100100000111111110111000
+11110111011101110111111110110110
+11110111010111100111111110110100
+11110111010001010111111110110011
+11110111001011000111111110110001
+11110111000100110111111110101111
+11110110111110100111111110101101
+11110110111000010111111110101100
+11110110110010000111111110101010
+11110110101011110111111110101000
+11110110100101100111111110100110
+11110110011111010111111110100100
+11110110011000110111111110100010
+11110110010010100111111110100001
+11110110001100010111111110011111
+11110110000110000111111110011101
+11110101111111110111111110011011
+11110101111001100111111110011001
+11110101110011010111111110010111
+11110101101101000111111110010101
+11110101100110110111111110010011
+11110101100000100111111110010001
+11110101011010010111111110001111
+11110101010100000111111110001101
+11110101001101110111111110001010
+11110101000111100111111110001000
+11110101000001010111111110000110
+11110100111011000111111110000100
+11110100110100110111111110000010
+11110100101110100111111110000000
+11110100101000010111111101111101
+11110100100010000111111101111011
+11110100011011110111111101111001
+11110100010101100111111101110111
+11110100001111010111111101110100
+11110100001000110111111101110010
+11110100000010100111111101110000
+11110011111100010111111101101101
+11110011110110000111111101101011
+11110011101111110111111101101001
+11110011101001100111111101100110
+11110011100011010111111101100100
+11110011011101000111111101100001
+11110011010110110111111101011111
+11110011010000100111111101011100
+11110011001010010111111101011010
+11110011000100000111111101010111
+11110010111101110111111101010101
+11110010110111100111111101010010
+11110010110001010111111101010000
+11110010101011000111111101001101
+11110010100100110111111101001010
+11110010011110100111111101001000
+11110010011000010111111101000101
+11110010010010000111111101000010
+11110010001011110111111101000000
+11110010000101100111111100111101
+11110001111111010111111100111010
+11110001111001000111111100110111
+11110001110010110111111100110101
+11110001101100110111111100110010
+11110001100110100111111100101111
+11110001100000010111111100101100
+11110001011010000111111100101001
+11110001010011110111111100100110
+11110001001101100111111100100011
+11110001000111010111111100100001
+11110001000001000111111100011110
+11110000111010110111111100011011
+11110000110100100111111100011000
+11110000101110010111111100010101
+11110000101000000111111100010010
+11110000100001110111111100001111
+11110000011011100111111100001100
+11110000010101010111111100001001
+11110000001111000111111100000101
+11110000001000110111111100000010
+11110000000010100111111011111111
+11101111111100010111111011111100
+11101111110110000111111011111001
+11101111101111110111111011110110
+11101111101001110111111011110011
+11101111100011100111111011101111
+11101111011101010111111011101100
+11101111010111000111111011101001
+11101111010000110111111011100110
+11101111001010100111111011100010
+11101111000100010111111011011111
+11101110111110000111111011011100
+11101110110111110111111011011000
+11101110110001100111111011010101
+11101110101011010111111011010010
+11101110100101010111111011001110
+11101110011111000111111011001011
+11101110011000110111111011000111
+11101110010010100111111011000100
+11101110001100010111111011000000
+11101110000110000111111010111101
+11101101111111110111111010111001
+11101101111001100111111010110110
+11101101110011010111111010110010
+11101101101101010111111010101111
+11101101100111000111111010101011
+11101101100000110111111010100111
+11101101011010100111111010100100
+11101101010100010111111010100000
+11101101001110000111111010011100
+11101101000111110111111010011001
+11101101000001110111111010010101
+11101100111011100111111010010001
+11101100110101010111111010001101
+11101100101111000111111010001010
+11101100101000110111111010000110
+11101100100010100111111010000010
+11101100011100010111111001111110
+11101100010110010111111001111010
+11101100010000000111111001110111
+11101100001001110111111001110011
+11101100000011100111111001101111
+11101011111101010111111001101011
+11101011110111000111111001100111
+11101011110001000111111001100011
+11101011101010110111111001011111
+11101011100100100111111001011011
+11101011011110010111111001010111
+11101011011000000111111001010011
+11101011010010000111111001001111
+11101011001011110111111001001011
+11101011000101100111111001000111
+11101010111111010111111001000011
+11101010111001000111111000111110
+11101010110011000111111000111010
+11101010101100110111111000110110
+11101010100110100111111000110010
+11101010100000010111111000101110
+11101010011010010111111000101001
+11101010010100000111111000100101
+11101010001101110111111000100001
+11101010000111100111111000011101
+11101010000001100111111000011000
+11101001111011010111111000010100
+11101001110101000111111000010000
+11101001101110110111111000001011
+11101001101000100111111000000111
+11101001100010100111111000000010
+11101001011100010111110111111110
+11101001010110000111110111111010
+11101001010000000111110111110101
+11101001001001110111110111110001
+11101001000011100111110111101100
+11101000111101010111110111101000
+11101000110111010111110111100011
+11101000110001000111110111011111
+11101000101010110111110111011010
+11101000100100110111110111010101
+11101000011110100111110111010001
+11101000011000010111110111001100
+11101000010010000111110111001000
+11101000001100000111110111000011
+11101000000101110111110110111110
+11100111111111100111110110111001
+11100111111001100111110110110101
+11100111110011010111110110110000
+11100111101101000111110110101011
+11100111100111000111110110100110
+11100111100000110111110110100010
+11100111011010100111110110011101
+11100111010100100111110110011000
+11100111001110010111110110010011
+11100111001000000111110110001110
+11100111000010000111110110001001
+11100110111011110111110110000100
+11100110110101100111110110000000
+11100110101111100111110101111011
+11100110101001010111110101110110
+11100110100011000111110101110001
+11100110011101000111110101101100
+11100110010110110111110101100111
+11100110010000110111110101100010
+11100110001010100111110101011101
+11100110000100010111110101010111
+11100101111110010111110101010010
+11100101111000000111110101001101
+11100101110010000111110101001000
+11100101101011110111110101000011
+11100101100101100111110100111110
+11100101011111100111110100111001
+11100101011001010111110100110011
+11100101010011010111110100101110
+11100101001101000111110100101001
+11100101000110110111110100100100
+11100101000000110111110100011110
+11100100111010100111110100011001
+11100100110100100111110100010100
+11100100101110010111110100001110
+11100100101000010111110100001001
+11100100100010000111110100000100
+11100100011100000111110011111110
+11100100010101110111110011111001
+11100100001111110111110011110011
+11100100001001100111110011101110
+11100100000011010111110011101000
+11100011111101010111110011100011
+11100011110111000111110011011101
+11100011110001000111110011011000
+11100011101010110111110011010010
+11100011100100110111110011001101
+11100011011110100111110011000111
+11100011011000100111110011000001
+11100011010010010111110010111100
+11100011001100010111110010110110
+11100011000110000111110010110001
+11100011000000000111110010101011
+11100010111001110111110010100101
+11100010110011110111110010011111
+11100010101101110111110010011010
+11100010100111100111110010010100
+11100010100001100111110010001110
+11100010011011010111110010001000
+11100010010101010111110010000011
+11100010001111000111110001111101
+11100010001001000111110001110111
+11100010000010110111110001110001
+11100001111100110111110001101011
+11100001110110110111110001100101
+11100001110000100111110001011111
+11100001101010100111110001011001
+11100001100100010111110001010011
+11100001011110010111110001001101
+11100001011000000111110001000111
+11100001010010000111110001000001
+11100001001100000111110000111011
+11100001000101110111110000110101
+11100000111111110111110000101111
+11100000111001110111110000101001
+11100000110011100111110000100011
+11100000101101100111110000011101
+11100000100111010111110000010111
+11100000100001010111110000010000
+11100000011011010111110000001010
+11100000010101000111110000000100
+11100000001111000111101111111110
+11100000001001000111101111111000
+11100000000010110111101111110001
+11011111111100110111101111101011
+11011111110110110111101111100101
+11011111110000100111101111011110
+11011111101010100111101111011000
+11011111100100100111101111010010
+11011111011110010111101111001011
+11011111011000010111101111000101
+11011111010010010111101110111111
+11011111001100000111101110111000
+11011111000110000111101110110010
+11011111000000000111101110101011
+11011110111010000111101110100101
+11011110110011110111101110011110
+11011110101101110111101110011000
+11011110100111110111101110010001
+11011110100001110111101110001011
+11011110011011100111101110000100
+11011110010101100111101101111101
+11011110001111100111101101110111
+11011110001001100111101101110000
+11011110000011010111101101101001
+11011101111101010111101101100011
+11011101110111010111101101011100
+11011101110001010111101101010101
+11011101101011000111101101001111
+11011101100101000111101101001000
+11011101011111000111101101000001
+11011101011001000111101100111010
+11011101010011000111101100110100
+11011101001100110111101100101101
+11011101000110110111101100100110
+11011101000000110111101100011111
+11011100111010110111101100011000
+11011100110100110111101100010001
+11011100101110110111101100001010
+11011100101000100111101100000011
+11011100100010100111101011111100
+11011100011100100111101011110101
+11011100010110100111101011101110
+11011100010000100111101011100111
+11011100001010100111101011100000
+11011100000100100111101011011001
+11011011111110100111101011010010
+11011011111000010111101011001011
+11011011110010010111101011000100
+11011011101100010111101010111101
+11011011100110010111101010110110
+11011011100000010111101010101111
+11011011011010010111101010101000
+11011011010100010111101010100000
+11011011001110010111101010011001
+11011011001000010111101010010010
+11011011000010010111101010001011
+11011010111100010111101010000011
+11011010110110010111101001111100
+11011010110000000111101001110101
+11011010101010000111101001101101
+11011010100100000111101001100110
+11011010011110000111101001011111
+11011010011000000111101001010111
+11011010010010000111101001010000
+11011010001100000111101001001001
+11011010000110000111101001000001
+11011010000000000111101000111010
+11011001111010000111101000110010
+11011001110100000111101000101011
+11011001101110000111101000100011
+11011001101000000111101000011100
+11011001100010000111101000010100
+11011001011100000111101000001101
+11011001010110000111101000000101
+11011001010000010111100111111101
+11011001001010010111100111110110
+11011001000100010111100111101110
+11011000111110010111100111100111
+11011000111000010111100111011111
+11011000110010010111100111010111
+11011000101100010111100111001111
+11011000100110010111100111001000
+11011000100000010111100111000000
+11011000011010010111100110111000
+11011000010100010111100110110000
+11011000001110010111100110101001
+11011000001000100111100110100001
+11011000000010100111100110011001
+11010111111100100111100110010001
+11010111110110100111100110001001
+11010111110000100111100110000001
+11010111101010100111100101111001
+11010111100100100111100101110010
+11010111011110110111100101101010
+11010111011000110111100101100010
+11010111010010110111100101011010
+11010111001100110111100101010010
+11010111000110110111100101001010
+11010111000000110111100101000010
+11010110111011000111100100111001
+11010110110101000111100100110001
+11010110101111000111100100101001
+11010110101001000111100100100001
+11010110100011000111100100011001
+11010110011101010111100100010001
+11010110010111010111100100001001
+11010110010001010111100100000001
+11010110001011010111100011111000
+11010110000101100111100011110000
+11010101111111100111100011101000
+11010101111001100111100011100000
+11010101110011100111100011010111
+11010101101101110111100011001111
+11010101100111110111100011000111
+11010101100001110111100010111110
+11010101011100000111100010110110
+11010101010110000111100010101110
+11010101010000000111100010100101
+11010101001010000111100010011101
+11010101000100010111100010010101
+11010100111110010111100010001100
+11010100111000010111100010000100
+11010100110010100111100001111011
+11010100101100100111100001110011
+11010100100110110111100001101010
+11010100100000110111100001100010
+11010100011010110111100001011001
+11010100010101000111100001010001
+11010100001111000111100001001000
+11010100001001000111100000111111
+11010100000011010111100000110111
+11010011111101010111100000101110
+11010011110111100111100000100101
+11010011110001100111100000011101
+11010011101011100111100000010100
+11010011100101110111100000001011
+11010011011111110111100000000011
+11010011011010000111011111111010
+11010011010100000111011111110001
+11010011001110010111011111101000
+11010011001000010111011111100000
+11010011000010100111011111010111
+11010010111100100111011111001110
+11010010110110100111011111000101
+11010010110000110111011110111100
+11010010101010110111011110110011
+11010010100101000111011110101010
+11010010011111000111011110100001
+11010010011001010111011110011000
+11010010010011100111011110001111
+11010010001101100111011110000110
+11010010000111110111011101111101
+11010010000001110111011101110100
+11010001111100000111011101101011
+11010001110110000111011101100010
+11010001110000010111011101011001
+11010001101010010111011101010000
+11010001100100100111011101000111
+11010001011110110111011100111110
+11010001011000110111011100110101
+11010001010011000111011100101100
+11010001001101000111011100100011
+11010001000111010111011100011001
+11010001000001100111011100010000
+11010000111011100111011100000111
+11010000110101110111011011111110
+11010000101111110111011011110100
+11010000101010000111011011101011
+11010000100100010111011011100010
+11010000011110010111011011011000
+11010000011000100111011011001111
+11010000010010110111011011000110
+11010000001100110111011010111100
+11010000000111000111011010110011
+11010000000001010111011010101010
+11001111111011100111011010100000
+11001111110101100111011010010111
+11001111101111110111011010001101
+11001111101010000111011010000100
+11001111100100000111011001111010
+11001111011110010111011001110001
+11001111011000100111011001100111
+11001111010010110111011001011110
+11001111001100110111011001010100
+11001111000111000111011001001010
+11001111000001010111011001000001
+11001110111011100111011000110111
+11001110110101110111011000101110
+11001110101111110111011000100100
+11001110101010000111011000011010
+11001110100100010111011000010001
+11001110011110100111011000000111
+11001110011000110111010111111101
+11001110010010110111010111110011
+11001110001101000111010111101010
+11001110000111010111010111100000
+11001110000001100111010111010110
+11001101111011110111010111001100
+11001101110110000111010111000010
+11001101110000010111010110111000
+11001101101010100111010110101111
+11001101100100100111010110100101
+11001101011110110111010110011011
+11001101011001000111010110010001
+11001101010011010111010110000111
+11001101001101100111010101111101
+11001101000111110111010101110011
+11001101000010000111010101101001
+11001100111100010111010101011111
+11001100110110100111010101010101
+11001100110000110111010101001011
+11001100101011000111010101000001
+11001100100101010111010100110111
+11001100011111100111010100101101
+11001100011001110111010100100010
+11001100010100000111010100011000
+11001100001110010111010100001110
+11001100001000100111010100000100
+11001100000010110111010011111010
+11001011111101000111010011110000
+11001011110111010111010011100101
+11001011110001100111010011011011
+11001011101011110111010011010001
+11001011100110000111010011000111
+11001011100000010111010010111100
+11001011011010100111010010110010
+11001011010100110111010010101000
+11001011001111010111010010011101
+11001011001001100111010010010011
+11001011000011110111010010001001
+11001010111110000111010001111110
+11001010111000010111010001110100
+11001010110010100111010001101001
+11001010101100110111010001011111
+11001010100111000111010001010100
+11001010100001100111010001001010
+11001010011011110111010000111111
+11001010010110000111010000110101
+11001010010000010111010000101010
+11001010001010100111010000100000
+11001010000101000111010000010101
+11001001111111010111010000001011
+11001001111001100111010000000000
+11001001110011110111001111110101
+11001001101110000111001111101011
+11001001101000100111001111100000
+11001001100010110111001111010101
+11001001011101000111001111001011
+11001001010111010111001111000000
+11001001010001110111001110110101
+11001001001100000111001110101010
+11001001000110010111001110100000
+11001001000000110111001110010101
+11001000111011000111001110001010
+11001000110101010111001101111111
+11001000101111110111001101110100
+11001000101010000111001101101001
+11001000100100010111001101011111
+11001000011110110111001101010100
+11001000011001000111001101001001
+11001000010011010111001100111110
+11001000001101110111001100110011
+11001000001000000111001100101000
+11001000000010010111001100011101
+11000111111100110111001100010010
+11000111110111000111001100000111
+11000111110001100111001011111100
+11000111101011110111001011110001
+11000111100110010111001011100110
+11000111100000100111001011011011
+11000111011010110111001011010000
+11000111010101010111001011000101
+11000111001111100111001010111001
+11000111001010000111001010101110
+11000111000100010111001010100011
+11000110111110110111001010011000
+11000110111001000111001010001101
+11000110110011100111001010000001
+11000110101101110111001001110110
+11000110101000010111001001101011
+11000110100010100111001001100000
+11000110011101000111001001010100
+11000110010111100111001001001001
+11000110010001110111001000111110
+11000110001100010111001000110010
+11000110000110100111001000100111
+11000110000001000111001000011100
+11000101111011100111001000010000
+11000101110101110111001000000101
+11000101110000010111000111111001
+11000101101010100111000111101110
+11000101100101000111000111100011
+11000101011111100111000111010111
+11000101011001110111000111001100
+11000101010100010111000111000000
+11000101001110110111000110110101
+11000101001001000111000110101001
+11000101000011100111000110011101
+11000100111110000111000110010010
+11000100111000010111000110000110
+11000100110010110111000101111011
+11000100101101010111000101101111
+11000100100111110111000101100011
+11000100100010000111000101011000
+11000100011100100111000101001100
+11000100010111000111000101000000
+11000100010001100111000100110101
+11000100001011110111000100101001
+11000100000110010111000100011101
+11000100000000110111000100010001
+11000011111011010111000100000101
+11000011110101110111000011111010
+11000011110000000111000011101110
+11000011101010100111000011100010
+11000011100101000111000011010110
+11000011011111100111000011001010
+11000011011010000111000010111110
+11000011010100100111000010110011
+11000011001111000111000010100111
+11000011001001010111000010011011
+11000011000011110111000010001111
+11000010111110010111000010000011
+11000010111000110111000001110111
+11000010110011010111000001101011
+11000010101101110111000001011111
+11000010101000010111000001010011
+11000010100010110111000001000111
+11000010011101010111000000111011
+11000010010111110111000000101110
+11000010010010010111000000100010
+11000010001100110111000000010110
+11000010000111010111000000001010
+11000010000001110110111111111110
+11000001111100010110111111110010
+11000001110110110110111111100110
+11000001110001010110111111011001
+11000001101011110110111111001101
+11000001100110010110111111000001
+11000001100000110110111110110101
+11000001011011010110111110101000
+11000001010101110110111110011100
+11000001010000010110111110010000
+11000001001010110110111110000011
+11000001000101010110111101110111
+11000001000000000110111101101011
+11000000111010100110111101011110
+11000000110101000110111101010010
+11000000101111100110111101000101
+11000000101010000110111100111001
+11000000100100100110111100101101
+11000000011111000110111100100000
+11000000011001110110111100010100
+11000000010100010110111100000111
+11000000001110110110111011111011
+11000000001001010110111011101110
+11000000000100000110111011100010
+10111111111110010110111011010101
+10111111111000110110111011001000
+10111111110011010110111010111100
+10111111101110000110111010101111
+10111111101000100110111010100011
+10111111100011000110111010010110
+10111111011101100110111010001001
+10111111011000010110111001111101
+10111111010010110110111001110000
+10111111001101010110111001100011
+10111111001000000110111001010110
+10111111000010100110111001001010
+10111110111101000110111000111101
+10111110110111110110111000110000
+10111110110010010110111000100011
+10111110101100110110111000010111
+10111110100111100110111000001010
+10111110100010000110110111111101
+10111110011100110110110111110000
+10111110010111010110110111100011
+10111110010001110110110111010110
+10111110001100100110110111001001
+10111110000111000110110110111100
+10111110000001110110110110101111
+10111101111100010110110110100011
+10111101110111000110110110010110
+10111101110001100110110110001001
+10111101101100010110110101111100
+10111101100110110110110101101110
+10111101100001100110110101100001
+10111101011100000110110101010100
+10111101010110110110110101000111
+10111101010001010110110100111010
+10111101001100000110110100101101
+10111101000110110110110100100000
+10111101000001010110110100010011
+10111100111100000110110100000110
+10111100110110100110110011111001
+10111100110001010110110011101011
+10111100101100000110110011011110
+10111100100110100110110011010001
+10111100100001010110110011000100
+10111100011011110110110010110110
+10111100010110100110110010101001
+10111100010001010110110010011100
+10111100001011110110110010001111
+10111100000110100110110010000001
+10111100000001010110110001110100
+10111011111100000110110001100110
+10111011110110100110110001011001
+10111011110001010110110001001100
+10111011101100000110110000111110
+10111011100110110110110000110001
+10111011100001010110110000100011
+10111011011100000110110000010110
+10111011010110110110110000001001
+10111011010001100110101111111011
+10111011001100000110101111101110
+10111011000110110110101111100000
+10111011000001100110101111010011
+10111010111100010110101111000101
+10111010110111000110101110110111
+10111010110001110110101110101010
+10111010101100010110101110011100
+10111010100111000110101110001111
+10111010100001110110101110000001
+10111010011100100110101101110011
+10111010010111010110101101100110
+10111010010010000110101101011000
+10111010001100110110101101001010
+10111010000111100110101100111101
+10111010000010010110101100101111
+10111001111101000110101100100001
+10111001110111110110101100010011
+10111001110010100110101100000110
+10111001101101010110101011111000
+10111001101000000110101011101010
+10111001100010110110101011011100
+10111001011101100110101011001110
+10111001011000010110101011000000
+10111001010011000110101010110011
+10111001001101110110101010100101
+10111001001000100110101010010111
+10111001000011010110101010001001
+10111000111110000110101001111011
+10111000111000110110101001101101
+10111000110011100110101001011111
+10111000101110010110101001010001
+10111000101001010110101001000011
+10111000100100000110101000110101
+10111000011110110110101000100111
+10111000011001100110101000011001
+10111000010100010110101000001011
+10111000001111000110100111111101
+10111000001010000110100111101111
+10111000000100110110100111100001
+10110111111111100110100111010010
+10110111111010010110100111000100
+10110111110101000110100110110110
+10110111110000000110100110101000
+10110111101010110110100110011010
+10110111100101100110100110001011
+10110111100000100110100101111101
+10110111011011010110100101101111
+10110111010110000110100101100001
+10110111010000110110100101010010
+10110111001011110110100101000100
+10110111000110100110100100110110
+10110111000001010110100100101000
+10110110111100010110100100011001
+10110110110111000110100100001011
+10110110110010000110100011111101
+10110110101100110110100011101110
+10110110100111100110100011100000
+10110110100010100110100011010001
+10110110011101010110100011000011
+10110110011000010110100010110100
+10110110010011000110100010100110
+10110110001110000110100010011000
+10110110001000110110100010001001
+10110110000011100110100001111011
+10110101111110100110100001101100
+10110101111001010110100001011101
+10110101110100010110100001001111
+10110101101111010110100001000000
+10110101101010000110100000110010
+10110101100101000110100000100011
+10110101011111110110100000010100
+10110101011010110110100000000110
+10110101010101100110011111110111
+10110101010000100110011111101001
+10110101001011100110011111011010
+10110101000110010110011111001011
+10110101000001010110011110111100
+10110100111100000110011110101110
+10110100110111000110011110011111
+10110100110010000110011110010000
+10110100101100110110011110000001
+10110100100111110110011101110011
+10110100100010110110011101100100
+10110100011101100110011101010101
+10110100011000100110011101000110
+10110100010011100110011100110111
+10110100001110100110011100101000
+10110100001001010110011100011010
+10110100000100010110011100001011
+10110011111111010110011011111100
+10110011111010010110011011101101
+10110011110101010110011011011110
+10110011110000000110011011001111
+10110011101011000110011011000000
+10110011100110000110011010110001
+10110011100001000110011010100010
+10110011011100000110011010010011
+10110011010111000110011010000100
+10110011010001110110011001110101
+10110011001100110110011001100110
+10110011000111110110011001010111
+10110011000010110110011001001000
+10110010111101110110011000111000
+10110010111000110110011000101001
+10110010110011110110011000011010
+10110010101110110110011000001011
+10110010101001110110010111111100
+10110010100100110110010111101101
+10110010011111110110010111011101
+10110010011010110110010111001110
+10110010010101110110010110111111
+10110010010000110110010110110000
+10110010001011110110010110100000
+10110010000110110110010110010001
+10110010000001110110010110000010
+10110001111100110110010101110010
+10110001110111110110010101100011
+10110001110010110110010101010100
+10110001101101110110010101000100
+10110001101001000110010100110101
+10110001100100000110010100100110
+10110001011111000110010100010110
+10110001011010000110010100000111
+10110001010101000110010011110111
+10110001010000000110010011101000
+10110001001011010110010011011000
+10110001000110010110010011001001
+10110001000001010110010010111010
+10110000111100010110010010101010
+10110000110111010110010010011010
+10110000110010100110010010001011
+10110000101101100110010001111011
+10110000101000100110010001101100
+10110000100011100110010001011100
+10110000011110110110010001001101
+10110000011001110110010000111101
+10110000010100110110010000101101
+10110000010000000110010000011110
+10110000001011000110010000001110
+10110000000110000110001111111110
+10110000000001010110001111101111
+10101111111100010110001111011111
+10101111110111100110001111001111
+10101111110010100110001110111111
+10101111101101100110001110110000
+10101111101000110110001110100000
+10101111100011110110001110010000
+10101111011111000110001110000000
+10101111011010000110001101110001
+10101111010101010110001101100001
+10101111010000010110001101010001
+10101111001011100110001101000001
+10101111000110100110001100110001
+10101111000001110110001100100001
+10101110111100110110001100010001
+10101110111000000110001100000001
+10101110110011000110001011110001
+10101110101110010110001011100010
+10101110101001100110001011010010
+10101110100100100110001011000010
+10101110011111110110001010110010
+10101110011010110110001010100010
+10101110010110000110001010010010
+10101110010001010110001010000001
+10101110001100010110001001110001
+10101110000111100110001001100001
+10101110000010110110001001010001
+10101101111110000110001001000001
+10101101111001000110001000110001
+10101101110100010110001000100001
+10101101101111100110001000010001
+10101101101010100110001000000001
+10101101100101110110000111110000
+10101101100001000110000111100000
+10101101011100010110000111010000
+10101101010111100110000111000000
+10101101010010100110000110110000
+10101101001101110110000110011111
+10101101001001000110000110001111
+10101101000100010110000101111111
+10101100111111100110000101101111
+10101100111010110110000101011110
+10101100110110000110000101001110
+10101100110001000110000100111110
+10101100101100010110000100101101
+10101100100111100110000100011101
+10101100100010110110000100001101
+10101100011110000110000011111100
+10101100011001010110000011101100
+10101100010100100110000011011011
+10101100001111110110000011001011
+10101100001011000110000010111010
+10101100000110010110000010101010
+10101100000001100110000010011001
+10101011111100110110000010001001
+10101011111000000110000001111000
+10101011110011010110000001101000
+10101011101110100110000001010111
+10101011101001110110000001000111
+10101011100101010110000000110110
+10101011100000100110000000100110
+10101011011011110110000000010101
+10101011010111000110000000000100
+10101011010010010101111111110100
+10101011001101100101111111100011
+10101011001000110101111111010011
+10101011000100010101111111000010
+10101010111111100101111110110001
+10101010111010110101111110100000
+10101010110110000101111110010000
+10101010110001100101111101111111
+10101010101100110101111101101110
+10101010101000000101111101011110
+10101010100011010101111101001101
+10101010011110110101111100111100
+10101010011010000101111100101011
+10101010010101010101111100011010
+10101010010000110101111100001010
+10101010001100000101111011111001
+10101010000111010101111011101000
+10101010000010110101111011010111
+10101001111110000101111011000110
+10101001111001010101111010110101
+10101001110100110101111010100100
+10101001110000000101111010010011
+10101001101011100101111010000010
+10101001100110110101111001110001
+10101001100010010101111001100001
+10101001011101100101111001010000
+10101001011001000101111000111111
+10101001010100010101111000101110
+10101001001111110101111000011100
+10101001001011000101111000001011
+10101001000110100101110111111010
+10101001000001110101110111101001
+10101000111101010101110111011000
+10101000111000100101110111000111
+10101000110100000101110110110110
+10101000101111100101110110100101
+10101000101010110101110110010100
+10101000100110010101110110000011
+10101000100001110101110101110001
+10101000011101000101110101100000
+10101000011000100101110101001111
+10101000010100000101110100111110
+10101000001111010101110100101101
+10101000001010110101110100011011
+10101000000110010101110100001010
+10101000000001100101110011111001
+10100111111101000101110011101000
+10100111111000100101110011010110
+10100111110100000101110011000101
+10100111101111100101110010110100
+10100111101010110101110010100010
+10100111100110010101110010010001
+10100111100001110101110010000000
+10100111011101010101110001101110
+10100111011000110101110001011101
+10100111010100010101110001001011
+10100111001111100101110000111010
+10100111001011000101110000101001
+10100111000110100101110000010111
+10100111000010000101110000000110
+10100110111101100101101111110100
+10100110111001000101101111100011
+10100110110100100101101111010001
+10100110110000000101101111000000
+10100110101011100101101110101110
+10100110100111000101101110011101
+10100110100010100101101110001011
+10100110011110000101101101111010
+10100110011001100101101101101000
+10100110010101000101101101010110
+10100110010000100101101101000101
+10100110001100000101101100110011
+10100110000111100101101100100001
+10100110000011010101101100010000
+10100101111110110101101011111110
+10100101111010010101101011101100
+10100101110101110101101011011011
+10100101110001010101101011001001
+10100101101100110101101010110111
+10100101101000100101101010100110
+10100101100100000101101010010100
+10100101011111100101101010000010
+10100101011011000101101001110000
+10100101010110100101101001011110
+10100101010010010101101001001101
+10100101001101110101101000111011
+10100101001001010101101000101001
+10100101000101000101101000010111
+10100101000000100101101000000101
+10100100111100000101100111110011
+10100100110111110101100111100010
+10100100110011010101100111010000
+10100100101110110101100110111110
+10100100101010100101100110101100
+10100100100110000101100110011010
+10100100100001100101100110001000
+10100100011101010101100101110110
+10100100011000110101100101100100
+10100100010100100101100101010010
+10100100010000000101100101000000
+10100100001011110101100100101110
+10100100000111010101100100011100
+10100100000011000101100100001010
+10100011111110100101100011111000
+10100011111010010101100011100110
+10100011110101110101100011010100
+10100011110001100101100011000010
+10100011101101010101100010101111
+10100011101000110101100010011101
+10100011100100100101100010001011
+10100011100000000101100001111001
+10100011011011110101100001100111
+10100011010111100101100001010101
+10100011010011000101100001000010
+10100011001110110101100000110000
+10100011001010100101100000011110
+10100011000110000101100000001100
+10100011000001110101011111111010
+10100010111101100101011111100111
+10100010111001010101011111010101
+10100010110100110101011111000011
+10100010110000100101011110110000
+10100010101100010101011110011110
+10100010101000000101011110001100
+10100010100011110101011101111001
+10100010011111010101011101100111
+10100010011011000101011101010101
+10100010010110110101011101000010
+10100010010010100101011100110000
+10100010001110010101011100011110
+10100010001010000101011100001011
+10100010000101110101011011111001
+10100010000001100101011011100110
+10100001111101010101011011010100
+10100001111001000101011011000001
+10100001110100100101011010101111
+10100001110000010101011010011100
+10100001101100000101011010001010
+10100001100111110101011001110111
+10100001100011110101011001100101
+10100001011111100101011001010010
+10100001011011010101011001000000
+10100001010111000101011000101101
+10100001010010110101011000011011
+10100001001110100101011000001000
+10100001001010010101010111110101
+10100001000110000101010111100011
+10100001000001110101010111010000
+10100000111101100101010110111101
+10100000111001100101010110101011
+10100000110101010101010110011000
+10100000110001000101010110000101
+10100000101100110101010101110011
+10100000101000100101010101100000
+10100000100100100101010101001101
+10100000100000010101010100111010
+10100000011100000101010100101000
+10100000011000000101010100010101
+10100000010011110101010100000010
+10100000001111100101010011101111
+10100000001011010101010011011101
+10100000000111010101010011001010
+10100000000011000101010010110111
+10011111111111000101010010100100
+10011111111010110101010010010001
+10011111110110100101010001111110
+10011111110010100101010001101011
+10011111101110010101010001011001
+10011111101010010101010001000110
+10011111100110000101010000110011
+10011111100010000101010000100000
+10011111011101110101010000001101
+10011111011001110101001111111010
+10011111010101100101001111100111
+10011111010001100101001111010100
+10011111001101010101001111000001
+10011111001001010101001110101110
+10011111000101000101001110011011
+10011111000001000101001110001000
+10011110111100110101001101110101
+10011110111000110101001101100010
+10011110110100110101001101001111
+10011110110000100101001100111100
+10011110101100100101001100101000
+10011110101000100101001100010101
+10011110100100010101001100000010
+10011110100000010101001011101111
+10011110011100010101001011011100
+10011110011000010101001011001001
+10011110010100000101001010110110
+10011110010000000101001010100010
+10011110001100000101001010001111
+10011110001000000101001001111100
+10011110000100000101001001101001
+10011101111111110101001001010110
+10011101111011110101001001000010
+10011101110111110101001000101111
+10011101110011110101001000011100
+10011101101111110101001000001000
+10011101101011110101000111110101
+10011101100111110101000111100010
+10011101100011110101000111001111
+10011101011111110101000110111011
+10011101011011100101000110101000
+10011101010111100101000110010101
+10011101010011100101000110000001
+10011101001111100101000101101110
+10011101001011100101000101011010
+10011101000111100101000101000111
+10011101000011110101000100110100
+10011100111111110101000100100000
+10011100111011110101000100001101
+10011100110111110101000011111001
+10011100110011110101000011100110
+10011100101111110101000011010010
+10011100101011110101000010111111
+10011100100111110101000010101011
+10011100100011110101000010011000
+10011100100000000101000010000100
+10011100011100000101000001110001
+10011100011000000101000001011101
+10011100010100000101000001001010
+10011100010000010101000000110110
+10011100001100010101000000100010
+10011100001000010101000000001111
+10011100000100010100111111111011
+10011100000000100100111111101000
+10011011111100100100111111010100
+10011011111000100100111111000000
+10011011110100110100111110101101
+10011011110000110100111110011001
+10011011101100110100111110000101
+10011011101001000100111101110010
+10011011100101000100111101011110
+10011011100001010100111101001010
+10011011011101010100111100110110
+10011011011001100100111100100011
+10011011010101100100111100001111
+10011011010001100100111011111011
+10011011001101110100111011100111
+10011011001010000100111011010011
+10011011000110000100111011000000
+10011011000010010100111010101100
+10011010111110010100111010011000
+10011010111010100100111010000100
+10011010110110100100111001110000
+10011010110010110100111001011100
+10011010101111000100111001001001
+10011010101011000100111000110101
+10011010100111010100111000100001
+10011010100011100100111000001101
+10011010011111100100110111111001
+10011010011011110100110111100101
+10011010011000000100110111010001
+10011010010100000100110110111101
+10011010010000010100110110101001
+10011010001100100100110110010101
+10011010001000110100110110000001
+10011010000100110100110101101101
+10011010000001000100110101011001
+10011001111101010100110101000101
+10011001111001100100110100110001
+10011001110101110100110100011101
+10011001110010000100110100001001
+10011001101110000100110011110101
+10011001101010010100110011100001
+10011001100110100100110011001101
+10011001100010110100110010111001
+10011001011111000100110010100100
+10011001011011010100110010010000
+10011001010111100100110001111100
+10011001010011110100110001101000
+10011001010000000100110001010100
+10011001001100010100110001000000
+10011001001000100100110000101011
+10011001000100110100110000010111
+10011001000001000100110000000011
+10011000111101010100101111101111
+10011000111001100100101111011011
+10011000110110000100101111000110
+10011000110010010100101110110010
+10011000101110100100101110011110
+10011000101010110100101110001010
+10011000100111000100101101110101
+10011000100011010100101101100001
+10011000011111110100101101001101
+10011000011100000100101100111000
+10011000011000010100101100100100
+10011000010100100100101100010000
+10011000010001000100101011111011
+10011000001101010100101011100111
+10011000001001100100101011010010
+10011000000101110100101010111110
+10011000000010010100101010101010
+10010111111110100100101010010101
+10010111111011000100101010000001
+10010111110111010100101001101100
+10010111110011100100101001011000
+10010111110000000100101001000011
+10010111101100010100101000101111
+10010111101000110100101000011011
+10010111100101000100101000000110
+10010111100001010100100111110010
+10010111011101110100100111011101
+10010111011010000100100111001000
+10010111010110100100100110110100
+10010111010011000100100110011111
+10010111001111010100100110001011
+10010111001011110100100101110110
+10010111001000000100100101100010
+10010111000100100100100101001101
+10010111000000110100100100111000
+10010110111101010100100100100100
+10010110111001110100100100001111
+10010110110110000100100011111011
+10010110110010100100100011100110
+10010110101111000100100011010001
+10010110101011100100100010111101
+10010110100111110100100010101000
+10010110100100010100100010010011
+10010110100000110100100001111110
+10010110011101010100100001101010
+10010110011001100100100001010101
+10010110010110000100100001000000
+10010110010010100100100000101100
+10010110001111000100100000010111
+10010110001011100100100000000010
+10010110000111110100011111101101
+10010110000100010100011111011000
+10010110000000110100011111000100
+10010101111101010100011110101111
+10010101111001110100011110011010
+10010101110110010100011110000101
+10010101110010110100011101110000
+10010101101111010100011101011011
+10010101101011110100011101000111
+10010101101000010100011100110010
+10010101100100110100011100011101
+10010101100001010100011100001000
+10010101011101110100011011110011
+10010101011010010100011011011110
+10010101010110110100011011001001
+10010101010011010100011010110100
+10010101010000000100011010011111
+10010101001100100100011010001010
+10010101001001000100011001110101
+10010101000101100100011001100000
+10010101000010000100011001001011
+10010100111110100100011000110110
+10010100111011010100011000100001
+10010100110111110100011000001100
+10010100110100010100010111110111
+10010100110000110100010111100010
+10010100101101100100010111001101
+10010100101010000100010110111000
+10010100100110100100010110100011
+10010100100011010100010110001110
+10010100011111110100010101111001
+10010100011100010100010101100100
+10010100011001000100010101001111
+10010100010101100100010100111001
+10010100010010010100010100100100
+10010100001110110100010100001111
+10010100001011010100010011111010
+10010100001000000100010011100101
+10010100000100100100010011010000
+10010100000001010100010010111010
+10010011111101110100010010100101
+10010011111010100100010010010000
+10010011110111010100010001111011
+10010011110011110100010001100101
+10010011110000100100010001010000
+10010011101101000100010000111011
+10010011101001110100010000100110
+10010011100110100100010000010000
+10010011100011000100001111111011
+10010011011111110100001111100110
+10010011011100010100001111010001
+10010011011001000100001110111011
+10010011010101110100001110100110
+10010011010010100100001110010001
+10010011001111000100001101111011
+10010011001011110100001101100110
+10010011001000100100001101010000
+10010011000101010100001100111011
+10010011000001110100001100100110
+10010010111110100100001100010000
+10010010111011010100001011111011
+10010010111000000100001011100101
+10010010110100110100001011010000
+10010010110001100100001010111011
+10010010101110010100001010100101
+10010010101011000100001010010000
+10010010100111110100001001111010
+10010010100100100100001001100101
+10010010100001000100001001001111
+10010010011101110100001000111010
+10010010011010100100001000100100
+10010010010111010100001000001111
+10010010010100010100000111111001
+10010010010001000100000111100100
+10010010001101110100000111001110
+10010010001010100100000110111001
+10010010000111010100000110100011
+10010010000100000100000110001101
+10010010000000110100000101111000
+10010001111101100100000101100010
+10010001111010010100000101001101
+10010001110111010100000100110111
+10010001110100000100000100100001
+10010001110000110100000100001100
+10010001101101100100000011110110
+10010001101010100100000011100000
+10010001100111010100000011001011
+10010001100100000100000010110101
+10010001100000110100000010011111
+10010001011101110100000010001010
+10010001011010100100000001110100
+10010001010111010100000001011110
+10010001010100010100000001001000
+10010001010001000100000000110011
+10010001001110000100000000011101
+10010001001010110100000000000111
+10010001000111100011111111110000
+10010001000100100011111111011011
+10010001000001010011111111000101
+10010000111110010011111110101111
+10010000111011000011111110011001
+10010000111000000011111110000100
+10010000110100110011111101101110
+10010000110001110011111101011000
+10010000101110110011111101000010
+10010000101011100011111100101100
+10010000101000100011111100010110
+10010000100101010011111100000000
+10010000100010010011111011101011
+10010000011111010011111011010101
+10010000011100000011111010111111
+10010000011001000011111010101001
+10010000010110000011111010010011
+10010000010010110011111001111101
+10010000001111110011111001100111
+10010000001100110011111001010001
+10010000001001110011111000111011
+10010000000110100011111000100101
+10010000000011100011111000001111
+10010000000000100011110111111001
+10001111111101100011110111100011
+10001111111010100011110111001101
+10001111110111100011110110110111
+10001111110100100011110110100001
+10001111110001010011110110001011
+10001111101110010011110101110101
+10001111101011010011110101011111
+10001111101000010011110101001001
+10001111100101010011110100110011
+10001111100010010011110100011101
+10001111011111010011110100000111
+10001111011100010011110011110001
+10001111011001010011110011011011
+10001111010110010011110011000100
+10001111010011010011110010101110
+10001111010000100011110010011000
+10001111001101100011110010000010
+10001111001010100011110001101100
+10001111000111100011110001010110
+10001111000100100011110001000000
+10001111000001100011110000101001
+10001110111110110011110000010011
+10001110111011110011101111111101
+10001110111000110011101111100111
+10001110110101110011101111010001
+10001110110010110011101110111010
+10001110110000000011101110100100
+10001110101101000011101110001110
+10001110101010000011101101111000
+10001110100111010011101101100001
+10001110100100010011101101001011
+10001110100001010011101100110101
+10001110011110100011101100011111
+10001110011011100011101100001000
+10001110011000110011101011110010
+10001110010101110011101011011100
+10001110010010110011101011000101
+10001110010000000011101010101111
+10001110001101000011101010011001
+10001110001010010011101010000010
+10001110000111010011101001101100
+10001110000100100011101001010110
+10001110000001110011101000111111
+10001101111110110011101000101001
+10001101111100000011101000010010
+10001101111001000011100111111100
+10001101110110010011100111100110
+10001101110011100011100111001111
+10001101110000100011100110111001
+10001101101101110011100110100010
+10001101101011000011100110001100
+10001101101000000011100101110110
+10001101100101010011100101011111
+10001101100010100011100101001001
+10001101011111110011100100110010
+10001101011100110011100100011100
+10001101011010000011100100000101
+10001101010111010011100011101111
+10001101010100100011100011011000
+10001101010001110011100011000010
+10001101001110110011100010101011
+10001101001100000011100010010101
+10001101001001010011100001111110
+10001101000110100011100001100111
+10001101000011110011100001010001
+10001101000001000011100000111010
+10001100111110010011100000100100
+10001100111011100011100000001101
+10001100111000110011011111110111
+10001100110110000011011111100000
+10001100110011010011011111001001
+10001100110000100011011110110011
+10001100101101110011011110011100
+10001100101011000011011110000101
+10001100101000010011011101101111
+10001100100101110011011101011000
+10001100100011000011011101000001
+10001100100000010011011100101011
+10001100011101100011011100010100
+10001100011010110011011011111101
+10001100011000000011011011100111
+10001100010101100011011011010000
+10001100010010110011011010111001
+10001100010000000011011010100011
+10001100001101010011011010001100
+10001100001010110011011001110101
+10001100001000000011011001011110
+10001100000101010011011001001000
+10001100000010110011011000110001
+10001100000000000011011000011010
+10001011111101010011011000000011
+10001011111010110011010111101100
+10001011111000000011010111010110
+10001011110101100011010110111111
+10001011110010110011010110101000
+10001011110000010011010110010001
+10001011101101100011010101111010
+10001011101011000011010101100100
+10001011101000010011010101001101
+10001011100101110011010100110110
+10001011100011000011010100011111
+10001011100000100011010100001000
+10001011011101110011010011110001
+10001011011011010011010011011010
+10001011011000110011010011000011
+10001011010110000011010010101101
+10001011010011100011010010010110
+10001011010001000011010001111111
+10001011001110010011010001101000
+10001011001011110011010001010001
+10001011001001010011010000111010
+10001011000110110011010000100011
+10001011000100000011010000001100
+10001011000001100011001111110101
+10001010111111000011001111011110
+10001010111100100011001111000111
+10001010111010000011001110110000
+10001010110111100011001110011001
+10001010110100110011001110000010
+10001010110010010011001101101011
+10001010101111110011001101010100
+10001010101101010011001100111101
+10001010101010110011001100100110
+10001010101000010011001100001111
+10001010100101110011001011111000
+10001010100011010011001011100001
+10001010100000110011001011001010
+10001010011110010011001010110011
+10001010011011110011001010011100
+10001010011001010011001010000101
+10001010010110110011001001101110
+10001010010100010011001001010110
+10001010010010000011001000111111
+10001010001111100011001000101000
+10001010001101000011001000010001
+10001010001010100011000111111010
+10001010001000000011000111100011
+10001010000101100011000111001100
+10001010000011010011000110110101
+10001010000000110011000110011101
+10001001111110010011000110000110
+10001001111011110011000101101111
+10001001111001100011000101011000
+10001001110111000011000101000001
+10001001110100100011000100101001
+10001001110010010011000100010010
+10001001101111110011000011111011
+10001001101101100011000011100100
+10001001101011000011000011001101
+10001001101000100011000010110101
+10001001100110010011000010011110
+10001001100011110011000010000111
+10001001100001100011000001110000
+10001001011111000011000001011000
+10001001011100110011000001000001
+10001001011010010011000000101010
+10001001011000000011000000010010
+10001001010101100010111111111011
+10001001010011010010111111100100
+10001001010001000010111111001101
+10001001001110100010111110110101
+10001001001100010010111110011110
+10001001001010000010111110000111
+10001001000111100010111101101111
+10001001000101010010111101011000
+10001001000011000010111101000001
+10001001000000100010111100101001
+10001000111110010010111100010010
+10001000111100000010111011111010
+10001000111001110010111011100011
+10001000110111010010111011001100
+10001000110101000010111010110100
+10001000110010110010111010011101
+10001000110000100010111010000101
+10001000101110010010111001101110
+10001000101100000010111001010111
+10001000101001110010111000111111
+10001000100111100010111000101000
+10001000100101010010111000010000
+10001000100011000010110111111001
+10001000100000110010110111100001
+10001000011110100010110111001010
+10001000011100010010110110110010
+10001000011010000010110110011011
+10001000010111110010110110000100
+10001000010101100010110101101100
+10001000010011010010110101010101
+10001000010001000010110100111101
+10001000001110110010110100100110
+10001000001100100010110100001110
+10001000001010010010110011110110
+10001000001000000010110011011111
+10001000000110000010110011000111
+10001000000011110010110010110000
+10001000000001100010110010011000
+10000111111111010010110010000001
+10000111111101010010110001101001
+10000111111011000010110001010010
+10000111111000110010110000111010
+10000111110110110010110000100010
+10000111110100100010110000001011
+10000111110010010010101111110011
+10000111110000010010101111011100
+10000111101110000010101111000100
+10000111101011110010101110101100
+10000111101001110010101110010101
+10000111100111100010101101111101
+10000111100101100010101101100101
+10000111100011010010101101001110
+10000111100001010010101100110110
+10000111011111000010101100011111
+10000111011101000010101100000111
+10000111011010110010101011101111
+10000111011000110010101011011000
+10000111010110110010101011000000
+10000111010100100010101010101000
+10000111010010100010101010010000
+10000111010000100010101001111001
+10000111001110010010101001100001
+10000111001100010010101001001001
+10000111001010010010101000110010
+10000111001000000010101000011010
+10000111000110000010101000000010
+10000111000100000010100111101010
+10000111000010000010100111010011
+10000110111111110010100110111011
+10000110111101110010100110100011
+10000110111011110010100110001011
+10000110111001110010100101110100
+10000110110111110010100101011100
+10000110110101110010100101000100
+10000110110011110010100100101100
+10000110110001110010100100010100
+10000110101111100010100011111101
+10000110101101100010100011100101
+10000110101011100010100011001101
+10000110101001100010100010110101
+10000110100111100010100010011101
+10000110100101100010100010000101
+10000110100011100010100001101110
+10000110100001110010100001010110
+10000110011111110010100000111110
+10000110011101110010100000100110
+10000110011011110010100000001110
+10000110011001110010011111110110
+10000110010111110010011111011110
+10000110010101110010011111000111
+10000110010100000010011110101111
+10000110010010000010011110010111
+10000110010000000010011101111111
+10000110001110000010011101100111
+10000110001100010010011101001111
+10000110001010010010011100110111
+10000110001000010010011100011111
+10000110000110010010011100000111
+10000110000100100010011011101111
+10000110000010100010011011010111
+10000110000000110010011010111111
+10000101111110110010011010101000
+10000101111100110010011010010000
+10000101111011000010011001111000
+10000101111001000010011001100000
+10000101110111010010011001001000
+10000101110101010010011000110000
+10000101110011100010011000011000
+10000101110001100010011000000000
+10000101101111110010010111101000
+10000101101101110010010111010000
+10000101101100000010010110111000
+10000101101010010010010110100000
+10000101101000010010010110001000
+10000101100110100010010101110000
+10000101100100110010010101011000
+10000101100010110010010101000000
+10000101100001000010010100100111
+10000101011111010010010100001111
+10000101011101010010010011110111
+10000101011011100010010011011111
+10000101011001110010010011000111
+10000101011000000010010010101111
+10000101010110000010010010010111
+10000101010100010010010001111111
+10000101010010100010010001100111
+10000101010000110010010001001111
+10000101001111000010010000110111
+10000101001101010010010000011111
+10000101001011100010010000000110
+10000101001001110010001111101110
+10000101001000000010001111010110
+10000101000110010010001110111110
+10000101000100100010001110100110
+10000101000010110010001110001110
+10000101000001000010001101110110
+10000100111111010010001101011110
+10000100111101100010001101000101
+10000100111011110010001100101101
+10000100111010000010001100010101
+10000100111000010010001011111101
+10000100110110100010001011100101
+10000100110100110010001011001101
+10000100110011000010001010110100
+10000100110001100010001010011100
+10000100101111110010001010000100
+10000100101110000010001001101100
+10000100101100010010001001010100
+10000100101010110010001000111011
+10000100101001000010001000100011
+10000100100111010010001000001011
+10000100100101110010000111110011
+10000100100100000010000111011010
+10000100100010010010000111000010
+10000100100000110010000110101010
+10000100011111000010000110010010
+10000100011101010010000101111001
+10000100011011110010000101100001
+10000100011010000010000101001001
+10000100011000100010000100110001
+10000100010110110010000100011000
+10000100010101010010000100000000
+10000100010011100010000011101000
+10000100010010000010000011010000
+10000100010000010010000010110111
+10000100001110110010000010011111
+10000100001101010010000010000111
+10000100001011100010000001101110
+10000100001010000010000001010110
+10000100001000100010000000111110
+10000100000110110010000000100101
+10000100000101010010000000001101
+10000100000011110001111111110101
+10000100000010000001111111011100
+10000100000000100001111111000100
+10000011111111000001111110101100
+10000011111101100001111110010011
+10000011111100000001111101111011
+10000011111010010001111101100011
+10000011111000110001111101001010
+10000011110111010001111100110010
+10000011110101110001111100011001
+10000011110100010001111100000001
+10000011110010110001111011101001
+10000011110001010001111011010000
+10000011101111110001111010111000
+10000011101110010001111010100000
+10000011101100110001111010000111
+10000011101011010001111001101111
+10000011101001110001111001010110
+10000011101000010001111000111110
+10000011100110110001111000100101
+10000011100101010001111000001101
+10000011100011110001110111110101
+10000011100010010001110111011100
+10000011100000110001110111000100
+10000011011111010001110110101011
+10000011011110000001110110010011
+10000011011100100001110101111010
+10000011011011000001110101100010
+10000011011001100001110101001001
+10000011011000010001110100110001
+10000011010110110001110100011001
+10000011010101010001110100000000
+10000011010011110001110011101000
+10000011010010100001110011001111
+10000011010001000001110010110111
+10000011001111110001110010011110
+10000011001110010001110010000110
+10000011001100110001110001101101
+10000011001011100001110001010101
+10000011001010000001110000111100
+10000011001000110001110000100100
+10000011000111010001110000001011
+10000011000110000001101111110011
+10000011000100100001101111011010
+10000011000011010001101111000001
+10000011000001110001101110101001
+10000011000000100001101110010000
+10000010111111000001101101111000
+10000010111101110001101101011111
+10000010111100100001101101000111
+10000010111011000001101100101110
+10000010111001110001101100010110
+10000010111000100001101011111101
+10000010110111000001101011100101
+10000010110101110001101011001100
+10000010110100100001101010110011
+10000010110011010001101010011011
+10000010110001110001101010000010
+10000010110000100001101001101010
+10000010101111010001101001010001
+10000010101110000001101000111000
+10000010101100110001101000100000
+10000010101011100001101000000111
+10000010101010010001100111101111
+10000010101000110001100111010110
+10000010100111100001100110111101
+10000010100110010001100110100101
+10000010100101000001100110001100
+10000010100011110001100101110100
+10000010100010100001100101011011
+10000010100001010001100101000010
+10000010100000000001100100101010
+10000010011111000001100100010001
+10000010011101110001100011111000
+10000010011100100001100011100000
+10000010011011010001100011000111
+10000010011010000001100010101110
+10000010011000110001100010010110
+10000010010111100001100001111101
+10000010010110100001100001100100
+10000010010101010001100001001100
+10000010010100000001100000110011
+10000010010010110001100000011010
+10000010010001110001100000000010
+10000010010000100001011111101001
+10000010001111010001011111010000
+10000010001110000001011110111000
+10000010001101000001011110011111
+10000010001011110001011110000110
+10000010001010110001011101101101
+10000010001001100001011101010101
+10000010001000010001011100111100
+10000010000111010001011100100011
+10000010000110000001011100001011
+10000010000101000001011011110010
+10000010000011110001011011011001
+10000010000010110001011011000000
+10000010000001100001011010101000
+10000010000000100001011010001111
+10000001111111100001011001110110
+10000001111110010001011001011110
+10000001111101010001011001000101
+10000001111100000001011000101100
+10000001111011000001011000010011
+10000001111010000001010111111010
+10000001111000110001010111100010
+10000001110111110001010111001001
+10000001110110110001010110110000
+10000001110101110001010110010111
+10000001110100100001010101111111
+10000001110011100001010101100110
+10000001110010100001010101001101
+10000001110001100001010100110100
+10000001110000100001010100011100
+10000001101111010001010100000011
+10000001101110010001010011101010
+10000001101101010001010011010001
+10000001101100010001010010111000
+10000001101011010001010010100000
+10000001101010010001010010000111
+10000001101001010001010001101110
+10000001101000010001010001010101
+10000001100111010001010000111100
+10000001100110010001010000100100
+10000001100101010001010000001011
+10000001100100010001001111110010
+10000001100011010001001111011001
+10000001100010010001001111000000
+10000001100001100001001110100111
+10000001100000100001001110001111
+10000001011111100001001101110110
+10000001011110100001001101011101
+10000001011101100001001101000100
+10000001011100110001001100101011
+10000001011011110001001100010010
+10000001011010110001001011111001
+10000001011001110001001011100001
+10000001011001000001001011001000
+10000001011000000001001010101111
+10000001010111000001001010010110
+10000001010110010001001001111101
+10000001010101010001001001100100
+10000001010100010001001001001011
+10000001010011100001001000110011
+10000001010010100001001000011010
+10000001010001110001001000000001
+10000001010000110001000111101000
+10000001010000000001000111001111
+10000001001111000001000110110110
+10000001001110010001000110011101
+10000001001101010001000110000100
+10000001001100100001000101101011
+10000001001011100001000101010011
+10000001001010110001000100111010
+10000001001010000001000100100001
+10000001001001000001000100001000
+10000001001000010001000011101111
+10000001000111100001000011010110
+10000001000110100001000010111101
+10000001000101110001000010100100
+10000001000101000001000010001011
+10000001000100010001000001110010
+10000001000011010001000001011001
+10000001000010100001000001000001
+10000001000001110001000000101000
+10000001000001000001000000001111
+10000001000000010000111111110110
+10000000111111100000111111011101
+10000000111110110000111111000100
+10000000111101110000111110101011
+10000000111101000000111110010010
+10000000111100010000111101111001
+10000000111011100000111101100000
+10000000111010110000111101000111
+10000000111010000000111100101110
+10000000111001010000111100010101
+10000000111000100000111011111100
+10000000110111110000111011100011
+10000000110111010000111011001010
+10000000110110100000111010110001
+10000000110101110000111010011000
+10000000110101000000111001111111
+10000000110100010000111001100110
+10000000110011100000111001001101
+10000000110010110000111000110101
+10000000110010010000111000011100
+10000000110001100000111000000011
+10000000110000110000110111101010
+10000000110000000000110111010001
+10000000101111100000110110111000
+10000000101110110000110110011111
+10000000101110000000110110000110
+10000000101101100000110101101101
+10000000101100110000110101010100
+10000000101100000000110100111011
+10000000101011100000110100100010
+10000000101010110000110100001001
+10000000101010010000110011110000
+10000000101001100000110011010111
+10000000101001000000110010111110
+10000000101000010000110010100101
+10000000100111110000110010001100
+10000000100111000000110001110011
+10000000100110100000110001011010
+10000000100101110000110001000001
+10000000100101010000110000101000
+10000000100100110000110000001111
+10000000100100000000101111110110
+10000000100011100000101111011101
+10000000100011000000101111000011
+10000000100010010000101110101010
+10000000100001110000101110010001
+10000000100001010000101101111000
+10000000100000110000101101011111
+10000000100000000000101101000110
+10000000011111100000101100101101
+10000000011111000000101100010100
+10000000011110100000101011111011
+10000000011110000000101011100010
+10000000011101100000101011001001
+10000000011100110000101010110000
+10000000011100010000101010010111
+10000000011011110000101001111110
+10000000011011010000101001100101
+10000000011010110000101001001100
+10000000011010010000101000110011
+10000000011001110000101000011010
+10000000011001010000101000000001
+10000000011000110000100111101000
+10000000011000010000100111001111
+10000000010111110000100110110110
+10000000010111100000100110011101
+10000000010111000000100110000011
+10000000010110100000100101101010
+10000000010110000000100101010001
+10000000010101100000100100111000
+10000000010101000000100100011111
+10000000010100110000100100000110
+10000000010100010000100011101101
+10000000010011110000100011010100
+10000000010011010000100010111011
+10000000010011000000100010100010
+10000000010010100000100010001001
+10000000010010000000100001110000
+10000000010001110000100001010111
+10000000010001010000100000111110
+10000000010000110000100000100100
+10000000010000100000100000001011
+10000000010000000000011111110010
+10000000001111110000011111011001
+10000000001111010000011111000000
+10000000001111000000011110100111
+10000000001110100000011110001110
+10000000001110010000011101110101
+10000000001101110000011101011100
+10000000001101100000011101000011
+10000000001101000000011100101010
+10000000001100110000011100010001
+10000000001100100000011011110111
+10000000001100000000011011011110
+10000000001011110000011011000101
+10000000001011100000011010101100
+10000000001011000000011010010011
+10000000001010110000011001111010
+10000000001010100000011001100001
+10000000001010000000011001001000
+10000000001001110000011000101111
+10000000001001100000011000010110
+10000000001001010000010111111100
+10000000001001000000010111100011
+10000000001000110000010111001010
+10000000001000010000010110110001
+10000000001000000000010110011000
+10000000000111110000010101111111
+10000000000111100000010101100110
+10000000000111010000010101001101
+10000000000111000000010100110100
+10000000000110110000010100011010
+10000000000110100000010100000001
+10000000000110010000010011101000
+10000000000110000000010011001111
+10000000000101110000010010110110
+10000000000101100000010010011101
+10000000000101010000010010000100
+10000000000101010000010001101011
+10000000000101000000010001010010
+10000000000100110000010000111000
+10000000000100100000010000011111
+10000000000100010000010000000110
+10000000000100000000001111101101
+10000000000100000000001111010100
+10000000000011110000001110111011
+10000000000011100000001110100010
+10000000000011010000001110001001
+10000000000011010000001101101111
+10000000000011000000001101010110
+10000000000010110000001100111101
+10000000000010110000001100100100
+10000000000010100000001100001011
+10000000000010100000001011110010
+10000000000010010000001011011001
+10000000000010010000001011000000
+10000000000010000000001010100110
+10000000000010000000001010001101
+10000000000001110000001001110100
+10000000000001110000001001011011
+10000000000001100000001001000010
+10000000000001100000001000101001
+10000000000001010000001000010000
+10000000000001010000000111110111
+10000000000001000000000111011101
+10000000000001000000000111000100
+10000000000001000000000110101011
+10000000000000110000000110010010
+10000000000000110000000101111001
+10000000000000110000000101100000
+10000000000000110000000101000111
+10000000000000100000000100101110
+10000000000000100000000100010100
+10000000000000100000000011111011
+10000000000000100000000011100010
+10000000000000100000000011001001
+10000000000000010000000010110000
+10000000000000010000000010010111
+10000000000000010000000001111110
+10000000000000010000000001100101
+10000000000000010000000001001011
+10000000000000010000000000110010
+10000000000000010000000000011001
+10000000000000010000000000000000
+10000000000000001111111111100111
+10000000000000001111111111001110
+10000000000000001111111110110101
+10000000000000001111111110011011
+10000000000000001111111110000010
+10000000000000001111111101101001
+10000000000000001111111101010000
+10000000000000011111111100110111
+10000000000000011111111100011110
+10000000000000011111111100000101
+10000000000000011111111011101100
+10000000000000011111111011010010
+10000000000000101111111010111001
+10000000000000101111111010100000
+10000000000000101111111010000111
+10000000000000101111111001101110
+10000000000000111111111001010101
+10000000000000111111111000111100
+10000000000000111111111000100011
+10000000000001001111111000001001
+10000000000001001111110111110000
+10000000000001011111110111010111
+10000000000001011111110110111110
+10000000000001101111110110100101
+10000000000001101111110110001100
+10000000000001111111110101110011
+10000000000001111111110101011010
+10000000000010001111110101000000
+10000000000010001111110100100111
+10000000000010011111110100001110
+10000000000010011111110011110101
+10000000000010101111110011011100
+10000000000010101111110011000011
+10000000000010111111110010101010
+10000000000011001111110010010001
+10000000000011001111110001110111
+10000000000011011111110001011110
+10000000000011101111110001000101
+10000000000011111111110000101100
+10000000000011111111110000010011
+10000000000100001111101111111010
+10000000000100011111101111100001
+10000000000100101111101111001000
+10000000000100111111101110101110
+10000000000101001111101110010101
+10000000000101001111101101111100
+10000000000101011111101101100011
+10000000000101101111101101001010
+10000000000101111111101100110001
+10000000000110001111101100011000
+10000000000110011111101011111111
+10000000000110101111101011100110
+10000000000110111111101011001100
+10000000000111001111101010110011
+10000000000111011111101010011010
+10000000000111101111101010000001
+10000000000111111111101001101000
+10000000001000001111101001001111
+10000000001000101111101000110110
+10000000001000111111101000011101
+10000000001001001111101000000100
+10000000001001011111100111101010
+10000000001001101111100111010001
+10000000001001111111100110111000
+10000000001010011111100110011111
+10000000001010101111100110000110
+10000000001010111111100101101101
+10000000001011011111100101010100
+10000000001011101111100100111011
+10000000001011111111100100100010
+10000000001100011111100100001001
+10000000001100101111100011101111
+10000000001100111111100011010110
+10000000001101011111100010111101
+10000000001101101111100010100100
+10000000001110001111100010001011
+10000000001110011111100001110010
+10000000001110111111100001011001
+10000000001111001111100001000000
+10000000001111101111100000100111
+10000000001111111111100000001110
+10000000010000011111011111110101
+10000000010000101111011111011100
+10000000010001001111011111000010
+10000000010001101111011110101001
+10000000010001111111011110010000
+10000000010010011111011101110111
+10000000010010111111011101011110
+10000000010011001111011101000101
+10000000010011101111011100101100
+10000000010100001111011100010011
+10000000010100101111011011111010
+10000000010100111111011011100001
+10000000010101011111011011001000
+10000000010101111111011010101111
+10000000010110011111011010010110
+10000000010110111111011001111101
+10000000010111011111011001100011
+10000000010111101111011001001010
+10000000011000001111011000110001
+10000000011000101111011000011000
+10000000011001001111010111111111
+10000000011001101111010111100110
+10000000011010001111010111001101
+10000000011010101111010110110100
+10000000011011001111010110011011
+10000000011011101111010110000010
+10000000011100001111010101101001
+10000000011100101111010101010000
+10000000011101011111010100110111
+10000000011101111111010100011110
+10000000011110011111010100000101
+10000000011110111111010011101100
+10000000011111011111010011010011
+10000000011111111111010010111010
+10000000100000101111010010100001
+10000000100001001111010010001000
+10000000100001101111010001101111
+10000000100010001111010001010110
+10000000100010111111010000111101
+10000000100011011111010000100011
+10000000100011111111010000001010
+10000000100100101111001111110001
+10000000100101001111001111011000
+10000000100101101111001110111111
+10000000100110011111001110100110
+10000000100110111111001110001101
+10000000100111101111001101110100
+10000000101000001111001101011011
+10000000101000111111001101000010
+10000000101001011111001100101001
+10000000101010001111001100010000
+10000000101010101111001011110111
+10000000101011011111001011011110
+10000000101011111111001011000101
+10000000101100101111001010101100
+10000000101101011111001010010011
+10000000101101111111001001111010
+10000000101110101111001001100001
+10000000101111011111001001001000
+10000000101111111111001000101111
+10000000110000101111001000010110
+10000000110001011111000111111101
+10000000110010001111000111100100
+10000000110010101111000111001011
+10000000110011011111000110110011
+10000000110100001111000110011010
+10000000110100111111000110000001
+10000000110101101111000101101000
+10000000110110011111000101001111
+10000000110111001111000100110110
+10000000110111101111000100011101
+10000000111000011111000100000100
+10000000111001001111000011101011
+10000000111001111111000011010010
+10000000111010101111000010111001
+10000000111011011111000010100000
+10000000111100001111000010000111
+10000000111100111111000001101110
+10000000111101101111000001010101
+10000000111110101111000000111100
+10000000111111011111000000100011
+10000001000000001111000000001010
+10000001000000111110111111110001
+10000001000001101110111111011000
+10000001000010011110111110111111
+10000001000011001110111110100111
+10000001000100001110111110001110
+10000001000100111110111101110101
+10000001000101101110111101011100
+10000001000110011110111101000011
+10000001000111011110111100101010
+10000001001000001110111100010001
+10000001001000111110111011111000
+10000001001001111110111011011111
+10000001001010101110111011000110
+10000001001011011110111010101101
+10000001001100011110111010010101
+10000001001101001110111001111100
+10000001001110001110111001100011
+10000001001110111110111001001010
+10000001001111111110111000110001
+10000001010000101110111000011000
+10000001010001101110110111111111
+10000001010010011110110111100110
+10000001010011011110110111001101
+10000001010100001110110110110101
+10000001010101001110110110011100
+10000001010110001110110110000011
+10000001010110111110110101101010
+10000001010111111110110101010001
+10000001011000111110110100111000
+10000001011001101110110100011111
+10000001011010101110110100000111
+10000001011011101110110011101110
+10000001011100101110110011010101
+10000001011101011110110010111100
+10000001011110011110110010100011
+10000001011111011110110010001010
+10000001100000011110110001110001
+10000001100001011110110001011001
+10000001100010001110110001000000
+10000001100011001110110000100111
+10000001100100001110110000001110
+10000001100101001110101111110101
+10000001100110001110101111011100
+10000001100111001110101111000100
+10000001101000001110101110101011
+10000001101001001110101110010010
+10000001101010001110101101111001
+10000001101011001110101101100000
+10000001101100001110101101001000
+10000001101101001110101100101111
+10000001101110001110101100010110
+10000001101111001110101011111101
+10000001110000011110101011100100
+10000001110001011110101011001100
+10000001110010011110101010110011
+10000001110011011110101010011010
+10000001110100011110101010000001
+10000001110101101110101001101001
+10000001110110101110101001010000
+10000001110111101110101000110111
+10000001111000101110101000011110
+10000001111001111110101000000110
+10000001111010111110100111101101
+10000001111011111110100111010100
+10000001111101001110100110111011
+10000001111110001110100110100010
+10000001111111011110100110001010
+10000010000000011110100101110001
+10000010000001011110100101011000
+10000010000010101110100101000000
+10000010000011101110100100100111
+10000010000100111110100100001110
+10000010000101111110100011110101
+10000010000111001110100011011101
+10000010001000001110100011000100
+10000010001001011110100010101011
+10000010001010101110100010010011
+10000010001011101110100001111010
+10000010001100111110100001100001
+10000010001101111110100001001000
+10000010001111001110100000110000
+10000010010000011110100000010111
+10000010010001101110011111111110
+10000010010010101110011111100110
+10000010010011111110011111001101
+10000010010101001110011110110100
+10000010010110011110011110011100
+10000010010111011110011110000011
+10000010011000101110011101101010
+10000010011001111110011101010010
+10000010011011001110011100111001
+10000010011100011110011100100000
+10000010011101101110011100001000
+10000010011110111110011011101111
+10000010011111111110011011010110
+10000010100001001110011010111110
+10000010100010011110011010100101
+10000010100011101110011010001100
+10000010100100111110011001110100
+10000010100110001110011001011011
+10000010100111011110011001000011
+10000010101000101110011000101010
+10000010101010001110011000010001
+10000010101011011110010111111001
+10000010101100101110010111100000
+10000010101101111110010111001000
+10000010101111001110010110101111
+10000010110000011110010110010110
+10000010110001101110010101111110
+10000010110011001110010101100101
+10000010110100011110010101001101
+10000010110101101110010100110100
+10000010110110111110010100011011
+10000010111000011110010100000011
+10000010111001101110010011101010
+10000010111010111110010011010010
+10000010111100011110010010111001
+10000010111101101110010010100001
+10000010111110111110010010001000
+10000011000000011110010001110000
+10000011000001101110010001010111
+10000011000011001110010000111111
+10000011000100011110010000100110
+10000011000101111110010000001101
+10000011000111001110001111110101
+10000011001000101110001111011100
+10000011001001111110001111000100
+10000011001011011110001110101011
+10000011001100101110001110010011
+10000011001110001110001101111010
+10000011001111101110001101100010
+10000011010000111110001101001001
+10000011010010011110001100110001
+10000011010011101110001100011000
+10000011010101001110001100000000
+10000011010110101110001011100111
+10000011011000001110001011001111
+10000011011001011110001010110111
+10000011011010111110001010011110
+10000011011100011110001010000110
+10000011011101111110001001101101
+10000011011111001110001001010101
+10000011100000101110001000111100
+10000011100010001110001000100100
+10000011100011101110001000001011
+10000011100101001110000111110011
+10000011100110101110000111011011
+10000011101000001110000111000010
+10000011101001101110000110101010
+10000011101011001110000110010001
+10000011101100101110000101111001
+10000011101110001110000101100000
+10000011101111101110000101001000
+10000011110001001110000100110000
+10000011110010101110000100010111
+10000011110100001110000011111111
+10000011110101101110000011100111
+10000011110111001110000011001110
+10000011111000101110000010110110
+10000011111010001110000010011101
+10000011111011111110000010000101
+10000011111101011110000001101101
+10000011111110111110000001010100
+10000100000000011110000000111100
+10000100000001111110000000100100
+10000100000011101110000000001011
+10000100000101001101111111110011
+10000100000110101101111111011011
+10000100001000011101111111000010
+10000100001001111101111110101010
+10000100001011011101111110010010
+10000100001101001101111101111001
+10000100001110101101111101100001
+10000100010000001101111101001001
+10000100010001111101111100110000
+10000100010011011101111100011000
+10000100010101001101111100000000
+10000100010110101101111011101000
+10000100011000011101111011001111
+10000100011001111101111010110111
+10000100011011101101111010011111
+10000100011101001101111010000111
+10000100011110111101111001101110
+10000100100000101101111001010110
+10000100100010001101111000111110
+10000100100011111101111000100110
+10000100100101101101111000001101
+10000100100111001101110111110101
+10000100101000111101110111011101
+10000100101010101101110111000101
+10000100101100001101110110101100
+10000100101101111101110110010100
+10000100101111101101110101111100
+10000100110001011101110101100100
+10000100110010111101110101001100
+10000100110100101101110100110011
+10000100110110011101110100011011
+10000100111000001101110100000011
+10000100111001111101110011101011
+10000100111011101101110011010011
+10000100111101011101110010111011
+10000100111111001101110010100010
+10000101000000111101110010001010
+10000101000010101101110001110010
+10000101000100011101110001011010
+10000101000110001101110001000010
+10000101000111111101110000101010
+10000101001001101101110000010010
+10000101001011011101101111111010
+10000101001101001101101111100001
+10000101001110111101101111001001
+10000101010000101101101110110001
+10000101010010011101101110011001
+10000101010100001101101110000001
+10000101010101111101101101101001
+10000101010111111101101101010001
+10000101011001101101101100111001
+10000101011011011101101100100001
+10000101011101001101101100001001
+10000101011111001101101011110001
+10000101100000111101101011011001
+10000101100010101101101011000000
+10000101100100101101101010101000
+10000101100110011101101010010000
+10000101101000001101101001111000
+10000101101010001101101001100000
+10000101101011111101101001001000
+10000101101101101101101000110000
+10000101101111101101101000011000
+10000101110001011101101000000000
+10000101110011011101100111101000
+10000101110101001101100111010000
+10000101110111001101100110111000
+10000101111000111101100110100000
+10000101111010111101100110001000
+10000101111100101101100101110000
+10000101111110101101100101011000
+10000110000000101101100101000001
+10000110000010011101100100101001
+10000110000100011101100100010001
+10000110000110001101100011111001
+10000110001000001101100011100001
+10000110001010001101100011001001
+10000110001100001101100010110001
+10000110001101111101100010011001
+10000110001111111101100010000001
+10000110010001111101100001101001
+10000110010011111101100001010001
+10000110010101101101100000111001
+10000110010111101101100000100010
+10000110011001101101100000001010
+10000110011011101101011111110010
+10000110011101101101011111011010
+10000110011111101101011111000010
+10000110100001101101011110101010
+10000110100011011101011110010010
+10000110100101011101011101111011
+10000110100111011101011101100011
+10000110101001011101011101001011
+10000110101011011101011100110011
+10000110101101011101011100011011
+10000110101111011101011100000011
+10000110110001101101011011101100
+10000110110011101101011011010100
+10000110110101101101011010111100
+10000110110111101101011010100100
+10000110111001101101011010001100
+10000110111011101101011001110101
+10000110111101101101011001011101
+10000110111111101101011001000101
+10000111000001111101011000101101
+10000111000011111101011000010110
+10000111000101111101010111111110
+10000111000111111101010111100110
+10000111001010001101010111001110
+10000111001100001101010110110111
+10000111001110001101010110011111
+10000111010000011101010110000111
+10000111010010011101010101110000
+10000111010100011101010101011000
+10000111010110101101010101000000
+10000111011000101101010100101000
+10000111011010101101010100010001
+10000111011100111101010011111001
+10000111011110111101010011100001
+10000111100001001101010011001010
+10000111100011001101010010110010
+10000111100101011101010010011011
+10000111100111011101010010000011
+10000111101001101101010001101011
+10000111101011101101010001010100
+10000111101101111101010000111100
+10000111110000001101010000100100
+10000111110010001101010000001101
+10000111110100011101001111110101
+10000111110110101101001111011110
+10000111111000101101001111000110
+10000111111010111101001110101110
+10000111111101001101001110010111
+10000111111111001101001101111111
+10001000000001011101001101101000
+10001000000011101101001101010000
+10001000000101111101001100111001
+10001000000111111101001100100001
+10001000001010001101001100001010
+10001000001100011101001011110010
+10001000001110101101001011011010
+10001000010000111101001011000011
+10001000010011001101001010101011
+10001000010101011101001010010100
+10001000010111101101001001111100
+10001000011001111101001001100101
+10001000011100001101001001001110
+10001000011110011101001000110110
+10001000100000101101001000011111
+10001000100010111101001000000111
+10001000100101001101000111110000
+10001000100111011101000111011000
+10001000101001101101000111000001
+10001000101011111101000110101001
+10001000101110001101000110010010
+10001000110000011101000101111011
+10001000110010101101000101100011
+10001000110100111101000101001100
+10001000110111001101000100110100
+10001000111001101101000100011101
+10001000111011111101000100000110
+10001000111110001101000011101110
+10001001000000011101000011010111
+10001001000010111101000010111111
+10001001000101001101000010101000
+10001001000111011101000010010001
+10001001001001111101000001111001
+10001001001100001101000001100010
+10001001001110011101000001001011
+10001001010000111101000000110011
+10001001010011001101000000011100
+10001001010101011101000000000101
+10001001010111111100111111101110
+10001001011010001100111111010110
+10001001011100101100111110111111
+10001001011110111100111110101000
+10001001100001011100111110010000
+10001001100011101100111101111001
+10001001100110001100111101100010
+10001001101000011100111101001011
+10001001101010111100111100110011
+10001001101101011100111100011100
+10001001101111101100111100000101
+10001001110010001100111011101110
+10001001110100011100111011010111
+10001001110110111100111010111111
+10001001111001011100111010101000
+10001001111011101100111010010001
+10001001111110001100111001111010
+10001010000000101100111001100011
+10001010000011001100111001001011
+10001010000101011100111000110100
+10001010000111111100111000011101
+10001010001010011100111000000110
+10001010001100111100110111101111
+10001010001111011100110111011000
+10001010010001111100110111000001
+10001010010100001100110110101010
+10001010010110101100110110010010
+10001010011001001100110101111011
+10001010011011101100110101100100
+10001010011110001100110101001101
+10001010100000101100110100110110
+10001010100011001100110100011111
+10001010100101101100110100001000
+10001010101000001100110011110001
+10001010101010101100110011011010
+10001010101101001100110011000011
+10001010101111101100110010101100
+10001010110010001100110010010101
+10001010110100101100110001111110
+10001010110111011100110001100111
+10001010111001111100110001010000
+10001010111100011100110000111001
+10001010111110111100110000100010
+10001011000001011100110000001011
+10001011000011111100101111110100
+10001011000110101100101111011101
+10001011001001001100101111000110
+10001011001011101100101110101111
+10001011001110001100101110011000
+10001011010000111100101110000001
+10001011010011011100101101101010
+10001011010101111100101101010011
+10001011011000101100101100111101
+10001011011011001100101100100110
+10001011011101101100101100001111
+10001011100000011100101011111000
+10001011100010111100101011100001
+10001011100101101100101011001010
+10001011101000001100101010110011
+10001011101010111100101010011100
+10001011101101011100101010000110
+10001011110000001100101001101111
+10001011110010101100101001011000
+10001011110101011100101001000001
+10001011110111111100101000101010
+10001011111010101100101000010100
+10001011111101001100100111111101
+10001011111111111100100111100110
+10001100000010101100100111001111
+10001100000101001100100110111000
+10001100000111111100100110100010
+10001100001010101100100110001011
+10001100001101001100100101110100
+10001100001111111100100101011101
+10001100010010101100100101000111
+10001100010101011100100100110000
+10001100010111111100100100011001
+10001100011010101100100100000011
+10001100011101011100100011101100
+10001100100000001100100011010101
+10001100100010111100100010111111
+10001100100101101100100010101000
+10001100101000001100100010010001
+10001100101010111100100001111011
+10001100101101101100100001100100
+10001100110000011100100001001101
+10001100110011001100100000110111
+10001100110101111100100000100000
+10001100111000101100100000001001
+10001100111011011100011111110011
+10001100111110001100011111011100
+10001101000000111100011111000110
+10001101000011101100011110101111
+10001101000110011100011110011001
+10001101001001001100011110000010
+10001101001011111100011101101011
+10001101001110101100011101010101
+10001101010001101100011100111110
+10001101010100011100011100101000
+10001101010111001100011100010001
+10001101011001111100011011111011
+10001101011100101100011011100100
+10001101011111101100011011001110
+10001101100010011100011010110111
+10001101100101001100011010100001
+10001101100111111100011010001010
+10001101101010111100011001110100
+10001101101101101100011001011110
+10001101110000011100011001000111
+10001101110011011100011000110001
+10001101110110001100011000011010
+10001101111000111100011000000100
+10001101111011111100010111101110
+10001101111110101100010111010111
+10001110000001101100010111000001
+10001110000100011100010110101010
+10001110000111001100010110010100
+10001110001010001100010101111110
+10001110001100111100010101100111
+10001110001111111100010101010001
+10001110010010101100010100111011
+10001110010101101100010100100100
+10001110011000101100010100001110
+10001110011011011100010011111000
+10001110011110011100010011100001
+10001110100001001100010011001011
+10001110100100001100010010110101
+10001110100111001100010010011111
+10001110101001111100010010001000
+10001110101100111100010001110010
+10001110101111111100010001011100
+10001110110010101100010001000110
+10001110110101101100010000101111
+10001110111000101100010000011001
+10001110111011101100010000000011
+10001110111110101100001111101101
+10001111000001011100001111010111
+10001111000100011100001111000000
+10001111000111011100001110101010
+10001111001010011100001110010100
+10001111001101011100001101111110
+10001111010000011100001101101000
+10001111010011001100001101010010
+10001111010110001100001100111100
+10001111011001001100001100100101
+10001111011100001100001100001111
+10001111011111001100001011111001
+10001111100010001100001011100011
+10001111100101001100001011001101
+10001111101000001100001010110111
+10001111101011001100001010100001
+10001111101110001100001010001011
+10001111110001001100001001110101
+10001111110100011100001001011111
+10001111110111011100001001001001
+10001111111010011100001000110011
+10001111111101011100001000011101
+10010000000000011100001000000111
+10010000000011011100000111110001
+10010000000110011100000111011011
+10010000001001101100000111000101
+10010000001100101100000110101111
+10010000001111101100000110011001
+10010000010010101100000110000011
+10010000010101111100000101101101
+10010000011000111100000101010111
+10010000011011111100000101000001
+10010000011111001100000100101011
+10010000100010001100000100010101
+10010000100101001100000100000000
+10010000101000011100000011101010
+10010000101011011100000011010100
+10010000101110101100000010111110
+10010000110001101100000010101000
+10010000110100101100000010010010
+10010000110111111100000001111100
+10010000111010111100000001100111
+10010000111110001100000001010001
+10010001000001001100000000111011
+10010001000100011100000000100101
+10010001000111011100000000010000
+10010001001010101011111111111001
+10010001001101111011111111100011
+10010001010000111011111111001101
+10010001010100001011111110111000
+10010001010111001011111110100010
+10010001011010011011111110001100
+10010001011101101011111101110110
+10010001100000101011111101100001
+10010001100011111011111101001011
+10010001100111001011111100110101
+10010001101010011011111100100000
+10010001101101011011111100001010
+10010001110000101011111011110100
+10010001110011111011111011011111
+10010001110111001011111011001001
+10010001111010001011111010110011
+10010001111101011011111010011110
+10010010000000101011111010001000
+10010010000011111011111001110011
+10010010000111001011111001011101
+10010010001010011011111001000111
+10010010001101101011111000110010
+10010010010000111011111000011100
+10010010010100001011111000000111
+10010010010111001011110111110001
+10010010011010011011110111011100
+10010010011101101011110111000110
+10010010100000111011110110110001
+10010010100100011011110110011011
+10010010100111101011110110000110
+10010010101010111011110101110000
+10010010101110001011110101011011
+10010010110001011011110101000101
+10010010110100101011110100110000
+10010010110111111011110100011011
+10010010111011001011110100000101
+10010010111110011011110011110000
+10010011000001101011110011011010
+10010011000101001011110011000101
+10010011001000011011110010110000
+10010011001011101011110010011010
+10010011001110111011110010000101
+10010011010010011011110001101111
+10010011010101101011110001011010
+10010011011000111011110001000101
+10010011011100001011110000101111
+10010011011111101011110000011010
+10010011100010111011110000000101
+10010011100110011011101111110000
+10010011101001101011101111011010
+10010011101100111011101111000101
+10010011110000011011101110110000
+10010011110011101011101110011011
+10010011110111001011101110000101
+10010011111010011011101101110000
+10010011111101101011101101011011
+10010100000001001011101101000110
+10010100000100011011101100110000
+10010100000111111011101100011011
+10010100001011001011101100000110
+10010100001110101011101011110001
+10010100010010001011101011011100
+10010100010101011011101011000111
+10010100011000111011101010110001
+10010100011100001011101010011100
+10010100011111101011101010000111
+10010100100011001011101001110010
+10010100100110011011101001011101
+10010100101001111011101001001000
+10010100101101011011101000110011
+10010100110000101011101000011110
+10010100110100001011101000001001
+10010100110111101011100111110100
+10010100111011001011100111011111
+10010100111110011011100111001010
+10010101000001111011100110110101
+10010101000101011011100110100000
+10010101001000111011100110001011
+10010101001100011011100101110110
+10010101001111111011100101100001
+10010101010011001011100101001100
+10010101010110101011100100110111
+10010101011010001011100100100010
+10010101011101101011100100001101
+10010101100001001011100011111000
+10010101100100101011100011100011
+10010101101000001011100011001110
+10010101101011101011100010111001
+10010101101111001011100010100101
+10010101110010101011100010010000
+10010101110110001011100001111011
+10010101111001101011100001100110
+10010101111101001011100001010001
+10010110000000101011100000111100
+10010110000100001011100000101000
+10010110000111101011100000010011
+10010110001011011011011111111110
+10010110001110111011011111101001
+10010110010010011011011111010100
+10010110010101111011011111000000
+10010110011001011011011110101011
+10010110011101001011011110010110
+10010110100000101011011110000010
+10010110100100001011011101101101
+10010110100111101011011101011000
+10010110101011011011011101000011
+10010110101110111011011100101111
+10010110110010011011011100011010
+10010110110101111011011100000101
+10010110111001101011011011110001
+10010110111101001011011011011100
+10010111000000101011011011001000
+10010111000100011011011010110011
+10010111000111111011011010011110
+10010111001011101011011010001010
+10010111001111001011011001110101
+10010111010010111011011001100001
+10010111010110011011011001001100
+10010111011001111011011000111000
+10010111011101101011011000100011
+10010111100001001011011000001110
+10010111100100111011010111111010
+10010111101000101011010111100101
+10010111101100001011010111010001
+10010111101111111011010110111101
+10010111110011011011010110101000
+10010111110111001011010110010100
+10010111111010111011010101111111
+10010111111110011011010101101011
+10011000000010001011010101010110
+10011000000101101011010101000010
+10011000001001011011010100101110
+10011000001101001011010100011001
+10011000010000111011010100000101
+10011000010100011011010011110000
+10011000011000001011010011011100
+10011000011011111011010011001000
+10011000011111101011010010110011
+10011000100011001011010010011111
+10011000100110111011010010001011
+10011000101010101011010001110110
+10011000101110011011010001100010
+10011000110010001011010001001110
+10011000110101111011010000111010
+10011000111001011011010000100101
+10011000111101001011010000010001
+10011001000000111011001111111101
+10011001000100101011001111101001
+10011001001000011011001111010101
+10011001001100001011001111000000
+10011001001111111011001110101100
+10011001010011101011001110011000
+10011001010111011011001110000100
+10011001011011001011001101110000
+10011001011110111011001101011100
+10011001100010101011001101000111
+10011001100110011011001100110011
+10011001101010001011001100011111
+10011001101101111011001100001011
+10011001110001111011001011110111
+10011001110101101011001011100011
+10011001111001011011001011001111
+10011001111101001011001010111011
+10011010000000111011001010100111
+10011010000100101011001010010011
+10011010001000101011001001111111
+10011010001100011011001001101011
+10011010010000001011001001010111
+10011010010011111011001001000011
+10011010010111111011001000101111
+10011010011011101011001000011011
+10011010011111011011001000000111
+10011010100011011011000111110011
+10011010100111001011000111011111
+10011010101010111011000111001011
+10011010101110111011000110110111
+10011010110010101011000110100100
+10011010110110011011000110010000
+10011010111010011011000101111100
+10011010111110001011000101101000
+10011011000010001011000101010100
+10011011000101111011000101000000
+10011011001001111011000100101101
+10011011001101101011000100011001
+10011011010001011011000100000101
+10011011010101011011000011110001
+10011011011001011011000011011101
+10011011011101001011000011001010
+10011011100001001011000010110110
+10011011100100111011000010100010
+10011011101000111011000010001110
+10011011101100101011000001111011
+10011011110000101011000001100111
+10011011110100101011000001010011
+10011011111000011011000001000000
+10011011111100011011000000101100
+10011100000000011011000000011000
+10011100000100001011000000000101
+10011100001000001010111111110001
+10011100001100001010111111011110
+10011100010000001010111111001010
+10011100010011111010111110110110
+10011100010111111010111110100011
+10011100011011111010111110001111
+10011100011111111010111101111100
+10011100100011101010111101101000
+10011100100111101010111101010101
+10011100101011101010111101000001
+10011100101111101010111100101110
+10011100110011101010111100011010
+10011100110111101010111100000111
+10011100111011101010111011110011
+10011100111111101010111011100000
+10011101000011101010111011001100
+10011101000111011010111010111001
+10011101001011011010111010100110
+10011101001111011010111010010010
+10011101010011011010111001111111
+10011101010111011010111001101011
+10011101011011011010111001011000
+10011101011111101010111001000101
+10011101100011101010111000110001
+10011101100111101010111000011110
+10011101101011101010111000001011
+10011101101111101010110111111000
+10011101110011101010110111100100
+10011101110111101010110111010001
+10011101111011101010110110111110
+10011101111111101010110110101010
+10011110000011111010110110010111
+10011110000111111010110110000100
+10011110001011111010110101110001
+10011110001111111010110101011110
+10011110010011111010110101001010
+10011110011000001010110100110111
+10011110011100001010110100100100
+10011110100000001010110100010001
+10011110100100001010110011111110
+10011110101000011010110011101011
+10011110101100011010110011011000
+10011110110000011010110011000100
+10011110110100101010110010110001
+10011110111000101010110010011110
+10011110111100101010110010001011
+10011111000000111010110001111000
+10011111000100111010110001100101
+10011111001001001010110001010010
+10011111001101001010110000111111
+10011111010001011010110000101100
+10011111010101011010110000011001
+10011111011001101010110000000110
+10011111011101101010101111110011
+10011111100001111010101111100000
+10011111100101111010101111001101
+10011111101010001010101110111010
+10011111101110001010101110100111
+10011111110010011010101110010101
+10011111110110011010101110000010
+10011111111010101010101101101111
+10011111111110111010101101011100
+10100000000010111010101101001001
+10100000000111001010101100110110
+10100000001011001010101100100011
+10100000001111011010101100010001
+10100000010011101010101011111110
+10100000010111111010101011101011
+10100000011011111010101011011000
+10100000100000001010101011000110
+10100000100100011010101010110011
+10100000101000011010101010100000
+10100000101100101010101010001101
+10100000110000111010101001111011
+10100000110101001010101001101000
+10100000111001011010101001010101
+10100000111101011010101001000011
+10100001000001101010101000110000
+10100001000101111010101000011101
+10100001001010001010101000001011
+10100001001110011010100111111000
+10100001010010101010100111100101
+10100001010110111010100111010011
+10100001011011001010100111000000
+10100001011111011010100110101110
+10100001100011101010100110011011
+10100001100111101010100110001001
+10100001101011111010100101110110
+10100001110000001010100101100100
+10100001110100011010100101010001
+10100001111000111010100100111111
+10100001111101001010100100101100
+10100010000001011010100100011010
+10100010000101101010100100000111
+10100010001001111010100011110101
+10100010001110001010100011100010
+10100010010010011010100011010000
+10100010010110101010100010111110
+10100010011010111010100010101011
+10100010011111001010100010011001
+10100010100011101010100010000111
+10100010100111111010100001110100
+10100010101100001010100001100010
+10100010110000011010100001010000
+10100010110100101010100000111101
+10100010111001001010100000101011
+10100010111101011010100000011001
+10100011000001101010100000000110
+10100011000101111010011111110100
+10100011001010011010011111100010
+10100011001110101010011111010000
+10100011010010111010011110111110
+10100011010111011010011110101011
+10100011011011101010011110011001
+10100011011111111010011110000111
+10100011100100011010011101110101
+10100011101000101010011101100011
+10100011101101001010011101010001
+10100011110001011010011100111110
+10100011110101101010011100101100
+10100011111010001010011100011010
+10100011111110011010011100001000
+10100100000010111010011011110110
+10100100000111001010011011100100
+10100100001011101010011011010010
+10100100001111111010011011000000
+10100100010100011010011010101110
+10100100011000101010011010011100
+10100100011101001010011010001010
+10100100100001011010011001111000
+10100100100101111010011001100110
+10100100101010011010011001010100
+10100100101110101010011001000010
+10100100110011001010011000110000
+10100100110111101010011000011110
+10100100111011111010011000001101
+10100101000000011010010111111011
+10100101000100111010010111101001
+10100101001001001010010111010111
+10100101001101101010010111000101
+10100101010010001010010110110011
+10100101010110011010010110100010
+10100101011010111010010110010000
+10100101011111011010010101111110
+10100101100011111010010101101100
+10100101101000011010010101011010
+10100101101100101010010101001001
+10100101110001001010010100110111
+10100101110101101010010100100101
+10100101111010001010010100010100
+10100101111110101010010100000010
+10100110000011001010010011110000
+10100110000111011010010011011111
+10100110001011111010010011001101
+10100110010000011010010010111011
+10100110010100111010010010101010
+10100110011001011010010010011000
+10100110011101111010010010000110
+10100110100010011010010001110101
+10100110100110111010010001100011
+10100110101011011010010001010010
+10100110101111111010010001000000
+10100110110100011010010000101111
+10100110111000111010010000011101
+10100110111101011010010000001100
+10100111000001111010001111111010
+10100111000110011010001111101001
+10100111001010111010001111010111
+10100111001111011010001111000110
+10100111010100001010001110110101
+10100111011000101010001110100011
+10100111011101001010001110010010
+10100111100001101010001110000000
+10100111100110001010001101101111
+10100111101010101010001101011110
+10100111101111011010001101001100
+10100111110011111010001100111011
+10100111111000011010001100101010
+10100111111100111010001100011000
+10101000000001011010001100000111
+10101000000110001010001011110110
+10101000001010101010001011100101
+10101000001111001010001011010011
+10101000010011111010001011000010
+10101000011000011010001010110001
+10101000011100111010001010100000
+10101000100001101010001010001111
+10101000100110001010001001111101
+10101000101010101010001001101100
+10101000101111011010001001011011
+10101000110011111010001001001010
+10101000111000011010001000111001
+10101000111101001010001000101000
+10101001000001101010001000010111
+10101001000110011010001000000110
+10101001001010111010000111110101
+10101001001111101010000111100100
+10101001010100001010000111010010
+10101001011000111010000111000001
+10101001011101011010000110110000
+10101001100010001010000110011111
+10101001100110101010000110001111
+10101001101011011010000101111110
+10101001101111111010000101101101
+10101001110100101010000101011100
+10101001111001001010000101001011
+10101001111101111010000100111010
+10101010000010101010000100101001
+10101010000111001010000100011000
+10101010001011111010000100000111
+10101010010000101010000011110110
+10101010010101001010000011100110
+10101010011001111010000011010101
+10101010011110101010000011000100
+10101010100011001010000010110011
+10101010100111111010000010100010
+10101010101100101010000010010010
+10101010110001011010000010000001
+10101010110101111010000001110000
+10101010111010101010000001100000
+10101010111111011010000001001111
+10101011000100001010000000111110
+10101011001000101010000000101101
+10101011001101011010000000011101
+10101011010010001010000000001100
+10101011010110111001111111111100
+10101011011011101001111111101011
+10101011100000011001111111011010
+10101011100101001001111111001010
+10101011101001101001111110111001
+10101011101110011001111110101001
+10101011110011001001111110011000
+10101011110111111001111110001000
+10101011111100101001111101110111
+10101100000001011001111101100111
+10101100000110001001111101010110
+10101100001010111001111101000110
+10101100001111101001111100110101
+10101100010100011001111100100101
+10101100011001001001111100010100
+10101100011101111001111100000100
+10101100100010101001111011110011
+10101100100111011001111011100011
+10101100101100001001111011010011
+10101100110000111001111011000010
+10101100110101111001111010110010
+10101100111010101001111010100010
+10101100111111011001111010010001
+10101101000100001001111010000001
+10101101001000111001111001110001
+10101101001101101001111001100001
+10101101010010011001111001010000
+10101101010111011001111001000000
+10101101011100001001111000110000
+10101101100000111001111000100000
+10101101100101101001111000010000
+10101101101010011001110111111111
+10101101101111011001110111101111
+10101101110100001001110111011111
+10101101111000111001110111001111
+10101101111101111001110110111111
+10101110000010101001110110101111
+10101110000111011001110110011111
+10101110001100001001110110001111
+10101110010001001001110101111111
+10101110010101111001110101101110
+10101110011010101001110101011110
+10101110011111101001110101001110
+10101110100100011001110100111110
+10101110101001011001110100101110
+10101110101110001001110100011110
+10101110110010111001110100001111
+10101110110111111001110011111111
+10101110111100101001110011101111
+10101111000001101001110011011111
+10101111000110011001110011001111
+10101111001011011001110010111111
+10101111010000001001110010101111
+10101111010101001001110010011111
+10101111011001111001110010001111
+10101111011110111001110010000000
+10101111100011101001110001110000
+10101111101000101001110001100000
+10101111101101011001110001010000
+10101111110010011001110001000001
+10101111110111011001110000110001
+10101111111100001001110000100001
+10110000000001001001110000010001
+10110000000101111001110000000010
+10110000001010111001101111110010
+10110000001111111001101111100010
+10110000010100101001101111010011
+10110000011001101001101111000011
+10110000011110101001101110110011
+10110000100011011001101110100100
+10110000101000011001101110010100
+10110000101101011001101110000101
+10110000110010011001101101110101
+10110000110111001001101101100110
+10110000111100001001101101010110
+10110001000001001001101101000110
+10110001000110001001101100110111
+10110001001011001001101100101000
+10110001001111111001101100011000
+10110001010100111001101100001001
+10110001011001111001101011111001
+10110001011110111001101011101010
+10110001100011111001101011011010
+10110001101000111001101011001011
+10110001101101101001101010111100
+10110001110010101001101010101100
+10110001110111101001101010011101
+10110001111100101001101010001110
+10110010000001101001101001111110
+10110010000110101001101001101111
+10110010001011101001101001100000
+10110010010000101001101001010000
+10110010010101101001101001000001
+10110010011010101001101000110010
+10110010011111101001101000100011
+10110010100100101001101000010011
+10110010101001101001101000000100
+10110010101110101001100111110101
+10110010110011101001100111100110
+10110010111000101001100111010111
+10110010111101101001100111001000
+10110011000010101001100110111000
+10110011000111101001100110101001
+10110011001100101001100110011010
+10110011010001101001100110001011
+10110011010110111001100101111100
+10110011011011111001100101101101
+10110011100000111001100101011110
+10110011100101111001100101001111
+10110011101010111001100101000000
+10110011101111111001100100110001
+10110011110101001001100100100010
+10110011111010001001100100010011
+10110011111111001001100100000100
+10110100000100001001100011110101
+10110100001001001001100011100110
+10110100001110011001100011011000
+10110100010011011001100011001001
+10110100011000011001100010111010
+10110100011101011001100010101011
+10110100100010101001100010011100
+10110100100111101001100010001101
+10110100101100101001100001111111
+10110100110001111001100001110000
+10110100110110111001100001100001
+10110100111011111001100001010010
+10110101000001001001100001000100
+10110101000110001001100000110101
+10110101001011011001100000100110
+10110101010000011001100000010111
+10110101010101011001100000001001
+10110101011010101001011111111010
+10110101011111101001011111101100
+10110101100100111001011111011101
+10110101101001111001011111001110
+10110101101111001001011111000000
+10110101110100001001011110110001
+10110101111001001001011110100011
+10110101111110011001011110010100
+10110110000011011001011110000101
+10110110001000101001011101110111
+10110110001101111001011101101000
+10110110010010111001011101011010
+10110110011000001001011101001100
+10110110011101001001011100111101
+10110110100010011001011100101111
+10110110100111011001011100100000
+10110110101100101001011100010010
+10110110110001111001011100000011
+10110110110110111001011011110101
+10110110111100001001011011100111
+10110111000001001001011011011000
+10110111000110011001011011001010
+10110111001011101001011010111100
+10110111010000101001011010101110
+10110111010101111001011010011111
+10110111011011001001011010010001
+10110111100000011001011010000011
+10110111100101011001011001110101
+10110111101010101001011001100110
+10110111101111111001011001011000
+10110111110100111001011001001010
+10110111111010001001011000111100
+10110111111111011001011000101110
+10111000000100101001011000011111
+10111000001001111001011000010001
+10111000001110111001011000000011
+10111000010100001001010111110101
+10111000011001011001010111100111
+10111000011110101001010111011001
+10111000100011111001010111001011
+10111000101001001001010110111101
+10111000101110001001010110101111
+10111000110011011001010110100001
+10111000111000101001010110010011
+10111000111101111001010110000101
+10111001000011001001010101110111
+10111001001000011001010101101001
+10111001001101101001010101011011
+10111001010010111001010101001101
+10111001011000001001010101000000
+10111001011101011001010100110010
+10111001100010101001010100100100
+10111001100111111001010100010110
+10111001101101001001010100001000
+10111001110010011001010011111010
+10111001110111101001010011101101
+10111001111100111001010011011111
+10111010000010001001010011010001
+10111010000111011001010011000011
+10111010001100101001010010110110
+10111010010001111001010010101000
+10111010010111001001010010011010
+10111010011100011001010010001101
+10111010100001101001010001111111
+10111010100110111001010001110001
+10111010101100001001010001100100
+10111010110001101001010001010110
+10111010110110111001010001001001
+10111010111100001001010000111011
+10111011000001011001010000101101
+10111011000110101001010000100000
+10111011001011111001010000010010
+10111011010001011001010000000101
+10111011010110101001001111110111
+10111011011011111001001111101010
+10111011100001001001001111011101
+10111011100110101001001111001111
+10111011101011111001001111000010
+10111011110001001001001110110100
+10111011110110011001001110100111
+10111011111011111001001110011010
+10111100000001001001001110001100
+10111100000110011001001101111111
+10111100001011101001001101110001
+10111100010001001001001101100100
+10111100010110011001001101010111
+10111100011011101001001101001010
+10111100100001001001001100111100
+10111100100110011001001100101111
+10111100101011111001001100100010
+10111100110001001001001100010101
+10111100110110011001001100000111
+10111100111011111001001011111010
+10111101000001001001001011101101
+10111101000110101001001011100000
+10111101001011111001001011010011
+10111101010001001001001011000110
+10111101010110101001001010111001
+10111101011011111001001010101100
+10111101100001011001001010011111
+10111101100110101001001010010010
+10111101101100001001001010000100
+10111101110001011001001001110111
+10111101110110111001001001101010
+10111101111100001001001001011101
+10111110000001101001001001010001
+10111110000110111001001001000100
+10111110001100011001001000110111
+10111110010001101001001000101010
+10111110010111001001001000011101
+10111110011100101001001000010000
+10111110100001111001001000000011
+10111110100111011001000111110110
+10111110101100101001000111101001
+10111110110010001001000111011101
+10111110110111101001000111010000
+10111110111100111001000111000011
+10111111000010011001000110110110
+10111111000111111001000110101010
+10111111001101001001000110011101
+10111111010010101001000110010000
+10111111011000001001000110000011
+10111111011101011001000101110111
+10111111100010111001000101101010
+10111111101000011001000101011101
+10111111101101111001000101010001
+10111111110011001001000101000100
+10111111111000101001000100111000
+10111111111110001001000100101011
+11000000000011111001000100011110
+11000000001001001001000100010010
+11000000001110101001000100000101
+11000000010100001001000011111001
+11000000011001101001000011101100
+11000000011110111001000011100000
+11000000100100011001000011010011
+11000000101001111001000011000111
+11000000101111011001000010111011
+11000000110100111001000010101110
+11000000111010011001000010100010
+11000000111111111001000010010101
+11000001000101001001000010001001
+11000001001010101001000001111101
+11000001010000001001000001110000
+11000001010101101001000001100100
+11000001011011001001000001011000
+11000001100000101001000001001011
+11000001100110001001000000111111
+11000001101011101001000000110011
+11000001110001001001000000100111
+11000001110110101001000000011010
+11000001111100001001000000001110
+11000010000001101001000000000010
+11000010000111001000111111110110
+11000010001100101000111111101010
+11000010010010001000111111011110
+11000010010111101000111111010010
+11000010011101001000111111000101
+11000010100010101000111110111001
+11000010101000001000111110101101
+11000010101101101000111110100001
+11000010110011001000111110010101
+11000010111000101000111110001001
+11000010111110001000111101111101
+11000011000011101000111101110001
+11000011001001001000111101100101
+11000011001110111000111101011001
+11000011010100011000111101001101
+11000011011001111000111101000010
+11000011011111011000111100110110
+11000011100100111000111100101010
+11000011101010011000111100011110
+11000011101111111000111100010010
+11000011110101101000111100000110
+11000011111011001000111011111011
+11000100000000101000111011101111
+11000100000110001000111011100011
+11000100001011101000111011010111
+11000100010001011000111011001011
+11000100010110111000111011000000
+11000100011100011000111010110100
+11000100100001111000111010101000
+11000100100111101000111010011101
+11000100101101001000111010010001
+11000100110010101000111010000101
+11000100111000001000111001111010
+11000100111101111000111001101110
+11000101000011011000111001100011
+11000101001000111000111001010111
+11000101001110101000111001001011
+11000101010100001000111001000000
+11000101011001101000111000110100
+11000101011111011000111000101001
+11000101100100111000111000011101
+11000101101010011000111000010010
+11000101110000001000111000000111
+11000101110101101000110111111011
+11000101111011011000110111110000
+11000110000000111000110111100100
+11000110000110011000110111011001
+11000110001100001000110111001110
+11000110010001101000110111000010
+11000110010111011000110110110111
+11000110011100111000110110101100
+11000110100010011000110110100000
+11000110101000001000110110010101
+11000110101101101000110110001010
+11000110110011011000110101111111
+11000110111000111000110101110011
+11000110111110101000110101101000
+11000111000100001000110101011101
+11000111001001111000110101010010
+11000111001111011000110101000111
+11000111010101001000110100111011
+11000111011010101000110100110000
+11000111100000011000110100100101
+11000111100110001000110100011010
+11000111101011101000110100001111
+11000111110001011000110100000100
+11000111110110111000110011111001
+11000111111100101000110011101110
+11001000000010001000110011100011
+11001000000111111000110011011000
+11001000001101101000110011001101
+11001000010011001000110011000010
+11001000011000111000110010110111
+11001000011110101000110010101100
+11001000100100001000110010100001
+11001000101001111000110010010111
+11001000101111101000110010001100
+11001000110101001000110010000001
+11001000111010111000110001110110
+11001001000000101000110001101011
+11001001000110001000110001100000
+11001001001011111000110001010110
+11001001010001101000110001001011
+11001001010111001000110001000000
+11001001011100111000110000110101
+11001001100010101000110000101011
+11001001101000011000110000100000
+11001001101101111000110000010101
+11001001110011101000110000001011
+11001001111001011000110000000000
+11001001111111001000101111110101
+11001010000100111000101111101011
+11001010001010011000101111100000
+11001010010000001000101111010110
+11001010010101111000101111001011
+11001010011011101000101111000001
+11001010100001011000101110110110
+11001010100110111000101110101100
+11001010101100101000101110100001
+11001010110010011000101110010111
+11001010111000001000101110001100
+11001010111101111000101110000010
+11001011000011101000101101110111
+11001011001001011000101101101101
+11001011001111001000101101100011
+11001011010100101000101101011000
+11001011011010011000101101001110
+11001011100000001000101101000100
+11001011100101111000101100111001
+11001011101011101000101100101111
+11001011110001011000101100100101
+11001011110111001000101100011011
+11001011111100111000101100010000
+11001100000010101000101100000110
+11001100001000011000101011111100
+11001100001110001000101011110010
+11001100010011111000101011101000
+11001100011001101000101011011110
+11001100011111011000101011010011
+11001100100101001000101011001001
+11001100101010111000101010111111
+11001100110000101000101010110101
+11001100110110011000101010101011
+11001100111100001000101010100001
+11001101000001111000101010010111
+11001101000111101000101010001101
+11001101001101011000101010000011
+11001101010011001000101001111001
+11001101011000111000101001101111
+11001101011110101000101001100101
+11001101100100011000101001011011
+11001101101010011000101001010001
+11001101110000001000101001001000
+11001101110101111000101000111110
+11001101111011101000101000110100
+11001110000001011000101000101010
+11001110000111001000101000100000
+11001110001100111000101000010110
+11001110010010101000101000001101
+11001110011000101000101000000011
+11001110011110011000100111111001
+11001110100100001000100111101111
+11001110101001111000100111100110
+11001110101111101000100111011100
+11001110110101101000100111010010
+11001110111011011000100111001001
+11001111000001001000100110111111
+11001111000110111000100110110110
+11001111001100101000100110101100
+11001111010010101000100110100010
+11001111011000011000100110011001
+11001111011110001000100110001111
+11001111100011111000100110000110
+11001111101001111000100101111100
+11001111101111101000100101110011
+11001111110101011000100101101001
+11001111111011011000100101100000
+11010000000001001000100101010110
+11010000000110111000100101001101
+11010000001100101000100101000100
+11010000010010101000100100111010
+11010000011000011000100100110001
+11010000011110001000100100101000
+11010000100100001000100100011110
+11010000101001111000100100010101
+11010000101111101000100100001100
+11010000110101101000100100000010
+11010000111011011000100011111001
+11010001000001011000100011110000
+11010001000111001000100011100111
+11010001001100111000100011011101
+11010001010010111000100011010100
+11010001011000101000100011001011
+11010001011110101000100011000010
+11010001100100011000100010111001
+11010001101010001000100010110000
+11010001110000001000100010100111
+11010001110101111000100010011110
+11010001111011111000100010010101
+11010010000001101000100010001100
+11010010000111101000100010000011
+11010010001101011000100001111010
+11010010010011011000100001110001
+11010010011001001000100001101000
+11010010011110111000100001011111
+11010010100100111000100001010110
+11010010101010101000100001001101
+11010010110000101000100001000100
+11010010110110011000100000111011
+11010010111100011000100000110010
+11010011000010011000100000101001
+11010011001000001000100000100000
+11010011001110001000100000011000
+11010011010011111000100000001111
+11010011011001111000100000000110
+11010011011111101000011111111101
+11010011100101101000011111110101
+11010011101011011000011111101100
+11010011110001011000011111100011
+11010011110111011000011111011011
+11010011111101001000011111010010
+11010100000011001000011111001001
+11010100001000111000011111000001
+11010100001110111000011110111000
+11010100010100111000011110101111
+11010100011010101000011110100111
+11010100100000101000011110011110
+11010100100110101000011110010110
+11010100101100011000011110001101
+11010100110010011000011110000101
+11010100111000001000011101111100
+11010100111110001000011101110100
+11010101000100001000011101101011
+11010101001001111000011101100011
+11010101001111111000011101011011
+11010101010101111000011101010010
+11010101011011111000011101001010
+11010101100001101000011101000010
+11010101100111101000011100111001
+11010101101101101000011100110001
+11010101110011011000011100101001
+11010101111001011000011100100000
+11010101111111011000011100011000
+11010110000101011000011100010000
+11010110001011001000011100001000
+11010110010001001000011011111111
+11010110010111001000011011110111
+11010110011101001000011011101111
+11010110100010111000011011100111
+11010110101000111000011011011111
+11010110101110111000011011010111
+11010110110100111000011011001111
+11010110111010111000011011000111
+11010111000000101000011010111110
+11010111000110101000011010110110
+11010111001100101000011010101110
+11010111010010101000011010100110
+11010111011000101000011010011110
+11010111011110101000011010010110
+11010111100100011000011010001110
+11010111101010011000011010000111
+11010111110000011000011001111111
+11010111110110011000011001110111
+11010111111100011000011001101111
+11011000000010011000011001100111
+11011000001000011000011001011111
+11011000001110001000011001010111
+11011000010100001000011001010000
+11011000011010001000011001001000
+11011000100000001000011001000000
+11011000100110001000011000111000
+11011000101100001000011000110001
+11011000110010001000011000101001
+11011000111000001000011000100001
+11011000111110001000011000011001
+11011001000100001000011000010010
+11011001001010001000011000001010
+11011001010000001000011000000011
+11011001010101111000010111111011
+11011001011011111000010111110011
+11011001100001111000010111101100
+11011001100111111000010111100100
+11011001101101111000010111011101
+11011001110011111000010111010101
+11011001111001111000010111001110
+11011001111111111000010111000110
+11011010000101111000010110111111
+11011010001011111000010110110111
+11011010010001111000010110110000
+11011010010111111000010110101001
+11011010011101111000010110100001
+11011010100011111000010110011010
+11011010101001111000010110010011
+11011010101111111000010110001011
+11011010110110001000010110000100
+11011010111100001000010101111101
+11011011000010001000010101110101
+11011011001000001000010101101110
+11011011001110001000010101100111
+11011011010100001000010101100000
+11011011011010001000010101011000
+11011011100000001000010101010001
+11011011100110001000010101001010
+11011011101100001000010101000011
+11011011110010001000010100111100
+11011011111000001000010100110101
+11011011111110011000010100101110
+11011100000100011000010100100111
+11011100001010011000010100100000
+11011100010000011000010100011001
+11011100010110011000010100010010
+11011100011100011000010100001011
+11011100100010011000010100000100
+11011100101000011000010011111101
+11011100101110101000010011110110
+11011100110100101000010011101111
+11011100111010101000010011101000
+11011101000000101000010011100001
+11011101000110101000010011011010
+11011101001100101000010011010011
+11011101010010111000010011001100
+11011101011000111000010011000110
+11011101011110111000010010111111
+11011101100100111000010010111000
+11011101101010111000010010110001
+11011101110001001000010010101011
+11011101110111001000010010100100
+11011101111101001000010010011101
+11011110000011001000010010010111
+11011110001001011000010010010000
+11011110001111011000010010001001
+11011110010101011000010010000011
+11011110011011011000010001111100
+11011110100001101000010001110101
+11011110100111101000010001101111
+11011110101101101000010001101000
+11011110110011101000010001100010
+11011110111001111000010001011011
+11011110111111111000010001010101
+11011111000101111000010001001110
+11011111001011111000010001001000
+11011111010010001000010001000001
+11011111011000001000010000111011
+11011111011110001000010000110101
+11011111100100011000010000101110
+11011111101010011000010000101000
+11011111110000011000010000100010
+11011111110110101000010000011011
+11011111111100101000010000010101
+11100000000010101000010000001111
+11100000001000111000010000001000
+11100000001110111000010000000010
+11100000010100111000001111111100
+11100000011011001000001111110110
+11100000100001001000001111110000
+11100000100111001000001111101001
+11100000101101011000001111100011
+11100000110011011000001111011101
+11100000111001101000001111010111
+11100000111111101000001111010001
+11100001000101101000001111001011
+11100001001011111000001111000101
+11100001010001111000001110111111
+11100001010111111000001110111001
+11100001011110001000001110110011
+11100001100100001000001110101101
+11100001101010011000001110100111
+11100001110000011000001110100001
+11100001110110101000001110011011
+11100001111100101000001110010101
+11100010000010101000001110001111
+11100010001000111000001110001001
+11100010001110111000001110000011
+11100010010101001000001101111101
+11100010011011001000001101111000
+11100010100001011000001101110010
+11100010100111011000001101101100
+11100010101101101000001101100110
+11100010110011101000001101100001
+11100010111001101000001101011011
+11100010111111111000001101010101
+11100011000101111000001101001111
+11100011001100001000001101001010
+11100011010010001000001101000100
+11100011011000011000001100111111
+11100011011110011000001100111001
+11100011100100101000001100110011
+11100011101010101000001100101110
+11100011110000111000001100101000
+11100011110110111000001100100011
+11100011111101001000001100011101
+11100100000011001000001100011000
+11100100001001011000001100010010
+11100100001111101000001100001101
+11100100010101101000001100000111
+11100100011011111000001100000010
+11100100100001111000001011111100
+11100100101000001000001011110111
+11100100101110001000001011110010
+11100100110100011000001011101100
+11100100111010011000001011100111
+11100101000000101000001011100010
+11100101000110101000001011011100
+11100101001100111000001011010111
+11100101010011001000001011010010
+11100101011001001000001011001101
+11100101011111011000001011000111
+11100101100101011000001011000010
+11100101101011101000001010111101
+11100101110001111000001010111000
+11100101110111111000001010110011
+11100101111110001000001010101110
+11100110000100001000001010101001
+11100110001010011000001010100011
+11100110010000101000001010011110
+11100110010110101000001010011001
+11100110011100111000001010010100
+11100110100010111000001010001111
+11100110101001001000001010001010
+11100110101111011000001010000101
+11100110110101011000001010000000
+11100110111011101000001001111100
+11100111000001111000001001110111
+11100111000111111000001001110010
+11100111001110001000001001101101
+11100111010100011000001001101000
+11100111011010011000001001100011
+11100111100000101000001001011110
+11100111100110111000001001011010
+11100111101100111000001001010101
+11100111110011001000001001010000
+11100111111001011000001001001011
+11100111111111011000001001000111
+11101000000101101000001001000010
+11101000001011111000001000111101
+11101000010001111000001000111000
+11101000011000001000001000110100
+11101000011110011000001000101111
+11101000100100101000001000101011
+11101000101010101000001000100110
+11101000110000111000001000100001
+11101000110111001000001000011101
+11101000111101001000001000011000
+11101001000011011000001000010100
+11101001001001101000001000001111
+11101001001111111000001000001011
+11101001010101111000001000000110
+11101001011100001000001000000010
+11101001100010011000000111111110
+11101001101000011000000111111001
+11101001101110101000000111110101
+11101001110100111000000111110000
+11101001111011001000000111101100
+11101010000001011000000111101000
+11101010000111011000000111100011
+11101010001101101000000111011111
+11101010010011111000000111011011
+11101010011010001000000111010111
+11101010100000001000000111010010
+11101010100110011000000111001110
+11101010101100101000000111001010
+11101010110010111000000111000110
+11101010111000111000000111000010
+11101010111111001000000110111101
+11101011000101011000000110111001
+11101011001011101000000110110101
+11101011010001111000000110110001
+11101011010111111000000110101101
+11101011011110001000000110101001
+11101011100100011000000110100101
+11101011101010101000000110100001
+11101011110000111000000110011101
+11101011110110111000000110011001
+11101011111101001000000110010101
+11101100000011011000000110010001
+11101100001001101000000110001101
+11101100001111111000000110001001
+11101100010110001000000110000110
+11101100011100001000000110000010
+11101100100010011000000101111110
+11101100101000101000000101111010
+11101100101110111000000101110110
+11101100110101001000000101110011
+11101100111011011000000101101111
+11101101000001101000000101101011
+11101101000111101000000101100111
+11101101001101111000000101100100
+11101101010100001000000101100000
+11101101011010011000000101011100
+11101101100000101000000101011001
+11101101100110111000000101010101
+11101101101101001000000101010001
+11101101110011001000000101001110
+11101101111001011000000101001010
+11101101111111101000000101000111
+11101110000101111000000101000011
+11101110001100001000000101000000
+11101110010010011000000100111100
+11101110011000101000000100111001
+11101110011110111000000100110101
+11101110100101001000000100110010
+11101110101011001000000100101110
+11101110110001011000000100101011
+11101110110111101000000100101000
+11101110111101111000000100100100
+11101111000100001000000100100001
+11101111001010011000000100011110
+11101111010000101000000100011010
+11101111010110111000000100010111
+11101111011101001000000100010100
+11101111100011011000000100010001
+11101111101001101000000100001101
+11101111101111101000000100001010
+11101111110101111000000100000111
+11101111111100001000000100000100
+11110000000010011000000100000001
+11110000001000101000000011111110
+11110000001110111000000011111011
+11110000010101001000000011110111
+11110000011011011000000011110100
+11110000100001101000000011110001
+11110000100111111000000011101110
+11110000101110001000000011101011
+11110000110100011000000011101000
+11110000111010101000000011100101
+11110001000000111000000011100010
+11110001000111001000000011011111
+11110001001101011000000011011101
+11110001010011101000000011011010
+11110001011001111000000011010111
+11110001100000001000000011010100
+11110001100110011000000011010001
+11110001101100101000000011001110
+11110001110010101000000011001011
+11110001111000111000000011001001
+11110001111111001000000011000110
+11110010000101011000000011000011
+11110010001011101000000011000000
+11110010010001111000000010111110
+11110010011000001000000010111011
+11110010011110011000000010111000
+11110010100100101000000010110110
+11110010101010111000000010110011
+11110010110001001000000010110000
+11110010110111011000000010101110
+11110010111101101000000010101011
+11110011000011111000000010101001
+11110011001010001000000010100110
+11110011010000011000000010100100
+11110011010110101000000010100001
+11110011011100111000000010011111
+11110011100011001000000010011100
+11110011101001011000000010011010
+11110011101111101000000010010111
+11110011110101111000000010010101
+11110011111100001000000010010011
+11110100000010011000000010010000
+11110100001000101000000010001110
+11110100001111001000000010001100
+11110100010101011000000010001001
+11110100011011101000000010000111
+11110100100001111000000010000101
+11110100101000001000000010000011
+11110100101110011000000010000000
+11110100110100101000000001111110
+11110100111010111000000001111100
+11110101000001001000000001111010
+11110101000111011000000001111000
+11110101001101101000000001110110
+11110101010011111000000001110011
+11110101011010001000000001110001
+11110101100000011000000001101111
+11110101100110101000000001101101
+11110101101100111000000001101011
+11110101110011001000000001101001
+11110101111001011000000001100111
+11110101111111101000000001100101
+11110110000101111000000001100011
+11110110001100001000000001100001
+11110110010010011000000001011111
+11110110011000101000000001011110
+11110110011111001000000001011100
+11110110100101011000000001011010
+11110110101011101000000001011000
+11110110110001111000000001010110
+11110110111000001000000001010100
+11110110111110011000000001010011
+11110111000100101000000001010001
+11110111001010111000000001001111
+11110111010001001000000001001101
+11110111010111011000000001001100
+11110111011101101000000001001010
+11110111100011111000000001001000
+11110111101010001000000001000111
+11110111110000011000000001000101
+11110111110110111000000001000011
+11110111111101001000000001000010
+11111000000011011000000001000000
+11111000001001101000000000111111
+11111000001111111000000000111101
+11111000010110001000000000111100
+11111000011100011000000000111010
+11111000100010101000000000111001
+11111000101000111000000000110111
+11111000101111001000000000110110
+11111000110101011000000000110100
+11111000111011101000000000110011
+11111001000010001000000000110010
+11111001001000011000000000110000
+11111001001110101000000000101111
+11111001010100111000000000101110
+11111001011011001000000000101100
+11111001100001011000000000101011
+11111001100111101000000000101010
+11111001101101111000000000101000
+11111001110100001000000000100111
+11111001111010011000000000100110
+11111010000000111000000000100101
+11111010000111001000000000100100
+11111010001101011000000000100011
+11111010010011101000000000100001
+11111010011001111000000000100000
+11111010100000001000000000011111
+11111010100110011000000000011110
+11111010101100101000000000011101
+11111010110010111000000000011100
+11111010111001011000000000011011
+11111010111111101000000000011010
+11111011000101111000000000011001
+11111011001100001000000000011000
+11111011010010011000000000010111
+11111011011000101000000000010110
+11111011011110111000000000010101
+11111011100101001000000000010101
+11111011101011011000000000010100
+11111011110001111000000000010011
+11111011111000001000000000010010
+11111011111110011000000000010001
+11111100000100101000000000010000
+11111100001010111000000000010000
+11111100010001001000000000001111
+11111100010111011000000000001110
+11111100011101101000000000001101
+11111100100100001000000000001101
+11111100101010011000000000001100
+11111100110000101000000000001011
+11111100110110111000000000001011
+11111100111101001000000000001010
+11111101000011011000000000001010
+11111101001001101000000000001001
+11111101001111111000000000001001
+11111101010110011000000000001000
+11111101011100101000000000001000
+11111101100010111000000000000111
+11111101101001001000000000000111
+11111101101111011000000000000110
+11111101110101101000000000000110
+11111101111011111000000000000101
+11111110000010001000000000000101
+11111110001000101000000000000100
+11111110001110111000000000000100
+11111110010101001000000000000100
+11111110011011011000000000000011
+11111110100001101000000000000011
+11111110100111111000000000000011
+11111110101110001000000000000011
+11111110110100011000000000000010
+11111110111010111000000000000010
+11111111000001001000000000000010
+11111111000111011000000000000010
+11111111001101101000000000000010
+11111111010011111000000000000001
+11111111011010001000000000000001
+11111111100000011000000000000001
+11111111100110101000000000000001
+11111111101101001000000000000001
+11111111110011011000000000000001
+11111111111001101000000000000001
+00000000000000000111111111111111
+11111111111100110111111111111111
+11111111111001110111111111111111
+11111111110110100111111111111111
+11111111110011100111111111111111
+11111111110000010111111111111111
+11111111101101010111111111111111
+11111111101010000111111111111111
+11111111100110110111111111111111
+11111111100011110111111111111111
+11111111100000100111111111111111
+11111111011101100111111111111111
+11111111011010010111111111111111
+11111111010111010111111111111111
+11111111010100000111111111111111
+11111111010001000111111111111110
+11111111001101110111111111111110
+11111111001010100111111111111110
+11111111000111100111111111111110
+11111111000100010111111111111110
+11111111000001010111111111111110
+11111110111110000111111111111110
+11111110111011000111111111111110
+11111110110111110111111111111110
+11111110110100100111111111111110
+11111110110001100111111111111101
+11111110101110010111111111111101
+11111110101011010111111111111101
+11111110101000000111111111111101
+11111110100101000111111111111101
+11111110100001110111111111111101
+11111110011110100111111111111101
+11111110011011100111111111111101
+11111110011000010111111111111100
+11111110010101010111111111111100
+11111110010010000111111111111100
+11111110001111000111111111111100
+11111110001011110111111111111100
+11111110001000110111111111111100
+11111110000101100111111111111011
+11111110000010010111111111111011
+11111101111111010111111111111011
+11111101111100000111111111111011
+11111101111001000111111111111011
+11111101110101110111111111111010
+11111101110010110111111111111010
+11111101101111100111111111111010
+11111101101100010111111111111010
+11111101101001010111111111111001
+11111101100110000111111111111001
+11111101100011000111111111111001
+11111101011111110111111111111001
+11111101011100110111111111111000
+11111101011001100111111111111000
+11111101010110100111111111111000
+11111101010011010111111111111000
+11111101010000000111111111110111
+11111101001101000111111111110111
+11111101001001110111111111110111
+11111101000110110111111111110111
+11111101000011100111111111110110
+11111101000000100111111111110110
+11111100111101010111111111110110
+11111100111010000111111111110101
+11111100110111000111111111110101
+11111100110011110111111111110101
+11111100110000110111111111110101
+11111100101101100111111111110100
+11111100101010100111111111110100
+11111100100111010111111111110100
+11111100100100010111111111110011
+11111100100001000111111111110011
+11111100011101110111111111110011
+11111100011010110111111111110010
+11111100010111100111111111110010
+11111100010100100111111111110001
+11111100010001010111111111110001
+11111100001110010111111111110001
+11111100001011000111111111110000
+11111100000111110111111111110000
+11111100000100110111111111110000
+11111100000001100111111111101111
+11111011111110100111111111101111
+11111011111011010111111111101110
+11111011111000010111111111101110
+11111011110101000111111111101110
+11111011110010000111111111101101
+11111011101110110111111111101101
+11111011101011100111111111101100
+11111011101000100111111111101100
+11111011100101010111111111101011
+11111011100010010111111111101011
+11111011011111000111111111101011
+11111011011100000111111111101010
+11111011011000110111111111101010
+11111011010101110111111111101001
+11111011010010100111111111101001
+11111011001111010111111111101000
+11111011001100010111111111101000
+11111011001001000111111111100111
+11111011000110000111111111100111
+11111011000010110111111111100110
+11111010111111110111111111100110
+11111010111100100111111111100101
+11111010111001100111111111100101
+11111010110110010111111111100100
+11111010110011000111111111100100
+11111010110000000111111111100011
+11111010101100110111111111100011
+11111010101001110111111111100010
+11111010100110100111111111100010
+11111010100011100111111111100001
+11111010100000010111111111100001
+11111010011101010111111111100000
+11111010011010000111111111100000
+11111010010110110111111111011111
+11111010010011110111111111011111
+11111010010000100111111111011110
+11111010001101100111111111011101
+11111010001010010111111111011101
+11111010000111010111111111011100
+11111010000100000111111111011100
+11111010000001000111111111011011
+11111001111101110111111111011011
+11111001111010100111111111011010
+11111001110111100111111111011001
+11111001110100010111111111011001
+11111001110001010111111111011000
+11111001101110000111111111011000
+11111001101011000111111111010111
+11111001100111110111111111010110
+11111001100100110111111111010110
+11111001100001100111111111010101
+11111001011110010111111111010100
+11111001011011010111111111010100
+11111001011000000111111111010011
+11111001010101000111111111010010
+11111001010001110111111111010010
+11111001001110110111111111010001
+11111001001011100111111111010000
+11111001001000100111111111010000
+11111001000101010111111111001111
+11111001000010010111111111001110
+11111000111111000111111111001110
+11111000111011110111111111001101
+11111000111000110111111111001100
+11111000110101100111111111001100
+11111000110010100111111111001011
+11111000101111010111111111001010
+11111000101100010111111111001010
+11111000101001000111111111001001
+11111000100110000111111111001000
+11111000100010110111111111000111
+11111000011111110111111111000111
+11111000011100100111111111000110
+11111000011001010111111111000101
+11111000010110010111111111000100
+11111000010011000111111111000100
+11111000010000000111111111000011
+11111000001100110111111111000010
+11111000001001110111111111000001
+11111000000110100111111111000001
+11111000000011100111111111000000
+11111000000000010111111110111111
+11110111111101010111111110111110
+11110111111010000111111110111101
+11110111110111000111111110111101
+11110111110011110111111110111100
+11110111110000100111111110111011
+11110111101101100111111110111010
+11110111101010010111111110111001
+11110111100111010111111110111001
+11110111100100000111111110111000
+11110111100001000111111110110111
+11110111011101110111111110110110
+11110111011010110111111110110101
+11110111010111100111111110110100
+11110111010100100111111110110100
+11110111010001010111111110110011
+11110111001110010111111110110010
+11110111001011000111111110110001
+11110111000111110111111110110000
+11110111000100110111111110101111
+11110111000001100111111110101110
+11110110111110100111111110101101
+11110110111011010111111110101101
+11110110111000010111111110101100
+11110110110101000111111110101011
+11110110110010000111111110101010
+11110110101110110111111110101001
+11110110101011110111111110101000
+11110110101000100111111110100111
+11110110100101100111111110100110
+11110110100010010111111110100101
+11110110011111010111111110100100
+11110110011100000111111110100011
+11110110011000110111111110100010
+11110110010101110111111110100010
+11110110010010100111111110100001
+11110110001111100111111110100000
+11110110001100010111111110011111
+11110110001001010111111110011110
+11110110000110000111111110011101
+11110110000011000111111110011100
+11110101111111110111111110011011
+11110101111100110111111110011010
+11110101111001100111111110011001
+11110101110110100111111110011000
+11110101110011010111111110010111
+11110101110000010111111110010110
+11110101101101000111111110010101
+11110101101010000111111110010100
+11110101100110110111111110010011
+11110101100011110111111110010010
+11110101100000100111111110010001
+11110101011101010111111110010000
+11110101011010010111111110001111
+11110101010111000111111110001110
+11110101010100000111111110001101
+11110101010000110111111110001100
+11110101001101110111111110001010
+11110101001010100111111110001001
+11110101000111100111111110001000
+11110101000100010111111110000111
+11110101000001010111111110000110
+11110100111110000111111110000101
+11110100111011000111111110000100
+11110100110111110111111110000011
+11110100110100110111111110000010
+11110100110001100111111110000001
+11110100101110100111111110000000
+11110100101011010111111101111111
+11110100101000010111111101111101
+11110100100101000111111101111100
+11110100100010000111111101111011
+11110100011110110111111101111010
+11110100011011110111111101111001
+11110100011000100111111101111000
+11110100010101100111111101110111
+11110100010010010111111101110101
+11110100001111010111111101110100
+11110100001100000111111101110011
+11110100001000110111111101110010
+11110100000101110111111101110001
+11110100000010100111111101110000
+11110011111111100111111101101110
+11110011111100010111111101101101
+11110011111001010111111101101100
+11110011110110000111111101101011
+11110011110011000111111101101010
+11110011101111110111111101101001
+11110011101100110111111101100111
+11110011101001100111111101100110
+11110011100110100111111101100101
+11110011100011010111111101100100
+11110011100000010111111101100010
+11110011011101000111111101100001
+11110011011010000111111101100000
+11110011010110110111111101011111
+11110011010011110111111101011110
+11110011010000100111111101011100
+11110011001101100111111101011011
+11110011001010010111111101011010
+11110011000111010111111101011000
+11110011000100000111111101010111
+11110011000001000111111101010110
+11110010111101110111111101010101
+11110010111010110111111101010011
+11110010110111100111111101010010
+11110010110100100111111101010001
+11110010110001010111111101010000
+11110010101110010111111101001110
+11110010101011000111111101001101
+11110010101000000111111101001100
+11110010100100110111111101001010
+11110010100001110111111101001001
+11110010011110100111111101001000
+11110010011011100111111101000110
+11110010011000010111111101000101
+11110010010101010111111101000100
+11110010010010000111111101000010
+11110010001111000111111101000001
+11110010001011110111111101000000
+11110010001000110111111100111110
+11110010000101100111111100111101
+11110010000010100111111100111011
+11110001111111010111111100111010
+11110001111100010111111100111001
+11110001111001000111111100110111
+11110001110110000111111100110110
+11110001110010110111111100110101
+11110001101111110111111100110011
+11110001101100110111111100110010
+11110001101001100111111100110000
+11110001100110100111111100101111
+11110001100011010111111100101110
+11110001100000010111111100101100
+11110001011101000111111100101011
+11110001011010000111111100101001
+11110001010110110111111100101000
+11110001010011110111111100100110
+11110001010000100111111100100101
+11110001001101100111111100100011
+11110001001010010111111100100010
+11110001000111010111111100100001
+11110001000100000111111100011111
+11110001000001000111111100011110
+11110000111101110111111100011100
+11110000111010110111111100011011
+11110000110111100111111100011001
+11110000110100100111111100011000
+11110000110001010111111100010110
+11110000101110010111111100010101
+11110000101011000111111100010011
+11110000101000000111111100010010
+11110000100100110111111100010000
+11110000100001110111111100001111
+11110000011110110111111100001101
+11110000011011100111111100001100
+11110000011000100111111100001010
+11110000010101010111111100001001
+11110000010010010111111100000111
+11110000001111000111111100000101
+11110000001100000111111100000100
+11110000001000110111111100000010
+11110000000101110111111100000001
+11110000000010100111111011111111
+11101111111111100111111011111110
+11101111111100010111111011111100
+11101111111001010111111011111011
+11101111110110000111111011111001
+11101111110011000111111011110111
+11101111101111110111111011110110
+11101111101100110111111011110100
+11101111101001110111111011110011
+11101111100110100111111011110001
+11101111100011100111111011101111
+11101111100000010111111011101110
+11101111011101010111111011101100
+11101111011010000111111011101010
+11101111010111000111111011101001
+11101111010011110111111011100111
+11101111010000110111111011100110
+11101111001101100111111011100100
+11101111001010100111111011100010
+11101111000111100111111011100001
+11101111000100010111111011011111
+11101111000001010111111011011101
+11101110111110000111111011011100
+11101110111011000111111011011010
+11101110110111110111111011011000
+11101110110100110111111011010111
+11101110110001100111111011010101
+11101110101110100111111011010011
+11101110101011010111111011010010
+11101110101000010111111011010000
+11101110100101010111111011001110
+11101110100010000111111011001100
+11101110011111000111111011001011
+11101110011011110111111011001001
+11101110011000110111111011000111
+11101110010101100111111011000110
+11101110010010100111111011000100
+11101110001111010111111011000010
+11101110001100010111111011000000
+11101110001001010111111010111111
+11101110000110000111111010111101
+11101110000011000111111010111011
+11101101111111110111111010111001
+11101101111100110111111010110111
+11101101111001100111111010110110
+11101101110110100111111010110100
+11101101110011010111111010110010
+11101101110000010111111010110000
+11101101101101010111111010101111
+11101101101010000111111010101101
+11101101100111000111111010101011
+11101101100011110111111010101001
+11101101100000110111111010100111
+11101101011101100111111010100110
+11101101011010100111111010100100
+11101101010111100111111010100010
+11101101010100010111111010100000
+11101101010001010111111010011110
+11101101001110000111111010011100
+11101101001011000111111010011011
+11101101000111110111111010011001
+11101101000100110111111010010111
+11101101000001110111111010010101
+11101100111110100111111010010011
+11101100111011100111111010010001
+11101100111000010111111010001111
+11101100110101010111111010001101
+11101100110010000111111010001100
+11101100101111000111111010001010
+11101100101100000111111010001000
+11101100101000110111111010000110
+11101100100101110111111010000100
+11101100100010100111111010000010
+11101100011111100111111010000000
+11101100011100010111111001111110
+11101100011001010111111001111100
+11101100010110010111111001111010
+11101100010011000111111001111000
+11101100010000000111111001110111
+11101100001100110111111001110101
+11101100001001110111111001110011
+11101100000110110111111001110001
+11101100000011100111111001101111
+11101100000000100111111001101101
+11101011111101010111111001101011
+11101011111010010111111001101001
+11101011110111000111111001100111
+11101011110100000111111001100101
+11101011110001000111111001100011
+11101011101101110111111001100001
+11101011101010110111111001011111
+11101011100111100111111001011101
+11101011100100100111111001011011
+11101011100001100111111001011001
+11101011011110010111111001010111
+11101011011011010111111001010101
+11101011011000000111111001010011
+11101011010101000111111001010001
+11101011010010000111111001001111
+11101011001110110111111001001101
+11101011001011110111111001001011
+11101011001000100111111001001001
+11101011000101100111111001000111
+11101011000010100111111001000101
+11101010111111010111111001000011
+11101010111100010111111001000000
+11101010111001000111111000111110
+11101010110110000111111000111100
+11101010110011000111111000111010
+11101010101111110111111000111000
+11101010101100110111111000110110
+11101010101001100111111000110100
+11101010100110100111111000110010
+11101010100011100111111000110000
+11101010100000010111111000101110
+11101010011101010111111000101100
+11101010011010010111111000101001
+11101010010111000111111000100111
+11101010010100000111111000100101
+11101010010000110111111000100011
+11101010001101110111111000100001
+11101010001010110111111000011111
+11101010000111100111111000011101
+11101010000100100111111000011010
+11101010000001100111111000011000
+11101001111110010111111000010110
+11101001111011010111111000010100
+11101001111000000111111000010010
+11101001110101000111111000010000
+11101001110010000111111000001101
+11101001101110110111111000001011
+11101001101011110111111000001001
+11101001101000100111111000000111
+11101001100101100111111000000101
+11101001100010100111111000000010
+11101001011111010111111000000000
+11101001011100010111110111111110
+11101001011001010111110111111100
+11101001010110000111110111111010
+11101001010011000111110111110111
+11101001010000000111110111110101
+11101001001100110111110111110011
+11101001001001110111110111110001
+11101001000110100111110111101110
+11101001000011100111110111101100
+11101001000000100111110111101010
+11101000111101010111110111101000
+11101000111010010111110111100101
+11101000110111010111110111100011
+11101000110100000111110111100001
+11101000110001000111110111011111
+11101000101110000111110111011100
+11101000101010110111110111011010
+11101000100111110111110111011000
+11101000100100110111110111010101
+11101000100001100111110111010011
+11101000011110100111110111010001
+11101000011011010111110111001111
+11101000011000010111110111001100
+11101000010101010111110111001010
+11101000010010000111110111001000
+11101000001111000111110111000101
+11101000001100000111110111000011
+11101000001000110111110111000001
+11101000000101110111110110111110
+11101000000010110111110110111100
+11100111111111100111110110111001
+11100111111100100111110110110111
+11100111111001100111110110110101
+11100111110110010111110110110010
+11100111110011010111110110110000
+11100111110000010111110110101110
+11100111101101000111110110101011
+11100111101010000111110110101001
+11100111100111000111110110100110
+11100111100011110111110110100100
+11100111100000110111110110100010
+11100111011101110111110110011111
+11100111011010100111110110011101
+11100111010111100111110110011010
+11100111010100100111110110011000
+11100111010001010111110110010110
+11100111001110010111110110010011
+11100111001011010111110110010001
+11100111001000000111110110001110
+11100111000101000111110110001100
+11100111000010000111110110001001
+11100110111110110111110110000111
+11100110111011110111110110000100
+11100110111000110111110110000010
+11100110110101100111110110000000
+11100110110010100111110101111101
+11100110101111100111110101111011
+11100110101100010111110101111000
+11100110101001010111110101110110
+11100110100110010111110101110011
+11100110100011000111110101110001
+11100110100000000111110101101110
+11100110011101000111110101101100
+11100110011010000111110101101001
+11100110010110110111110101100111
+11100110010011110111110101100100
+11100110010000110111110101100010
+11100110001101100111110101011111
+11100110001010100111110101011101
+11100110000111100111110101011010
+11100110000100010111110101010111
+11100110000001010111110101010101
+11100101111110010111110101010010
+11100101111011000111110101010000
+11100101111000000111110101001101
+11100101110101000111110101001011
+11100101110010000111110101001000
+11100101101110110111110101000110
+11100101101011110111110101000011
+11100101101000110111110101000000
+11100101100101100111110100111110
+11100101100010100111110100111011
+11100101011111100111110100111001
+11100101011100010111110100110110
+11100101011001010111110100110011
+11100101010110010111110100110001
+11100101010011010111110100101110
+11100101010000000111110100101011
+11100101001101000111110100101001
+11100101001010000111110100100110
+11100101000110110111110100100100
+11100101000011110111110100100001
+11100101000000110111110100011110
+11100100111101110111110100011100
+11100100111010100111110100011001
+11100100110111100111110100010110
+11100100110100100111110100010100
+11100100110001100111110100010001
+11100100101110010111110100001110
+11100100101011010111110100001100
+11100100101000010111110100001001
+11100100100101000111110100000110
+11100100100010000111110100000100
+11100100011111000111110100000001
+11100100011100000111110011111110
+11100100011000110111110011111011
+11100100010101110111110011111001
+11100100010010110111110011110110
+11100100001111110111110011110011
+11100100001100100111110011110001
+11100100001001100111110011101110
+11100100000110100111110011101011
+11100100000011010111110011101000
+11100100000000010111110011100110
+11100011111101010111110011100011
+11100011111010010111110011100000
+11100011110111000111110011011101
+11100011110100000111110011011011
+11100011110001000111110011011000
+11100011101110000111110011010101
+11100011101010110111110011010010
+11100011100111110111110011001111
+11100011100100110111110011001101
+11100011100001110111110011001010
+11100011011110100111110011000111
+11100011011011100111110011000100
+11100011011000100111110011000001
+11100011010101100111110010111111
+11100011010010010111110010111100
+11100011001111010111110010111001
+11100011001100010111110010110110
+11100011001001010111110010110011
+11100011000110000111110010110001
+11100011000011000111110010101110
+11100011000000000111110010101011
+11100010111101000111110010101000
+11100010111001110111110010100101
+11100010110110110111110010100010
+11100010110011110111110010011111
+11100010110000110111110010011101
+11100010101101110111110010011010
+11100010101010100111110010010111
+11100010100111100111110010010100
+11100010100100100111110010010001
+11100010100001100111110010001110
+11100010011110010111110010001011
+11100010011011010111110010001000
+11100010011000010111110010000101
+11100010010101010111110010000011
+11100010010010000111110010000000
+11100010001111000111110001111101
+11100010001100000111110001111010
+11100010001001000111110001110111
+11100010000110000111110001110100
+11100010000010110111110001110001
+11100001111111110111110001101110
+11100001111100110111110001101011
+11100001111001110111110001101000
+11100001110110110111110001100101
+11100001110011100111110001100010
+11100001110000100111110001011111
+11100001101101100111110001011100
+11100001101010100111110001011001
+11100001100111010111110001010110
+11100001100100010111110001010011
+11100001100001010111110001010000
+11100001011110010111110001001101
+11100001011011010111110001001010
+11100001011000000111110001000111
+11100001010101000111110001000100
+11100001010010000111110001000001
+11100001001111000111110000111110
+11100001001100000111110000111011
+11100001001000110111110000111000
+11100001000101110111110000110101
+11100001000010110111110000110010
+11100000111111110111110000101111
+11100000111100110111110000101100
+11100000111001110111110000101001
+11100000110110100111110000100110
+11100000110011100111110000100011
+11100000110000100111110000100000
+11100000101101100111110000011101
+11100000101010100111110000011010
+11100000100111010111110000010111
+11100000100100010111110000010100
+11100000100001010111110000010000
+11100000011110010111110000001101
+11100000011011010111110000001010
+11100000011000010111110000000111
+11100000010101000111110000000100
+11100000010010000111110000000001
+11100000001111000111101111111110
+11100000001100000111101111111011
+11100000001001000111101111111000
+11100000000101110111101111110100
+11100000000010110111101111110001
+11011111111111110111101111101110
+11011111111100110111101111101011
+11011111111001110111101111101000
+11011111110110110111101111100101
+11011111110011100111101111100010
+11011111110000100111101111011110
+11011111101101100111101111011011
+11011111101010100111101111011000
+11011111100111100111101111010101
+11011111100100100111101111010010
+11011111100001100111101111001111
+11011111011110010111101111001011
+11011111011011010111101111001000
+11011111011000010111101111000101
+11011111010101010111101111000010
+11011111010010010111101110111111
+11011111001111010111101110111011
+11011111001100000111101110111000
+11011111001001000111101110110101
+11011111000110000111101110110010
+11011111000011000111101110101110
+11011111000000000111101110101011
+11011110111101000111101110101000
+11011110111010000111101110100101
+11011110110110110111101110100001
+11011110110011110111101110011110
+11011110110000110111101110011011
+11011110101101110111101110011000
+11011110101010110111101110010100
+11011110100111110111101110010001
+11011110100100110111101110001110
+11011110100001110111101110001011
+11011110011110100111101110000111
+11011110011011100111101110000100
+11011110011000100111101110000001
+11011110010101100111101101111101
+11011110010010100111101101111010
+11011110001111100111101101110111
+11011110001100100111101101110011
+11011110001001100111101101110000
+11011110000110010111101101101101
+11011110000011010111101101101001
+11011110000000010111101101100110
+11011101111101010111101101100011
+11011101111010010111101101011111
+11011101110111010111101101011100
+11011101110100010111101101011001
+11011101110001010111101101010101
+11011101101110010111101101010010
+11011101101011000111101101001111
+11011101101000000111101101001011
+11011101100101000111101101001000
+11011101100010000111101101000101
+11011101011111000111101101000001
+11011101011100000111101100111110
+11011101011001000111101100111010
+11011101010110000111101100110111
+11011101010011000111101100110100
+11011101010000000111101100110000
+11011101001100110111101100101101
+11011101001001110111101100101001
+11011101000110110111101100100110
+11011101000011110111101100100010
+11011101000000110111101100011111
+11011100111101110111101100011100
+11011100111010110111101100011000
+11011100110111110111101100010101
+11011100110100110111101100010001
+11011100110001110111101100001110
+11011100101110110111101100001010
+11011100101011110111101100000111
+11011100101000100111101100000011
+11011100100101100111101100000000
+11011100100010100111101011111100
+11011100011111100111101011111001
+11011100011100100111101011110101
+11011100011001100111101011110010
+11011100010110100111101011101110
+11011100010011100111101011101011
+11011100010000100111101011100111
+11011100001101100111101011100100
+11011100001010100111101011100000
+11011100000111100111101011011101
+11011100000100100111101011011001
+11011100000001100111101011010110
+11011011111110100111101011010010
+11011011111011010111101011001111
+11011011111000010111101011001011
+11011011110101010111101011001000
+11011011110010010111101011000100
+11011011101111010111101011000001
+11011011101100010111101010111101
+11011011101001010111101010111001
+11011011100110010111101010110110
+11011011100011010111101010110010
+11011011100000010111101010101111
+11011011011101010111101010101011
+11011011011010010111101010101000
+11011011010111010111101010100100
+11011011010100010111101010100000
+11011011010001010111101010011101
+11011011001110010111101010011001
+11011011001011010111101010010110
+11011011001000010111101010010010
+11011011000101010111101010001110
+11011011000010010111101010001011
+11011010111111010111101010000111
+11011010111100010111101010000011
+11011010111001010111101010000000
+11011010110110010111101001111100
+11011010110011010111101001111000
+11011010110000000111101001110101
+11011010101101000111101001110001
+11011010101010000111101001101101
+11011010100111000111101001101010
+11011010100100000111101001100110
+11011010100001000111101001100010
+11011010011110000111101001011111
+11011010011011000111101001011011
+11011010011000000111101001010111
+11011010010101000111101001010100
+11011010010010000111101001010000
+11011010001111000111101001001100
+11011010001100000111101001001001
+11011010001001000111101001000101
+11011010000110000111101001000001
+11011010000011000111101000111101
+11011010000000000111101000111010
+11011001111101000111101000110110
+11011001111010000111101000110010
+11011001110111000111101000101110
+11011001110100000111101000101011
+11011001110001000111101000100111
+11011001101110000111101000100011
+11011001101011000111101000011111
+11011001101000000111101000011100
+11011001100101000111101000011000
+11011001100010000111101000010100
+11011001011111000111101000010000
+11011001011100000111101000001101
+11011001011001000111101000001001
+11011001010110000111101000000101
+11011001010011000111101000000001
+11011001010000010111100111111101
+11011001001101010111100111111010
+11011001001010010111100111110110
+11011001000111010111100111110010
+11011001000100010111100111101110
+11011001000001010111100111101010
+11011000111110010111100111100111
+11011000111011010111100111100011
+11011000111000010111100111011111
+11011000110101010111100111011011
+11011000110010010111100111010111
+11011000101111010111100111010011
+11011000101100010111100111001111
+11011000101001010111100111001100
+11011000100110010111100111001000
+11011000100011010111100111000100
+11011000100000010111100111000000
+11011000011101010111100110111100
+11011000011010010111100110111000
+11011000010111010111100110110100
+11011000010100010111100110110000
+11011000010001010111100110101101
+11011000001110010111100110101001
+11011000001011010111100110100101
+11011000001000100111100110100001
+11011000000101100111100110011101
+11011000000010100111100110011001
+11010111111111100111100110010101
+11010111111100100111100110010001
+11010111111001100111100110001101
+11010111110110100111100110001001
+11010111110011100111100110000101
+11010111110000100111100110000001
+11010111101101100111100101111101
+11010111101010100111100101111001
+11010111100111100111100101110101
+11010111100100100111100101110010
+11010111100001100111100101101110
+11010111011110110111100101101010
+11010111011011110111100101100110
+11010111011000110111100101100010
+11010111010101110111100101011110
+11010111010010110111100101011010
+11010111001111110111100101010110
+11010111001100110111100101010010
+11010111001001110111100101001110
+11010111000110110111100101001010
+11010111000011110111100101000110
+11010111000000110111100101000010
+11010110111101110111100100111110
+11010110111011000111100100111001
+11010110111000000111100100110101
+11010110110101000111100100110001
+11010110110010000111100100101101
+11010110101111000111100100101001
+11010110101100000111100100100101
+11010110101001000111100100100001
+11010110100110000111100100011101
+11010110100011000111100100011001
+11010110100000010111100100010101
+11010110011101010111100100010001
+11010110011010010111100100001101
+11010110010111010111100100001001
+11010110010100010111100100000101
+11010110010001010111100100000001
+11010110001110010111100011111100
+11010110001011010111100011111000
+11010110001000010111100011110100
+11010110000101100111100011110000
+11010110000010100111100011101100
+11010101111111100111100011101000
+11010101111100100111100011100100
+11010101111001100111100011100000
+11010101110110100111100011011100
+11010101110011100111100011010111
+11010101110000110111100011010011
+11010101101101110111100011001111
+11010101101010110111100011001011
+11010101100111110111100011000111
+11010101100100110111100011000011
+11010101100001110111100010111110
+11010101011110110111100010111010
+11010101011100000111100010110110
+11010101011001000111100010110010
+11010101010110000111100010101110
+11010101010011000111100010101010
+11010101010000000111100010100101
+11010101001101000111100010100001
+11010101001010000111100010011101
+11010101000111010111100010011001
+11010101000100010111100010010101
+11010101000001010111100010010000
+11010100111110010111100010001100
+11010100111011010111100010001000
+11010100111000010111100010000100
+11010100110101100111100001111111
+11010100110010100111100001111011
+11010100101111100111100001110111
+11010100101100100111100001110011
+11010100101001100111100001101110
+11010100100110110111100001101010
+11010100100011110111100001100110
+11010100100000110111100001100010
+11010100011101110111100001011101
+11010100011010110111100001011001
+11010100010111110111100001010101
+11010100010101000111100001010001
+11010100010010000111100001001100
+11010100001111000111100001001000
+11010100001100000111100001000100
+11010100001001000111100000111111
+11010100000110010111100000111011
+11010100000011010111100000110111
+11010100000000010111100000110010
+11010011111101010111100000101110
+11010011111010010111100000101010
+11010011110111100111100000100101
+11010011110100100111100000100001
+11010011110001100111100000011101
+11010011101110100111100000011000
+11010011101011100111100000010100
+11010011101000110111100000010000
+11010011100101110111100000001011
+11010011100010110111100000000111
+11010011011111110111100000000011
+11010011011100110111011111111110
+11010011011010000111011111111010
+11010011010111000111011111110101
+11010011010100000111011111110001
+11010011010001000111011111101101
+11010011001110010111011111101000
+11010011001011010111011111100100
+11010011001000010111011111100000
+11010011000101010111011111011011
+11010011000010100111011111010111
+11010010111111100111011111010010
+11010010111100100111011111001110
+11010010111001100111011111001001
+11010010110110100111011111000101
+11010010110011110111011111000001
+11010010110000110111011110111100
+11010010101101110111011110111000
+11010010101010110111011110110011
+11010010101000000111011110101111
+11010010100101000111011110101010
+11010010100010000111011110100110
+11010010011111000111011110100001
+11010010011100010111011110011101
+11010010011001010111011110011000
+11010010010110010111011110010100
+11010010010011100111011110001111
+11010010010000100111011110001011
+11010010001101100111011110000110
+11010010001010100111011110000010
+11010010000111110111011101111101
+11010010000100110111011101111001
+11010010000001110111011101110100
+11010001111110110111011101110000
+11010001111100000111011101101011
+11010001111001000111011101100111
+11010001110110000111011101100010
+11010001110011010111011101011110
+11010001110000010111011101011001
+11010001101101010111011101010101
+11010001101010010111011101010000
+11010001100111100111011101001100
+11010001100100100111011101000111
+11010001100001100111011101000011
+11010001011110110111011100111110
+11010001011011110111011100111001
+11010001011000110111011100110101
+11010001010101110111011100110000
+11010001010011000111011100101100
+11010001010000000111011100100111
+11010001001101000111011100100011
+11010001001010010111011100011110
+11010001000111010111011100011001
+11010001000100010111011100010101
+11010001000001100111011100010000
+11010000111110100111011100001011
+11010000111011100111011100000111
+11010000111000100111011100000010
+11010000110101110111011011111110
+11010000110010110111011011111001
+11010000101111110111011011110100
+11010000101101000111011011110000
+11010000101010000111011011101011
+11010000100111000111011011100110
+11010000100100010111011011100010
+11010000100001010111011011011101
+11010000011110010111011011011000
+11010000011011100111011011010100
+11010000011000100111011011001111
+11010000010101100111011011001010
+11010000010010110111011011000110
+11010000001111110111011011000001
+11010000001100110111011010111100
+11010000001010000111011010111000
+11010000000111000111011010110011
+11010000000100000111011010101110
+11010000000001010111011010101010
+11001111111110010111011010100101
+11001111111011100111011010100000
+11001111111000100111011010011011
+11001111110101100111011010010111
+11001111110010110111011010010010
+11001111101111110111011010001101
+11001111101100110111011010001000
+11001111101010000111011010000100
+11001111100111000111011001111111
+11001111100100000111011001111010
+11001111100001010111011001110101
+11001111011110010111011001110001
+11001111011011100111011001101100
+11001111011000100111011001100111
+11001111010101100111011001100010
+11001111010010110111011001011110
+11001111001111110111011001011001
+11001111001100110111011001010100
+11001111001010000111011001001111
+11001111000111000111011001001010
+11001111000100010111011001000110
+11001111000001010111011001000001
+11001110111110010111011000111100
+11001110111011100111011000110111
+11001110111000100111011000110010
+11001110110101110111011000101110
+11001110110010110111011000101001
+11001110101111110111011000100100
+11001110101101000111011000011111
+11001110101010000111011000011010
+11001110100111010111011000010101
+11001110100100010111011000010001
+11001110100001010111011000001100
+11001110011110100111011000000111
+11001110011011100111011000000010
+11001110011000110111010111111101
+11001110010101110111010111111000
+11001110010010110111010111110011
+11001110010000000111010111101110
+11001110001101000111010111101010
+11001110001010010111010111100101
+11001110000111010111010111100000
+11001110000100100111010111011011
+11001110000001100111010111010110
+11001101111110100111010111010001
+11001101111011110111010111001100
+11001101111000110111010111000111
+11001101110110000111010111000010
+11001101110011000111010110111101
+11001101110000010111010110111000
+11001101101101010111010110110100
+11001101101010100111010110101111
+11001101100111100111010110101010
+11001101100100100111010110100101
+11001101100001110111010110100000
+11001101011110110111010110011011
+11001101011100000111010110010110
+11001101011001000111010110010001
+11001101010110010111010110001100
+11001101010011010111010110000111
+11001101010000100111010110000010
+11001101001101100111010101111101
+11001101001010110111010101111000
+11001101000111110111010101110011
+11001101000101000111010101101110
+11001101000010000111010101101001
+11001100111111000111010101100100
+11001100111100010111010101011111
+11001100111001010111010101011010
+11001100110110100111010101010101
+11001100110011100111010101010000
+11001100110000110111010101001011
+11001100101101110111010101000110
+11001100101011000111010101000001
+11001100101000000111010100111100
+11001100100101010111010100110111
+11001100100010010111010100110010
+11001100011111100111010100101101
+11001100011100100111010100101000
+11001100011001110111010100100010
+11001100010110110111010100011101
+11001100010100000111010100011000
+11001100010001000111010100010011
+11001100001110010111010100001110
+11001100001011010111010100001001
+11001100001000100111010100000100
+11001100000101100111010011111111
+11001100000010110111010011111010
+11001011111111110111010011110101
+11001011111101000111010011110000
+11001011111010000111010011101010
+11001011110111010111010011100101
+11001011110100010111010011100000
+11001011110001100111010011011011
+11001011101110110111010011010110
+11001011101011110111010011010001
+11001011101001000111010011001100
+11001011100110000111010011000111
+11001011100011010111010011000001
+11001011100000010111010010111100
+11001011011101100111010010110111
+11001011011010100111010010110010
+11001011010111110111010010101101
+11001011010100110111010010101000
+11001011010010000111010010100010
+11001011001111010111010010011101
+11001011001100010111010010011000
+11001011001001100111010010010011
+11001011000110100111010010001110
+11001011000011110111010010001001
+11001011000000110111010010000011
+11001010111110000111010001111110
+11001010111011000111010001111001
+11001010111000010111010001110100
+11001010110101100111010001101110
+11001010110010100111010001101001
+11001010101111110111010001100100
+11001010101100110111010001011111
+11001010101010000111010001011010
+11001010100111000111010001010100
+11001010100100010111010001001111
+11001010100001100111010001001010
+11001010011110100111010001000101
+11001010011011110111010000111111
+11001010011000110111010000111010
+11001010010110000111010000110101
+11001010010011010111010000110000
+11001010010000010111010000101010
+11001010001101100111010000100101
+11001010001010100111010000100000
+11001010000111110111010000011010
+11001010000101000111010000010101
+11001010000010000111010000010000
+11001001111111010111010000001011
+11001001111100010111010000000101
+11001001111001100111010000000000
+11001001110110110111001111111011
+11001001110011110111001111110101
+11001001110001000111001111110000
+11001001101110000111001111101011
+11001001101011010111001111100101
+11001001101000100111001111100000
+11001001100101100111001111011011
+11001001100010110111001111010101
+11001001100000000111001111010000
+11001001011101000111001111001011
+11001001011010010111001111000101
+11001001010111010111001111000000
+11001001010100100111001110111010
+11001001010001110111001110110101
+11001001001110110111001110110000
+11001001001100000111001110101010
+11001001001001010111001110100101
+11001001000110010111001110100000
+11001001000011100111001110011010
+11001001000000110111001110010101
+11001000111101110111001110001111
+11001000111011000111001110001010
+11001000111000010111001110000101
+11001000110101010111001101111111
+11001000110010100111001101111010
+11001000101111110111001101110100
+11001000101100110111001101101111
+11001000101010000111001101101001
+11001000100111010111001101100100
+11001000100100010111001101011111
+11001000100001100111001101011001
+11001000011110110111001101010100
+11001000011011110111001101001110
+11001000011001000111001101001001
+11001000010110010111001101000011
+11001000010011010111001100111110
+11001000010000100111001100111000
+11001000001101110111001100110011
+11001000001010110111001100101101
+11001000001000000111001100101000
+11001000000101010111001100100010
+11001000000010010111001100011101
+11000111111111100111001100010111
+11000111111100110111001100010010
+11000111111010000111001100001100
+11000111110111000111001100000111
+11000111110100010111001100000001
+11000111110001100111001011111100
+11000111101110100111001011110110
+11000111101011110111001011110001
+11000111101001000111001011101011
+11000111100110010111001011100110
+11000111100011010111001011100000
+11000111100000100111001011011011
+11000111011101110111001011010101
+11000111011010110111001011010000
+11000111011000000111001011001010
+11000111010101010111001011000101
+11000111010010100111001010111111
+11000111001111100111001010111001
+11000111001100110111001010110100
+11000111001010000111001010101110
+11000111000111010111001010101001
+11000111000100010111001010100011
+11000111000001100111001010011101
+11000110111110110111001010011000
+11000110111100000111001010010010
+11000110111001000111001010001101
+11000110110110010111001010000111
+11000110110011100111001010000001
+11000110110000110111001001111100
+11000110101101110111001001110110
+11000110101011000111001001110001
+11000110101000010111001001101011
+11000110100101100111001001100101
+11000110100010100111001001100000
+11000110011111110111001001011010
+11000110011101000111001001010100
+11000110011010010111001001001111
+11000110010111100111001001001001
+11000110010100100111001001000011
+11000110010001110111001000111110
+11000110001111000111001000111000
+11000110001100010111001000110010
+11000110001001100111001000101101
+11000110000110100111001000100111
+11000110000011110111001000100001
+11000110000001000111001000011100
+11000101111110010111001000010110
+11000101111011100111001000010000
+11000101111000100111001000001011
+11000101110101110111001000000101
+11000101110011000111000111111111
+11000101110000010111000111111001
+11000101101101100111000111110100
+11000101101010100111000111101110
+11000101100111110111000111101000
+11000101100101000111000111100011
+11000101100010010111000111011101
+11000101011111100111000111010111
+11000101011100100111000111010001
+11000101011001110111000111001100
+11000101010111000111000111000110
+11000101010100010111000111000000
+11000101010001100111000110111010
+11000101001110110111000110110101
+11000101001011110111000110101111
+11000101001001000111000110101001
+11000101000110010111000110100011
+11000101000011100111000110011101
+11000101000000110111000110011000
+11000100111110000111000110010010
+11000100111011010111000110001100
+11000100111000010111000110000110
+11000100110101100111000110000000
+11000100110010110111000101111011
+11000100110000000111000101110101
+11000100101101010111000101101111
+11000100101010100111000101101001
+11000100100111110111000101100011
+11000100100100110111000101011101
+11000100100010000111000101011000
+11000100011111010111000101010010
+11000100011100100111000101001100
+11000100011001110111000101000110
+11000100010111000111000101000000
+11000100010100010111000100111010
+11000100010001100111000100110101
+11000100001110100111000100101111
+11000100001011110111000100101001
+11000100001001000111000100100011
+11000100000110010111000100011101
+11000100000011100111000100010111
+11000100000000110111000100010001
+11000011111110000111000100001011
+11000011111011010111000100000101
+11000011111000100111000100000000
+11000011110101110111000011111010
+11000011110010110111000011110100
+11000011110000000111000011101110
+11000011101101010111000011101000
+11000011101010100111000011100010
+11000011100111110111000011011100
+11000011100101000111000011010110
+11000011100010010111000011010000
+11000011011111100111000011001010
+11000011011100110111000011000100
+11000011011010000111000010111110
+11000011010111010111000010111000
+11000011010100100111000010110011
+11000011010001110111000010101101
+11000011001111000111000010100111
+11000011001100000111000010100001
+11000011001001010111000010011011
+11000011000110100111000010010101
+11000011000011110111000010001111
+11000011000001000111000010001001
+11000010111110010111000010000011
+11000010111011100111000001111101
+11000010111000110111000001110111
+11000010110110000111000001110001
+11000010110011010111000001101011
+11000010110000100111000001100101
+11000010101101110111000001011111
+11000010101011000111000001011001
+11000010101000010111000001010011
+11000010100101100111000001001101
+11000010100010110111000001000111
+11000010100000000111000001000001
+11000010011101010111000000111011
+11000010011010100111000000110100
+11000010010111110111000000101110
+11000010010101000111000000101000
+11000010010010010111000000100010
+11000010001111100111000000011100
+11000010001100110111000000010110
+11000010001010000111000000010000
+11000010000111010111000000001010
+11000010000100100111000000000100
+11000010000001110110111111111110
+11000001111111000110111111111000
+11000001111100010110111111110010
+11000001111001100110111111101100
+11000001110110110110111111100110
+11000001110100000110111111011111
+11000001110001010110111111011001
+11000001101110100110111111010011
+11000001101011110110111111001101
+11000001101001000110111111000111
+11000001100110010110111111000001
+11000001100011100110111110111011
+11000001100000110110111110110101
+11000001011110000110111110101110
+11000001011011010110111110101000
+11000001011000100110111110100010
+11000001010101110110111110011100
+11000001010011000110111110010110
+11000001010000010110111110010000
+11000001001101100110111110001010
+11000001001010110110111110000011
+11000001001000000110111101111101
+11000001000101010110111101110111
+11000001000010110110111101110001
+11000001000000000110111101101011
+11000000111101010110111101100100
+11000000111010100110111101011110
+11000000110111110110111101011000
+11000000110101000110111101010010
+11000000110010010110111101001100
+11000000101111100110111101000101
+11000000101100110110111100111111
+11000000101010000110111100111001
+11000000100111010110111100110011
+11000000100100100110111100101101
+11000000100001110110111100100110
+11000000011111000110111100100000
+11000000011100100110111100011010
+11000000011001110110111100010100
+11000000010111000110111100001101
+11000000010100010110111100000111
+11000000010001100110111100000001
+11000000001110110110111011111011
+11000000001100000110111011110100
+11000000001001010110111011101110
+11000000000110100110111011101000
+11000000000100000110111011100010
+11000000000001010110111011011011
+10111111111110010110111011010101
+10111111111011100110111011001111
+10111111111000110110111011001000
+10111111110110000110111011000010
+10111111110011010110111010111100
+10111111110000100110111010110110
+10111111101110000110111010101111
+10111111101011010110111010101001
+10111111101000100110111010100011
+10111111100101110110111010011100
+10111111100011000110111010010110
+10111111100000010110111010010000
+10111111011101100110111010001001
+10111111011011000110111010000011
+10111111011000010110111001111101
+10111111010101100110111001110110
+10111111010010110110111001110000
+10111111010000000110111001101010
+10111111001101010110111001100011
+10111111001010100110111001011101
+10111111001000000110111001010110
+10111111000101010110111001010000
+10111111000010100110111001001010
+10111110111111110110111001000011
+10111110111101000110111000111101
+10111110111010010110111000110111
+10111110110111110110111000110000
+10111110110101000110111000101010
+10111110110010010110111000100011
+10111110101111100110111000011101
+10111110101100110110111000010111
+10111110101010010110111000010000
+10111110100111100110111000001010
+10111110100100110110111000000011
+10111110100010000110110111111101
+10111110011111010110110111110110
+10111110011100110110110111110000
+10111110011010000110110111101010
+10111110010111010110110111100011
+10111110010100100110110111011101
+10111110010001110110110111010110
+10111110001111010110110111010000
+10111110001100100110110111001001
+10111110001001110110110111000011
+10111110000111000110110110111100
+10111110000100100110110110110110
+10111110000001110110110110101111
+10111101111111000110110110101001
+10111101111100010110110110100011
+10111101111001110110110110011100
+10111101110111000110110110010110
+10111101110100010110110110001111
+10111101110001100110110110001001
+10111101101111000110110110000010
+10111101101100010110110101111100
+10111101101001100110110101110101
+10111101100110110110110101101110
+10111101100100010110110101101000
+10111101100001100110110101100001
+10111101011110110110110101011011
+10111101011100000110110101010100
+10111101011001100110110101001110
+10111101010110110110110101000111
+10111101010100000110110101000001
+10111101010001010110110100111010
+10111101001110110110110100110100
+10111101001100000110110100101101
+10111101001001010110110100100111
+10111101000110110110110100100000
+10111101000100000110110100011001
+10111101000001010110110100010011
+10111100111110100110110100001100
+10111100111100000110110100000110
+10111100111001010110110011111111
+10111100110110100110110011111001
+10111100110100000110110011110010
+10111100110001010110110011101011
+10111100101110100110110011100101
+10111100101100000110110011011110
+10111100101001010110110011010111
+10111100100110100110110011010001
+10111100100011110110110011001010
+10111100100001010110110011000100
+10111100011110100110110010111101
+10111100011011110110110010110110
+10111100011001010110110010110000
+10111100010110100110110010101001
+10111100010011110110110010100010
+10111100010001010110110010011100
+10111100001110100110110010010101
+10111100001011110110110010001111
+10111100001001010110110010001000
+10111100000110100110110010000001
+10111100000011110110110001111011
+10111100000001010110110001110100
+10111011111110100110110001101101
+10111011111100000110110001100110
+10111011111001010110110001100000
+10111011110110100110110001011001
+10111011110100000110110001010010
+10111011110001010110110001001100
+10111011101110100110110001000101
+10111011101100000110110000111110
+10111011101001010110110000111000
+10111011100110110110110000110001
+10111011100100000110110000101010
+10111011100001010110110000100011
+10111011011110110110110000011101
+10111011011100000110110000010110
+10111011011001010110110000001111
+10111011010110110110110000001001
+10111011010100000110110000000010
+10111011010001100110101111111011
+10111011001110110110101111110100
+10111011001100000110101111101110
+10111011001001100110101111100111
+10111011000110110110101111100000
+10111011000100010110101111011001
+10111011000001100110101111010011
+10111010111110110110101111001100
+10111010111100010110101111000101
+10111010111001100110101110111110
+10111010110111000110101110110111
+10111010110100010110101110110001
+10111010110001110110101110101010
+10111010101111000110101110100011
+10111010101100010110101110011100
+10111010101001110110101110010101
+10111010100111000110101110001111
+10111010100100100110101110001000
+10111010100001110110101110000001
+10111010011111010110101101111010
+10111010011100100110101101110011
+10111010011010000110101101101100
+10111010010111010110101101100110
+10111010010100100110101101011111
+10111010010010000110101101011000
+10111010001111010110101101010001
+10111010001100110110101101001010
+10111010001010000110101101000011
+10111010000111100110101100111101
+10111010000100110110101100110110
+10111010000010010110101100101111
+10111001111111100110101100101000
+10111001111101000110101100100001
+10111001111010010110101100011010
+10111001110111110110101100010011
+10111001110101000110101100001100
+10111001110010100110101100000110
+10111001101111110110101011111111
+10111001101101010110101011111000
+10111001101010100110101011110001
+10111001101000000110101011101010
+10111001100101010110101011100011
+10111001100010110110101011011100
+10111001100000000110101011010101
+10111001011101100110101011001110
+10111001011010110110101011000111
+10111001011000010110101011000000
+10111001010101100110101010111001
+10111001010011000110101010110011
+10111001010000010110101010101100
+10111001001101110110101010100101
+10111001001011000110101010011110
+10111001001000100110101010010111
+10111001000101110110101010010000
+10111001000011010110101010001001
+10111001000000110110101010000010
+10111000111110000110101001111011
+10111000111011100110101001110100
+10111000111000110110101001101101
+10111000110110010110101001100110
+10111000110011100110101001011111
+10111000110001000110101001011000
+10111000101110010110101001010001
+10111000101011110110101001001010
+10111000101001010110101001000011
+10111000100110100110101000111100
+10111000100100000110101000110101
+10111000100001010110101000101110
+10111000011110110110101000100111
+10111000011100000110101000100000
+10111000011001100110101000011001
+10111000010111000110101000010010
+10111000010100010110101000001011
+10111000010001110110101000000100
+10111000001111000110100111111101
+10111000001100100110100111110110
+10111000001010000110100111101111
+10111000000111010110100111101000
+10111000000100110110100111100001
+10111000000010000110100111011001
+10110111111111100110100111010010
+10110111111101000110100111001011
+10110111111010010110100111000100
+10110111110111110110100110111101
+10110111110101000110100110110110
+10110111110010100110100110101111
+10110111110000000110100110101000
+10110111101101010110100110100001
+10110111101010110110100110011010
+10110111101000010110100110010011
+10110111100101100110100110001011
+10110111100011000110100110000100
+10110111100000100110100101111101
+10110111011101110110100101110110
+10110111011011010110100101101111
+10110111011000100110100101101000
+10110111010110000110100101100001
+10110111010011100110100101011010
+10110111010000110110100101010010
+10110111001110010110100101001011
+10110111001011110110100101000100
+10110111001001000110100100111101
+10110111000110100110100100110110
+10110111000100000110100100101111
+10110111000001010110100100101000
+10110110111110110110100100100000
+10110110111100010110100100011001
+10110110111001100110100100010010
+10110110110111000110100100001011
+10110110110100100110100100000100
+10110110110010000110100011111101
+10110110101111010110100011110101
+10110110101100110110100011101110
+10110110101010010110100011100111
+10110110100111100110100011100000
+10110110100101000110100011011001
+10110110100010100110100011010001
+10110110011111110110100011001010
+10110110011101010110100011000011
+10110110011010110110100010111100
+10110110011000010110100010110100
+10110110010101100110100010101101
+10110110010011000110100010100110
+10110110010000100110100010011111
+10110110001110000110100010011000
+10110110001011010110100010010000
+10110110001000110110100010001001
+10110110000110010110100010000010
+10110110000011100110100001111011
+10110110000001000110100001110011
+10110101111110100110100001101100
+10110101111100000110100001100101
+10110101111001010110100001011101
+10110101110110110110100001010110
+10110101110100010110100001001111
+10110101110001110110100001001000
+10110101101111010110100001000000
+10110101101100100110100000111001
+10110101101010000110100000110010
+10110101100111100110100000101010
+10110101100101000110100000100011
+10110101100010010110100000011100
+10110101011111110110100000010100
+10110101011101010110100000001101
+10110101011010110110100000000110
+10110101011000010110011111111111
+10110101010101100110011111110111
+10110101010011000110011111110000
+10110101010000100110011111101001
+10110101001110000110011111100001
+10110101001011100110011111011010
+10110101001000110110011111010011
+10110101000110010110011111001011
+10110101000011110110011111000100
+10110101000001010110011110111100
+10110100111110110110011110110101
+10110100111100000110011110101110
+10110100111001100110011110100110
+10110100110111000110011110011111
+10110100110100100110011110011000
+10110100110010000110011110010000
+10110100101111100110011110001001
+10110100101100110110011110000001
+10110100101010010110011101111010
+10110100100111110110011101110011
+10110100100101010110011101101011
+10110100100010110110011101100100
+10110100100000010110011101011100
+10110100011101100110011101010101
+10110100011011000110011101001110
+10110100011000100110011101000110
+10110100010110000110011100111111
+10110100010011100110011100110111
+10110100010001000110011100110000
+10110100001110100110011100101000
+10110100001100000110011100100001
+10110100001001010110011100011010
+10110100000110110110011100010010
+10110100000100010110011100001011
+10110100000001110110011100000011
+10110011111111010110011011111100
+10110011111100110110011011110100
+10110011111010010110011011101101
+10110011110111110110011011100101
+10110011110101010110011011011110
+10110011110010100110011011010110
+10110011110000000110011011001111
+10110011101101100110011011000111
+10110011101011000110011011000000
+10110011101000100110011010111000
+10110011100110000110011010110001
+10110011100011100110011010101001
+10110011100001000110011010100010
+10110011011110100110011010011010
+10110011011100000110011010010011
+10110011011001100110011010001011
+10110011010111000110011010000100
+10110011010100010110011001111100
+10110011010001110110011001110101
+10110011001111010110011001101101
+10110011001100110110011001100110
+10110011001010010110011001011110
+10110011000111110110011001010111
+10110011000101010110011001001111
+10110011000010110110011001001000
+10110011000000010110011001000000
+10110010111101110110011000111000
+10110010111011010110011000110001
+10110010111000110110011000101001
+10110010110110010110011000100010
+10110010110011110110011000011010
+10110010110001010110011000010011
+10110010101110110110011000001011
+10110010101100010110011000000011
+10110010101001110110010111111100
+10110010100111010110010111110100
+10110010100100110110010111101101
+10110010100010010110010111100101
+10110010011111110110010111011101
+10110010011101010110010111010110
+10110010011010110110010111001110
+10110010011000010110010111000111
+10110010010101110110010110111111
+10110010010011010110010110110111
+10110010010000110110010110110000
+10110010001110010110010110101000
+10110010001011110110010110100000
+10110010001001010110010110011001
+10110010000110110110010110010001
+10110010000100010110010110001001
+10110010000001110110010110000010
+10110001111111010110010101111010
+10110001111100110110010101110010
+10110001111010010110010101101011
+10110001110111110110010101100011
+10110001110101010110010101011011
+10110001110010110110010101010100
+10110001110000010110010101001100
+10110001101101110110010101000100
+10110001101011010110010100111101
+10110001101001000110010100110101
+10110001100110100110010100101101
+10110001100100000110010100100110
+10110001100001100110010100011110
+10110001011111000110010100010110
+10110001011100100110010100001111
+10110001011010000110010100000111
+10110001010111100110010011111111
+10110001010101000110010011110111
+10110001010010100110010011110000
+10110001010000000110010011101000
+10110001001101100110010011100000
+10110001001011010110010011011000
+10110001001000110110010011010001
+10110001000110010110010011001001
+10110001000011110110010011000001
+10110001000001010110010010111010
+10110000111110110110010010110010
+10110000111100010110010010101010
+10110000111001110110010010100010
+10110000110111010110010010011010
+10110000110101000110010010010011
+10110000110010100110010010001011
+10110000110000000110010010000011
+10110000101101100110010001111011
+10110000101011000110010001110100
+10110000101000100110010001101100
+10110000100110000110010001100100
+10110000100011100110010001011100
+10110000100001010110010001010100
+10110000011110110110010001001101
+10110000011100010110010001000101
+10110000011001110110010000111101
+10110000010111010110010000110101
+10110000010100110110010000101101
+10110000010010100110010000100110
+10110000010000000110010000011110
+10110000001101100110010000010110
+10110000001011000110010000001110
+10110000001000100110010000000110
+10110000000110000110001111111110
+10110000000011110110001111110110
+10110000000001010110001111101111
+10101111111110110110001111100111
+10101111111100010110001111011111
+10101111111001110110001111010111
+10101111110111100110001111001111
+10101111110101000110001111000111
+10101111110010100110001110111111
+10101111110000000110001110111000
+10101111101101100110001110110000
+10101111101011010110001110101000
+10101111101000110110001110100000
+10101111100110010110001110011000
+10101111100011110110001110010000
+10101111100001100110001110001000
+10101111011111000110001110000000
+10101111011100100110001101111000
+10101111011010000110001101110001
+10101111010111110110001101101001
+10101111010101010110001101100001
+10101111010010110110001101011001
+10101111010000010110001101010001
+10101111001110000110001101001001
+10101111001011100110001101000001
+10101111001001000110001100111001
+10101111000110100110001100110001
+10101111000100010110001100101001
+10101111000001110110001100100001
+10101110111111010110001100011001
+10101110111100110110001100010001
+10101110111010100110001100001001
+10101110111000000110001100000001
+10101110110101100110001011111001
+10101110110011000110001011110001
+10101110110000110110001011101001
+10101110101110010110001011100010
+10101110101011110110001011011010
+10101110101001100110001011010010
+10101110100111000110001011001010
+10101110100100100110001011000010
+10101110100010010110001010111010
+10101110011111110110001010110010
+10101110011101010110001010101010
+10101110011010110110001010100010
+10101110011000100110001010011010
+10101110010110000110001010010010
+10101110010011100110001010001010
+10101110010001010110001010000001
+10101110001110110110001001111001
+10101110001100010110001001110001
+10101110001010000110001001101001
+10101110000111100110001001100001
+10101110000101000110001001011001
+10101110000010110110001001010001
+10101110000000010110001001001001
+10101101111110000110001001000001
+10101101111011100110001000111001
+10101101111001000110001000110001
+10101101110110110110001000101001
+10101101110100010110001000100001
+10101101110001110110001000011001
+10101101101111100110001000010001
+10101101101101000110001000001001
+10101101101010100110001000000001
+10101101101000010110000111111001
+10101101100101110110000111110000
+10101101100011100110000111101000
+10101101100001000110000111100000
+10101101011110100110000111011000
+10101101011100010110000111010000
+10101101011001110110000111001000
+10101101010111100110000111000000
+10101101010101000110000110111000
+10101101010010100110000110110000
+10101101010000010110000110101000
+10101101001101110110000110011111
+10101101001011100110000110010111
+10101101001001000110000110001111
+10101101000110100110000110000111
+10101101000100010110000101111111
+10101101000001110110000101110111
+10101100111111100110000101101111
+10101100111101000110000101100110
+10101100111010110110000101011110
+10101100111000010110000101010110
+10101100110110000110000101001110
+10101100110011100110000101000110
+10101100110001000110000100111110
+10101100101110110110000100110101
+10101100101100010110000100101101
+10101100101010000110000100100101
+10101100100111100110000100011101
+10101100100101010110000100010101
+10101100100010110110000100001101
+10101100100000100110000100000100
+10101100011110000110000011111100
+10101100011011110110000011110100
+10101100011001010110000011101100
+10101100010111000110000011100011
+10101100010100100110000011011011
+10101100010010010110000011010011
+10101100001111110110000011001011
+10101100001101100110000011000011
+10101100001011000110000010111010
+10101100001000110110000010110010
+10101100000110010110000010101010
+10101100000100000110000010100010
+10101100000001100110000010011001
+10101011111111010110000010010001
+10101011111100110110000010001001
+10101011111010100110000010000001
+10101011111000000110000001111000
+10101011110101110110000001110000
+10101011110011010110000001101000
+10101011110001000110000001100000
+10101011101110100110000001010111
+10101011101100010110000001001111
+10101011101001110110000001000111
+10101011100111100110000000111111
+10101011100101010110000000110110
+10101011100010110110000000101110
+10101011100000100110000000100110
+10101011011110000110000000011101
+10101011011011110110000000010101
+10101011011001010110000000001101
+10101011010111000110000000000100
+10101011010100110101111111111100
+10101011010010010101111111110100
+10101011010000000101111111101100
+10101011001101100101111111100011
+10101011001011010101111111011011
+10101011001000110101111111010011
+10101011000110100101111111001010
+10101011000100010101111111000010
+10101011000001110101111110111010
+10101010111111100101111110110001
+10101010111101000101111110101001
+10101010111010110101111110100000
+10101010111000100101111110011000
+10101010110110000101111110010000
+10101010110011110101111110000111
+10101010110001100101111101111111
+10101010101111000101111101110111
+10101010101100110101111101101110
+10101010101010010101111101100110
+10101010101000000101111101011110
+10101010100101110101111101010101
+10101010100011010101111101001101
+10101010100001000101111101000100
+10101010011110110101111100111100
+10101010011100010101111100110100
+10101010011010000101111100101011
+10101010010111110101111100100011
+10101010010101010101111100011010
+10101010010011000101111100010010
+10101010010000110101111100001010
+10101010001110010101111100000001
+10101010001100000101111011111001
+10101010001001110101111011110000
+10101010000111010101111011101000
+10101010000101000101111011011111
+10101010000010110101111011010111
+10101010000000010101111011001111
+10101001111110000101111011000110
+10101001111011110101111010111110
+10101001111001010101111010110101
+10101001110111000101111010101101
+10101001110100110101111010100100
+10101001110010100101111010011100
+10101001110000000101111010010011
+10101001101101110101111010001011
+10101001101011100101111010000010
+10101001101001000101111001111010
+10101001100110110101111001110001
+10101001100100100101111001101001
+10101001100010010101111001100001
+10101001011111110101111001011000
+10101001011101100101111001010000
+10101001011011010101111001000111
+10101001011001000101111000111111
+10101001010110100101111000110110
+10101001010100010101111000101110
+10101001010010000101111000100101
+10101001001111110101111000011100
+10101001001101010101111000010100
+10101001001011000101111000001011
+10101001001000110101111000000011
+10101001000110100101110111111010
+10101001000100000101110111110010
+10101001000001110101110111101001
+10101000111111100101110111100001
+10101000111101010101110111011000
+10101000111011000101110111010000
+10101000111000100101110111000111
+10101000110110010101110110111111
+10101000110100000101110110110110
+10101000110001110101110110101101
+10101000101111100101110110100101
+10101000101101000101110110011100
+10101000101010110101110110010100
+10101000101000100101110110001011
+10101000100110010101110110000011
+10101000100100000101110101111010
+10101000100001110101110101110001
+10101000011111010101110101101001
+10101000011101000101110101100000
+10101000011010110101110101011000
+10101000011000100101110101001111
+10101000010110010101110101000110
+10101000010100000101110100111110
+10101000010001100101110100110101
+10101000001111010101110100101101
+10101000001101000101110100100100
+10101000001010110101110100011011
+10101000001000100101110100010011
+10101000000110010101110100001010
+10101000000100000101110100000010
+10101000000001100101110011111001
+10100111111111010101110011110000
+10100111111101000101110011101000
+10100111111010110101110011011111
+10100111111000100101110011010110
+10100111110110010101110011001110
+10100111110100000101110011000101
+10100111110001110101110010111100
+10100111101111100101110010110100
+10100111101101000101110010101011
+10100111101010110101110010100010
+10100111101000100101110010011010
+10100111100110010101110010010001
+10100111100100000101110010001000
+10100111100001110101110010000000
+10100111011111100101110001110111
+10100111011101010101110001101110
+10100111011011000101110001100110
+10100111011000110101110001011101
+10100111010110100101110001010100
+10100111010100010101110001001011
+10100111010001110101110001000011
+10100111001111100101110000111010
+10100111001101010101110000110001
+10100111001011000101110000101001
+10100111001000110101110000100000
+10100111000110100101110000010111
+10100111000100010101110000001110
+10100111000010000101110000000110
+10100110111111110101101111111101
+10100110111101100101101111110100
+10100110111011010101101111101011
+10100110111001000101101111100011
+10100110110110110101101111011010
+10100110110100100101101111010001
+10100110110010010101101111001000
+10100110110000000101101111000000
+10100110101101110101101110110111
+10100110101011100101101110101110
+10100110101001010101101110100101
+10100110100111000101101110011101
+10100110100100110101101110010100
+10100110100010100101101110001011
+10100110100000010101101110000010
+10100110011110000101101101111010
+10100110011011110101101101110001
+10100110011001100101101101101000
+10100110010111010101101101011111
+10100110010101000101101101010110
+10100110010010110101101101001110
+10100110010000100101101101000101
+10100110001110010101101100111100
+10100110001100000101101100110011
+10100110001001110101101100101010
+10100110000111100101101100100001
+10100110000101010101101100011001
+10100110000011010101101100010000
+10100110000001000101101100000111
+10100101111110110101101011111110
+10100101111100100101101011110101
+10100101111010010101101011101100
+10100101111000000101101011100100
+10100101110101110101101011011011
+10100101110011100101101011010010
+10100101110001010101101011001001
+10100101101111000101101011000000
+10100101101100110101101010110111
+10100101101010100101101010101110
+10100101101000100101101010100110
+10100101100110010101101010011101
+10100101100100000101101010010100
+10100101100001110101101010001011
+10100101011111100101101010000010
+10100101011101010101101001111001
+10100101011011000101101001110000
+10100101011000110101101001100111
+10100101010110100101101001011110
+10100101010100100101101001010110
+10100101010010010101101001001101
+10100101010000000101101001000100
+10100101001101110101101000111011
+10100101001011100101101000110010
+10100101001001010101101000101001
+10100101000111000101101000100000
+10100101000101000101101000010111
+10100101000010110101101000001110
+10100101000000100101101000000101
+10100100111110010101100111111100
+10100100111100000101100111110011
+10100100111001110101100111101011
+10100100110111110101100111100010
+10100100110101100101100111011001
+10100100110011010101100111010000
+10100100110001000101100111000111
+10100100101110110101100110111110
+10100100101100100101100110110101
+10100100101010100101100110101100
+10100100101000010101100110100011
+10100100100110000101100110011010
+10100100100011110101100110010001
+10100100100001100101100110001000
+10100100011111100101100101111111
+10100100011101010101100101110110
+10100100011011000101100101101101
+10100100011000110101100101100100
+10100100010110110101100101011011
+10100100010100100101100101010010
+10100100010010010101100101001001
+10100100010000000101100101000000
+10100100001110000101100100110111
+10100100001011110101100100101110
+10100100001001100101100100100101
+10100100000111010101100100011100
+10100100000101010101100100010011
+10100100000011000101100100001010
+10100100000000110101100100000001
+10100011111110100101100011111000
+10100011111100100101100011101111
+10100011111010010101100011100110
+10100011111000000101100011011101
+10100011110101110101100011010100
+10100011110011110101100011001011
+10100011110001100101100011000010
+10100011101111010101100010111001
+10100011101101010101100010101111
+10100011101011000101100010100110
+10100011101000110101100010011101
+10100011100110100101100010010100
+10100011100100100101100010001011
+10100011100010010101100010000010
+10100011100000000101100001111001
+10100011011110000101100001110000
+10100011011011110101100001100111
+10100011011001100101100001011110
+10100011010111100101100001010101
+10100011010101010101100001001100
+10100011010011000101100001000010
+10100011010001000101100000111001
+10100011001110110101100000110000
+10100011001100100101100000100111
+10100011001010100101100000011110
+10100011001000010101100000010101
+10100011000110000101100000001100
+10100011000100000101100000000011
+10100011000001110101011111111010
+10100010111111100101011111110000
+10100010111101100101011111100111
+10100010111011010101011111011110
+10100010111001010101011111010101
+10100010110111000101011111001100
+10100010110100110101011111000011
+10100010110010110101011110111010
+10100010110000100101011110110000
+10100010101110100101011110100111
+10100010101100010101011110011110
+10100010101010000101011110010101
+10100010101000000101011110001100
+10100010100101110101011110000011
+10100010100011110101011101111001
+10100010100001100101011101110000
+10100010011111010101011101100111
+10100010011101010101011101011110
+10100010011011000101011101010101
+10100010011001000101011101001100
+10100010010110110101011101000010
+10100010010100110101011100111001
+10100010010010100101011100110000
+10100010010000010101011100100111
+10100010001110010101011100011110
+10100010001100000101011100010100
+10100010001010000101011100001011
+10100010000111110101011100000010
+10100010000101110101011011111001
+10100010000011100101011011110000
+10100010000001100101011011100110
+10100001111111010101011011011101
+10100001111101010101011011010100
+10100001111011000101011011001011
+10100001111001000101011011000001
+10100001110110110101011010111000
+10100001110100100101011010101111
+10100001110010100101011010100110
+10100001110000010101011010011100
+10100001101110010101011010010011
+10100001101100000101011010001010
+10100001101010000101011010000001
+10100001100111110101011001110111
+10100001100101110101011001101110
+10100001100011110101011001100101
+10100001100001100101011001011100
+10100001011111100101011001010010
+10100001011101010101011001001001
+10100001011011010101011001000000
+10100001011001000101011000110110
+10100001010111000101011000101101
+10100001010100110101011000100100
+10100001010010110101011000011011
+10100001010000100101011000010001
+10100001001110100101011000001000
+10100001001100010101010111111111
+10100001001010010101010111110101
+10100001001000010101010111101100
+10100001000110000101010111100011
+10100001000100000101010111011001
+10100001000001110101010111010000
+10100000111111110101010111000111
+10100000111101100101010110111101
+10100000111011100101010110110100
+10100000111001100101010110101011
+10100000110111010101010110100001
+10100000110101010101010110011000
+10100000110011000101010110001111
+10100000110001000101010110000101
+10100000101111000101010101111100
+10100000101100110101010101110011
+10100000101010110101010101101001
+10100000101000100101010101100000
+10100000100110100101010101010111
+10100000100100100101010101001101
+10100000100010010101010101000100
+10100000100000010101010100111010
+10100000011110010101010100110001
+10100000011100000101010100101000
+10100000011010000101010100011110
+10100000011000000101010100010101
+10100000010101110101010100001100
+10100000010011110101010100000010
+10100000010001100101010011111001
+10100000001111100101010011101111
+10100000001101100101010011100110
+10100000001011010101010011011101
+10100000001001010101010011010011
+10100000000111010101010011001010
+10100000000101000101010011000000
+10100000000011000101010010110111
+10100000000001000101010010101101
+10011111111111000101010010100100
+10011111111100110101010010011011
+10011111111010110101010010010001
+10011111111000110101010010001000
+10011111110110100101010001111110
+10011111110100100101010001110101
+10011111110010100101010001101011
+10011111110000010101010001100010
+10011111101110010101010001011001
+10011111101100010101010001001111
+10011111101010010101010001000110
+10011111101000000101010000111100
+10011111100110000101010000110011
+10011111100100000101010000101001
+10011111100010000101010000100000
+10011111011111110101010000010110
+10011111011101110101010000001101
+10011111011011110101010000000011
+10011111011001110101001111111010
+10011111010111100101001111110000
+10011111010101100101001111100111
+10011111010011100101001111011101
+10011111010001100101001111010100
+10011111001111010101001111001010
+10011111001101010101001111000001
+10011111001011010101001110110111
+10011111001001010101001110101110
+10011111000111010101001110100100
+10011111000101000101001110011011
+10011111000011000101001110010001
+10011111000001000101001110001000
+10011110111111000101001101111110
+10011110111100110101001101110101
+10011110111010110101001101101011
+10011110111000110101001101100010
+10011110110110110101001101011000
+10011110110100110101001101001111
+10011110110010110101001101000101
+10011110110000100101001100111100
+10011110101110100101001100110010
+10011110101100100101001100101000
+10011110101010100101001100011111
+10011110101000100101001100010101
+10011110100110100101001100001100
+10011110100100010101001100000010
+10011110100010010101001011111001
+10011110100000010101001011101111
+10011110011110010101001011100110
+10011110011100010101001011011100
+10011110011010010101001011010010
+10011110011000010101001011001001
+10011110010110000101001010111111
+10011110010100000101001010110110
+10011110010010000101001010101100
+10011110010000000101001010100010
+10011110001110000101001010011001
+10011110001100000101001010001111
+10011110001010000101001010000110
+10011110001000000101001001111100
+10011110000110000101001001110010
+10011110000100000101001001101001
+10011110000001110101001001011111
+10011101111111110101001001010110
+10011101111101110101001001001100
+10011101111011110101001001000010
+10011101111001110101001000111001
+10011101110111110101001000101111
+10011101110101110101001000100101
+10011101110011110101001000011100
+10011101110001110101001000010010
+10011101101111110101001000001000
+10011101101101110101000111111111
+10011101101011110101000111110101
+10011101101001110101000111101100
+10011101100111110101000111100010
+10011101100101110101000111011000
+10011101100011110101000111001111
+10011101100001110101000111000101
+10011101011111110101000110111011
+10011101011101100101000110110010
+10011101011011100101000110101000
+10011101011001100101000110011110
+10011101010111100101000110010101
+10011101010101100101000110001011
+10011101010011100101000110000001
+10011101010001100101000101110111
+10011101001111100101000101101110
+10011101001101100101000101100100
+10011101001011100101000101011010
+10011101001001100101000101010001
+10011101000111100101000101000111
+10011101000101110101000100111101
+10011101000011110101000100110100
+10011101000001110101000100101010
+10011100111111110101000100100000
+10011100111101110101000100010110
+10011100111011110101000100001101
+10011100111001110101000100000011
+10011100110111110101000011111001
+10011100110101110101000011101111
+10011100110011110101000011100110
+10011100110001110101000011011100
+10011100101111110101000011010010
+10011100101101110101000011001000
+10011100101011110101000010111111
+10011100101001110101000010110101
+10011100100111110101000010101011
+10011100100101110101000010100001
+10011100100011110101000010011000
+10011100100010000101000010001110
+10011100100000000101000010000100
+10011100011110000101000001111010
+10011100011100000101000001110001
+10011100011010000101000001100111
+10011100011000000101000001011101
+10011100010110000101000001010011
+10011100010100000101000001001010
+10011100010010000101000001000000
+10011100010000010101000000110110
+10011100001110010101000000101100
+10011100001100010101000000100010
+10011100001010010101000000011001
+10011100001000010101000000001111
+10011100000110010101000000000101
+10011100000100010100111111111011
+10011100000010100100111111110001
+10011100000000100100111111101000
+10011011111110100100111111011110
+10011011111100100100111111010100
+10011011111010100100111111001010
+10011011111000100100111111000000
+10011011110110100100111110110110
+10011011110100110100111110101101
+10011011110010110100111110100011
+10011011110000110100111110011001
+10011011101110110100111110001111
+10011011101100110100111110000101
+10011011101011000100111101111011
+10011011101001000100111101110010
+10011011100111000100111101101000
+10011011100101000100111101011110
+10011011100011000100111101010100
+10011011100001010100111101001010
+10011011011111010100111101000000
+10011011011101010100111100110110
+10011011011011010100111100101100
+10011011011001100100111100100011
+10011011010111100100111100011001
+10011011010101100100111100001111
+10011011010011100100111100000101
+10011011010001100100111011111011
+10011011001111110100111011110001
+10011011001101110100111011100111
+10011011001011110100111011011101
+10011011001010000100111011010011
+10011011001000000100111011001010
+10011011000110000100111011000000
+10011011000100000100111010110110
+10011011000010010100111010101100
+10011011000000010100111010100010
+10011010111110010100111010011000
+10011010111100010100111010001110
+10011010111010100100111010000100
+10011010111000100100111001111010
+10011010110110100100111001110000
+10011010110100110100111001100110
+10011010110010110100111001011100
+10011010110000110100111001010011
+10011010101111000100111001001001
+10011010101101000100111000111111
+10011010101011000100111000110101
+10011010101001010100111000101011
+10011010100111010100111000100001
+10011010100101010100111000010111
+10011010100011100100111000001101
+10011010100001100100111000000011
+10011010011111100100110111111001
+10011010011101110100110111101111
+10011010011011110100110111100101
+10011010011001110100110111011011
+10011010011000000100110111010001
+10011010010110000100110111000111
+10011010010100000100110110111101
+10011010010010010100110110110011
+10011010010000010100110110101001
+10011010001110010100110110011111
+10011010001100100100110110010101
+10011010001010100100110110001011
+10011010001000110100110110000001
+10011010000110110100110101110111
+10011010000100110100110101101101
+10011010000011000100110101100011
+10011010000001000100110101011001
+10011001111111010100110101001111
+10011001111101010100110101000101
+10011001111011010100110100111011
+10011001111001100100110100110001
+10011001110111100100110100100111
+10011001110101110100110100011101
+10011001110011110100110100010011
+10011001110010000100110100001001
+10011001110000000100110011111111
+10011001101110000100110011110101
+10011001101100010100110011101011
+10011001101010010100110011100001
+10011001101000100100110011010111
+10011001100110100100110011001101
+10011001100100110100110011000011
+10011001100010110100110010111001
+10011001100001000100110010101111
+10011001011111000100110010100100
+10011001011101010100110010011010
+10011001011011010100110010010000
+10011001011001100100110010000110
+10011001010111100100110001111100
+10011001010101110100110001110010
+10011001010011110100110001101000
+10011001010010000100110001011110
+10011001010000000100110001010100
+10011001001110010100110001001010
+10011001001100010100110001000000
+10011001001010100100110000110110
+10011001001000100100110000101011
+10011001000110110100110000100001
+10011001000100110100110000010111
+10011001000011000100110000001101
+10011001000001000100110000000011
+10011000111111010100101111111001
+10011000111101010100101111101111
+10011000111011100100101111100101
+10011000111001100100101111011011
+10011000110111110100101111010000
+10011000110110000100101111000110
+10011000110100000100101110111100
+10011000110010010100101110110010
+10011000110000010100101110101000
+10011000101110100100101110011110
+10011000101100100100101110010100
+10011000101010110100101110001010
+10011000101001000100101101111111
+10011000100111000100101101110101
+10011000100101010100101101101011
+10011000100011010100101101100001
+10011000100001100100101101010111
+10011000011111110100101101001101
+10011000011101110100101101000010
+10011000011100000100101100111000
+10011000011010000100101100101110
+10011000011000010100101100100100
+10011000010110100100101100011010
+10011000010100100100101100010000
+10011000010010110100101100000101
+10011000010001000100101011111011
+10011000001111000100101011110001
+10011000001101010100101011100111
+10011000001011010100101011011101
+10011000001001100100101011010010
+10011000000111110100101011001000
+10011000000101110100101010111110
+10011000000100000100101010110100
+10011000000010010100101010101010
+10011000000000010100101010011111
+10010111111110100100101010010101
+10010111111100110100101010001011
+10010111111011000100101010000001
+10010111111001000100101001110111
+10010111110111010100101001101100
+10010111110101100100101001100010
+10010111110011100100101001011000
+10010111110001110100101001001110
+10010111110000000100101001000011
+10010111101110000100101000111001
+10010111101100010100101000101111
+10010111101010100100101000100101
+10010111101000110100101000011011
+10010111100110110100101000010000
+10010111100101000100101000000110
+10010111100011010100100111111100
+10010111100001010100100111110010
+10010111011111100100100111100111
+10010111011101110100100111011101
+10010111011100000100100111010011
+10010111011010000100100111001000
+10010111011000010100100110111110
+10010111010110100100100110110100
+10010111010100110100100110101010
+10010111010011000100100110011111
+10010111010001000100100110010101
+10010111001111010100100110001011
+10010111001101100100100110000001
+10010111001011110100100101110110
+10010111001001110100100101101100
+10010111001000000100100101100010
+10010111000110010100100101010111
+10010111000100100100100101001101
+10010111000010110100100101000011
+10010111000000110100100100111000
+10010110111111000100100100101110
+10010110111101010100100100100100
+10010110111011100100100100011010
+10010110111001110100100100001111
+10010110111000000100100100000101
+10010110110110000100100011111011
+10010110110100010100100011110000
+10010110110010100100100011100110
+10010110110000110100100011011100
+10010110101111000100100011010001
+10010110101101010100100011000111
+10010110101011100100100010111101
+10010110101001100100100010110010
+10010110100111110100100010101000
+10010110100110000100100010011110
+10010110100100010100100010010011
+10010110100010100100100010001001
+10010110100000110100100001111110
+10010110011111000100100001110100
+10010110011101010100100001101010
+10010110011011010100100001011111
+10010110011001100100100001010101
+10010110010111110100100001001011
+10010110010110000100100001000000
+10010110010100010100100000110110
+10010110010010100100100000101100
+10010110010000110100100000100001
+10010110001111000100100000010111
+10010110001101010100100000001100
+10010110001011100100100000000010
+10010110001001110100011111111000
+10010110000111110100011111101101
+10010110000110000100011111100011
+10010110000100010100011111011000
+10010110000010100100011111001110
+10010110000000110100011111000100
+10010101111111000100011110111001
+10010101111101010100011110101111
+10010101111011100100011110100100
+10010101111001110100011110011010
+10010101111000000100011110010000
+10010101110110010100011110000101
+10010101110100100100011101111011
+10010101110010110100011101110000
+10010101110001000100011101100110
+10010101101111010100011101011011
+10010101101101100100011101010001
+10010101101011110100011101000111
+10010101101010000100011100111100
+10010101101000010100011100110010
+10010101100110100100011100100111
+10010101100100110100011100011101
+10010101100011000100011100010010
+10010101100001010100011100001000
+10010101011111100100011011111101
+10010101011101110100011011110011
+10010101011100000100011011101001
+10010101011010010100011011011110
+10010101011000100100011011010100
+10010101010110110100011011001001
+10010101010101000100011010111111
+10010101010011010100011010110100
+10010101010001110100011010101010
+10010101010000000100011010011111
+10010101001110010100011010010101
+10010101001100100100011010001010
+10010101001010110100011010000000
+10010101001001000100011001110101
+10010101000111010100011001101011
+10010101000101100100011001100000
+10010101000011110100011001010110
+10010101000010000100011001001011
+10010101000000010100011001000001
+10010100111110100100011000110110
+10010100111101000100011000101100
+10010100111011010100011000100001
+10010100111001100100011000010111
+10010100110111110100011000001100
+10010100110110000100011000000010
+10010100110100010100010111110111
+10010100110010100100010111101101
+10010100110000110100010111100010
+10010100101111010100010111011000
+10010100101101100100010111001101
+10010100101011110100010111000011
+10010100101010000100010110111000
+10010100101000010100010110101110
+10010100100110100100010110100011
+10010100100101000100010110011000
+10010100100011010100010110001110
+10010100100001100100010110000011
+10010100011111110100010101111001
+10010100011110000100010101101110
+10010100011100010100010101100100
+10010100011010110100010101011001
+10010100011001000100010101001111
+10010100010111010100010101000100
+10010100010101100100010100111001
+10010100010011110100010100101111
+10010100010010010100010100100100
+10010100010000100100010100011010
+10010100001110110100010100001111
+10010100001101000100010100000101
+10010100001011010100010011111010
+10010100001001110100010011101111
+10010100001000000100010011100101
+10010100000110010100010011011010
+10010100000100100100010011010000
+10010100000011000100010011000101
+10010100000001010100010010111010
+10010011111111100100010010110000
+10010011111101110100010010100101
+10010011111100010100010010011011
+10010011111010100100010010010000
+10010011111000110100010010000101
+10010011110111010100010001111011
+10010011110101100100010001110000
+10010011110011110100010001100101
+10010011110010000100010001011011
+10010011110000100100010001010000
+10010011101110110100010001000110
+10010011101101000100010000111011
+10010011101011100100010000110000
+10010011101001110100010000100110
+10010011101000000100010000011011
+10010011100110100100010000010000
+10010011100100110100010000000110
+10010011100011000100001111111011
+10010011100001010100001111110001
+10010011011111110100001111100110
+10010011011110000100001111011011
+10010011011100010100001111010001
+10010011011010110100001111000110
+10010011011001000100001110111011
+10010011010111100100001110110001
+10010011010101110100001110100110
+10010011010100000100001110011011
+10010011010010100100001110010001
+10010011010000110100001110000110
+10010011001111000100001101111011
+10010011001101100100001101110001
+10010011001011110100001101100110
+10010011001010010100001101011011
+10010011001000100100001101010000
+10010011000110110100001101000110
+10010011000101010100001100111011
+10010011000011100100001100110000
+10010011000001110100001100100110
+10010011000000010100001100011011
+10010010111110100100001100010000
+10010010111101000100001100000110
+10010010111011010100001011111011
+10010010111001110100001011110000
+10010010111000000100001011100101
+10010010110110010100001011011011
+10010010110100110100001011010000
+10010010110011000100001011000101
+10010010110001100100001010111011
+10010010101111110100001010110000
+10010010101110010100001010100101
+10010010101100100100001010011010
+10010010101011000100001010010000
+10010010101001010100001010000101
+10010010100111110100001001111010
+10010010100110000100001001101111
+10010010100100100100001001100101
+10010010100010110100001001011010
+10010010100001000100001001001111
+10010010011111100100001001000100
+10010010011101110100001000111010
+10010010011100010100001000101111
+10010010011010100100001000100100
+10010010011001000100001000011001
+10010010010111010100001000001111
+10010010010101110100001000000100
+10010010010100010100000111111001
+10010010010010100100000111101110
+10010010010001000100000111100100
+10010010001111010100000111011001
+10010010001101110100000111001110
+10010010001100000100000111000011
+10010010001010100100000110111001
+10010010001000110100000110101110
+10010010000111010100000110100011
+10010010000101100100000110011000
+10010010000100000100000110001101
+10010010000010100100000110000011
+10010010000000110100000101111000
+10010001111111010100000101101101
+10010001111101100100000101100010
+10010001111100000100000101010111
+10010001111010010100000101001101
+10010001111000110100000101000010
+10010001110111010100000100110111
+10010001110101100100000100101100
+10010001110100000100000100100001
+10010001110010010100000100010111
+10010001110000110100000100001100
+10010001101111010100000100000001
+10010001101101100100000011110110
+10010001101100000100000011101011
+10010001101010100100000011100000
+10010001101000110100000011010110
+10010001100111010100000011001011
+10010001100101100100000011000000
+10010001100100000100000010110101
+10010001100010100100000010101010
+10010001100000110100000010011111
+10010001011111010100000010010100
+10010001011101110100000010001010
+10010001011100000100000001111111
+10010001011010100100000001110100
+10010001011001000100000001101001
+10010001010111010100000001011110
+10010001010101110100000001010011
+10010001010100010100000001001000
+10010001010010100100000000111110
+10010001010001000100000000110011
+10010001001111100100000000101000
+10010001001110000100000000011101
+10010001001100010100000000010010
+10010001001010110100000000000111
+10010001001001010011111111111011
+10010001000111100011111111110000
+10010001000110000011111111100110
+10010001000100100011111111011011
+10010001000011000011111111010000
+10010001000001010011111111000101
+10010000111111110011111110111010
+10010000111110010011111110101111
+10010000111100110011111110100100
+10010000111011000011111110011001
+10010000111001100011111110001110
+10010000111000000011111110000100
+10010000110110100011111101111001
+10010000110100110011111101101110
+10010000110011010011111101100011
+10010000110001110011111101011000
+10010000110000010011111101001101
+10010000101110110011111101000010
+10010000101101000011111100110111
+10010000101011100011111100101100
+10010000101010000011111100100001
+10010000101000100011111100010110
+10010000100111000011111100001011
+10010000100101010011111100000000
+10010000100011110011111011110101
+10010000100010010011111011101011
+10010000100000110011111011100000
+10010000011111010011111011010101
+10010000011101100011111011001010
+10010000011100000011111010111111
+10010000011010100011111010110100
+10010000011001000011111010101001
+10010000010111100011111010011110
+10010000010110000011111010010011
+10010000010100100011111010001000
+10010000010010110011111001111101
+10010000010001010011111001110010
+10010000001111110011111001100111
+10010000001110010011111001011100
+10010000001100110011111001010001
+10010000001011010011111001000110
+10010000001001110011111000111011
+10010000001000010011111000110000
+10010000000110100011111000100101
+10010000000101000011111000011010
+10010000000011100011111000001111
+10010000000010000011111000000100
+10010000000000100011110111111001
+10001111111111000011110111101110
+10001111111101100011110111100011
+10001111111100000011110111011000
+10001111111010100011110111001101
+10001111111001000011110111000010
+10001111110111100011110110110111
+10001111110110000011110110101100
+10001111110100100011110110100001
+10001111110011000011110110010110
+10001111110001010011110110001011
+10001111101111110011110110000000
+10001111101110010011110101110101
+10001111101100110011110101101010
+10001111101011010011110101011111
+10001111101001110011110101010100
+10001111101000010011110101001001
+10001111100110110011110100111110
+10001111100101010011110100110011
+10001111100011110011110100101000
+10001111100010010011110100011101
+10001111100000110011110100010010
+10001111011111010011110100000111
+10001111011101110011110011111100
+10001111011100010011110011110001
+10001111011010110011110011100110
+10001111011001010011110011011011
+10001111010111110011110011010000
+10001111010110010011110011000100
+10001111010100110011110010111001
+10001111010011010011110010101110
+10001111010010000011110010100011
+10001111010000100011110010011000
+10001111001111000011110010001101
+10001111001101100011110010000010
+10001111001100000011110001110111
+10001111001010100011110001101100
+10001111001001000011110001100001
+10001111000111100011110001010110
+10001111000110000011110001001011
+10001111000100100011110001000000
+10001111000011000011110000110101
+10001111000001100011110000101001
+10001111000000000011110000011110
+10001110111110110011110000010011
+10001110111101010011110000001000
+10001110111011110011101111111101
+10001110111010010011101111110010
+10001110111000110011101111100111
+10001110110111010011101111011100
+10001110110101110011101111010001
+10001110110100010011101111000110
+10001110110010110011101110111010
+10001110110001100011101110101111
+10001110110000000011101110100100
+10001110101110100011101110011001
+10001110101101000011101110001110
+10001110101011100011101110000011
+10001110101010000011101101111000
+10001110101000110011101101101101
+10001110100111010011101101100001
+10001110100101110011101101010110
+10001110100100010011101101001011
+10001110100010110011101101000000
+10001110100001010011101100110101
+10001110100000000011101100101010
+10001110011110100011101100011111
+10001110011101000011101100010011
+10001110011011100011101100001000
+10001110011010000011101011111101
+10001110011000110011101011110010
+10001110010111010011101011100111
+10001110010101110011101011011100
+10001110010100010011101011010001
+10001110010010110011101011000101
+10001110010001100011101010111010
+10001110010000000011101010101111
+10001110001110100011101010100100
+10001110001101000011101010011001
+10001110001011110011101010001110
+10001110001010010011101010000010
+10001110001000110011101001110111
+10001110000111010011101001101100
+10001110000110000011101001100001
+10001110000100100011101001010110
+10001110000011000011101001001010
+10001110000001110011101000111111
+10001110000000010011101000110100
+10001101111110110011101000101001
+10001101111101010011101000011110
+10001101111100000011101000010010
+10001101111010100011101000000111
+10001101111001000011100111111100
+10001101110111110011100111110001
+10001101110110010011100111100110
+10001101110100110011100111011010
+10001101110011100011100111001111
+10001101110010000011100111000100
+10001101110000100011100110111001
+10001101101111010011100110101110
+10001101101101110011100110100010
+10001101101100010011100110010111
+10001101101011000011100110001100
+10001101101001100011100110000001
+10001101101000000011100101110110
+10001101100110110011100101101010
+10001101100101010011100101011111
+10001101100011110011100101010100
+10001101100010100011100101001001
+10001101100001000011100100111101
+10001101011111110011100100110010
+10001101011110010011100100100111
+10001101011100110011100100011100
+10001101011011100011100100010000
+10001101011010000011100100000101
+10001101011000110011100011111010
+10001101010111010011100011101111
+10001101010101110011100011100011
+10001101010100100011100011011000
+10001101010011000011100011001101
+10001101010001110011100011000010
+10001101010000010011100010110110
+10001101001110110011100010101011
+10001101001101100011100010100000
+10001101001100000011100010010101
+10001101001010110011100010001001
+10001101001001010011100001111110
+10001101001000000011100001110011
+10001101000110100011100001100111
+10001101000101010011100001011100
+10001101000011110011100001010001
+10001101000010100011100001000110
+10001101000001000011100000111010
+10001100111111110011100000101111
+10001100111110010011100000100100
+10001100111101000011100000011000
+10001100111011100011100000001101
+10001100111010010011100000000010
+10001100111000110011011111110111
+10001100110111100011011111101011
+10001100110110000011011111100000
+10001100110100110011011111010101
+10001100110011010011011111001001
+10001100110010000011011110111110
+10001100110000100011011110110011
+10001100101111010011011110100111
+10001100101101110011011110011100
+10001100101100100011011110010001
+10001100101011000011011110000101
+10001100101001110011011101111010
+10001100101000010011011101101111
+10001100100111000011011101100011
+10001100100101110011011101011000
+10001100100100010011011101001101
+10001100100011000011011101000001
+10001100100001100011011100110110
+10001100100000010011011100101011
+10001100011110110011011100011111
+10001100011101100011011100010100
+10001100011100010011011100001001
+10001100011010110011011011111101
+10001100011001100011011011110010
+10001100011000000011011011100111
+10001100010110110011011011011011
+10001100010101100011011011010000
+10001100010100000011011011000101
+10001100010010110011011010111001
+10001100010001100011011010101110
+10001100010000000011011010100011
+10001100001110110011011010010111
+10001100001101010011011010001100
+10001100001100000011011010000000
+10001100001010110011011001110101
+10001100001001010011011001101010
+10001100001000000011011001011110
+10001100000110110011011001010011
+10001100000101010011011001001000
+10001100000100000011011000111100
+10001100000010110011011000110001
+10001100000001010011011000100101
+10001100000000000011011000011010
+10001011111110110011011000001111
+10001011111101010011011000000011
+10001011111100000011010111111000
+10001011111010110011010111101100
+10001011111001100011010111100001
+10001011111000000011010111010110
+10001011110110110011010111001010
+10001011110101100011010110111111
+10001011110100000011010110110011
+10001011110010110011010110101000
+10001011110001100011010110011101
+10001011110000010011010110010001
+10001011101110110011010110000110
+10001011101101100011010101111010
+10001011101100010011010101101111
+10001011101011000011010101100100
+10001011101001100011010101011000
+10001011101000010011010101001101
+10001011100111000011010101000001
+10001011100101110011010100110110
+10001011100100100011010100101010
+10001011100011000011010100011111
+10001011100001110011010100010100
+10001011100000100011010100001000
+10001011011111010011010011111101
+10001011011101110011010011110001
+10001011011100100011010011100110
+10001011011011010011010011011010
+10001011011010000011010011001111
+10001011011000110011010011000011
+10001011010111100011010010111000
+10001011010110000011010010101101
+10001011010100110011010010100001
+10001011010011100011010010010110
+10001011010010010011010010001010
+10001011010001000011010001111111
+10001011001111110011010001110011
+10001011001110010011010001101000
+10001011001101000011010001011100
+10001011001011110011010001010001
+10001011001010100011010001000101
+10001011001001010011010000111010
+10001011001000000011010000101111
+10001011000110110011010000100011
+10001011000101100011010000011000
+10001011000100000011010000001100
+10001011000010110011010000000001
+10001011000001100011001111110101
+10001011000000010011001111101010
+10001010111111000011001111011110
+10001010111101110011001111010011
+10001010111100100011001111000111
+10001010111011010011001110111100
+10001010111010000011001110110000
+10001010111000110011001110100101
+10001010110111100011001110011001
+10001010110110000011001110001110
+10001010110100110011001110000010
+10001010110011100011001101110111
+10001010110010010011001101101011
+10001010110001000011001101100000
+10001010101111110011001101010100
+10001010101110100011001101001001
+10001010101101010011001100111101
+10001010101100000011001100110010
+10001010101010110011001100100110
+10001010101001100011001100011011
+10001010101000010011001100001111
+10001010100111000011001100000100
+10001010100101110011001011111000
+10001010100100100011001011101100
+10001010100011010011001011100001
+10001010100010000011001011010101
+10001010100000110011001011001010
+10001010011111100011001010111110
+10001010011110010011001010110011
+10001010011101000011001010100111
+10001010011011110011001010011100
+10001010011010100011001010010000
+10001010011001010011001010000101
+10001010011000000011001001111001
+10001010010110110011001001101110
+10001010010101100011001001100010
+10001010010100010011001001010110
+10001010010011000011001001001011
+10001010010010000011001000111111
+10001010010000110011001000110100
+10001010001111100011001000101000
+10001010001110010011001000011101
+10001010001101000011001000010001
+10001010001011110011001000000110
+10001010001010100011000111111010
+10001010001001010011000111101110
+10001010001000000011000111100011
+10001010000110110011000111010111
+10001010000101100011000111001100
+10001010000100100011000111000000
+10001010000011010011000110110101
+10001010000010000011000110101001
+10001010000000110011000110011101
+10001001111111100011000110010010
+10001001111110010011000110000110
+10001001111101000011000101111011
+10001001111011110011000101101111
+10001001111010110011000101100011
+10001001111001100011000101011000
+10001001111000010011000101001100
+10001001110111000011000101000001
+10001001110101110011000100110101
+10001001110100100011000100101001
+10001001110011100011000100011110
+10001001110010010011000100010010
+10001001110001000011000100000111
+10001001101111110011000011111011
+10001001101110100011000011101111
+10001001101101100011000011100100
+10001001101100010011000011011000
+10001001101011000011000011001101
+10001001101001110011000011000001
+10001001101000100011000010110101
+10001001100111100011000010101010
+10001001100110010011000010011110
+10001001100101000011000010010010
+10001001100011110011000010000111
+10001001100010110011000001111011
+10001001100001100011000001110000
+10001001100000010011000001100100
+10001001011111000011000001011000
+10001001011110000011000001001101
+10001001011100110011000001000001
+10001001011011100011000000110101
+10001001011010010011000000101010
+10001001011001010011000000011110
+10001001011000000011000000010010
+10001001010110110011000000000111
+10001001010101100010111111111011
+10001001010100100010111111110000
+10001001010011010010111111100100
+10001001010010000010111111011000
+10001001010001000010111111001101
+10001001001111110010111111000001
+10001001001110100010111110110101
+10001001001101100010111110101010
+10001001001100010010111110011110
+10001001001011000010111110010010
+10001001001010000010111110000111
+10001001001000110010111101111011
+10001001000111100010111101101111
+10001001000110100010111101100100
+10001001000101010010111101011000
+10001001000100000010111101001100
+10001001000011000010111101000001
+10001001000001110010111100110101
+10001001000000100010111100101001
+10001000111111100010111100011110
+10001000111110010010111100010010
+10001000111101010010111100000110
+10001000111100000010111011111010
+10001000111010110010111011101111
+10001000111001110010111011100011
+10001000111000100010111011010111
+10001000110111010010111011001100
+10001000110110010010111011000000
+10001000110101000010111010110100
+10001000110100000010111010101001
+10001000110010110010111010011101
+10001000110001110010111010010001
+10001000110000100010111010000101
+10001000101111010010111001111010
+10001000101110010010111001101110
+10001000101101000010111001100010
+10001000101100000010111001010111
+10001000101010110010111001001011
+10001000101001110010111000111111
+10001000101000100010111000110011
+10001000100111100010111000101000
+10001000100110010010111000011100
+10001000100101010010111000010000
+10001000100100000010111000000101
+10001000100011000010110111111001
+10001000100001110010110111101101
+10001000100000110010110111100001
+10001000011111100010110111010110
+10001000011110100010110111001010
+10001000011101010010110110111110
+10001000011100010010110110110010
+10001000011011000010110110100111
+10001000011010000010110110011011
+10001000011000110010110110001111
+10001000010111110010110110000100
+10001000010110100010110101111000
+10001000010101100010110101101100
+10001000010100010010110101100000
+10001000010011010010110101010101
+10001000010010000010110101001001
+10001000010001000010110100111101
+10001000001111110010110100110001
+10001000001110110010110100100110
+10001000001101110010110100011010
+10001000001100100010110100001110
+10001000001011100010110100000010
+10001000001010010010110011110110
+10001000001001010010110011101011
+10001000001000000010110011011111
+10001000000111000010110011010011
+10001000000110000010110011000111
+10001000000100110010110010111100
+10001000000011110010110010110000
+10001000000010110010110010100100
+10001000000001100010110010011000
+10001000000000100010110010001101
+10000111111111010010110010000001
+10000111111110010010110001110101
+10000111111101010010110001101001
+10000111111100000010110001011101
+10000111111011000010110001010010
+10000111111010000010110001000110
+10000111111000110010110000111010
+10000111110111110010110000101110
+10000111110110110010110000100010
+10000111110101100010110000010111
+10000111110100100010110000001011
+10000111110011100010101111111111
+10000111110010010010101111110011
+10000111110001010010101111100111
+10000111110000010010101111011100
+10000111101111000010101111010000
+10000111101110000010101111000100
+10000111101101000010101110111000
+10000111101011110010101110101100
+10000111101010110010101110100001
+10000111101001110010101110010101
+10000111101000110010101110001001
+10000111100111100010101101111101
+10000111100110100010101101110001
+10000111100101100010101101100101
+10000111100100100010101101011010
+10000111100011010010101101001110
+10000111100010010010101101000010
+10000111100001010010101100110110
+10000111100000010010101100101010
+10000111011111000010101100011111
+10000111011110000010101100010011
+10000111011101000010101100000111
+10000111011100000010101011111011
+10000111011010110010101011101111
+10000111011001110010101011100011
+10000111011000110010101011011000
+10000111010111110010101011001100
+10000111010110110010101011000000
+10000111010101100010101010110100
+10000111010100100010101010101000
+10000111010011100010101010011100
+10000111010010100010101010010000
+10000111010001100010101010000101
+10000111010000100010101001111001
+10000111001111010010101001101101
+10000111001110010010101001100001
+10000111001101010010101001010101
+10000111001100010010101001001001
+10000111001011010010101000111101
+10000111001010010010101000110010
+10000111001001000010101000100110
+10000111001000000010101000011010
+10000111000111000010101000001110
+10000111000110000010101000000010
+10000111000101000010100111110110
+10000111000100000010100111101010
+10000111000011000010100111011111
+10000111000010000010100111010011
+10000111000001000010100111000111
+10000110111111110010100110111011
+10000110111110110010100110101111
+10000110111101110010100110100011
+10000110111100110010100110010111
+10000110111011110010100110001011
+10000110111010110010100101111111
+10000110111001110010100101110100
+10000110111000110010100101101000
+10000110110111110010100101011100
+10000110110110110010100101010000
+10000110110101110010100101000100
+10000110110100110010100100111000
+10000110110011110010100100101100
+10000110110010110010100100100000
+10000110110001110010100100010100
+10000110110000100010100100001001
+10000110101111100010100011111101
+10000110101110100010100011110001
+10000110101101100010100011100101
+10000110101100100010100011011001
+10000110101011100010100011001101
+10000110101010100010100011000001
+10000110101001100010100010110101
+10000110101000100010100010101001
+10000110100111100010100010011101
+10000110100110100010100010010001
+10000110100101100010100010000101
+10000110100100100010100001111010
+10000110100011100010100001101110
+10000110100010110010100001100010
+10000110100001110010100001010110
+10000110100000110010100001001010
+10000110011111110010100000111110
+10000110011110110010100000110010
+10000110011101110010100000100110
+10000110011100110010100000011010
+10000110011011110010100000001110
+10000110011010110010100000000010
+10000110011001110010011111110110
+10000110011000110010011111101010
+10000110010111110010011111011110
+10000110010110110010011111010011
+10000110010101110010011111000111
+10000110010100110010011110111011
+10000110010100000010011110101111
+10000110010011000010011110100011
+10000110010010000010011110010111
+10000110010001000010011110001011
+10000110010000000010011101111111
+10000110001111000010011101110011
+10000110001110000010011101100111
+10000110001101000010011101011011
+10000110001100010010011101001111
+10000110001011010010011101000011
+10000110001010010010011100110111
+10000110001001010010011100101011
+10000110001000010010011100011111
+10000110000111010010011100010011
+10000110000110010010011100000111
+10000110000101100010011011111011
+10000110000100100010011011101111
+10000110000011100010011011100011
+10000110000010100010011011010111
+10000110000001100010011011001011
+10000110000000110010011010111111
+10000101111111110010011010110100
+10000101111110110010011010101000
+10000101111101110010011010011100
+10000101111100110010011010010000
+10000101111100000010011010000100
+10000101111011000010011001111000
+10000101111010000010011001101100
+10000101111001000010011001100000
+10000101111000010010011001010100
+10000101110111010010011001001000
+10000101110110010010011000111100
+10000101110101010010011000110000
+10000101110100100010011000100100
+10000101110011100010011000011000
+10000101110010100010011000001100
+10000101110001100010011000000000
+10000101110000110010010111110100
+10000101101111110010010111101000
+10000101101110110010010111011100
+10000101101101110010010111010000
+10000101101101000010010111000100
+10000101101100000010010110111000
+10000101101011000010010110101100
+10000101101010010010010110100000
+10000101101001010010010110010100
+10000101101000010010010110001000
+10000101100111100010010101111100
+10000101100110100010010101110000
+10000101100101100010010101100100
+10000101100100110010010101011000
+10000101100011110010010101001100
+10000101100010110010010101000000
+10000101100010000010010100110011
+10000101100001000010010100100111
+10000101100000000010010100011011
+10000101011111010010010100001111
+10000101011110010010010100000011
+10000101011101010010010011110111
+10000101011100100010010011101011
+10000101011011100010010011011111
+10000101011010100010010011010011
+10000101011001110010010011000111
+10000101011000110010010010111011
+10000101011000000010010010101111
+10000101010111000010010010100011
+10000101010110000010010010010111
+10000101010101010010010010001011
+10000101010100010010010001111111
+10000101010011100010010001110011
+10000101010010100010010001100111
+10000101010001110010010001011011
+10000101010000110010010001001111
+10000101001111110010010001000011
+10000101001111000010010000110111
+10000101001110000010010000101011
+10000101001101010010010000011111
+10000101001100010010010000010011
+10000101001011100010010000000110
+10000101001010100010001111111010
+10000101001001110010001111101110
+10000101001000110010001111100010
+10000101001000000010001111010110
+10000101000111000010001111001010
+10000101000110010010001110111110
+10000101000101010010001110110010
+10000101000100100010001110100110
+10000101000011100010001110011010
+10000101000010110010001110001110
+10000101000001110010001110000010
+10000101000001000010001101110110
+10000101000000000010001101101010
+10000100111111010010001101011110
+10000100111110010010001101010001
+10000100111101100010001101000101
+10000100111100100010001100111001
+10000100111011110010001100101101
+10000100111010110010001100100001
+10000100111010000010001100010101
+10000100111001000010001100001001
+10000100111000010010001011111101
+10000100110111100010001011110001
+10000100110110100010001011100101
+10000100110101110010001011011001
+10000100110100110010001011001101
+10000100110100000010001011000000
+10000100110011000010001010110100
+10000100110010010010001010101000
+10000100110001100010001010011100
+10000100110000100010001010010000
+10000100101111110010001010000100
+10000100101110110010001001111000
+10000100101110000010001001101100
+10000100101101010010001001100000
+10000100101100010010001001010100
+10000100101011100010001001000111
+10000100101010110010001000111011
+10000100101001110010001000101111
+10000100101001000010001000100011
+10000100101000010010001000010111
+10000100100111010010001000001011
+10000100100110100010000111111111
+10000100100101110010000111110011
+10000100100100110010000111100111
+10000100100100000010000111011010
+10000100100011010010000111001110
+10000100100010010010000111000010
+10000100100001100010000110110110
+10000100100000110010000110101010
+10000100011111110010000110011110
+10000100011111000010000110010010
+10000100011110010010000110000110
+10000100011101010010000101111001
+10000100011100100010000101101101
+10000100011011110010000101100001
+10000100011011000010000101010101
+10000100011010000010000101001001
+10000100011001010010000100111101
+10000100011000100010000100110001
+10000100010111110010000100100101
+10000100010110110010000100011000
+10000100010110000010000100001100
+10000100010101010010000100000000
+10000100010100100010000011110100
+10000100010011100010000011101000
+10000100010010110010000011011100
+10000100010010000010000011010000
+10000100010001010010000011000011
+10000100010000010010000010110111
+10000100001111100010000010101011
+10000100001110110010000010011111
+10000100001110000010000010010011
+10000100001101010010000010000111
+10000100001100010010000001111010
+10000100001011100010000001101110
+10000100001010110010000001100010
+10000100001010000010000001010110
+10000100001001010010000001001010
+10000100001000100010000000111110
+10000100000111100010000000110010
+10000100000110110010000000100101
+10000100000110000010000000011001
+10000100000101010010000000001101
+10000100000100100010000000000001
+10000100000011110001111111110101
+10000100000011000001111111101001
+10000100000010000001111111011100
+10000100000001010001111111010000
+10000100000000100001111111000100
+10000011111111110001111110111000
+10000011111111000001111110101100
+10000011111110010001111110011111
+10000011111101100001111110010011
+10000011111100110001111110000111
+10000011111100000001111101111011
+10000011111011000001111101101111
+10000011111010010001111101100011
+10000011111001100001111101010110
+10000011111000110001111101001010
+10000011111000000001111100111110
+10000011110111010001111100110010
+10000011110110100001111100100110
+10000011110101110001111100011001
+10000011110101000001111100001101
+10000011110100010001111100000001
+10000011110011100001111011110101
+10000011110010110001111011101001
+10000011110010000001111011011101
+10000011110001010001111011010000
+10000011110000100001111011000100
+10000011101111110001111010111000
+10000011101111000001111010101100
+10000011101110010001111010100000
+10000011101101100001111010010011
+10000011101100110001111010000111
+10000011101100000001111001111011
+10000011101011010001111001101111
+10000011101010100001111001100011
+10000011101001110001111001010110
+10000011101001000001111001001010
+10000011101000010001111000111110
+10000011100111100001111000110010
+10000011100110110001111000100101
+10000011100110000001111000011001
+10000011100101010001111000001101
+10000011100100100001111000000001
+10000011100011110001110111110101
+10000011100011000001110111101000
+10000011100010010001110111011100
+10000011100001100001110111010000
+10000011100000110001110111000100
+10000011100000000001110110111000
+10000011011111010001110110101011
+10000011011110110001110110011111
+10000011011110000001110110010011
+10000011011101010001110110000111
+10000011011100100001110101111010
+10000011011011110001110101101110
+10000011011011000001110101100010
+10000011011010010001110101010110
+10000011011001100001110101001001
+10000011011000110001110100111101
+10000011011000010001110100110001
+10000011010111100001110100100101
+10000011010110110001110100011001
+10000011010110000001110100001100
+10000011010101010001110100000000
+10000011010100100001110011110100
+10000011010011110001110011101000
+10000011010011010001110011011011
+10000011010010100001110011001111
+10000011010001110001110011000011
+10000011010001000001110010110111
+10000011010000010001110010101010
+10000011001111110001110010011110
+10000011001111000001110010010010
+10000011001110010001110010000110
+10000011001101100001110001111001
+10000011001100110001110001101101
+10000011001100010001110001100001
+10000011001011100001110001010101
+10000011001010110001110001001000
+10000011001010000001110000111100
+10000011001001010001110000110000
+10000011001000110001110000100100
+10000011001000000001110000010111
+10000011000111010001110000001011
+10000011000110100001101111111111
+10000011000110000001101111110011
+10000011000101010001101111100110
+10000011000100100001101111011010
+10000011000011110001101111001110
+10000011000011010001101111000001
+10000011000010100001101110110101
+10000011000001110001101110101001
+10000011000001010001101110011101
+10000011000000100001101110010000
+10000010111111110001101110000100
+10000010111111000001101101111000
+10000010111110100001101101101100
+10000010111101110001101101011111
+10000010111101000001101101010011
+10000010111100100001101101000111
+10000010111011110001101100111010
+10000010111011000001101100101110
+10000010111010100001101100100010
+10000010111001110001101100010110
+10000010111001000001101100001001
+10000010111000100001101011111101
+10000010110111110001101011110001
+10000010110111000001101011100101
+10000010110110100001101011011000
+10000010110101110001101011001100
+10000010110101010001101011000000
+10000010110100100001101010110011
+10000010110011110001101010100111
+10000010110011010001101010011011
+10000010110010100001101010001111
+10000010110001110001101010000010
+10000010110001010001101001110110
+10000010110000100001101001101010
+10000010110000000001101001011101
+10000010101111010001101001010001
+10000010101110100001101001000101
+10000010101110000001101000111000
+10000010101101010001101000101100
+10000010101100110001101000100000
+10000010101100000001101000010100
+10000010101011100001101000000111
+10000010101010110001100111111011
+10000010101010010001100111101111
+10000010101001100001100111100010
+10000010101000110001100111010110
+10000010101000010001100111001010
+10000010100111100001100110111101
+10000010100111000001100110110001
+10000010100110010001100110100101
+10000010100101110001100110011000
+10000010100101000001100110001100
+10000010100100100001100110000000
+10000010100011110001100101110100
+10000010100011010001100101100111
+10000010100010100001100101011011
+10000010100010000001100101001111
+10000010100001010001100101000010
+10000010100000110001100100110110
+10000010100000000001100100101010
+10000010011111100001100100011101
+10000010011111000001100100010001
+10000010011110010001100100000101
+10000010011101110001100011111000
+10000010011101000001100011101100
+10000010011100100001100011100000
+10000010011011110001100011010011
+10000010011011010001100011000111
+10000010011010100001100010111011
+10000010011010000001100010101110
+10000010011001100001100010100010
+10000010011000110001100010010110
+10000010011000010001100010001001
+10000010010111100001100001111101
+10000010010111000001100001110001
+10000010010110100001100001100100
+10000010010101110001100001011000
+10000010010101010001100001001100
+10000010010100100001100000111111
+10000010010100000001100000110011
+10000010010011100001100000100111
+10000010010010110001100000011010
+10000010010010010001100000001110
+10000010010001110001100000000010
+10000010010001000001011111110101
+10000010010000100001011111101001
+10000010001111110001011111011101
+10000010001111010001011111010000
+10000010001110110001011111000100
+10000010001110000001011110111000
+10000010001101100001011110101011
+10000010001101000001011110011111
+10000010001100010001011110010011
+10000010001011110001011110000110
+10000010001011010001011101111010
+10000010001010110001011101101101
+10000010001010000001011101100001
+10000010001001100001011101010101
+10000010001001000001011101001000
+10000010001000010001011100111100
+10000010000111110001011100110000
+10000010000111010001011100100011
+10000010000110110001011100010111
+10000010000110000001011100001011
+10000010000101100001011011111110
+10000010000101000001011011110010
+10000010000100100001011011100110
+10000010000011110001011011011001
+10000010000011010001011011001101
+10000010000010110001011011000000
+10000010000010010001011010110100
+10000010000001100001011010101000
+10000010000001000001011010011011
+10000010000000100001011010001111
+10000010000000000001011010000011
+10000001111111100001011001110110
+10000001111110110001011001101010
+10000001111110010001011001011110
+10000001111101110001011001010001
+10000001111101010001011001000101
+10000001111100110001011000111000
+10000001111100000001011000101100
+10000001111011100001011000100000
+10000001111011000001011000010011
+10000001111010100001011000000111
+10000001111010000001010111111010
+10000001111001100001010111101110
+10000001111000110001010111100010
+10000001111000010001010111010101
+10000001110111110001010111001001
+10000001110111010001010110111101
+10000001110110110001010110110000
+10000001110110010001010110100100
+10000001110101110001010110010111
+10000001110101000001010110001011
+10000001110100100001010101111111
+10000001110100000001010101110010
+10000001110011100001010101100110
+10000001110011000001010101011010
+10000001110010100001010101001101
+10000001110010000001010101000001
+10000001110001100001010100110100
+10000001110001000001010100101000
+10000001110000100001010100011100
+10000001110000000001010100001111
+10000001101111010001010100000011
+10000001101110110001010011110110
+10000001101110010001010011101010
+10000001101101110001010011011110
+10000001101101010001010011010001
+10000001101100110001010011000101
+10000001101100010001010010111000
+10000001101011110001010010101100
+10000001101011010001010010100000
+10000001101010110001010010010011
+10000001101010010001010010000111
+10000001101001110001010001111010
+10000001101001010001010001101110
+10000001101000110001010001100010
+10000001101000010001010001010101
+10000001100111110001010001001001
+10000001100111010001010000111100
+10000001100110110001010000110000
+10000001100110010001010000100100
+10000001100101110001010000010111
+10000001100101010001010000001011
+10000001100100110001001111111110
+10000001100100010001001111110010
+10000001100011110001001111100101
+10000001100011010001001111011001
+10000001100010110001001111001101
+10000001100010010001001111000000
+10000001100010000001001110110100
+10000001100001100001001110100111
+10000001100001000001001110011011
+10000001100000100001001110001111
+10000001100000000001001110000010
+10000001011111100001001101110110
+10000001011111000001001101101001
+10000001011110100001001101011101
+10000001011110000001001101010000
+10000001011101100001001101000100
+10000001011101000001001100111000
+10000001011100110001001100101011
+10000001011100010001001100011111
+10000001011011110001001100010010
+10000001011011010001001100000110
+10000001011010110001001011111001
+10000001011010010001001011101101
+10000001011001110001001011100001
+10000001011001010001001011010100
+10000001011001000001001011001000
+10000001011000100001001010111011
+10000001011000000001001010101111
+10000001010111100001001010100010
+10000001010111000001001010010110
+10000001010110100001001010001010
+10000001010110010001001001111101
+10000001010101110001001001110001
+10000001010101010001001001100100
+10000001010100110001001001011000
+10000001010100010001001001001011
+10000001010100000001001000111111
+10000001010011100001001000110011
+10000001010011000001001000100110
+10000001010010100001001000011010
+10000001010010010001001000001101
+10000001010001110001001000000001
+10000001010001010001000111110100
+10000001010000110001000111101000
+10000001010000010001000111011011
+10000001010000000001000111001111
+10000001001111100001000111000011
+10000001001111000001000110110110
+10000001001110100001000110101010
+10000001001110010001000110011101
+10000001001101110001000110010001
+10000001001101010001000110000100
+10000001001101000001000101111000
+10000001001100100001000101101011
+10000001001100000001000101011111
+10000001001011100001000101010011
+10000001001011010001000101000110
+10000001001010110001000100111010
+10000001001010010001000100101101
+10000001001010000001000100100001
+10000001001001100001000100010100
+10000001001001000001000100001000
+10000001001000110001000011111011
+10000001001000010001000011101111
+10000001000111110001000011100010
+10000001000111100001000011010110
+10000001000111000001000011001010
+10000001000110100001000010111101
+10000001000110010001000010110001
+10000001000101110001000010100100
+10000001000101100001000010011000
+10000001000101000001000010001011
+10000001000100100001000001111111
+10000001000100010001000001110010
+10000001000011110001000001100110
+10000001000011010001000001011001
+10000001000011000001000001001101
+10000001000010100001000001000001
+10000001000010010001000000110100
+10000001000001110001000000101000
+10000001000001010001000000011011
+10000001000001000001000000001111
+10000001000000100001000000000010
+10000001000000010000111111110110
+10000000111111110000111111101001
+10000000111111100000111111011101
+10000000111111000000111111010000
+10000000111110110000111111000100
+10000000111110010000111110110111
+10000000111101110000111110101011
+10000000111101100000111110011110
+10000000111101000000111110010010
+10000000111100110000111110000101
+10000000111100010000111101111001
+10000000111100000000111101101101
+10000000111011100000111101100000
+10000000111011010000111101010100
+10000000111010110000111101000111
+10000000111010100000111100111011
+10000000111010000000111100101110
+10000000111001110000111100100010
+10000000111001010000111100010101
+10000000111001000000111100001001
+10000000111000100000111011111100
+10000000111000010000111011110000
+10000000110111110000111011100011
+10000000110111100000111011010111
+10000000110111010000111011001010
+10000000110110110000111010111110
+10000000110110100000111010110001
+10000000110110000000111010100101
+10000000110101110000111010011000
+10000000110101010000111010001100
+10000000110101000000111001111111
+10000000110100100000111001110011
+10000000110100010000111001100110
+10000000110100000000111001011010
+10000000110011100000111001001101
+10000000110011010000111001000001
+10000000110010110000111000110101
+10000000110010100000111000101000
+10000000110010010000111000011100
+10000000110001110000111000001111
+10000000110001100000111000000011
+10000000110001010000110111110110
+10000000110000110000110111101010
+10000000110000100000110111011101
+10000000110000000000110111010001
+10000000101111110000110111000100
+10000000101111100000110110111000
+10000000101111000000110110101011
+10000000101110110000110110011111
+10000000101110100000110110010010
+10000000101110000000110110000110
+10000000101101110000110101111001
+10000000101101100000110101101101
+10000000101101000000110101100000
+10000000101100110000110101010100
+10000000101100100000110101000111
+10000000101100000000110100111011
+10000000101011110000110100101110
+10000000101011100000110100100010
+10000000101011010000110100010101
+10000000101010110000110100001001
+10000000101010100000110011111100
+10000000101010010000110011110000
+10000000101010000000110011100011
+10000000101001100000110011010111
+10000000101001010000110011001010
+10000000101001000000110010111110
+10000000101000100000110010110001
+10000000101000010000110010100101
+10000000101000000000110010011000
+10000000100111110000110010001100
+10000000100111100000110001111111
+10000000100111000000110001110011
+10000000100110110000110001100110
+10000000100110100000110001011010
+10000000100110010000110001001101
+10000000100101110000110001000001
+10000000100101100000110000110100
+10000000100101010000110000101000
+10000000100101000000110000011011
+10000000100100110000110000001111
+10000000100100100000110000000010
+10000000100100000000101111110110
+10000000100011110000101111101001
+10000000100011100000101111011101
+10000000100011010000101111010000
+10000000100011000000101111000011
+10000000100010110000101110110111
+10000000100010010000101110101010
+10000000100010000000101110011110
+10000000100001110000101110010001
+10000000100001100000101110000101
+10000000100001010000101101111000
+10000000100001000000101101101100
+10000000100000110000101101011111
+10000000100000010000101101010011
+10000000100000000000101101000110
+10000000011111110000101100111010
+10000000011111100000101100101101
+10000000011111010000101100100001
+10000000011111000000101100010100
+10000000011110110000101100001000
+10000000011110100000101011111011
+10000000011110010000101011101111
+10000000011110000000101011100010
+10000000011101110000101011010110
+10000000011101100000101011001001
+10000000011101000000101010111101
+10000000011100110000101010110000
+10000000011100100000101010100100
+10000000011100010000101010010111
+10000000011100000000101010001011
+10000000011011110000101001111110
+10000000011011100000101001110001
+10000000011011010000101001100101
+10000000011011000000101001011000
+10000000011010110000101001001100
+10000000011010100000101000111111
+10000000011010010000101000110011
+10000000011010000000101000100110
+10000000011001110000101000011010
+10000000011001100000101000001101
+10000000011001010000101000000001
+10000000011001000000100111110100
+10000000011000110000100111101000
+10000000011000100000100111011011
+10000000011000010000100111001111
+10000000011000000000100111000010
+10000000010111110000100110110110
+10000000010111100000100110101001
+10000000010111100000100110011101
+10000000010111010000100110010000
+10000000010111000000100110000011
+10000000010110110000100101110111
+10000000010110100000100101101010
+10000000010110010000100101011110
+10000000010110000000100101010001
+10000000010101110000100101000101
+10000000010101100000100100111000
+10000000010101010000100100101100
+10000000010101000000100100011111
+10000000010100110000100100010011
+10000000010100110000100100000110
+10000000010100100000100011111010
+10000000010100010000100011101101
+10000000010100000000100011100001
+10000000010011110000100011010100
+10000000010011100000100011000111
+10000000010011010000100010111011
+10000000010011000000100010101110
+10000000010011000000100010100010
+10000000010010110000100010010101
+10000000010010100000100010001001
+10000000010010010000100001111100
+10000000010010000000100001110000
+10000000010001110000100001100011
+10000000010001110000100001010111
+10000000010001100000100001001010
+10000000010001010000100000111110
+10000000010001000000100000110001
+10000000010000110000100000100100
+10000000010000110000100000011000
+10000000010000100000100000001011
+10000000010000010000011111111111
+10000000010000000000011111110010
+10000000001111110000011111100110
+10000000001111110000011111011001
+10000000001111100000011111001101
+10000000001111010000011111000000
+10000000001111000000011110110100
+10000000001111000000011110100111
+10000000001110110000011110011011
+10000000001110100000011110001110
+10000000001110010000011110000001
+10000000001110010000011101110101
+10000000001110000000011101101000
+10000000001101110000011101011100
+10000000001101100000011101001111
+10000000001101100000011101000011
+10000000001101010000011100110110
+10000000001101000000011100101010
+10000000001101000000011100011101
+10000000001100110000011100010001
+10000000001100100000011100000100
+10000000001100100000011011110111
+10000000001100010000011011101011
+10000000001100000000011011011110
+10000000001100000000011011010010
+10000000001011110000011011000101
+10000000001011100000011010111001
+10000000001011100000011010101100
+10000000001011010000011010100000
+10000000001011000000011010010011
+10000000001011000000011010000111
+10000000001010110000011001111010
+10000000001010100000011001101101
+10000000001010100000011001100001
+10000000001010010000011001010100
+10000000001010000000011001001000
+10000000001010000000011000111011
+10000000001001110000011000101111
+10000000001001110000011000100010
+10000000001001100000011000010110
+10000000001001010000011000001001
+10000000001001010000010111111100
+10000000001001000000010111110000
+10000000001001000000010111100011
+10000000001000110000010111010111
+10000000001000110000010111001010
+10000000001000100000010110111110
+10000000001000010000010110110001
+10000000001000010000010110100101
+10000000001000000000010110011000
+10000000001000000000010110001011
+10000000000111110000010101111111
+10000000000111110000010101110010
+10000000000111100000010101100110
+10000000000111100000010101011001
+10000000000111010000010101001101
+10000000000111010000010101000000
+10000000000111000000010100110100
+10000000000111000000010100100111
+10000000000110110000010100011010
+10000000000110110000010100001110
+10000000000110100000010100000001
+10000000000110100000010011110101
+10000000000110010000010011101000
+10000000000110010000010011011100
+10000000000110000000010011001111
+10000000000110000000010011000011
+10000000000101110000010010110110
+10000000000101110000010010101001
+10000000000101100000010010011101
+10000000000101100000010010010000
+10000000000101010000010010000100
+10000000000101010000010001110111
+10000000000101010000010001101011
+10000000000101000000010001011110
+10000000000101000000010001010010
+10000000000100110000010001000101
+10000000000100110000010000111000
+10000000000100100000010000101100
+10000000000100100000010000011111
+10000000000100100000010000010011
+10000000000100010000010000000110
+10000000000100010000001111111010
+10000000000100000000001111101101
+10000000000100000000001111100001
+10000000000100000000001111010100
+10000000000011110000001111000111
+10000000000011110000001110111011
+10000000000011110000001110101110
+10000000000011100000001110100010
+10000000000011100000001110010101
+10000000000011010000001110001001
+10000000000011010000001101111100
+10000000000011010000001101101111
+10000000000011000000001101100011
+10000000000011000000001101010110
+10000000000011000000001101001010
+10000000000010110000001100111101
+10000000000010110000001100110001
+10000000000010110000001100100100
+10000000000010110000001100011000
+10000000000010100000001100001011
+10000000000010100000001011111110
+10000000000010100000001011110010
+10000000000010010000001011100101
+10000000000010010000001011011001
+10000000000010010000001011001100
+10000000000010010000001011000000
+10000000000010000000001010110011
+10000000000010000000001010100110
+10000000000010000000001010011010
+10000000000010000000001010001101
+10000000000001110000001010000001
+10000000000001110000001001110100
+10000000000001110000001001101000
+10000000000001110000001001011011
+10000000000001100000001001001111
+10000000000001100000001001000010
+10000000000001100000001000110101
+10000000000001100000001000101001
+10000000000001010000001000011100
+10000000000001010000001000010000
+10000000000001010000001000000011
+10000000000001010000000111110111
+10000000000001010000000111101010
+10000000000001000000000111011101
+10000000000001000000000111010001
+10000000000001000000000111000100
+10000000000001000000000110111000
+10000000000001000000000110101011
+10000000000001000000000110011111
+10000000000000110000000110010010
+10000000000000110000000110000110
+10000000000000110000000101111001
+10000000000000110000000101101100
+10000000000000110000000101100000
+10000000000000110000000101010011
+10000000000000110000000101000111
+10000000000000110000000100111010
+10000000000000100000000100101110
+10000000000000100000000100100001
+10000000000000100000000100010100
+10000000000000100000000100001000
+10000000000000100000000011111011
+10000000000000100000000011101111
+10000000000000100000000011100010
+10000000000000100000000011010110
+10000000000000100000000011001001
+10000000000000100000000010111100
+10000000000000010000000010110000
+10000000000000010000000010100011
+10000000000000010000000010010111
+10000000000000010000000010001010
+10000000000000010000000001111110
+10000000000000010000000001110001
+10000000000000010000000001100101
+10000000000000010000000001011000
+10000000000000010000000001001011
+10000000000000010000000000111111
+10000000000000010000000000110010
+10000000000000010000000000100110
+10000000000000010000000000011001
+10000000000000010000000000001101
+00000000000000000111111111111111
+11111111110110100111111111111111
+11111111101101010111111111111111
+11111111100011110111111111111111
+11111111011010010111111111111111
+11111111010001000111111111111110
+11111111000111100111111111111110
+11111110111110000111111111111110
+11111110110100100111111111111110
+11111110101011010111111111111101
+11111110100001110111111111111101
+11111110011000010111111111111100
+11111110001111000111111111111100
+11111110000101100111111111111011
+11111101111100000111111111111011
+11111101110010110111111111111010
+11111101101001010111111111111001
+11111101011111110111111111111001
+11111101010110100111111111111000
+11111101001101000111111111110111
+11111101000011100111111111110110
+11111100111010000111111111110101
+11111100110000110111111111110101
+11111100100111010111111111110100
+11111100011101110111111111110011
+11111100010100100111111111110001
+11111100001011000111111111110000
+11111100000001100111111111101111
+11111011111000010111111111101110
+11111011101110110111111111101101
+11111011100101010111111111101011
+11111011011100000111111111101010
+11111011010010100111111111101001
+11111011001001000111111111100111
+11111010111111110111111111100110
+11111010110110010111111111100100
+11111010101100110111111111100011
+11111010100011100111111111100001
+11111010011010000111111111100000
+11111010010000100111111111011110
+11111010000111010111111111011100
+11111001111101110111111111011011
+11111001110100010111111111011001
+11111001101011000111111111010111
+11111001100001100111111111010101
+11111001011000000111111111010011
+11111001001110110111111111010001
+11111001000101010111111111001111
+11111000111011110111111111001101
+11111000110010100111111111001011
+11111000101001000111111111001001
+11111000011111110111111111000111
+11111000010110010111111111000100
+11111000001100110111111111000010
+11111000000011100111111111000000
+11110111111010000111111110111101
+11110111110000100111111110111011
+11110111100111010111111110111001
+11110111011101110111111110110110
+11110111010100100111111110110100
+11110111001011000111111110110001
+11110111000001100111111110101110
+11110110111000010111111110101100
+11110110101110110111111110101001
+11110110100101100111111110100110
+11110110011100000111111110100011
+11110110010010100111111110100001
+11110110001001010111111110011110
+11110101111111110111111110011011
+11110101110110100111111110011000
+11110101101101000111111110010101
+11110101100011110111111110010010
+11110101011010010111111110001111
+11110101010000110111111110001100
+11110101000111100111111110001000
+11110100111110000111111110000101
+11110100110100110111111110000010
+11110100101011010111111101111111
+11110100100010000111111101111011
+11110100011000100111111101111000
+11110100001111010111111101110100
+11110100000101110111111101110001
+11110011111100010111111101101101
+11110011110011000111111101101010
+11110011101001100111111101100110
+11110011100000010111111101100010
+11110011010110110111111101011111
+11110011001101100111111101011011
+11110011000100000111111101010111
+11110010111010110111111101010011
+11110010110001010111111101010000
+11110010101000000111111101001100
+11110010011110100111111101001000
+11110010010101010111111101000100
+11110010001011110111111101000000
+11110010000010100111111100111011
+11110001111001000111111100110111
+11110001101111110111111100110011
+11110001100110100111111100101111
+11110001011101000111111100101011
+11110001010011110111111100100110
+11110001001010010111111100100010
+11110001000001000111111100011110
+11110000110111100111111100011001
+11110000101110010111111100010101
+11110000100100110111111100010000
+11110000011011100111111100001100
+11110000010010010111111100000111
+11110000001000110111111100000010
+11101111111111100111111011111110
+11101111110110000111111011111001
+11101111101100110111111011110100
+11101111100011100111111011101111
+11101111011010000111111011101010
+11101111010000110111111011100110
+11101111000111100111111011100001
+11101110111110000111111011011100
+11101110110100110111111011010111
+11101110101011010111111011010010
+11101110100010000111111011001100
+11101110011000110111111011000111
+11101110001111010111111011000010
+11101110000110000111111010111101
+11101101111100110111111010110111
+11101101110011010111111010110010
+11101101101010000111111010101101
+11101101100000110111111010100111
+11101101010111100111111010100010
+11101101001110000111111010011100
+11101101000100110111111010010111
+11101100111011100111111010010001
+11101100110010000111111010001100
+11101100101000110111111010000110
+11101100011111100111111010000000
+11101100010110010111111001111010
+11101100001100110111111001110101
+11101100000011100111111001101111
+11101011111010010111111001101001
+11101011110001000111111001100011
+11101011100111100111111001011101
+11101011011110010111111001010111
+11101011010101000111111001010001
+11101011001011110111111001001011
+11101011000010100111111001000101
+11101010111001000111111000111110
+11101010101111110111111000111000
+11101010100110100111111000110010
+11101010011101010111111000101100
+11101010010100000111111000100101
+11101010001010110111111000011111
+11101010000001100111111000011000
+11101001111000000111111000010010
+11101001101110110111111000001011
+11101001100101100111111000000101
+11101001011100010111110111111110
+11101001010011000111110111110111
+11101001001001110111110111110001
+11101001000000100111110111101010
+11101000110111010111110111100011
+11101000101110000111110111011100
+11101000100100110111110111010101
+11101000011011010111110111001111
+11101000010010000111110111001000
+11101000001000110111110111000001
+11100111111111100111110110111001
+11100111110110010111110110110010
+11100111101101000111110110101011
+11100111100011110111110110100100
+11100111011010100111110110011101
+11100111010001010111110110010110
+11100111001000000111110110001110
+11100110111110110111110110000111
+11100110110101100111110110000000
+11100110101100010111110101111000
+11100110100011000111110101110001
+11100110011010000111110101101001
+11100110010000110111110101100010
+11100110000111100111110101011010
+11100101111110010111110101010010
+11100101110101000111110101001011
+11100101101011110111110101000011
+11100101100010100111110100111011
+11100101011001010111110100110011
+11100101010000000111110100101011
+11100101000110110111110100100100
+11100100111101110111110100011100
+11100100110100100111110100010100
+11100100101011010111110100001100
+11100100100010000111110100000100
+11100100011000110111110011111011
+11100100001111110111110011110011
+11100100000110100111110011101011
+11100011111101010111110011100011
+11100011110100000111110011011011
+11100011101010110111110011010010
+11100011100001110111110011001010
+11100011011000100111110011000001
+11100011001111010111110010111001
+11100011000110000111110010110001
+11100010111101000111110010101000
+11100010110011110111110010011111
+11100010101010100111110010010111
+11100010100001100111110010001110
+11100010011000010111110010000101
+11100010001111000111110001111101
+11100010000110000111110001110100
+11100001111100110111110001101011
+11100001110011100111110001100010
+11100001101010100111110001011001
+11100001100001010111110001010000
+11100001011000000111110001000111
+11100001001111000111110000111110
+11100001000101110111110000110101
+11100000111100110111110000101100
+11100000110011100111110000100011
+11100000101010100111110000011010
+11100000100001010111110000010000
+11100000011000010111110000000111
+11100000001111000111101111111110
+11100000000101110111101111110100
+11011111111100110111101111101011
+11011111110011100111101111100010
+11011111101010100111101111011000
+11011111100001100111101111001111
+11011111011000010111101111000101
+11011111001111010111101110111011
+11011111000110000111101110110010
+11011110111101000111101110101000
+11011110110011110111101110011110
+11011110101010110111101110010100
+11011110100001110111101110001011
+11011110011000100111101110000001
+11011110001111100111101101110111
+11011110000110010111101101101101
+11011101111101010111101101100011
+11011101110100010111101101011001
+11011101101011000111101101001111
+11011101100010000111101101000101
+11011101011001000111101100111010
+11011101010000000111101100110000
+11011101000110110111101100100110
+11011100111101110111101100011100
+11011100110100110111101100010001
+11011100101011110111101100000111
+11011100100010100111101011111100
+11011100011001100111101011110010
+11011100010000100111101011100111
+11011100000111100111101011011101
+11011011111110100111101011010010
+11011011110101010111101011001000
+11011011101100010111101010111101
+11011011100011010111101010110010
+11011011011010010111101010101000
+11011011010001010111101010011101
+11011011001000010111101010010010
+11011010111111010111101010000111
+11011010110110010111101001111100
+11011010101101000111101001110001
+11011010100100000111101001100110
+11011010011011000111101001011011
+11011010010010000111101001010000
+11011010001001000111101001000101
+11011010000000000111101000111010
+11011001110111000111101000101110
+11011001101110000111101000100011
+11011001100101000111101000011000
+11011001011100000111101000001101
+11011001010011000111101000000001
+11011001001010010111100111110110
+11011001000001010111100111101010
+11011000111000010111100111011111
+11011000101111010111100111010011
+11011000100110010111100111001000
+11011000011101010111100110111100
+11011000010100010111100110110000
+11011000001011010111100110100101
+11011000000010100111100110011001
+11010111111001100111100110001101
+11010111110000100111100110000001
+11010111100111100111100101110101
+11010111011110110111100101101010
+11010111010101110111100101011110
+11010111001100110111100101010010
+11010111000011110111100101000110
+11010110111011000111100100111001
+11010110110010000111100100101101
+11010110101001000111100100100001
+11010110100000010111100100010101
+11010110010111010111100100001001
+11010110001110010111100011111100
+11010110000101100111100011110000
+11010101111100100111100011100100
+11010101110011100111100011010111
+11010101101010110111100011001011
+11010101100001110111100010111110
+11010101011001000111100010110010
+11010101010000000111100010100101
+11010101000111010111100010011001
+11010100111110010111100010001100
+11010100110101100111100001111111
+11010100101100100111100001110011
+11010100100011110111100001100110
+11010100011010110111100001011001
+11010100010010000111100001001100
+11010100001001000111100000111111
+11010100000000010111100000110010
+11010011110111100111100000100101
+11010011101110100111100000011000
+11010011100101110111100000001011
+11010011011100110111011111111110
+11010011010100000111011111110001
+11010011001011010111011111100100
+11010011000010100111011111010111
+11010010111001100111011111001001
+11010010110000110111011110111100
+11010010101000000111011110101111
+11010010011111000111011110100001
+11010010010110010111011110010100
+11010010001101100111011110000110
+11010010000100110111011101111001
+11010001111100000111011101101011
+11010001110011010111011101011110
+11010001101010010111011101010000
+11010001100001100111011101000011
+11010001011000110111011100110101
+11010001010000000111011100100111
+11010001000111010111011100011001
+11010000111110100111011100001011
+11010000110101110111011011111110
+11010000101101000111011011110000
+11010000100100010111011011100010
+11010000011011100111011011010100
+11010000010010110111011011000110
+11010000001010000111011010111000
+11010000000001010111011010101010
+11001111111000100111011010011011
+11001111101111110111011010001101
+11001111100111000111011001111111
+11001111011110010111011001110001
+11001111010101100111011001100010
+11001111001100110111011001010100
+11001111000100010111011001000110
+11001110111011100111011000110111
+11001110110010110111011000101001
+11001110101010000111011000011010
+11001110100001010111011000001100
+11001110011000110111010111111101
+11001110010000000111010111101110
+11001110000111010111010111100000
+11001101111110100111010111010001
+11001101110110000111010111000010
+11001101101101010111010110110100
+11001101100100100111010110100101
+11001101011100000111010110010110
+11001101010011010111010110000111
+11001101001010110111010101111000
+11001101000010000111010101101001
+11001100111001010111010101011010
+11001100110000110111010101001011
+11001100101000000111010100111100
+11001100011111100111010100101101
+11001100010110110111010100011101
+11001100001110010111010100001110
+11001100000101100111010011111111
+11001011111101000111010011110000
+11001011110100010111010011100000
+11001011101011110111010011010001
+11001011100011010111010011000001
+11001011011010100111010010110010
+11001011010010000111010010100010
+11001011001001100111010010010011
+11001011000000110111010010000011
+11001010111000010111010001110100
+11001010101111110111010001100100
+11001010100111000111010001010100
+11001010011110100111010001000101
+11001010010110000111010000110101
+11001010001101100111010000100101
+11001010000101000111010000010101
+11001001111100010111010000000101
+11001001110011110111001111110101
+11001001101011010111001111100101
+11001001100010110111001111010101
+11001001011010010111001111000101
+11001001010001110111001110110101
+11001001001001010111001110100101
+11001001000000110111001110010101
+11001000111000010111001110000101
+11001000101111110111001101110100
+11001000100111010111001101100100
+11001000011110110111001101010100
+11001000010110010111001101000011
+11001000001101110111001100110011
+11001000000101010111001100100010
+11000111111100110111001100010010
+11000111110100010111001100000001
+11000111101011110111001011110001
+11000111100011010111001011100000
+11000111011010110111001011010000
+11000111010010100111001010111111
+11000111001010000111001010101110
+11000111000001100111001010011101
+11000110111001000111001010001101
+11000110110000110111001001111100
+11000110101000010111001001101011
+11000110011111110111001001011010
+11000110010111100111001001001001
+11000110001111000111001000111000
+11000110000110100111001000100111
+11000101111110010111001000010110
+11000101110101110111001000000101
+11000101101101100111000111110100
+11000101100101000111000111100011
+11000101011100100111000111010001
+11000101010100010111000111000000
+11000101001011110111000110101111
+11000101000011100111000110011101
+11000100111011010111000110001100
+11000100110010110111000101111011
+11000100101010100111000101101001
+11000100100010000111000101011000
+11000100011001110111000101000110
+11000100010001100111000100110101
+11000100001001000111000100100011
+11000100000000110111000100010001
+11000011111000100111000100000000
+11000011110000000111000011101110
+11000011100111110111000011011100
+11000011011111100111000011001010
+11000011010111010111000010111000
+11000011001111000111000010100111
+11000011000110100111000010010101
+11000010111110010111000010000011
+11000010110110000111000001110001
+11000010101101110111000001011111
+11000010100101100111000001001101
+11000010011101010111000000111011
+11000010010101000111000000101000
+11000010001100110111000000010110
+11000010000100100111000000000100
+11000001111100010110111111110010
+11000001110100000110111111011111
+11000001101011110110111111001101
+11000001100011100110111110111011
+11000001011011010110111110101000
+11000001010011000110111110010110
+11000001001010110110111110000011
+11000001000010110110111101110001
+11000000111010100110111101011110
+11000000110010010110111101001100
+11000000101010000110111100111001
+11000000100001110110111100100110
+11000000011001110110111100010100
+11000000010001100110111100000001
+11000000001001010110111011101110
+11000000000001010110111011011011
+10111111111000110110111011001000
+10111111110000100110111010110110
+10111111101000100110111010100011
+10111111100000010110111010010000
+10111111011000010110111001111101
+10111111010000000110111001101010
+10111111001000000110111001010110
+10111110111111110110111001000011
+10111110110111110110111000110000
+10111110101111100110111000011101
+10111110100111100110111000001010
+10111110011111010110110111110110
+10111110010111010110110111100011
+10111110001111010110110111010000
+10111110000111000110110110111100
+10111101111111000110110110101001
+10111101110111000110110110010110
+10111101101111000110110110000010
+10111101100110110110110101101110
+10111101011110110110110101011011
+10111101010110110110110101000111
+10111101001110110110110100110100
+10111101000110110110110100100000
+10111100111110100110110100001100
+10111100110110100110110011111001
+10111100101110100110110011100101
+10111100100110100110110011010001
+10111100011110100110110010111101
+10111100010110100110110010101001
+10111100001110100110110010010101
+10111100000110100110110010000001
+10111011111110100110110001101101
+10111011110110100110110001011001
+10111011101110100110110001000101
+10111011100110110110110000110001
+10111011011110110110110000011101
+10111011010110110110110000001001
+10111011001110110110101111110100
+10111011000110110110101111100000
+10111010111110110110101111001100
+10111010110111000110101110110111
+10111010101111000110101110100011
+10111010100111000110101110001111
+10111010011111010110101101111010
+10111010010111010110101101100110
+10111010001111010110101101010001
+10111010000111100110101100111101
+10111001111111100110101100101000
+10111001110111110110101100010011
+10111001101111110110101011111111
+10111001101000000110101011101010
+10111001100000000110101011010101
+10111001011000010110101011000000
+10111001010000010110101010101100
+10111001001000100110101010010111
+10111001000000110110101010000010
+10111000111000110110101001101101
+10111000110001000110101001011000
+10111000101001010110101001000011
+10111000100001010110101000101110
+10111000011001100110101000011001
+10111000010001110110101000000100
+10111000001010000110100111101111
+10111000000010000110100111011001
+10110111111010010110100111000100
+10110111110010100110100110101111
+10110111101010110110100110011010
+10110111100011000110100110000100
+10110111011011010110100101101111
+10110111010011100110100101011010
+10110111001011110110100101000100
+10110111000100000110100100101111
+10110110111100010110100100011001
+10110110110100100110100100000100
+10110110101100110110100011101110
+10110110100101000110100011011001
+10110110011101010110100011000011
+10110110010101100110100010101101
+10110110001110000110100010011000
+10110110000110010110100010000010
+10110101111110100110100001101100
+10110101110110110110100001010110
+10110101101111010110100001000000
+10110101100111100110100000101010
+10110101011111110110100000010100
+10110101011000010110011111111111
+10110101010000100110011111101001
+10110101001000110110011111010011
+10110101000001010110011110111100
+10110100111001100110011110100110
+10110100110010000110011110010000
+10110100101010010110011101111010
+10110100100010110110011101100100
+10110100011011000110011101001110
+10110100010011100110011100110111
+10110100001100000110011100100001
+10110100000100010110011100001011
+10110011111100110110011011110100
+10110011110101010110011011011110
+10110011101101100110011011000111
+10110011100110000110011010110001
+10110011011110100110011010011010
+10110011010111000110011010000100
+10110011001111010110011001101101
+10110011000111110110011001010111
+10110011000000010110011001000000
+10110010111000110110011000101001
+10110010110001010110011000010011
+10110010101001110110010111111100
+10110010100010010110010111100101
+10110010011010110110010111001110
+10110010010011010110010110110111
+10110010001011110110010110100000
+10110010000100010110010110001001
+10110001111100110110010101110010
+10110001110101010110010101011011
+10110001101101110110010101000100
+10110001100110100110010100101101
+10110001011111000110010100010110
+10110001010111100110010011111111
+10110001010000000110010011101000
+10110001001000110110010011010001
+10110001000001010110010010111010
+10110000111001110110010010100010
+10110000110010100110010010001011
+10110000101011000110010001110100
+10110000100011100110010001011100
+10110000011100010110010001000101
+10110000010100110110010000101101
+10110000001101100110010000010110
+10110000000110000110001111111110
+10101111111110110110001111100111
+10101111110111100110001111001111
+10101111110000000110001110111000
+10101111101000110110001110100000
+10101111100001100110001110001000
+10101111011010000110001101110001
+10101111010010110110001101011001
+10101111001011100110001101000001
+10101111000100010110001100101001
+10101110111100110110001100010001
+10101110110101100110001011111001
+10101110101110010110001011100010
+10101110100111000110001011001010
+10101110011111110110001010110010
+10101110011000100110001010011010
+10101110010001010110001010000001
+10101110001010000110001001101001
+10101110000010110110001001010001
+10101101111011100110001000111001
+10101101110100010110001000100001
+10101101101101000110001000001001
+10101101100101110110000111110000
+10101101011110100110000111011000
+10101101010111100110000111000000
+10101101010000010110000110101000
+10101101001001000110000110001111
+10101101000001110110000101110111
+10101100111010110110000101011110
+10101100110011100110000101000110
+10101100101100010110000100101101
+10101100100101010110000100010101
+10101100011110000110000011111100
+10101100010111000110000011100011
+10101100001111110110000011001011
+10101100001000110110000010110010
+10101100000001100110000010011001
+10101011111010100110000010000001
+10101011110011010110000001101000
+10101011101100010110000001001111
+10101011100101010110000000110110
+10101011011110000110000000011101
+10101011010111000110000000000100
+10101011010000000101111111101100
+10101011001000110101111111010011
+10101011000001110101111110111010
+10101010111010110101111110100000
+10101010110011110101111110000111
+10101010101100110101111101101110
+10101010100101110101111101010101
+10101010011110110101111100111100
+10101010010111110101111100100011
+10101010010000110101111100001010
+10101010001001110101111011110000
+10101010000010110101111011010111
+10101001111011110101111010111110
+10101001110100110101111010100100
+10101001101101110101111010001011
+10101001100110110101111001110001
+10101001011111110101111001011000
+10101001011001000101111000111111
+10101001010010000101111000100101
+10101001001011000101111000001011
+10101001000100000101110111110010
+10101000111101010101110111011000
+10101000110110010101110110111111
+10101000101111100101110110100101
+10101000101000100101110110001011
+10101000100001110101110101110001
+10101000011010110101110101011000
+10101000010100000101110100111110
+10101000001101000101110100100100
+10101000000110010101110100001010
+10100111111111010101110011110000
+10100111111000100101110011010110
+10100111110001110101110010111100
+10100111101010110101110010100010
+10100111100100000101110010001000
+10100111011101010101110001101110
+10100111010110100101110001010100
+10100111001111100101110000111010
+10100111001000110101110000100000
+10100111000010000101110000000110
+10100110111011010101101111101011
+10100110110100100101101111010001
+10100110101101110101101110110111
+10100110100111000101101110011101
+10100110100000010101101110000010
+10100110011001100101101101101000
+10100110010010110101101101001110
+10100110001100000101101100110011
+10100110000101010101101100011001
+10100101111110110101101011111110
+10100101111000000101101011100100
+10100101110001010101101011001001
+10100101101010100101101010101110
+10100101100100000101101010010100
+10100101011101010101101001111001
+10100101010110100101101001011110
+10100101010000000101101001000100
+10100101001001010101101000101001
+10100101000010110101101000001110
+10100100111100000101100111110011
+10100100110101100101100111011001
+10100100101110110101100110111110
+10100100101000010101100110100011
+10100100100001100101100110001000
+10100100011011000101100101101101
+10100100010100100101100101010010
+10100100001110000101100100110111
+10100100000111010101100100011100
+10100100000000110101100100000001
+10100011111010010101100011100110
+10100011110011110101100011001011
+10100011101101010101100010101111
+10100011100110100101100010010100
+10100011100000000101100001111001
+10100011011001100101100001011110
+10100011010011000101100001000010
+10100011001100100101100000100111
+10100011000110000101100000001100
+10100010111111100101011111110000
+10100010111001010101011111010101
+10100010110010110101011110111010
+10100010101100010101011110011110
+10100010100101110101011110000011
+10100010011111010101011101100111
+10100010011001000101011101001100
+10100010010010100101011100110000
+10100010001100000101011100010100
+10100010000101110101011011111001
+10100001111111010101011011011101
+10100001111001000101011011000001
+10100001110010100101011010100110
+10100001101100000101011010001010
+10100001100101110101011001101110
+10100001011111100101011001010010
+10100001011001000101011000110110
+10100001010010110101011000011011
+10100001001100010101010111111111
+10100001000110000101010111100011
+10100000111111110101010111000111
+10100000111001100101010110101011
+10100000110011000101010110001111
+10100000101100110101010101110011
+10100000100110100101010101010111
+10100000100000010101010100111010
+10100000011010000101010100011110
+10100000010011110101010100000010
+10100000001101100101010011100110
+10100000000111010101010011001010
+10100000000001000101010010101101
+10011111111010110101010010010001
+10011111110100100101010001110101
+10011111101110010101010001011001
+10011111101000000101010000111100
+10011111100010000101010000100000
+10011111011011110101010000000011
+10011111010101100101001111100111
+10011111001111010101001111001010
+10011111001001010101001110101110
+10011111000011000101001110010001
+10011110111100110101001101110101
+10011110110110110101001101011000
+10011110110000100101001100111100
+10011110101010100101001100011111
+10011110100100010101001100000010
+10011110011110010101001011100110
+10011110011000010101001011001001
+10011110010010000101001010101100
+10011110001100000101001010001111
+10011110000110000101001001110010
+10011101111111110101001001010110
+10011101111001110101001000111001
+10011101110011110101001000011100
+10011101101101110101000111111111
+10011101100111110101000111100010
+10011101100001110101000111000101
+10011101011011100101000110101000
+10011101010101100101000110001011
+10011101001111100101000101101110
+10011101001001100101000101010001
+10011101000011110101000100110100
+10011100111101110101000100010110
+10011100110111110101000011111001
+10011100110001110101000011011100
+10011100101011110101000010111111
+10011100100101110101000010100001
+10011100100000000101000010000100
+10011100011010000101000001100111
+10011100010100000101000001001010
+10011100001110010101000000101100
+10011100001000010101000000001111
+10011100000010100100111111110001
+10011011111100100100111111010100
+10011011110110100100111110110110
+10011011110000110100111110011001
+10011011101011000100111101111011
+10011011100101000100111101011110
+10011011011111010100111101000000
+10011011011001100100111100100011
+10011011010011100100111100000101
+10011011001101110100111011100111
+10011011001000000100111011001010
+10011011000010010100111010101100
+10011010111100010100111010001110
+10011010110110100100111001110000
+10011010110000110100111001010011
+10011010101011000100111000110101
+10011010100101010100111000010111
+10011010011111100100110111111001
+10011010011001110100110111011011
+10011010010100000100110110111101
+10011010001110010100110110011111
+10011010001000110100110110000001
+10011010000011000100110101100011
+10011001111101010100110101000101
+10011001110111100100110100100111
+10011001110010000100110100001001
+10011001101100010100110011101011
+10011001100110100100110011001101
+10011001100001000100110010101111
+10011001011011010100110010010000
+10011001010101110100110001110010
+10011001010000000100110001010100
+10011001001010100100110000110110
+10011001000100110100110000010111
+10011000111111010100101111111001
+10011000111001100100101111011011
+10011000110100000100101110111100
+10011000101110100100101110011110
+10011000101001000100101101111111
+10011000100011010100101101100001
+10011000011101110100101101000010
+10011000011000010100101100100100
+10011000010010110100101100000101
+10011000001101010100101011100111
+10011000000111110100101011001000
+10011000000010010100101010101010
+10010111111100110100101010001011
+10010111110111010100101001101100
+10010111110001110100101001001110
+10010111101100010100101000101111
+10010111100110110100101000010000
+10010111100001010100100111110010
+10010111011100000100100111010011
+10010111010110100100100110110100
+10010111010001000100100110010101
+10010111001011110100100101110110
+10010111000110010100100101010111
+10010111000000110100100100111000
+10010110111011100100100100011010
+10010110110110000100100011111011
+10010110110000110100100011011100
+10010110101011100100100010111101
+10010110100110000100100010011110
+10010110100000110100100001111110
+10010110011011010100100001011111
+10010110010110000100100001000000
+10010110010000110100100000100001
+10010110001011100100100000000010
+10010110000110000100011111100011
+10010110000000110100011111000100
+10010101111011100100011110100100
+10010101110110010100011110000101
+10010101110001000100011101100110
+10010101101011110100011101000111
+10010101100110100100011100100111
+10010101100001010100011100001000
+10010101011100000100011011101001
+10010101010110110100011011001001
+10010101010001110100011010101010
+10010101001100100100011010001010
+10010101000111010100011001101011
+10010101000010000100011001001011
+10010100111101000100011000101100
+10010100110111110100011000001100
+10010100110010100100010111101101
+10010100101101100100010111001101
+10010100101000010100010110101110
+10010100100011010100010110001110
+10010100011110000100010101101110
+10010100011001000100010101001111
+10010100010011110100010100101111
+10010100001110110100010100001111
+10010100001001110100010011101111
+10010100000100100100010011010000
+10010011111111100100010010110000
+10010011111010100100010010010000
+10010011110101100100010001110000
+10010011110000100100010001010000
+10010011101011100100010000110000
+10010011100110100100010000010000
+10010011100001010100001111110001
+10010011011100010100001111010001
+10010011010111100100001110110001
+10010011010010100100001110010001
+10010011001101100100001101110001
+10010011001000100100001101010000
+10010011000011100100001100110000
+10010010111110100100001100010000
+10010010111001110100001011110000
+10010010110100110100001011010000
+10010010101111110100001010110000
+10010010101011000100001010010000
+10010010100110000100001001101111
+10010010100001000100001001001111
+10010010011100010100001000101111
+10010010010111010100001000001111
+10010010010010100100000111101110
+10010010001101110100000111001110
+10010010001000110100000110101110
+10010010000100000100000110001101
+10010001111111010100000101101101
+10010001111010010100000101001101
+10010001110101100100000100101100
+10010001110000110100000100001100
+10010001101100000100000011101011
+10010001100111010100000011001011
+10010001100010100100000010101010
+10010001011101110100000010001010
+10010001011001000100000001101001
+10010001010100010100000001001000
+10010001001111100100000000101000
+10010001001010110100000000000111
+10010001000110000011111111100110
+10010001000001010011111111000101
+10010000111100110011111110100100
+10010000111000000011111110000100
+10010000110011010011111101100011
+10010000101110110011111101000010
+10010000101010000011111100100001
+10010000100101010011111100000000
+10010000100000110011111011100000
+10010000011100000011111010111111
+10010000010111100011111010011110
+10010000010010110011111001111101
+10010000001110010011111001011100
+10010000001001110011111000111011
+10010000000101000011111000011010
+10010000000000100011110111111001
+10001111111100000011110111011000
+10001111110111100011110110110111
+10001111110011000011110110010110
+10001111101110010011110101110101
+10001111101001110011110101010100
+10001111100101010011110100110011
+10001111100000110011110100010010
+10001111011100010011110011110001
+10001111010111110011110011010000
+10001111010011010011110010101110
+10001111001111000011110010001101
+10001111001010100011110001101100
+10001111000110000011110001001011
+10001111000001100011110000101001
+10001110111101010011110000001000
+10001110111000110011101111100111
+10001110110100010011101111000110
+10001110110000000011101110100100
+10001110101011100011101110000011
+10001110100111010011101101100001
+10001110100010110011101101000000
+10001110011110100011101100011111
+10001110011010000011101011111101
+10001110010101110011101011011100
+10001110010001100011101010111010
+10001110001101000011101010011001
+10001110001000110011101001110111
+10001110000100100011101001010110
+10001110000000010011101000110100
+10001101111100000011101000010010
+10001101110111110011100111110001
+10001101110011100011100111001111
+10001101101111010011100110101110
+10001101101011000011100110001100
+10001101100110110011100101101010
+10001101100010100011100101001001
+10001101011110010011100100100111
+10001101011010000011100100000101
+10001101010101110011100011100011
+10001101010001110011100011000010
+10001101001101100011100010100000
+10001101001001010011100001111110
+10001101000101010011100001011100
+10001101000001000011100000111010
+10001100111101000011100000011000
+10001100111000110011011111110111
+10001100110100110011011111010101
+10001100110000100011011110110011
+10001100101100100011011110010001
+10001100101000010011011101101111
+10001100100100010011011101001101
+10001100100000010011011100101011
+10001100011100010011011100001001
+10001100011000000011011011100111
+10001100010100000011011011000101
+10001100010000000011011010100011
+10001100001100000011011010000000
+10001100001000000011011001011110
+10001100000100000011011000111100
+10001100000000000011011000011010
+10001011111100000011010111111000
+10001011111000000011010111010110
+10001011110100000011010110110011
+10001011110000010011010110010001
+10001011101100010011010101101111
+10001011101000010011010101001101
+10001011100100100011010100101010
+10001011100000100011010100001000
+10001011011100100011010011100110
+10001011011000110011010011000011
+10001011010100110011010010100001
+10001011010001000011010001111111
+10001011001101000011010001011100
+10001011001001010011010000111010
+10001011000101100011010000011000
+10001011000001100011001111110101
+10001010111101110011001111010011
+10001010111010000011001110110000
+10001010110110000011001110001110
+10001010110010010011001101101011
+10001010101110100011001101001001
+10001010101010110011001100100110
+10001010100111000011001100000100
+10001010100011010011001011100001
+10001010011111100011001010111110
+10001010011011110011001010011100
+10001010011000000011001001111001
+10001010010100010011001001010110
+10001010010000110011001000110100
+10001010001101000011001000010001
+10001010001001010011000111101110
+10001010000101100011000111001100
+10001010000010000011000110101001
+10001001111110010011000110000110
+10001001111010110011000101100011
+10001001110111000011000101000001
+10001001110011100011000100011110
+10001001101111110011000011111011
+10001001101100010011000011011000
+10001001101000100011000010110101
+10001001100101000011000010010010
+10001001100001100011000001110000
+10001001011110000011000001001101
+10001001011010010011000000101010
+10001001010110110011000000000111
+10001001010011010010111111100100
+10001001001111110010111111000001
+10001001001100010010111110011110
+10001001001000110010111101111011
+10001001000101010010111101011000
+10001001000001110010111100110101
+10001000111110010010111100010010
+10001000111010110010111011101111
+10001000110111010010111011001100
+10001000110100000010111010101001
+10001000110000100010111010000101
+10001000101101000010111001100010
+10001000101001110010111000111111
+10001000100110010010111000011100
+10001000100011000010110111111001
+10001000011111100010110111010110
+10001000011100010010110110110010
+10001000011000110010110110001111
+10001000010101100010110101101100
+10001000010010000010110101001001
+10001000001110110010110100100110
+10001000001011100010110100000010
+10001000001000000010110011011111
+10001000000100110010110010111100
+10001000000001100010110010011000
+10000111111110010010110001110101
+10000111111011000010110001010010
+10000111110111110010110000101110
+10000111110100100010110000001011
+10000111110001010010101111100111
+10000111101110000010101111000100
+10000111101010110010101110100001
+10000111100111100010101101111101
+10000111100100100010101101011010
+10000111100001010010101100110110
+10000111011110000010101100010011
+10000111011010110010101011101111
+10000111010111110010101011001100
+10000111010100100010101010101000
+10000111010001100010101010000101
+10000111001110010010101001100001
+10000111001011010010101000111101
+10000111001000000010101000011010
+10000111000101000010100111110110
+10000111000010000010100111010011
+10000110111110110010100110101111
+10000110111011110010100110001011
+10000110111000110010100101101000
+10000110110101110010100101000100
+10000110110010110010100100100000
+10000110101111100010100011111101
+10000110101100100010100011011001
+10000110101001100010100010110101
+10000110100110100010100010010001
+10000110100011100010100001101110
+10000110100000110010100001001010
+10000110011101110010100000100110
+10000110011010110010100000000010
+10000110010111110010011111011110
+10000110010100110010011110111011
+10000110010010000010011110010111
+10000110001111000010011101110011
+10000110001100010010011101001111
+10000110001001010010011100101011
+10000110000110010010011100000111
+10000110000011100010011011100011
+10000110000000110010011010111111
+10000101111101110010011010011100
+10000101111011000010011001111000
+10000101111000010010011001010100
+10000101110101010010011000110000
+10000101110010100010011000001100
+10000101101111110010010111101000
+10000101101101000010010111000100
+10000101101010010010010110100000
+10000101100111100010010101111100
+10000101100100110010010101011000
+10000101100010000010010100110011
+10000101011111010010010100001111
+10000101011100100010010011101011
+10000101011001110010010011000111
+10000101010111000010010010100011
+10000101010100010010010001111111
+10000101010001110010010001011011
+10000101001111000010010000110111
+10000101001100010010010000010011
+10000101001001110010001111101110
+10000101000111000010001111001010
+10000101000100100010001110100110
+10000101000001110010001110000010
+10000100111111010010001101011110
+10000100111100100010001100111001
+10000100111010000010001100010101
+10000100110111100010001011110001
+10000100110100110010001011001101
+10000100110010010010001010101000
+10000100101111110010001010000100
+10000100101101010010001001100000
+10000100101010110010001000111011
+10000100101000010010001000010111
+10000100100101110010000111110011
+10000100100011010010000111001110
+10000100100000110010000110101010
+10000100011110010010000110000110
+10000100011011110010000101100001
+10000100011001010010000100111101
+10000100010110110010000100011000
+10000100010100100010000011110100
+10000100010010000010000011010000
+10000100001111100010000010101011
+10000100001101010010000010000111
+10000100001010110010000001100010
+10000100001000100010000000111110
+10000100000110000010000000011001
+10000100000011110001111111110101
+10000100000001010001111111010000
+10000011111111000001111110101100
+10000011111100110001111110000111
+10000011111010010001111101100011
+10000011111000000001111100111110
+10000011110101110001111100011001
+10000011110011100001111011110101
+10000011110001010001111011010000
+10000011101111000001111010101100
+10000011101100110001111010000111
+10000011101010100001111001100011
+10000011101000010001111000111110
+10000011100110000001111000011001
+10000011100011110001110111110101
+10000011100001100001110111010000
+10000011011111010001110110101011
+10000011011101010001110110000111
+10000011011011000001110101100010
+10000011011000110001110100111101
+10000011010110110001110100011001
+10000011010100100001110011110100
+10000011010010100001110011001111
+10000011010000010001110010101010
+10000011001110010001110010000110
+10000011001100010001110001100001
+10000011001010000001110000111100
+10000011001000000001110000010111
+10000011000110000001101111110011
+10000011000011110001101111001110
+10000011000001110001101110101001
+10000010111111110001101110000100
+10000010111101110001101101011111
+10000010111011110001101100111010
+10000010111001110001101100010110
+10000010110111110001101011110001
+10000010110101110001101011001100
+10000010110011110001101010100111
+10000010110001110001101010000010
+10000010110000000001101001011101
+10000010101110000001101000111000
+10000010101100000001101000010100
+10000010101010010001100111101111
+10000010101000010001100111001010
+10000010100110010001100110100101
+10000010100100100001100110000000
+10000010100010100001100101011011
+10000010100000110001100100110110
+10000010011111000001100100010001
+10000010011101000001100011101100
+10000010011011010001100011000111
+10000010011001100001100010100010
+10000010010111100001100001111101
+10000010010101110001100001011000
+10000010010100000001100000110011
+10000010010010010001100000001110
+10000010010000100001011111101001
+10000010001110110001011111000100
+10000010001101000001011110011111
+10000010001011010001011101111010
+10000010001001100001011101010101
+10000010000111110001011100110000
+10000010000110000001011100001011
+10000010000100100001011011100110
+10000010000010110001011011000000
+10000010000001000001011010011011
+10000001111111100001011001110110
+10000001111101110001011001010001
+10000001111100000001011000101100
+10000001111010100001011000000111
+10000001111000110001010111100010
+10000001110111010001010110111101
+10000001110101110001010110010111
+10000001110100000001010101110010
+10000001110010100001010101001101
+10000001110001000001010100101000
+10000001101111010001010100000011
+10000001101101110001010011011110
+10000001101100010001010010111000
+10000001101010110001010010010011
+10000001101001010001010001101110
+10000001100111110001010001001001
+10000001100110010001010000100100
+10000001100100110001001111111110
+10000001100011010001001111011001
+10000001100010000001001110110100
+10000001100000100001001110001111
+10000001011111000001001101101001
+10000001011101100001001101000100
+10000001011100010001001100011111
+10000001011010110001001011111001
+10000001011001010001001011010100
+10000001011000000001001010101111
+10000001010110100001001010001010
+10000001010101010001001001100100
+10000001010100000001001000111111
+10000001010010100001001000011010
+10000001010001010001000111110100
+10000001010000000001000111001111
+10000001001110100001000110101010
+10000001001101010001000110000100
+10000001001100000001000101011111
+10000001001010110001000100111010
+10000001001001100001000100010100
+10000001001000010001000011101111
+10000001000111000001000011001010
+10000001000101110001000010100100
+10000001000100100001000001111111
+10000001000011010001000001011001
+10000001000010010001000000110100
+10000001000001000001000000001111
+10000000111111110000111111101001
+10000000111110110000111111000100
+10000000111101100000111110011110
+10000000111100010000111101111001
+10000000111011010000111101010100
+10000000111010000000111100101110
+10000000111001000000111100001001
+10000000110111110000111011100011
+10000000110110110000111010111110
+10000000110101110000111010011000
+10000000110100100000111001110011
+10000000110011100000111001001101
+10000000110010100000111000101000
+10000000110001100000111000000011
+10000000110000100000110111011101
+10000000101111100000110110111000
+10000000101110100000110110010010
+10000000101101100000110101101101
+10000000101100100000110101000111
+10000000101011100000110100100010
+10000000101010100000110011111100
+10000000101001100000110011010111
+10000000101000100000110010110001
+10000000100111110000110010001100
+10000000100110110000110001100110
+10000000100101110000110001000001
+10000000100101000000110000011011
+10000000100100000000101111110110
+10000000100011010000101111010000
+10000000100010010000101110101010
+10000000100001100000101110000101
+10000000100000110000101101011111
+10000000011111110000101100111010
+10000000011111000000101100010100
+10000000011110010000101011101111
+10000000011101100000101011001001
+10000000011100100000101010100100
+10000000011011110000101001111110
+10000000011011000000101001011000
+10000000011010010000101000110011
+10000000011001100000101000001101
+10000000011000110000100111101000
+10000000011000000000100111000010
+10000000010111100000100110011101
+10000000010110110000100101110111
+10000000010110000000100101010001
+10000000010101010000100100101100
+10000000010100110000100100000110
+10000000010100000000100011100001
+10000000010011010000100010111011
+10000000010010110000100010010101
+10000000010010000000100001110000
+10000000010001100000100001001010
+10000000010000110000100000100100
+10000000010000010000011111111111
+10000000001111110000011111011001
+10000000001111000000011110110100
+10000000001110100000011110001110
+10000000001110000000011101101000
+10000000001101100000011101000011
+10000000001101000000011100011101
+10000000001100100000011011110111
+10000000001100000000011011010010
+10000000001011100000011010101100
+10000000001011000000011010000111
+10000000001010100000011001100001
+10000000001010000000011000111011
+10000000001001100000011000010110
+10000000001001000000010111110000
+10000000001000110000010111001010
+10000000001000010000010110100101
+10000000000111110000010101111111
+10000000000111100000010101011001
+10000000000111000000010100110100
+10000000000110110000010100001110
+10000000000110010000010011101000
+10000000000110000000010011000011
+10000000000101100000010010011101
+10000000000101010000010001110111
+10000000000101000000010001010010
+10000000000100100000010000101100
+10000000000100010000010000000110
+10000000000100000000001111100001
+10000000000011110000001110111011
+10000000000011100000001110010101
+10000000000011010000001101101111
+10000000000011000000001101001010
+10000000000010110000001100100100
+10000000000010100000001011111110
+10000000000010010000001011011001
+10000000000010000000001010110011
+10000000000010000000001010001101
+10000000000001110000001001101000
+10000000000001100000001001000010
+10000000000001010000001000011100
+10000000000001010000000111110111
+10000000000001000000000111010001
+10000000000001000000000110101011
+10000000000000110000000110000110
+10000000000000110000000101100000
+10000000000000110000000100111010
+10000000000000100000000100010100
+10000000000000100000000011101111
+10000000000000100000000011001001
+10000000000000010000000010100011
+10000000000000010000000001111110
+10000000000000010000000001011000
+10000000000000010000000000110010
+10000000000000010000000000001101
+10000000000000001111111111100111
+10000000000000001111111111000001
+10000000000000001111111110011011
+10000000000000001111111101110110
+10000000000000001111111101010000
+10000000000000011111111100101010
+10000000000000011111111100000101
+10000000000000011111111011011111
+10000000000000101111111010111001
+10000000000000101111111010010100
+10000000000000101111111001101110
+10000000000000111111111001001000
+10000000000000111111111000100011
+10000000000001001111110111111101
+10000000000001011111110111010111
+10000000000001011111110110110001
+10000000000001101111110110001100
+10000000000001111111110101100110
+10000000000010001111110101000000
+10000000000010001111110100011011
+10000000000010011111110011110101
+10000000000010101111110011001111
+10000000000010111111110010101010
+10000000000011001111110010000100
+10000000000011011111110001011110
+10000000000011101111110000111001
+10000000000011111111110000010011
+10000000000100011111101111101101
+10000000000100101111101111001000
+10000000000100111111101110100010
+10000000000101001111101101111100
+10000000000101101111101101010111
+10000000000101111111101100110001
+10000000000110011111101100001011
+10000000000110101111101011100110
+10000000000111001111101011000000
+10000000000111011111101010011010
+10000000000111111111101001110101
+10000000001000001111101001001111
+10000000001000101111101000101001
+10000000001001001111101000000100
+10000000001001101111100111011110
+10000000001001111111100110111000
+10000000001010011111100110010011
+10000000001010111111100101101101
+10000000001011011111100101000111
+10000000001011111111100100100010
+10000000001100011111100011111100
+10000000001100111111100011010110
+10000000001101011111100010110001
+10000000001110001111100010001011
+10000000001110101111100001100101
+10000000001111001111100001000000
+10000000001111101111100000011010
+10000000010000011111011111110101
+10000000010000111111011111001111
+10000000010001101111011110101001
+10000000010010001111011110000100
+10000000010010111111011101011110
+10000000010011011111011100111001
+10000000010100001111011100010011
+10000000010100101111011011101101
+10000000010101011111011011001000
+10000000010110001111011010100010
+10000000010110111111011001111101
+10000000010111011111011001010111
+10000000011000001111011000110001
+10000000011000111111011000001100
+10000000011001101111010111100110
+10000000011010011111010111000001
+10000000011011001111010110011011
+10000000011011111111010101110101
+10000000011100101111010101010000
+10000000011101101111010100101010
+10000000011110011111010100000101
+10000000011111001111010011011111
+10000000011111111111010010111010
+10000000100000111111010010010100
+10000000100001101111010001101111
+10000000100010101111010001001001
+10000000100011011111010000100011
+10000000100100011111001111111110
+10000000100101001111001111011000
+10000000100110001111001110110011
+10000000100110111111001110001101
+10000000100111111111001101101000
+10000000101000111111001101000010
+10000000101001111111001100011101
+10000000101010101111001011110111
+10000000101011101111001011010010
+10000000101100101111001010101100
+10000000101101101111001010000111
+10000000101110101111001001100001
+10000000101111101111001000111100
+10000000110000101111001000010110
+10000000110001101111000111110001
+10000000110010101111000111001011
+10000000110011111111000110100110
+10000000110100111111000110000001
+10000000110101111111000101011011
+10000000110111001111000100110110
+10000000111000001111000100010000
+10000000111001001111000011101011
+10000000111010011111000011000101
+10000000111011011111000010100000
+10000000111100101111000001111011
+10000000111101101111000001010101
+10000000111110111111000000110000
+10000001000000001111000000001010
+10000001000001001110111111100101
+10000001000010011110111110111111
+10000001000011101110111110011010
+10000001000100111110111101110101
+10000001000110001110111101001111
+10000001000111011110111100101010
+10000001001000101110111100000101
+10000001001001111110111011011111
+10000001001011001110111010111010
+10000001001100011110111010010101
+10000001001101101110111001101111
+10000001001110111110111001001010
+10000001010000001110111000100101
+10000001010001101110110111111111
+10000001010010111110110111011010
+10000001010100001110110110110101
+10000001010101101110110110001111
+10000001010110111110110101101010
+10000001011000011110110101000101
+10000001011001101110110100011111
+10000001011011001110110011111010
+10000001011100101110110011010101
+10000001011101111110110010110000
+10000001011111011110110010001010
+10000001100000111110110001100101
+10000001100010001110110001000000
+10000001100011101110110000011011
+10000001100101001110101111110101
+10000001100110101110101111010000
+10000001101000001110101110101011
+10000001101001101110101110000110
+10000001101011001110101101100000
+10000001101100101110101100111011
+10000001101110001110101100010110
+10000001101111111110101011110001
+10000001110001011110101011001100
+10000001110010111110101010100110
+10000001110100011110101010000001
+10000001110110001110101001011100
+10000001110111101110101000110111
+10000001111001011110101000010010
+10000001111010111110100111101101
+10000001111100101110100111001000
+10000001111110001110100110100010
+10000001111111111110100101111101
+10000010000001011110100101011000
+10000010000011001110100100110011
+10000010000100111110100100001110
+10000010000110101110100011101001
+10000010001000001110100011000100
+10000010001001111110100010011111
+10000010001011101110100001111010
+10000010001101011110100001010101
+10000010001111001110100000110000
+10000010010000111110100000001011
+10000010010010101110011111100110
+10000010010100011110011111000001
+10000010010110011110011110011100
+10000010011000001110011101110111
+10000010011001111110011101010010
+10000010011011101110011100101101
+10000010011101101110011100001000
+10000010011111011110011011100011
+10000010100001001110011010111110
+10000010100011001110011010011001
+10000010100100111110011001110100
+10000010100110111110011001001111
+10000010101000101110011000101010
+10000010101010101110011000000101
+10000010101100101110010111100000
+10000010101110011110010110111011
+10000010110000011110010110010110
+10000010110010011110010101110001
+10000010110100011110010101001101
+10000010110110011110010100101000
+10000010111000011110010100000011
+10000010111010011110010011011110
+10000010111100011110010010111001
+10000010111110011110010010010100
+10000011000000011110010001110000
+10000011000010011110010001001011
+10000011000100011110010000100110
+10000011000110011110010000000001
+10000011001000101110001111011100
+10000011001010101110001110111000
+10000011001100101110001110010011
+10000011001110111110001101101110
+10000011010000111110001101001001
+10000011010011001110001100100101
+10000011010101001110001100000000
+10000011010111011110001011011011
+10000011011001011110001010110111
+10000011011011101110001010010010
+10000011011101111110001001101101
+10000011011111111110001001001000
+10000011100010001110001000100100
+10000011100100011110000111111111
+10000011100110101110000111011011
+10000011101000111110000110110110
+10000011101011001110000110010001
+10000011101101011110000101101101
+10000011101111101110000101001000
+10000011110001111110000100100011
+10000011110100001110000011111111
+10000011110110011110000011011010
+10000011111000101110000010110110
+10000011111010111110000010010001
+10000011111101011110000001101101
+10000011111111101110000001001000
+10000100000001111110000000100100
+10000100000100011101111111111111
+10000100000110101101111111011011
+10000100001001001101111110110110
+10000100001011011101111110010010
+10000100001101111101111101101101
+10000100010000001101111101001001
+10000100010010101101111100100100
+10000100010101001101111100000000
+10000100010111101101111011011011
+10000100011001111101111010110111
+10000100011100011101111010010011
+10000100011110111101111001101110
+10000100100001011101111001001010
+10000100100011111101111000100110
+10000100100110011101111000000001
+10000100101000111101110111011101
+10000100101011011101110110111001
+10000100101101111101110110010100
+10000100110000011101110101110000
+10000100110010111101110101001100
+10000100110101101101110100100111
+10000100111000001101110100000011
+10000100111010101101110011011111
+10000100111101011101110010111011
+10000100111111111101110010010110
+10000101000010101101110001110010
+10000101000101001101110001001110
+10000101000111111101110000101010
+10000101001010011101110000000110
+10000101001101001101101111100001
+10000101001111101101101110111101
+10000101010010011101101110011001
+10000101010101001101101101110101
+10000101010111111101101101010001
+10000101011010011101101100101101
+10000101011101001101101100001001
+10000101011111111101101011100101
+10000101100010101101101011000000
+10000101100101011101101010011100
+10000101101000001101101001111000
+10000101101010111101101001010100
+10000101101101101101101000110000
+10000101110000101101101000001100
+10000101110011011101100111101000
+10000101110110001101100111000100
+10000101111000111101100110100000
+10000101111011111101100101111100
+10000101111110101101100101011000
+10000110000001011101100100110101
+10000110000100011101100100010001
+10000110000111001101100011101101
+10000110001010001101100011001001
+10000110001100111101100010100101
+10000110001111111101100010000001
+10000110010010111101100001011101
+10000110010101101101100000111001
+10000110011000101101100000010110
+10000110011011101101011111110010
+10000110011110101101011111001110
+10000110100001101101011110101010
+10000110100100011101011110000110
+10000110100111011101011101100011
+10000110101010011101011100111111
+10000110101101011101011100011011
+10000110110000011101011011110111
+10000110110011101101011011010100
+10000110110110101101011010110000
+10000110111001101101011010001100
+10000110111100101101011001101001
+10000110111111101101011001000101
+10000111000010111101011000100001
+10000111000101111101010111111110
+10000111001000111101010111011010
+10000111001100001101010110110111
+10000111001111001101010110010011
+10000111010010011101010101110000
+10000111010101011101010101001100
+10000111011000101101010100101000
+10000111011011111101010100000101
+10000111011110111101010011100001
+10000111100010001101010010111110
+10000111100101011101010010011011
+10000111101000101101010001110111
+10000111101011101101010001010100
+10000111101110111101010000110000
+10000111110010001101010000001101
+10000111110101011101001111101001
+10000111111000101101001111000110
+10000111111011111101001110100011
+10000111111111001101001101111111
+10001000000010101101001101011100
+10001000000101111101001100111001
+10001000001001001101001100010101
+10001000001100011101001011110010
+10001000001111101101001011001111
+10001000010011001101001010101011
+10001000010110011101001010001000
+10001000011001111101001001100101
+10001000011101001101001001000010
+10001000100000101101001000011111
+10001000100011111101000111111011
+10001000100111011101000111011000
+10001000101010101101000110110101
+10001000101110001101000110010010
+10001000110001101101000101101111
+10001000110100111101000101001100
+10001000111000011101000100101001
+10001000111011111101000100000110
+10001000111111011101000011100010
+10001001000010111101000010111111
+10001001000110011101000010011100
+10001001001001111101000001111001
+10001001001101011101000001010110
+10001001010000111101000000110011
+10001001010100011101000000010000
+10001001010111111100111111101110
+10001001011011011100111111001011
+10001001011110111100111110101000
+10001001100010101100111110000101
+10001001100110001100111101100010
+10001001101001101100111100111111
+10001001101101011100111100011100
+10001001110000111100111011111001
+10001001110100011100111011010111
+10001001111000001100111010110100
+10001001111011101100111010010001
+10001001111111011100111001101110
+10001010000011001100111001001011
+10001010000110101100111000101001
+10001010001010011100111000000110
+10001010001110001100110111100011
+10001010010001111100110111000001
+10001010010101011100110110011110
+10001010011001001100110101111011
+10001010011100111100110101011001
+10001010100000101100110100110110
+10001010100100011100110100010100
+10001010101000001100110011110001
+10001010101011111100110011001110
+10001010101111101100110010101100
+10001010110011011100110010001001
+10001010110111011100110001100111
+10001010111011001100110001000100
+10001010111110111100110000100010
+10001011000010101100101111111111
+10001011000110101100101111011101
+10001011001010011100101110111011
+10001011001110001100101110011000
+10001011010010001100101101110110
+10001011010101111100101101010011
+10001011011001111100101100110001
+10001011011101101100101100001111
+10001011100001101100101011101100
+10001011100101101100101011001010
+10001011101001011100101010101000
+10001011101101011100101010000110
+10001011110001011100101001100011
+10001011110101011100101001000001
+10001011111001011100101000011111
+10001011111101001100100111111101
+10001100000001001100100111011011
+10001100000101001100100110111000
+10001100001001001100100110010110
+10001100001101001100100101110100
+10001100010001011100100101010010
+10001100010101011100100100110000
+10001100011001011100100100001110
+10001100011101011100100011101100
+10001100100001011100100011001010
+10001100100101101100100010101000
+10001100101001101100100010000110
+10001100101101101100100001100100
+10001100110001111100100001000010
+10001100110101111100100000100000
+10001100111010001100011111111110
+10001100111110001100011111011100
+10001101000010011100011110111010
+10001101000110011100011110011001
+10001101001010101100011101110111
+10001101001110101100011101010101
+10001101010010111100011100110011
+10001101010111001100011100010001
+10001101011011011100011011110000
+10001101011111101100011011001110
+10001101100011101100011010101100
+10001101100111111100011010001010
+10001101101100001100011001101001
+10001101110000011100011001000111
+10001101110100101100011000100110
+10001101111000111100011000000100
+10001101111101001100010111100010
+10001110000001101100010111000001
+10001110000101111100010110011111
+10001110001010001100010101111110
+10001110001110011100010101011100
+10001110010010101100010100111011
+10001110010111001100010100011001
+10001110011011011100010011111000
+10001110011111111100010011010110
+10001110100100001100010010110101
+10001110101000101100010010010011
+10001110101100111100010001110010
+10001110110001011100010001010001
+10001110110101101100010000101111
+10001110111010001100010000001110
+10001110111110101100001111101101
+10001111000010111100001111001011
+10001111000111011100001110101010
+10001111001011111100001110001001
+10001111010000011100001101101000
+10001111010100101100001101000111
+10001111011001001100001100100101
+10001111011101101100001100000100
+10001111100010001100001011100011
+10001111100110101100001011000010
+10001111101011001100001010100001
+10001111101111101100001010000000
+10001111110100011100001001011111
+10001111111000111100001000111110
+10001111111101011100001000011101
+10010000000001111100000111111100
+10010000000110011100000111011011
+10010000001011001100000110111010
+10010000001111101100000110011001
+10010000010100011100000101111000
+10010000011000111100000101010111
+10010000011101011100000100110110
+10010000100010001100000100010101
+10010000100110111100000011110101
+10010000101011011100000011010100
+10010000110000001100000010110011
+10010000110100101100000010010010
+10010000111001011100000001110010
+10010000111110001100000001010001
+10010001000010111100000000110000
+10010001000111011100000000010000
+10010001001100001011111111101110
+10010001010000111011111111001101
+10010001010101101011111110101101
+10010001011010011011111110001100
+10010001011111001011111101101100
+10010001100011111011111101001011
+10010001101000101011111100101010
+10010001101101011011111100001010
+10010001110010001011111011101001
+10010001110111001011111011001001
+10010001111011111011111010101001
+10010010000000101011111010001000
+10010010000101011011111001101000
+10010010001010011011111001000111
+10010010001111001011111000100111
+10010010010100001011111000000111
+10010010011000111011110111100111
+10010010011101101011110111000110
+10010010100010101011110110100110
+10010010100111101011110110000110
+10010010101100011011110101100110
+10010010110001011011110101000101
+10010010110110001011110100100101
+10010010111011001011110100000101
+10010011000000001011110011100101
+10010011000101001011110011000101
+10010011001010001011110010100101
+10010011001110111011110010000101
+10010011010011111011110001100101
+10010011011000111011110001000101
+10010011011101111011110000100101
+10010011100010111011110000000101
+10010011100111111011101111100101
+10010011101100111011101111000101
+10010011110001111011101110100101
+10010011110111001011101110000101
+10010011111100001011101101100101
+10010100000001001011101101000110
+10010100000110001011101100100110
+10010100001011001011101100000110
+10010100010000011011101011100110
+10010100010101011011101011000111
+10010100011010101011101010100111
+10010100011111101011101010000111
+10010100100100111011101001101000
+10010100101001111011101001001000
+10010100101111001011101000101000
+10010100110100001011101000001001
+10010100111001011011100111101001
+10010100111110011011100111001010
+10010101000011101011100110101010
+10010101001000111011100110001011
+10010101001110001011100101101011
+10010101010011001011100101001100
+10010101011000011011100100101100
+10010101011101101011100100001101
+10010101100010111011100011101110
+10010101101000001011100011001110
+10010101101101011011100010101111
+10010101110010101011100010010000
+10010101110111111011100001110000
+10010101111101001011100001010001
+10010110000010011011100000110010
+10010110000111101011100000010011
+10010110001101001011011111110100
+10010110010010011011011111010100
+10010110010111101011011110110101
+10010110011101001011011110010110
+10010110100010011011011101110111
+10010110100111101011011101011000
+10010110101101001011011100111001
+10010110110010011011011100011010
+10010110110111111011011011111011
+10010110111101001011011011011100
+10010111000010101011011010111101
+10010111000111111011011010011110
+10010111001101011011011001111111
+10010111010010111011011001100001
+10010111011000001011011001000010
+10010111011101101011011000100011
+10010111100011001011011000000100
+10010111101000101011010111100101
+10010111101101111011010111000111
+10010111110011011011010110101000
+10010111111000111011010110001001
+10010111111110011011010101101011
+10011000000011111011010101001100
+10011000001001011011010100101110
+10011000001110111011010100001111
+10011000010100011011010011110000
+10011000011001111011010011010010
+10011000011111101011010010110011
+10011000100101001011010010010101
+10011000101010101011010001110110
+10011000110000001011010001011000
+10011000110101111011010000111010
+10011000111011011011010000011011
+10011001000000111011001111111101
+10011001000110101011001111011111
+10011001001100001011001111000000
+10011001010001111011001110100010
+10011001010111011011001110000100
+10011001011101001011001101100110
+10011001100010101011001101000111
+10011001101000011011001100101001
+10011001101101111011001100001011
+10011001110011101011001011101101
+10011001111001011011001011001111
+10011001111111001011001010110001
+10011010000100101011001010010011
+10011010001010011011001001110101
+10011010010000001011001001010111
+10011010010101111011001000111001
+10011010011011101011001000011011
+10011010100001011011000111111101
+10011010100111001011000111011111
+10011010101100111011000111000001
+10011010110010101011000110100100
+10011010111000011011000110000110
+10011010111110001011000101101000
+10011011000011111011000101001010
+10011011001001111011000100101101
+10011011001111101011000100001111
+10011011010101011011000011110001
+10011011011011001011000011010100
+10011011100001001011000010110110
+10011011100110111011000010011000
+10011011101100101011000001111011
+10011011110010101011000001011101
+10011011111000011011000001000000
+10011011111110011011000000100010
+10011100000100001011000000000101
+10011100001010001010111111100111
+10011100010000001010111111001010
+10011100010101111010111110101101
+10011100011011111010111110001111
+10011100100001111010111101110010
+10011100100111101010111101010101
+10011100101101101010111100111000
+10011100110011101010111100011010
+10011100111001101010111011111101
+10011100111111101010111011100000
+10011101000101101010111011000011
+10011101001011011010111010100110
+10011101010001011010111010001001
+10011101010111011010111001101011
+10011101011101011010111001001110
+10011101100011101010111000110001
+10011101101001101010111000010100
+10011101101111101010110111111000
+10011101110101101010110111011011
+10011101111011101010110110111110
+10011110000001101010110110100001
+10011110000111111010110110000100
+10011110001101111010110101100111
+10011110010011111010110101001010
+10011110011010001010110100101110
+10011110100000001010110100010001
+10011110100110011010110011110100
+10011110101100011010110011011000
+10011110110010101010110010111011
+10011110111000101010110010011110
+10011110111110111010110010000010
+10011111000100111010110001100101
+10011111001011001010110001001001
+10011111010001011010110000101100
+10011111010111011010110000010000
+10011111011101101010101111110011
+10011111100011111010101111010111
+10011111101010001010101110111010
+10011111110000001010101110011110
+10011111110110011010101110000010
+10011111111100101010101101100101
+10100000000010111010101101001001
+10100000001001001010101100101101
+10100000001111011010101100010001
+10100000010101101010101011110100
+10100000011011111010101011011000
+10100000100010001010101010111100
+10100000101000011010101010100000
+10100000101110111010101010000100
+10100000110101001010101001101000
+10100000111011011010101001001100
+10100001000001101010101000110000
+10100001001000001010101000010100
+10100001001110011010100111111000
+10100001010100101010100111011100
+10100001011011001010100111000000
+10100001100001011010100110100100
+10100001100111101010100110001001
+10100001101110001010100101101101
+10100001110100011010100101010001
+10100001111010111010100100110101
+10100010000001011010100100011010
+10100010000111101010100011111110
+10100010001110001010100011100010
+10100010010100101010100011000111
+10100010011010111010100010101011
+10100010100001011010100010010000
+10100010100111111010100001110100
+10100010101110011010100001011001
+10100010110100101010100000111101
+10100010111011001010100000100010
+10100011000001101010100000000110
+10100011001000001010011111101011
+10100011001110101010011111010000
+10100011010101001010011110110100
+10100011011011101010011110011001
+10100011100010001010011101111110
+10100011101000101010011101100011
+10100011101111001010011101000111
+10100011110101101010011100101100
+10100011111100011010011100010001
+10100100000010111010011011110110
+10100100001001011010011011011011
+10100100001111111010011011000000
+10100100010110101010011010100101
+10100100011101001010011010001010
+10100100100011101010011001101111
+10100100101010011010011001010100
+10100100110000111010011000111001
+10100100110111101010011000011110
+10100100111110001010011000000100
+10100101000100111010010111101001
+10100101001011011010010111001110
+10100101010010001010010110110011
+10100101011000101010010110011001
+10100101011111011010010101111110
+10100101100110001010010101100011
+10100101101100101010010101001001
+10100101110011011010010100101110
+10100101111010001010010100010100
+10100110000000111010010011111001
+10100110000111011010010011011111
+10100110001110001010010011000100
+10100110010100111010010010101010
+10100110011011101010010010001111
+10100110100010011010010001110101
+10100110101001001010010001011011
+10100110101111111010010001000000
+10100110110110101010010000100110
+10100110111101011010010000001100
+10100111000100001010001111110010
+10100111001010111010001111010111
+10100111010001101010001110111101
+10100111011000101010001110100011
+10100111011111011010001110001001
+10100111100110001010001101101111
+10100111101100111010001101010101
+10100111110011111010001100111011
+10100111111010101010001100100001
+10101000000001011010001100000111
+10101000001000011010001011101101
+10101000001111001010001011010011
+10101000010110001010001010111010
+10101000011100111010001010100000
+10101000100011111010001010000110
+10101000101010101010001001101100
+10101000110001101010001001010011
+10101000111000011010001000111001
+10101000111111011010001000011111
+10101001000110011010001000000110
+10101001001101001010000111101100
+10101001010100001010000111010010
+10101001011011001010000110111001
+10101001100010001010000110011111
+10101001101000111010000110000110
+10101001101111111010000101101101
+10101001110110111010000101010011
+10101001111101111010000100111010
+10101010000100111010000100100001
+10101010001011111010000100000111
+10101010010010111010000011101110
+10101010011001111010000011010101
+10101010100000111010000010111100
+10101010100111111010000010100010
+10101010101110111010000010001001
+10101010110101111010000001110000
+10101010111100111010000001010111
+10101011000100001010000000111110
+10101011001011001010000000100101
+10101011010010001010000000001100
+10101011011001001001111111110011
+10101011100000011001111111011010
+10101011100111011001111111000001
+10101011101110011001111110101001
+10101011110101101001111110010000
+10101011111100101001111101110111
+10101100000011111001111101011110
+10101100001010111001111101000110
+10101100010010001001111100101101
+10101100011001001001111100010100
+10101100100000011001111011111100
+10101100100111011001111011100011
+10101100101110101001111011001011
+10101100110101111001111010110010
+10101100111100111001111010011010
+10101101000100001001111010000001
+10101101001011011001111001101001
+10101101010010011001111001010000
+10101101011001101001111000111000
+10101101100000111001111000100000
+10101101101000001001111000000111
+10101101101111011001110111101111
+10101101110110101001110111010111
+10101101111101111001110110111111
+10101110000100111001110110100111
+10101110001100001001110110001111
+10101110010011011001110101110110
+10101110011010101001110101011110
+10101110100010001001110101000110
+10101110101001011001110100101110
+10101110110000101001110100010111
+10101110110111111001110011111111
+10101110111111001001110011100111
+10101111000110011001110011001111
+10101111001101111001110010110111
+10101111010101001001110010011111
+10101111011100011001110010001000
+10101111100011101001110001110000
+10101111101011001001110001011000
+10101111110010011001110001000001
+10101111111001101001110000101001
+10110000000001001001110000010001
+10110000001000011001101111111010
+10110000001111111001101111100010
+10110000010111001001101111001011
+10110000011110101001101110110011
+10110000100101111001101110011100
+10110000101101011001101110000101
+10110000110100111001101101101101
+10110000111100001001101101010110
+10110001000011101001101100111111
+10110001001011001001101100101000
+10110001010010011001101100010000
+10110001011001111001101011111001
+10110001100001011001101011100010
+10110001101000111001101011001011
+10110001110000001001101010110100
+10110001110111101001101010011101
+10110001111111001001101010000110
+10110010000110101001101001101111
+10110010001110001001101001011000
+10110010010101101001101001000001
+10110010011101001001101000101010
+10110010100100101001101000010011
+10110010101100001001100111111101
+10110010110011101001100111100110
+10110010111011001001100111001111
+10110011000010101001100110111000
+10110011001010001001100110100010
+10110011010001101001100110001011
+10110011011001011001100101110101
+10110011100000111001100101011110
+10110011101000011001100101001000
+10110011101111111001100100110001
+10110011110111101001100100011011
+10110011111111001001100100000100
+10110100000110101001100011101110
+10110100001110011001100011011000
+10110100010101111001100011000001
+10110100011101011001100010101011
+10110100100101001001100010010101
+10110100101100101001100001111111
+10110100110100011001100001101000
+10110100111011111001100001010010
+10110101000011101001100000111100
+10110101001011011001100000100110
+10110101010010111001100000010000
+10110101011010101001011111111010
+10110101100010001001011111100100
+10110101101001111001011111001110
+10110101110001101001011110111000
+10110101111001001001011110100011
+10110110000000111001011110001101
+10110110001000101001011101110111
+10110110010000011001011101100001
+10110110011000001001011101001100
+10110110011111101001011100110110
+10110110100111011001011100100000
+10110110101111001001011100001011
+10110110110110111001011011110101
+10110110111110101001011011100000
+10110111000110011001011011001010
+10110111001110001001011010110101
+10110111010101111001011010011111
+10110111011101101001011010001010
+10110111100101011001011001110101
+10110111101101001001011001011111
+10110111110100111001011001001010
+10110111111100111001011000110101
+10111000000100101001011000011111
+10111000001100011001011000001010
+10111000010100001001010111110101
+10111000011011111001010111100000
+10111000100011111001010111001011
+10111000101011101001010110110110
+10111000110011011001010110100001
+10111000111011011001010110001100
+10111001000011001001010101110111
+10111001001010111001010101100010
+10111001010010111001010101001101
+10111001011010101001010100111001
+10111001100010101001010100100100
+10111001101010011001010100001111
+10111001110010011001010011111010
+10111001111010001001010011100110
+10111010000010001001010011010001
+10111010001001111001010010111101
+10111010010001111001010010101000
+10111010011001111001010010010100
+10111010100001101001010001111111
+10111010101001101001010001101011
+10111010110001101001010001010110
+10111010111001011001010001000010
+10111011000001011001010000101101
+10111011001001011001010000011001
+10111011010001011001010000000101
+10111011011001001001001111110001
+10111011100001001001001111011101
+10111011101001001001001111001000
+10111011110001001001001110110100
+10111011111001001001001110100000
+10111100000001001001001110001100
+10111100001001001001001101111000
+10111100010001001001001101100100
+10111100011001001001001101010000
+10111100100001001001001100111100
+10111100101001001001001100101001
+10111100110001001001001100010101
+10111100111001001001001100000001
+10111101000001001001001011101101
+10111101001001001001001011011001
+10111101010001001001001011000110
+10111101011001011001001010110010
+10111101100001011001001010011111
+10111101101001011001001010001011
+10111101110001011001001001110111
+10111101111001101001001001100100
+10111110000001101001001001010001
+10111110001001101001001000111101
+10111110010001101001001000101010
+10111110011001111001001000010110
+10111110100001111001001000000011
+10111110101010001001000111110000
+10111110110010001001000111011101
+10111110111010001001000111001001
+10111111000010011001000110110110
+10111111001010011001000110100011
+10111111010010101001000110010000
+10111111011010111001000101111101
+10111111100010111001000101101010
+10111111101011001001000101010111
+10111111110011001001000101000100
+10111111111011011001000100110001
+11000000000011111001000100011110
+11000000001011111001000100001100
+11000000010100001001000011111001
+11000000011100011001000011100110
+11000000100100011001000011010011
+11000000101100101001000011000001
+11000000110100111001000010101110
+11000000111101001001000010011100
+11000001000101001001000010001001
+11000001001101011001000001110110
+11000001010101101001000001100100
+11000001011101111001000001010010
+11000001100110001001000000111111
+11000001101110011001000000101101
+11000001110110101001000000011010
+11000001111110111001000000001000
+11000010000111001000111111110110
+11000010001111011000111111100100
+11000010010111101000111111010010
+11000010011111111000111110111111
+11000010101000001000111110101101
+11000010110000011000111110011011
+11000010111000101000111110001001
+11000011000000111000111101110111
+11000011001001001000111101100101
+11000011010001101000111101010011
+11000011011001111000111101000010
+11000011100010001000111100110000
+11000011101010011000111100011110
+11000011110010101000111100001100
+11000011111011001000111011111011
+11000100000011011000111011101001
+11000100001011101000111011010111
+11000100010100001000111011000110
+11000100011100011000111010110100
+11000100100100101000111010100011
+11000100101101001000111010010001
+11000100110101011000111010000000
+11000100111101111000111001101110
+11000101000110001000111001011101
+11000101001110101000111001001011
+11000101010110111000111000111010
+11000101011111011000111000101001
+11000101100111101000111000011000
+11000101110000001000111000000111
+11000101111000011000110111110101
+11000110000000111000110111100100
+11000110001001011000110111010011
+11000110010001101000110111000010
+11000110011010001000110110110001
+11000110100010011000110110100000
+11000110101010111000110110001111
+11000110110011011000110101111111
+11000110111011111000110101101110
+11000111000100001000110101011101
+11000111001100101000110101001100
+11000111010101001000110100111011
+11000111011101101000110100101011
+11000111100110001000110100011010
+11000111101110011000110100001010
+11000111110110111000110011111001
+11000111111111011000110011101001
+11001000000111111000110011011000
+11001000010000011000110011001000
+11001000011000111000110010110111
+11001000100001011000110010100111
+11001000101001111000110010010111
+11001000110010011000110010000110
+11001000111010111000110001110110
+11001001000011011000110001100110
+11001001001011111000110001010110
+11001001010100011000110001000110
+11001001011100111000110000110101
+11001001100101011000110000100101
+11001001101101111000110000010101
+11001001110110101000110000000101
+11001001111111001000101111110101
+11001010000111101000101111100110
+11001010010000001000101111010110
+11001010011000101000101111000110
+11001010100001011000101110110110
+11001010101001111000101110100110
+11001010110010011000101110010111
+11001010111010111000101110000111
+11001011000011101000101101110111
+11001011001100001000101101101000
+11001011010100101000101101011000
+11001011011101011000101101001001
+11001011100101111000101100111001
+11001011101110101000101100101010
+11001011110111001000101100011011
+11001011111111101000101100001011
+11001100001000011000101011111100
+11001100010000111000101011101101
+11001100011001101000101011011110
+11001100100010001000101011001110
+11001100101010111000101010111111
+11001100110011011000101010110000
+11001100111100001000101010100001
+11001101000100111000101010010010
+11001101001101011000101010000011
+11001101010110001000101001110100
+11001101011110101000101001100101
+11001101100111011000101001010110
+11001101110000001000101001001000
+11001101111000101000101000111001
+11001110000001011000101000101010
+11001110001010001000101000011011
+11001110010010101000101000001101
+11001110011011011000100111111110
+11001110100100001000100111101111
+11001110101100111000100111100001
+11001110110101101000100111010010
+11001110111110001000100111000100
+11001111000110111000100110110110
+11001111001111101000100110100111
+11001111011000011000100110011001
+11001111100001001000100110001011
+11001111101001111000100101111100
+11001111110010101000100101101110
+11001111111011011000100101100000
+11010000000011111000100101010010
+11010000001100101000100101000100
+11010000010101011000100100110110
+11010000011110001000100100101000
+11010000100110111000100100011010
+11010000101111101000100100001100
+11010000111000011000100011111110
+11010001000001011000100011110000
+11010001001010001000100011100010
+11010001010010111000100011010100
+11010001011011101000100011000111
+11010001100100011000100010111001
+11010001101101001000100010101011
+11010001110101111000100010011110
+11010001111110101000100010010000
+11010010000111101000100010000011
+11010010010000011000100001110101
+11010010011001001000100001101000
+11010010100001111000100001011010
+11010010101010101000100001001101
+11010010110011101000100000111111
+11010010111100011000100000110010
+11010011000101001000100000100101
+11010011001110001000100000011000
+11010011010110111000100000001011
+11010011011111101000011111111101
+11010011101000101000011111110000
+11010011110001011000011111100011
+11010011111010001000011111010110
+11010100000011001000011111001001
+11010100001011111000011110111100
+11010100010100111000011110101111
+11010100011101101000011110100011
+11010100100110101000011110010110
+11010100101111011000011110001001
+11010100111000001000011101111100
+11010101000001001000011101110000
+11010101001001111000011101100011
+11010101010010111000011101010110
+11010101011011111000011101001010
+11010101100100101000011100111101
+11010101101101101000011100110001
+11010101110110011000011100100100
+11010101111111011000011100011000
+11010110001000001000011100001100
+11010110010001001000011011111111
+11010110011010001000011011110011
+11010110100010111000011011100111
+11010110101011111000011011011011
+11010110110100111000011011001111
+11010110111101101000011011000010
+11010111000110101000011010110110
+11010111001111101000011010101010
+11010111011000101000011010011110
+11010111100001011000011010010010
+11010111101010011000011010000111
+11010111110011011000011001111011
+11010111111100011000011001101111
+11011000000101011000011001100011
+11011000001110001000011001010111
+11011000010111001000011001001100
+11011000100000001000011001000000
+11011000101001001000011000110100
+11011000110010001000011000101001
+11011000111011001000011000011101
+11011001000100001000011000010010
+11011001001101001000011000000110
+11011001010101111000010111111011
+11011001011110111000010111110000
+11011001100111111000010111100100
+11011001110000111000010111011001
+11011001111001111000010111001110
+11011010000010111000010111000011
+11011010001011111000010110110111
+11011010010100111000010110101100
+11011010011101111000010110100001
+11011010100110111000010110010110
+11011010101111111000010110001011
+11011010111001001000010110000000
+11011011000010001000010101110101
+11011011001011001000010101101010
+11011011010100001000010101100000
+11011011011101001000010101010101
+11011011100110001000010101001010
+11011011101111001000010100111111
+11011011111000001000010100110101
+11011100000001011000010100101010
+11011100001010011000010100100000
+11011100010011011000010100010101
+11011100011100011000010100001011
+11011100100101011000010100000000
+11011100101110101000010011110110
+11011100110111101000010011101011
+11011101000000101000010011100001
+11011101001001101000010011010111
+11011101010010111000010011001100
+11011101011011111000010011000010
+11011101100100111000010010111000
+11011101101110001000010010101110
+11011101110111001000010010100100
+11011110000000001000010010011010
+11011110001001011000010010010000
+11011110010010011000010010000110
+11011110011011011000010001111100
+11011110100100101000010001110010
+11011110101101101000010001101000
+11011110110110101000010001011111
+11011110111111111000010001010101
+11011111001000111000010001001011
+11011111010010001000010001000001
+11011111011011001000010000111000
+11011111100100011000010000101110
+11011111101101011000010000100101
+11011111110110101000010000011011
+11011111111111101000010000010010
+11100000001000111000010000001000
+11100000010001111000001111111111
+11100000011011001000001111110110
+11100000100100001000001111101100
+11100000101101011000001111100011
+11100000110110011000001111011010
+11100000111111101000001111010001
+11100001001000101000001111001000
+11100001010001111000001110111111
+11100001011011001000001110110110
+11100001100100001000001110101101
+11100001101101011000001110100100
+11100001110110101000001110011011
+11100001111111101000001110010010
+11100010001000111000001110001001
+11100010010001111000001110000000
+11100010011011001000001101111000
+11100010100100011000001101101111
+11100010101101101000001101100110
+11100010110110101000001101011110
+11100010111111111000001101010101
+11100011001001001000001101001101
+11100011010010001000001101000100
+11100011011011011000001100111100
+11100011100100101000001100110011
+11100011101101111000001100101011
+11100011110110111000001100100011
+11100100000000001000001100011010
+11100100001001011000001100010010
+11100100010010101000001100001010
+11100100011011111000001100000010
+11100100100100111000001011111010
+11100100101110001000001011110010
+11100100110111011000001011101010
+11100101000000101000001011100010
+11100101001001111000001011011010
+11100101010011001000001011010010
+11100101011100001000001011001010
+11100101100101011000001011000010
+11100101101110101000001010111010
+11100101110111111000001010110011
+11100110000001001000001010101011
+11100110001010011000001010100011
+11100110010011101000001010011100
+11100110011100111000001010010100
+11100110100110001000001010001101
+11100110101111011000001010000101
+11100110111000101000001001111110
+11100111000001111000001001110111
+11100111001011001000001001101111
+11100111010100011000001001101000
+11100111011101101000001001100001
+11100111100110111000001001011010
+11100111110000001000001001010010
+11100111111001011000001001001011
+11101000000010101000001001000100
+11101000001011111000001000111101
+11101000010101001000001000110110
+11101000011110011000001000101111
+11101000100111101000001000101000
+11101000110000111000001000100001
+11101000111010001000001000011011
+11101001000011011000001000010100
+11101001001100101000001000001101
+11101001010101111000001000000110
+11101001011111001000001000000000
+11101001101000011000000111111001
+11101001110001111000000111110011
+11101001111011001000000111101100
+11101010000100011000000111100110
+11101010001101101000000111011111
+11101010010110111000000111011001
+11101010100000001000000111010010
+11101010101001011000000111001100
+11101010110010111000000111000110
+11101010111100001000000111000000
+11101011000101011000000110111001
+11101011001110101000000110110011
+11101011010111111000000110101101
+11101011100001011000000110100111
+11101011101010101000000110100001
+11101011110011111000000110011011
+11101011111101001000000110010101
+11101100000110101000000110001111
+11101100001111111000000110001001
+11101100011001001000000110000100
+11101100100010011000000101111110
+11101100101011111000000101111000
+11101100110101001000000101110011
+11101100111110011000000101101101
+11101101000111101000000101100111
+11101101010001001000000101100010
+11101101011010011000000101011100
+11101101100011101000000101010111
+11101101101101001000000101010001
+11101101110110011000000101001100
+11101101111111101000000101000111
+11101110001001001000000101000001
+11101110010010011000000100111100
+11101110011011101000000100110111
+11101110100101001000000100110010
+11101110101110011000000100101101
+11101110110111101000000100101000
+11101111000001001000000100100011
+11101111001010011000000100011110
+11101111010011101000000100011001
+11101111011101001000000100010100
+11101111100110011000000100001111
+11101111101111101000000100001010
+11101111111001001000000100000101
+11110000000010011000000100000001
+11110000001011111000000011111100
+11110000010101001000000011110111
+11110000011110101000000011110011
+11110000100111111000000011101110
+11110000110001001000000011101010
+11110000111010101000000011100101
+11110001000011111000000011100001
+11110001001101011000000011011101
+11110001010110101000000011011000
+11110001100000001000000011010100
+11110001101001011000000011010000
+11110001110010101000000011001011
+11110001111100001000000011000111
+11110010000101011000000011000011
+11110010001110111000000010111111
+11110010011000001000000010111011
+11110010100001101000000010110111
+11110010101010111000000010110011
+11110010110100011000000010101111
+11110010111101101000000010101011
+11110011000111001000000010101000
+11110011010000011000000010100100
+11110011011001111000000010100000
+11110011100011001000000010011100
+11110011101100101000000010011001
+11110011110101111000000010010101
+11110011111111011000000010010010
+11110100001000101000000010001110
+11110100010010001000000010001011
+11110100011011101000000010000111
+11110100100100111000000010000100
+11110100101110011000000010000000
+11110100110111101000000001111101
+11110101000001001000000001111010
+11110101001010011000000001110111
+11110101010011111000000001110011
+11110101011101001000000001110000
+11110101100110101000000001101101
+11110101110000001000000001101010
+11110101111001011000000001100111
+11110110000010111000000001100100
+11110110001100001000000001100001
+11110110010101101000000001011110
+11110110011111001000000001011100
+11110110101000011000000001011001
+11110110110001111000000001010110
+11110110111011001000000001010011
+11110111000100101000000001010001
+11110111001110001000000001001110
+11110111010111011000000001001100
+11110111100000111000000001001001
+11110111101010001000000001000111
+11110111110011101000000001000100
+11110111111101001000000001000010
+11111000000110011000000000111111
+11111000001111111000000000111101
+11111000011001001000000000111011
+11111000100010101000000000111001
+11111000101100001000000000110110
+11111000110101011000000000110100
+11111000111110111000000000110010
+11111001001000011000000000110000
+11111001010001101000000000101110
+11111001011011001000000000101100
+11111001100100101000000000101010
+11111001101101111000000000101000
+11111001110111011000000000100111
+11111010000000111000000000100101
+11111010001010001000000000100011
+11111010010011101000000000100001
+11111010011101001000000000100000
+11111010100110011000000000011110
+11111010101111111000000000011101
+11111010111001011000000000011011
+11111011000010101000000000011010
+11111011001100001000000000011000
+11111011010101101000000000010111
+11111011011110111000000000010101
+11111011101000011000000000010100
+11111011110001111000000000010011
+11111011111011001000000000010010
+11111100000100101000000000010000
+11111100001110001000000000001111
+11111100010111011000000000001110
+11111100100000111000000000001101
+11111100101010011000000000001100
+11111100110011101000000000001011
+11111100111101001000000000001010
+11111101000110101000000000001001
+11111101001111111000000000001001
+11111101011001011000000000001000
+11111101100010111000000000000111
+11111101101100001000000000000110
+11111101110101101000000000000110
+11111101111111001000000000000101
+11111110001000101000000000000100
+11111110010001111000000000000100
+11111110011011011000000000000011
+11111110100100111000000000000011
+11111110101110001000000000000011
+11111110110111101000000000000010
+11111111000001001000000000000010
+11111111001010011000000000000010
+11111111010011111000000000000001
+11111111011101011000000000000001
+11111111100110101000000000000001
+11111111110000001000000000000001
+11111111111001101000000000000001
+00000000000011001000000000000001
+00000000001100011000000000000001
+00000000010101111000000000000001
+00000000011111011000000000000001
+00000000101000101000000000000001
+00000000110010001000000000000010
+00000000111011101000000000000010
+00000001000100111000000000000010
+00000001001110011000000000000011
+00000001010111111000000000000011
+00000001100001011000000000000011
+00000001101010101000000000000100
+00000001110100001000000000000100
+00000001111101101000000000000101
+00000010000110111000000000000101
+00000010010000011000000000000110
+00000010011001111000000000000111
+00000010100011001000000000001000
+00000010101100101000000000001000
+00000010110110001000000000001001
+00000010111111011000000000001010
+00000011001000111000000000001011
+00000011010010011000000000001100
+00000011011011101000000000001101
+00000011100101001000000000001110
+00000011101110101000000000001111
+00000011111000001000000000010000
+00000100000001011000000000010001
+00000100001010111000000000010010
+00000100010100011000000000010100
+00000100011101101000000000010101
+00000100100111001000000000010110
+00000100110000101000000000011000
+00000100111001111000000000011001
+00000101000011011000000000011011
+00000101001100111000000000011100
+00000101010110001000000000011110
+00000101011111101000000000011111
+00000101101001001000000000100001
+00000101110010011000000000100011
+00000101111011111000000000100100
+00000110000101011000000000100110
+00000110001110101000000000101000
+00000110011000001000000000101010
+00000110100001101000000000101100
+00000110101010111000000000101110
+00000110110100011000000000110000
+00000110111101101000000000110010
+00000111000111001000000000110100
+00000111010000101000000000110110
+00000111011001111000000000111000
+00000111100011011000000000111010
+00000111101100111000000000111100
+00000111110110001000000000111111
+00000111111111101000000001000001
+00001000001000111000000001000011
+00001000010010011000000001000110
+00001000011011111000000001001000
+00001000100101001000000001001011
+00001000101110101000000001001101
+00001000111000001000000001010000
+00001001000001011000000001010011
+00001001001010111000000001010101
+00001001010100001000000001011000
+00001001011101101000000001011011
+00001001100111001000000001011110
+00001001110000011000000001100000
+00001001111001111000000001100011
+00001010000011001000000001100110
+00001010001100101000000001101001
+00001010010101111000000001101100
+00001010011111011000000001101111
+00001010101000111000000001110010
+00001010110010001000000001110110
+00001010111011101000000001111001
+00001011000100111000000001111100
+00001011001110011000000001111111
+00001011010111101000000010000011
+00001011100001001000000010000110
+00001011101010011000000010001001
+00001011110011111000000010001101
+00001011111101011000000010010000
+00001100000110101000000010010100
+00001100010000001000000010010111
+00001100011001011000000010011011
+00001100100010111000000010011111
+00001100101100001000000010100010
+00001100110101101000000010100110
+00001100111110111000000010101010
+00001101001000011000000010101110
+00001101010001101000000010110010
+00001101011011001000000010110110
+00001101100100011000000010111010
+00001101101101111000000010111110
+00001101110111001000000011000010
+00001110000000101000000011000110
+00001110001001111000000011001010
+00001110010011001000000011001110
+00001110011100101000000011010010
+00001110100101111000000011010111
+00001110101111011000000011011011
+00001110111000101000000011011111
+00001111000010001000000011100100
+00001111001011011000000011101000
+00001111010100111000000011101101
+00001111011110001000000011110001
+00001111100111011000000011110110
+00001111110000111000000011111011
+00001111111010001000000011111111
+00010000000011101000000100000100
+00010000001100111000000100001001
+00010000010110001000000100001101
+00010000011111101000000100010010
+00010000101000111000000100010111
+00010000110010011000000100011100
+00010000111011101000000100100001
+00010001000100111000000100100110
+00010001001110011000000100101011
+00010001010111101000000100110000
+00010001100000111000000100110101
+00010001101010011000000100111010
+00010001110011101000000101000000
+00010001111100111000000101000101
+00010010000110011000000101001010
+00010010001111101000000101010000
+00010010011000111000000101010101
+00010010100010011000000101011010
+00010010101011101000000101100000
+00010010110100111000000101100101
+00010010111110001000000101101011
+00010011000111101000000101110001
+00010011010000111000000101110110
+00010011011010001000000101111100
+00010011100011101000000110000010
+00010011101100111000000110001000
+00010011110110001000000110001101
+00010011111111011000000110010011
+00010100001000111000000110011001
+00010100010010001000000110011111
+00010100011011011000000110100101
+00010100100100101000000110101011
+00010100101101111000000110110001
+00010100110111011000000110110111
+00010101000000101000000110111101
+00010101001001111000000111000100
+00010101010011001000000111001010
+00010101011100011000000111010000
+00010101100101101000000111010111
+00010101101111001000000111011101
+00010101111000011000000111100011
+00010110000001101000000111101010
+00010110001010111000000111110000
+00010110010100001000000111110111
+00010110011101011000000111111110
+00010110100110101000001000000100
+00010110101111111000001000001011
+00010110111001011000001000010010
+00010111000010101000001000011000
+00010111001011111000001000011111
+00010111010101001000001000100110
+00010111011110011000001000101101
+00010111100111101000001000110100
+00010111110000111000001000111011
+00010111111010001000001001000010
+00011000000011011000001001001001
+00011000001100101000001001010000
+00011000010101111000001001010111
+00011000011111001000001001011110
+00011000101000011000001001100110
+00011000110001101000001001101101
+00011000111010111000001001110100
+00011001000100001000001001111100
+00011001001101011000001010000011
+00011001010110101000001010001010
+00011001011111111000001010010010
+00011001101001001000001010011001
+00011001110010011000001010100001
+00011001111011101000001010101001
+00011010000100111000001010110000
+00011010001101111000001010111000
+00011010010111001000001011000000
+00011010100000011000001011000111
+00011010101001101000001011001111
+00011010110010111000001011010111
+00011010111100001000001011011111
+00011011000101011000001011100111
+00011011001110011000001011101111
+00011011010111101000001011110111
+00011011100000111000001011111111
+00011011101010001000001100000111
+00011011110011011000001100001111
+00011011111100101000001100011000
+00011100000101101000001100100000
+00011100001110111000001100101000
+00011100011000001000001100110001
+00011100100001011000001100111001
+00011100101010011000001101000001
+00011100110011101000001101001010
+00011100111100111000001101010010
+00011101000110001000001101011011
+00011101001111001000001101100011
+00011101011000011000001101101100
+00011101100001101000001101110101
+00011101101010101000001101111101
+00011101110011111000001110000110
+00011101111101001000001110001111
+00011110000110001000001110011000
+00011110001111011000001110100001
+00011110011000101000001110101010
+00011110100001101000001110110011
+00011110101010111000001110111100
+00011110110011111000001111000101
+00011110111101001000001111001110
+00011111000110001000001111010111
+00011111001111011000001111100000
+00011111011000101000001111101001
+00011111100001101000001111110011
+00011111101010111000001111111100
+00011111110011111000010000000101
+00011111111101001000010000001111
+00100000000110001000010000011000
+00100000001111011000010000100010
+00100000011000011000010000101011
+00100000100001101000010000110101
+00100000101010101000010000111110
+00100000110011111000010001001000
+00100000111100111000010001010010
+00100001000101111000010001011011
+00100001001111001000010001100101
+00100001011000001000010001101111
+00100001100001011000010001111001
+00100001101010011000010010000011
+00100001110011011000010010001101
+00100001111100101000010010010111
+00100010000101101000010010100001
+00100010001110101000010010101011
+00100010010111111000010010110101
+00100010100000111000010010111111
+00100010101001111000010011001001
+00100010110011001000010011010011
+00100010111100001000010011011110
+00100011000101001000010011101000
+00100011001110001000010011110010
+00100011010111011000010011111101
+00100011100000011000010100000111
+00100011101001011000010100010010
+00100011110010011000010100011100
+00100011111011011000010100100111
+00100100000100101000010100110001
+00100100001101101000010100111100
+00100100010110101000010101000111
+00100100011111101000010101010001
+00100100101000101000010101011100
+00100100110001101000010101100111
+00100100111010101000010101110010
+00100101000011101000010101111101
+00100101001100101000010110001000
+00100101010101111000010110010011
+00100101011110111000010110011110
+00100101100111111000010110101001
+00100101110000111000010110110100
+00100101111001111000010110111111
+00100110000010111000010111001010
+00100110001011111000010111010101
+00100110010100111000010111100001
+00100110011101111000010111101100
+00100110100110111000010111110111
+00100110101111101000011000000011
+00100110111000101000011000001110
+00100111000001101000011000011001
+00100111001010101000011000100101
+00100111010011101000011000110001
+00100111011100101000011000111100
+00100111100101101000011001001000
+00100111101110101000011001010011
+00100111110111011000011001011111
+00101000000000011000011001101011
+00101000001001011000011001110111
+00101000010010011000011010000011
+00101000011011011000011010001110
+00101000100100001000011010011010
+00101000101101001000011010100110
+00101000110110001000011010110010
+00101000111111001000011010111110
+00101001000111111000011011001011
+00101001010000111000011011010111
+00101001011001111000011011100011
+00101001100010101000011011101111
+00101001101011101000011011111011
+00101001110100101000011100001000
+00101001111101011000011100010100
+00101010000110011000011100100000
+00101010001111001000011100101101
+00101010011000001000011100111001
+00101010100001001000011101000110
+00101010101001111000011101010010
+00101010110010111000011101011111
+00101010111011101000011101101011
+00101011000100101000011101111000
+00101011001101011000011110000101
+00101011010110011000011110010010
+00101011011111001000011110011110
+00101011101000001000011110101011
+00101011110000111000011110111000
+00101011111001101000011111000101
+00101100000010101000011111010010
+00101100001011011000011111011111
+00101100010100011000011111101100
+00101100011101001000011111111001
+00101100100101111000100000000110
+00101100101110111000100000010011
+00101100110111101000100000100000
+00101101000000011000100000101110
+00101101001001011000100000111011
+00101101010010001000100001001000
+00101101011010111000100001010110
+00101101100011101000100001100011
+00101101101100011000100001110001
+00101101110101011000100001111110
+00101101111110001000100010001100
+00101110000110111000100010011001
+00101110001111101000100010100111
+00101110011000011000100010110100
+00101110100001001000100011000010
+00101110101010001000100011010000
+00101110110010111000100011011101
+00101110111011101000100011101011
+00101111000100011000100011111001
+00101111001101001000100100000111
+00101111010101111000100100010101
+00101111011110101000100100100011
+00101111100111011000100100110001
+00101111110000001000100100111111
+00101111111000111000100101001101
+00110000000001101000100101011011
+00110000001010011000100101101001
+00110000010011001000100101111000
+00110000011011111000100110000110
+00110000100100011000100110010100
+00110000101101001000100110100010
+00110000110101111000100110110001
+00110000111110101000100110111111
+00110001000111011000100111001110
+00110001010000001000100111011100
+00110001011000101000100111101011
+00110001100001011000100111111001
+00110001101010001000101000001000
+00110001110010111000101000010110
+00110001111011011000101000100101
+00110010000100001000101000110100
+00110010001100111000101001000011
+00110010010101011000101001010001
+00110010011110001000101001100000
+00110010100110111000101001101111
+00110010101111011000101001111110
+00110010111000001000101010001101
+00110011000000111000101010011100
+00110011001001011000101010101011
+00110011010010001000101010111010
+00110011011010101000101011001001
+00110011100011011000101011011000
+00110011101011111000101011101000
+00110011110100101000101011110111
+00110011111101001000101100000110
+00110100000101111000101100010110
+00110100001110011000101100100101
+00110100010110111000101100110100
+00110100011111101000101101000100
+00110100101000001000101101010011
+00110100110000101000101101100011
+00110100111001011000101101110010
+00110101000001111000101110000010
+00110101001010011000101110010010
+00110101010011001000101110100001
+00110101011011101000101110110001
+00110101100100001000101111000001
+00110101101100101000101111010000
+00110101110101011000101111100000
+00110101111101111000101111110000
+00110110000110011000110000000000
+00110110001110111000110000010000
+00110110010111011000110000100000
+00110110011111111000110000110000
+00110110101000101000110001000000
+00110110110001001000110001010000
+00110110111001101000110001100000
+00110111000010001000110001110001
+00110111001010101000110010000001
+00110111010011001000110010010001
+00110111011011101000110010100001
+00110111100100001000110010110010
+00110111101100101000110011000010
+00110111110101001000110011010011
+00110111111101101000110011100011
+00111000000101111000110011110100
+00111000001110011000110100000100
+00111000010110111000110100010101
+00111000011111011000110100100101
+00111000100111111000110100110110
+00111000110000011000110101000111
+00111000111000101000110101010111
+00111001000001001000110101101000
+00111001001001101000110101111001
+00111001010010001000110110001010
+00111001011010011000110110011011
+00111001100010111000110110101100
+00111001101011011000110110111101
+00111001110011101000110111001110
+00111001111100001000110111011111
+00111010000100011000110111110000
+00111010001100111000111000000001
+00111010010101011000111000010010
+00111010011101101000111000100011
+00111010100110001000111000110100
+00111010101110011000111001000110
+00111010110110111000111001010111
+00111010111111001000111001101000
+00111011000111101000111001111010
+00111011001111111000111010001011
+00111011011000001000111010011101
+00111011100000101000111010101110
+00111011101000111000111011000000
+00111011110001011000111011010001
+00111011111001101000111011100011
+00111100000001111000111011110101
+00111100001010001000111100000110
+00111100010010101000111100011000
+00111100011010111000111100101010
+00111100100011001000111100111100
+00111100101011011000111101001101
+00111100110011111000111101011111
+00111100111100001000111101110001
+00111101000100011000111110000011
+00111101001100101000111110010101
+00111101010100111000111110100111
+00111101011101001000111110111001
+00111101100101011000111111001100
+00111101101101101000111111011110
+00111101110101111000111111110000
+00111101111110001001000000000010
+00111110000110011001000000010100
+00111110001110101001000000100111
+00111110010110111001000000111001
+00111110011111001001000001001011
+00111110100111011001000001011110
+00111110101111101001000001110000
+00111110110111111001000010000011
+00111110111111111001000010010101
+00111111001000001001000010101000
+00111111010000011001000010111011
+00111111011000101001000011001101
+00111111100000111001000011100000
+00111111101000111001000011110011
+00111111110001001001000100000101
+00111111111001011001000100011000
+01000000000001101001000100101011
+01000000001001111001000100111110
+01000000010001111001000101010001
+01000000011010001001000101100100
+01000000100010011001000101110111
+01000000101010011001000110001010
+01000000110010101001000110011101
+01000000111010101001000110110000
+01000001000010111001000111000011
+01000001001010111001000111010110
+01000001010011001001000111101001
+01000001011011001001000111111101
+01000001100011001001001000010000
+01000001101011011001001000100011
+01000001110011011001001000110111
+01000001111011011001001001001010
+01000010000011101001001001011101
+01000010001011101001001001110001
+01000010010011101001001010000100
+01000010011011101001001010011000
+01000010100011111001001010101100
+01000010101011111001001010111111
+01000010110011111001001011010011
+01000010111011111001001011100111
+01000011000011111001001011111010
+01000011001011111001001100001110
+01000011010011111001001100100010
+01000011011100001001001100110110
+01000011100100001001001101001010
+01000011101100001001001101011110
+01000011110100001001001101110001
+01000011111100001001001110000101
+01000100000011111001001110011010
+01000100001011111001001110101110
+01000100010011111001001111000010
+01000100011011111001001111010110
+01000100100011111001001111101010
+01000100101011111001001111111110
+01000100110011111001010000010010
+01000100111011101001010000100111
+01000101000011101001010000111011
+01000101001011101001010001001111
+01000101010011101001010001100100
+01000101011011011001010001111000
+01000101100011011001010010001101
+01000101101011011001010010100001
+01000101110011001001010010110110
+01000101111011001001010011001010
+01000110000010111001010011011111
+01000110001010111001010011110100
+01000110010010101001010100001000
+01000110011010101001010100011101
+01000110100010011001010100110010
+01000110101010011001010101000111
+01000110110010001001010101011011
+01000110111010001001010101110000
+01000111000001111001010110000101
+01000111001001101001010110011010
+01000111010001101001010110101111
+01000111011001011001010111000100
+01000111100001001001010111011001
+01000111101000111001010111101110
+01000111110000111001011000000011
+01000111111000101001011000011000
+01001000000000011001011000101110
+01001000001000001001011001000011
+01001000001111111001011001011000
+01001000010111101001011001101101
+01001000011111011001011010000011
+01001000100111011001011010011000
+01001000101111001001011010101110
+01001000110110111001011011000011
+01001000111110101001011011011000
+01001001000110011001011011101110
+01001001001101111001011100000011
+01001001010101101001011100011001
+01001001011101011001011100101111
+01001001100101001001011101000100
+01001001101100111001011101011010
+01001001110100101001011101110000
+01001001111100011001011110000101
+01001010000011111001011110011011
+01001010001011101001011110110001
+01001010010011011001011111000111
+01001010011010111001011111011101
+01001010100010101001011111110011
+01001010101010011001100000001001
+01001010110001111001100000011111
+01001010111001101001100000110101
+01001011000001001001100001001011
+01001011001000111001100001100001
+01001011010000011001100001110111
+01001011011000001001100010001101
+01001011011111101001100010100100
+01001011100111011001100010111010
+01001011101110111001100011010000
+01001011110110101001100011100110
+01001011111110001001100011111101
+01001100000101101001100100010011
+01001100001101011001100100101010
+01001100010100111001100101000000
+01001100011100011001100101010111
+01001100100011111001100101101101
+01001100101011101001100110000100
+01001100110011001001100110011010
+01001100111010101001100110110001
+01001101000010001001100111001000
+01001101001001101001100111011110
+01001101010001001001100111110101
+01001101011000101001101000001100
+01001101100000001001101000100011
+01001101100111101001101000111001
+01001101101111001001101001010000
+01001101110110101001101001100111
+01001101111110001001101001111110
+01001110000101101001101010010101
+01001110001101001001101010101100
+01001110010100101001101011000011
+01001110011011111001101011011010
+01001110100011011001101011110001
+01001110101010111001101100001001
+01001110110010011001101100100000
+01001110111001101001101100110111
+01001111000001001001101101001110
+01001111001000101001101101100110
+01001111001111111001101101111101
+01001111010111011001101110010100
+01001111011110101001101110101100
+01001111100110001001101111000011
+01001111101101011001101111011010
+01001111110100111001101111110010
+01001111111100001001110000001010
+01010000000011101001110000100001
+01010000001010111001110000111001
+01010000010010011001110001010000
+01010000011001101001110001101000
+01010000100000111001110010000000
+01010000101000001001110010010111
+01010000101111101001110010101111
+01010000110110111001110011000111
+01010000111110001001110011011111
+01010001000101011001110011110111
+01010001001100111001110100001111
+01010001010100001001110100100110
+01010001011011011001110100111110
+01010001100010101001110101010110
+01010001101001111001110101101110
+01010001110001001001110110000111
+01010001111000011001110110011111
+01010001111111101001110110110111
+01010010000110111001110111001111
+01010010001110001001110111100111
+01010010010101011001110111111111
+01010010011100011001111000011000
+01010010100011101001111000110000
+01010010101010111001111001001000
+01010010110010001001111001100001
+01010010111001011001111001111001
+01010011000000011001111010010001
+01010011000111101001111010101010
+01010011001110111001111011000010
+01010011010101111001111011011011
+01010011011101001001111011110011
+01010011100100001001111100001100
+01010011101011011001111100100101
+01010011110010011001111100111101
+01010011111001101001111101010110
+01010100000000101001111101101111
+01010100000111111001111110001000
+01010100001110111001111110100000
+01010100010110001001111110111001
+01010100011101001001111111010010
+01010100100100001001111111101011
+01010100101011001010000000000100
+01010100110010011010000000011101
+01010100111001011010000000110110
+01010101000000011010000001001111
+01010101000111011010000001101000
+01010101001110011010000010000001
+01010101010101101010000010011010
+01010101011100101010000010110011
+01010101100011101010000011001100
+01010101101010101010000011100110
+01010101110001101010000011111111
+01010101111000101010000100011000
+01010101111111101010000100110001
+01010110000110101010000101001011
+01010110001101011010000101100100
+01010110010100011010000101111110
+01010110011011011010000110010111
+01010110100010011010000110110000
+01010110101001011010000111001010
+01010110110000001010000111100100
+01010110110111001010000111111101
+01010110111110001010001000010111
+01010111000100111010001000110000
+01010111001011111010001001001010
+01010111010010111010001001100100
+01010111011001101010001001111101
+01010111100000101010001010010111
+01010111100111011010001010110001
+01010111101110011010001011001011
+01010111110101001010001011100101
+01010111111011111010001011111110
+01011000000010111010001100011000
+01011000001001101010001100110010
+01011000010000011010001101001100
+01011000010111011010001101100110
+01011000011110001010001110000000
+01011000100100111010001110011010
+01011000101011101010001110110101
+01011000110010101010001111001111
+01011000111001011010001111101001
+01011001000000001010010000000011
+01011001000110111010010000011101
+01011001001101101010010000111000
+01011001010100011010010001010010
+01011001011011001010010001101100
+01011001100001111010010010000110
+01011001101000101010010010100001
+01011001101111011010010010111011
+01011001110110001010010011010110
+01011001111100101010010011110000
+01011010000011011010010100001011
+01011010001010001010010100100101
+01011010010000111010010101000000
+01011010010111011010010101011010
+01011010011110001010010101110101
+01011010100100111010010110010000
+01011010101011011010010110101010
+01011010110010001010010111000101
+01011010111000111010010111100000
+01011010111111011010010111111011
+01011011000110001010011000010101
+01011011001100101010011000110000
+01011011010011011010011001001011
+01011011011001111010011001100110
+01011011100000011010011010000001
+01011011100111001010011010011100
+01011011101101101010011010110111
+01011011110100001010011011010010
+01011011111010101010011011101101
+01011100000001011010011100001000
+01011100000111111010011100100011
+01011100001110011010011100111110
+01011100010100111010011101011010
+01011100011011011010011101110101
+01011100100001111010011110010000
+01011100101000011010011110101011
+01011100101110111010011111000111
+01011100110101011010011111100010
+01011100111011111010011111111101
+01011101000010011010100000011001
+01011101001000111010100000110100
+01011101001111011010100001010000
+01011101010101111010100001101011
+01011101011100001010100010000111
+01011101100010101010100010100010
+01011101101001001010100010111110
+01011101101111101010100011011001
+01011101110101111010100011110101
+01011101111100011010100100010000
+01011110000010101010100100101100
+01011110001001001010100101001000
+01011110001111101010100101100100
+01011110010101111010100101111111
+01011110011100001010100110011011
+01011110100010101010100110110111
+01011110101000111010100111010011
+01011110101111011010100111101111
+01011110110101101010101000001011
+01011110111011111010101000100111
+01011111000010011010101001000011
+01011111001000101010101001011111
+01011111001110111010101001111011
+01011111010101001010101010010111
+01011111011011011010101010110011
+01011111100001101010101011001111
+01011111100111111010101011101011
+01011111101110011010101100000111
+01011111110100101010101100100011
+01011111111010111010101101000000
+01100000000000111010101101011100
+01100000000111001010101101111000
+01100000001101011010101110010101
+01100000010011101010101110110001
+01100000011001111010101111001101
+01100000100000001010101111101010
+01100000100110001010110000000110
+01100000101100011010110000100011
+01100000110010101010110000111111
+01100000111000101010110001011100
+01100000111110111010110001111000
+01100001000101001010110010010101
+01100001001011001010110010110001
+01100001010001011010110011001110
+01100001010111011010110011101011
+01100001011101101010110100000111
+01100001100011101010110100100100
+01100001101001111010110101000001
+01100001101111111010110101011110
+01100001110101111010110101111010
+01100001111011111010110110010111
+01100010000010001010110110110100
+01100010001000001010110111010001
+01100010001110001010110111101110
+01100010010100001010111000001011
+01100010011010001010111000101000
+01100010100000001010111001000101
+01100010100110011010111001100010
+01100010101100011010111001111111
+01100010110010011010111010011100
+01100010111000011010111010111001
+01100010111110001010111011010110
+01100011000100001010111011110011
+01100011001010001010111100010001
+01100011010000001010111100101110
+01100011010110001010111101001011
+01100011011100001010111101101000
+01100011100001111010111110000110
+01100011100111111010111110100011
+01100011101101111010111111000000
+01100011110011101010111111011110
+01100011111001101010111111111011
+01100011111111011011000000011000
+01100100000101011011000000110110
+01100100001011001011000001010011
+01100100010001001011000001110001
+01100100010110111011000010001110
+01100100011100111011000010101100
+01100100100010101011000011001010
+01100100101000011011000011100111
+01100100101110011011000100000101
+01100100110100001011000100100011
+01100100111001111011000101000000
+01100100111111101011000101011110
+01100101000101011011000101111100
+01100101001011001011000110011010
+01100101010000111011000110110111
+01100101010110101011000111010101
+01100101011100011011000111110011
+01100101100010001011001000010001
+01100101100111111011001000101111
+01100101101101101011001001001101
+01100101110011011011001001101011
+01100101111001001011001010001001
+01100101111110111011001010100111
+01100110000100101011001011000101
+01100110001010001011001011100011
+01100110001111111011001100000001
+01100110010101101011001100011111
+01100110011011001011001100111101
+01100110100000111011001101011100
+01100110100110011011001101111010
+01100110101100001011001110011000
+01100110110001101011001110110110
+01100110110111011011001111010101
+01100110111100111011001111110011
+01100111000010101011010000010001
+01100111001000001011010000110000
+01100111001101101011010001001110
+01100111010011011011010001101100
+01100111011000111011010010001011
+01100111011110011011010010101001
+01100111100011111011010011001000
+01100111101001011011010011100110
+01100111101110111011010100000101
+01100111110100101011010100100011
+01100111111010001011010101000010
+01100111111111101011010101100001
+01101000000100111011010101111111
+01101000001010011011010110011110
+01101000001111111011010110111101
+01101000010101011011010111011011
+01101000011010111011010111111010
+01101000100000011011011000011001
+01101000100101111011011000111000
+01101000101011001011011001010110
+01101000110000101011011001110101
+01101000110110001011011010010100
+01101000111011011011011010110011
+01101001000000111011011011010010
+01101001000110001011011011110001
+01101001001011101011011100010000
+01101001010000111011011100101111
+01101001010110011011011101001110
+01101001011011101011011101101101
+01101001100000111011011110001100
+01101001100110011011011110101011
+01101001101011101011011111001010
+01101001110000111011011111101001
+01101001110110001011100000001000
+01101001111011101011100000101000
+01101010000000111011100001000111
+01101010000110001011100001100110
+01101010001011011011100010000101
+01101010010000101011100010100101
+01101010010101111011100011000100
+01101010011011001011100011100011
+01101010100000011011100100000011
+01101010100101101011100100100010
+01101010101010111011100101000001
+01101010101111111011100101100001
+01101010110101001011100110000000
+01101010111010011011100110100000
+01101010111111101011100110111111
+01101011000100101011100111011111
+01101011001001111011100111111110
+01101011001111001011101000011110
+01101011010100001011101000111101
+01101011011001011011101001011101
+01101011011110011011101001111101
+01101011100011101011101010011100
+01101011101000101011101010111100
+01101011101101101011101011011100
+01101011110010111011101011111011
+01101011110111111011101100011011
+01101011111100111011101100111011
+01101100000010001011101101011011
+01101100000111001011101101111011
+01101100001100001011101110011011
+01101100010001001011101110111010
+01101100010110001011101111011010
+01101100011011001011101111111010
+01101100100000001011110000011010
+01101100100101001011110000111010
+01101100101010001011110001011010
+01101100101111001011110001111010
+01101100110100001011110010011010
+01101100111001001011110010111010
+01101100111110001011110011011010
+01101101000010111011110011111010
+01101101000111111011110100011011
+01101101001100111011110100111011
+01101101010001101011110101011011
+01101101010110101011110101111011
+01101101011011011011110110011011
+01101101100000011011110110111100
+01101101100101011011110111011100
+01101101101010001011110111111100
+01101101101110111011111000011100
+01101101110011111011111000111101
+01101101111000101011111001011101
+01101101111101011011111001111101
+01101110000010011011111010011110
+01101110000111001011111010111110
+01101110001011111011111011011111
+01101110010000101011111011111111
+01101110010101011011111100100000
+01101110011010011011111101000000
+01101110011111001011111101100001
+01101110100011111011111110000001
+01101110101000101011111110100010
+01101110101101011011111111000010
+01101110110001111011111111100011
+01101110110110101100000000000101
+01101110111011011100000000100101
+01101111000000001100000001000110
+01101111000100111100000001100111
+01101111001001011100000010000111
+01101111001110001100000010101000
+01101111010010111100000011001001
+01101111010111011100000011101010
+01101111011100001100000100001011
+01101111100000101100000100101011
+01101111100101011100000101001100
+01101111101001111100000101101101
+01101111101110101100000110001110
+01101111110011001100000110101111
+01101111110111101100000111010000
+01101111111100011100000111110001
+01110000000000111100001000010010
+01110000000101011100001000110011
+01110000001001111100001001010100
+01110000001110101100001001110101
+01110000010011001100001010010110
+01110000010111101100001010110111
+01110000011100001100001011011000
+01110000100000101100001011111001
+01110000100101001100001100011010
+01110000101001101100001100111100
+01110000101101111100001101011101
+01110000110010011100001101111110
+01110000110110111100001110011111
+01110000111011011100001111000000
+01110000111111111100001111100010
+01110001000100001100010000000011
+01110001001000101100010000100100
+01110001001101001100010001000110
+01110001010001011100010001100111
+01110001010101111100010010001000
+01110001011010001100010010101010
+01110001011110101100010011001011
+01110001100010111100010011101101
+01110001100111001100010100001110
+01110001101011101100010100101111
+01110001101111111100010101010001
+01110001110100001100010101110010
+01110001111000101100010110010100
+01110001111100111100010110110110
+01110010000001001100010111010111
+01110010000101011100010111111001
+01110010001001101100011000011010
+01110010001101111100011000111100
+01110010010010001100011001011110
+01110010010110011100011001111111
+01110010011010101100011010100001
+01110010011110111100011011000011
+01110010100011001100011011100100
+01110010100111001100011100000110
+01110010101011011100011100101000
+01110010101111101100011101001010
+01110010110011111100011101101011
+01110010110111111100011110001101
+01110010111100001100011110101111
+01110011000000001100011111010001
+01110011000100011100011111110011
+01110011001000011100100000010101
+01110011001100101100100000110111
+01110011010000101100100001011001
+01110011010100111100100001111011
+01110011011000111100100010011101
+01110011011100111100100010111111
+01110011100001001100100011100001
+01110011100101001100100100000011
+01110011101001001100100100100101
+01110011101101001100100101000111
+01110011110001001100100101101001
+01110011110101001100100110001011
+01110011111001001100100110101101
+01110011111101001100100111001111
+01110100000001001100100111110001
+01110100000101001100101000010100
+01110100001001001100101000110110
+01110100001101001100101001011000
+01110100010001001100101001111010
+01110100010100111100101010011100
+01110100011000111100101010111111
+01110100011100111100101011100001
+01110100100000101100101100000011
+01110100100100101100101100100110
+01110100101000011100101101001000
+01110100101100011100101101101010
+01110100110000001100101110001101
+01110100110100001100101110101111
+01110100110111111100101111010001
+01110100111011111100101111110100
+01110100111111101100110000010110
+01110101000011011100110000111001
+01110101000111001100110001011011
+01110101001011001100110001111110
+01110101001110111100110010100000
+01110101010010101100110011000011
+01110101010110011100110011100101
+01110101011010001100110100001000
+01110101011101111100110100101011
+01110101100001101100110101001101
+01110101100101011100110101110000
+01110101101001001100110110010010
+01110101101100111100110110110101
+01110101110000011100110111011000
+01110101110100001100110111111010
+01110101110111111100111000011101
+01110101111011011100111001000000
+01110101111111001100111001100011
+01110110000010111100111010000101
+01110110000110011100111010101000
+01110110001010001100111011001011
+01110110001101101100111011101110
+01110110010001011100111100010001
+01110110010100111100111100110011
+01110110011000011100111101010110
+01110110011100001100111101111001
+01110110011111101100111110011100
+01110110100011001100111110111111
+01110110100110101100111111100010
+01110110101010011101000000000101
+01110110101101111101000000101000
+01110110110001011101000001001011
+01110110110100111101000001101110
+01110110111000011101000010010001
+01110110111011111101000010110100
+01110110111111011101000011010111
+01110111000010101101000011111010
+01110111000110001101000100011101
+01110111001001101101000101000000
+01110111001101001101000101100011
+01110111010000101101000110000110
+01110111010011111101000110101001
+01110111010111011101000111001101
+01110111011010101101000111110000
+01110111011110001101001000010011
+01110111100001011101001000110110
+01110111100100111101001001011001
+01110111101000001101001001111100
+01110111101011101101001010100000
+01110111101110111101001011000011
+01110111110010001101001011100110
+01110111110101101101001100001010
+01110111111000111101001100101101
+01110111111100001101001101010000
+01110111111111011101001101110011
+01111000000010101101001110010111
+01111000000101111101001110111010
+01111000001001001101001111011110
+01111000001100011101010000000001
+01111000001111101101010000100100
+01111000010010111101010001001000
+01111000010110001101010001101011
+01111000011001011101010010001111
+01111000011100101101010010110010
+01111000011111101101010011010110
+01111000100010111101010011111001
+01111000100110001101010100011101
+01111000101001001101010101000000
+01111000101100011101010101100100
+01111000101111011101010110000111
+01111000110010101101010110101011
+01111000110101101101010111001110
+01111000111000111101010111110010
+01111000111011111101011000010110
+01111000111110111101011000111001
+01111001000010001101011001011101
+01111001000101001101011010000001
+01111001001000001101011010100100
+01111001001011001101011011001000
+01111001001110001101011011101100
+01111001010001011101011100001111
+01111001010100011101011100110011
+01111001010111011101011101010111
+01111001011010011101011101111011
+01111001011101001101011110011110
+01111001100000001101011111000010
+01111001100011001101011111100110
+01111001100110001101100000001010
+01111001101001001101100000101101
+01111001101011111101100001010001
+01111001101110111101100001110101
+01111001110001111101100010011001
+01111001110100101101100010111101
+01111001110111101101100011100001
+01111001111010011101100100000101
+01111001111101011101100100101001
+01111010000000001101100101001100
+01111010000011001101100101110000
+01111010000101111101100110010100
+01111010001000101101100110111000
+01111010001011011101100111011100
+01111010001110011101101000000000
+01111010010001001101101000100100
+01111010010011111101101001001000
+01111010010110101101101001101100
+01111010011001011101101010010000
+01111010011100001101101010110100
+01111010011110111101101011011001
+01111010100001101101101011111101
+01111010100100011101101100100001
+01111010100111001101101101000101
+01111010101001111101101101101001
+01111010101100011101101110001101
+01111010101111001101101110110001
+01111010110001111101101111010101
+01111010110100011101101111111010
+01111010110111001101110000011110
+01111010111001101101110001000010
+01111010111100011101110001100110
+01111010111110111101110010001010
+01111011000001101101110010101111
+01111011000100001101110011010011
+01111011000110111101110011110111
+01111011001001011101110100011011
+01111011001011111101110101000000
+01111011001110011101110101100100
+01111011010001001101110110001000
+01111011010011101101110110101100
+01111011010110001101110111010001
+01111011011000101101110111110101
+01111011011011001101111000011001
+01111011011101101101111000111110
+01111011100000001101111001100010
+01111011100010101101111010000111
+01111011100100111101111010101011
+01111011100111011101111011001111
+01111011101001111101111011110100
+01111011101100011101111100011000
+01111011101110101101111100111101
+01111011110001001101111101100001
+01111011110011101101111110000110
+01111011110101111101111110101010
+01111011111000011101111111001110
+01111011111010101101111111110011
+01111011111100111110000000010111
+01111011111111011110000000111100
+01111100000001101110000001100001
+01111100000011111110000010000101
+01111100000110011110000010101010
+01111100001000101110000011001110
+01111100001010111110000011110011
+01111100001101001110000100010111
+01111100001111011110000100111100
+01111100010001101110000101100000
+01111100010011111110000110000101
+01111100010110001110000110101010
+01111100011000011110000111001110
+01111100011010101110000111110011
+01111100011100111110001000011000
+01111100011111001110001000111100
+01111100100001001110001001100001
+01111100100011011110001010000110
+01111100100101101110001010101010
+01111100100111101110001011001111
+01111100101001111110001011110100
+01111100101100001110001100011000
+01111100101110001110001100111101
+01111100110000001110001101100010
+01111100110010011110001110000111
+01111100110100011110001110101011
+01111100110110101110001111010000
+01111100111000101110001111110101
+01111100111010101110010000011010
+01111100111100101110010000111111
+01111100111110101110010001100011
+01111101000000111110010010001000
+01111101000010111110010010101101
+01111101000100111110010011010010
+01111101000110111110010011110111
+01111101001000111110010100011011
+01111101001010101110010101000000
+01111101001100101110010101100101
+01111101001110101110010110001010
+01111101010000101110010110101111
+01111101010010101110010111010100
+01111101010100011110010111111001
+01111101010110011110011000011110
+01111101011000011110011001000011
+01111101011010001110011001101000
+01111101011100001110011010001100
+01111101011101111110011010110001
+01111101011111111110011011010110
+01111101100001101110011011111011
+01111101100011011110011100100000
+01111101100101011110011101000101
+01111101100111001110011101101010
+01111101101000111110011110001111
+01111101101010101110011110110100
+01111101101100011110011111011001
+01111101101110001110011111111110
+01111101110000001110100000100011
+01111101110001111110100001001000
+01111101110011101110100001101101
+01111101110101001110100010010011
+01111101110110111110100010111000
+01111101111000101110100011011101
+01111101111010011110100100000010
+01111101111100001110100100100111
+01111101111101101110100101001100
+01111101111111011110100101110001
+01111110000001001110100110010110
+01111110000010101110100110111011
+01111110000100011110100111100000
+01111110000101111110101000000110
+01111110000111101110101000101011
+01111110001001001110101001010000
+01111110001010111110101001110101
+01111110001100011110101010011010
+01111110001101111110101010111111
+01111110001111011110101011100100
+01111110010001001110101100001010
+01111110010010101110101100101111
+01111110010100001110101101010100
+01111110010101101110101101111001
+01111110010111001110101110011110
+01111110011000101110101111000100
+01111110011010001110101111101001
+01111110011011101110110000001110
+01111110011101001110110000110011
+01111110011110011110110001011001
+01111110011111111110110001111110
+01111110100001011110110010100011
+01111110100010111110110011001000
+01111110100100001110110011101110
+01111110100101101110110100010011
+01111110100110111110110100111000
+01111110101000011110110101011110
+01111110101001101110110110000011
+01111110101011001110110110101000
+01111110101100011110110111001101
+01111110101101101110110111110011
+01111110101111001110111000011000
+01111110110000011110111000111101
+01111110110001101110111001100011
+01111110110010111110111010001000
+01111110110100011110111010101101
+01111110110101101110111011010011
+01111110110110111110111011111000
+01111110111000001110111100011110
+01111110111001011110111101000011
+01111110111010011110111101101000
+01111110111011101110111110001110
+01111110111100111110111110110011
+01111110111110001110111111011000
+01111110111111011110111111111110
+01111111000000011111000000100011
+01111111000001101111000001001001
+01111111000010111111000001101110
+01111111000011111111000010010011
+01111111000101001111000010111001
+01111111000110001111000011011110
+01111111000111011111000100000100
+01111111001000011111000100101001
+01111111001001011111000101001111
+01111111001010101111000101110100
+01111111001011101111000110011010
+01111111001100101111000110111111
+01111111001101101111000111100100
+01111111001110101111001000001010
+01111111001111111111001000101111
+01111111010000111111001001010101
+01111111010001111111001001111010
+01111111010010111111001010100000
+01111111010011111111001011000101
+01111111010100101111001011101011
+01111111010101101111001100010000
+01111111010110101111001100110110
+01111111010111101111001101011011
+01111111011000011111001110000001
+01111111011001011111001110100110
+01111111011010011111001111001100
+01111111011011001111001111110001
+01111111011100001111010000010111
+01111111011100111111010000111101
+01111111011101111111010001100010
+01111111011110101111010010001000
+01111111011111101111010010101101
+01111111100000011111010011010011
+01111111100001001111010011111000
+01111111100001111111010100011110
+01111111100010111111010101000011
+01111111100011101111010101101001
+01111111100100011111010110001111
+01111111100101001111010110110100
+01111111100101111111010111011010
+01111111100110101111010111111111
+01111111100111011111011000100101
+01111111101000001111011001001010
+01111111101000101111011001110000
+01111111101001011111011010010110
+01111111101010001111011010111011
+01111111101010111111011011100001
+01111111101011011111011100000110
+01111111101100001111011100101100
+01111111101100111111011101010010
+01111111101101011111011101110111
+01111111101110001111011110011101
+01111111101110101111011111000010
+01111111101111001111011111101000
+01111111101111111111100000001110
+01111111110000011111100000110011
+01111111110000111111100001011001
+01111111110001101111100001111111
+01111111110010001111100010100100
+01111111110010101111100011001010
+01111111110011001111100011101111
+01111111110011101111100100010101
+01111111110100001111100100111011
+01111111110100101111100101100000
+01111111110101001111100110000110
+01111111110101101111100110101100
+01111111110110001111100111010001
+01111111110110101111100111110111
+01111111110110111111101000011101
+01111111110111011111101001000010
+01111111110111111111101001101000
+01111111111000001111101010001110
+01111111111000101111101010110011
+01111111111000111111101011011001
+01111111111001011111101011111111
+01111111111001101111101100100100
+01111111111010001111101101001010
+01111111111010011111101101110000
+01111111111010101111101110010101
+01111111111011001111101110111011
+01111111111011011111101111100001
+01111111111011101111110000000110
+01111111111011111111110000101100
+01111111111100001111110001010010
+01111111111100101111110001110111
+01111111111100111111110010011101
+01111111111101001111110011000011
+01111111111101001111110011101000
+01111111111101011111110100001110
+01111111111101101111110100110100
+01111111111101111111110101011010
+01111111111110001111110101111111
+01111111111110001111110110100101
+01111111111110011111110111001011
+01111111111110101111110111110000
+01111111111110101111111000010110
+01111111111110111111111000111100
+01111111111110111111111001100001
+01111111111111001111111010000111
+01111111111111001111111010101101
+01111111111111011111111011010010
+01111111111111011111111011111000
+01111111111111011111111100011110
+01111111111111011111111101000100
+01111111111111101111111101101001
+01111111111111101111111110001111
+01111111111111101111111110110101
+01111111111111101111111111011010
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_6.hex b/applications/lofar1/pft2/src/data/twiddle_16_6.hex
new file mode 100644
index 0000000000000000000000000000000000000000..330893ac48caa16b835ac2743686c83948e821c7
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_6.hex
@@ -0,0 +1,12289 @@
+:0400000000007FFF7E
+:04000100FFE77FFF97
+:04000200FFCE7FFFAF
+:04000300FFB57FFFC7
+:04000400FF9B7FFFE0
+:04000500FF827FFFF8
+:04000600FF697FFF10
+:04000700FF507FFF28
+:04000800FF377FFE41
+:04000900FF1E7FFE59
+:04000A00FF057FFE71
+:04000B00FEEC7FFE8A
+:04000C00FED27FFEA3
+:04000D00FEB97FFDBC
+:04000E00FEA07FFDD4
+:04000F00FE877FFDEC
+:04001000FE6E7FFD04
+:04001100FE557FFC1D
+:04001200FE3C7FFC35
+:04001300FE237FFC4D
+:04001400FE097FFB67
+:04001500FDF07FFB80
+:04001600FDD77FFA99
+:04001700FDBE7FFAB1
+:04001800FDA57FF9CA
+:04001900FD8C7FF9E2
+:04001A00FD737FF8FB
+:04001B00FD5A7FF813
+:04001C00FD407FF72D
+:04001D00FD277FF745
+:04001E00FD0E7FF65E
+:04001F00FCF57FF677
+:04002000FCDC7FF590
+:04002100FCC37FF5A8
+:04002200FCAA7FF4C1
+:04002300FC917FF3DA
+:04002400FC777FF3F3
+:04002500FC5E7FF20C
+:04002600FC457FF125
+:04002700FC2C7FF03E
+:04002800FC137FF056
+:04002900FBFA7FEF70
+:04002A00FBE17FEE89
+:04002B00FBC87FEDA2
+:04002C00FBAE7FECBC
+:04002D00FB957FEBD5
+:04002E00FB7C7FEBED
+:04002F00FB637FEA06
+:04003000FB4A7FE91F
+:04003100FB317FE838
+:04003200FB187FE751
+:04003300FAFF7FE66B
+:04003400FAE67FE584
+:04003500FACC7FE49E
+:04003600FAB37FE3B7
+:04003700FA9A7FE2D0
+:04003800FA817FE1E9
+:04003900FA687FE002
+:04003A00FA4F7FDF1B
+:04003B00FA367FDD35
+:04003C00FA1D7FDC4E
+:04003D00FA047FDB67
+:04003E00F9EA7FDA82
+:04003F00F9D17FD99B
+:04004000F9B87FD8B4
+:04004100F99F7FD6CE
+:04004200F9867FD5E7
+:04004300F96D7FD400
+:04004400F9547FD21A
+:04004500F93B7FD133
+:04004600F9227FD04C
+:04004700F9097FCE66
+:04004800F8EF7FCD81
+:04004900F8D67FCC9A
+:04004A00F8BD7FCAB4
+:04004B00F8A47FC9CD
+:04004C00F88B7FC7E7
+:04004D00F8727FC600
+:04004E00F8597FC41A
+:04004F00F8407FC333
+:04005000F8277FC14D
+:04005100F80E7FC066
+:04005200F7F57FBE81
+:04005300F7DC7FBD9A
+:04005400F7C27FBBB5
+:04005500F7A97FB9CF
+:04005600F7907FB8E8
+:04005700F7777FB602
+:04005800F75E7FB41C
+:04005900F7457FB335
+:04005A00F72C7FB14F
+:04005B00F7137FAF69
+:04005C00F6FA7FAD84
+:04005D00F6E17FAC9D
+:04005E00F6C87FAAB7
+:04005F00F6AF7FA8D1
+:04006000F6967FA6EB
+:04006100F67D7FA405
+:04006200F6637FA220
+:04006300F64A7FA139
+:04006400F6317F9F53
+:04006500F6187F9D6D
+:04006600F5FF7F9B88
+:04006700F5E67F99A2
+:04006800F5CD7F97BC
+:04006900F5B47F95D6
+:04006A00F59B7F93F0
+:04006B00F5827F910A
+:04006C00F5697F8F24
+:04006D00F5507F8D3E
+:04006E00F5377F8A59
+:04006F00F51E7F8873
+:04007000F5057F868D
+:04007100F4EC7F84A8
+:04007200F4D37F82C2
+:04007300F4BA7F80DC
+:04007400F4A17F7DF7
+:04007500F4887F7B11
+:04007600F46F7F792B
+:04007700F4567F7745
+:04007800F43D7F7460
+:04007900F4237F727B
+:04007A00F40A7F7095
+:04007B00F3F17F6DB1
+:04007C00F3D87F6BCB
+:04007D00F3BF7F69E5
+:04007E00F3A67F6600
+:04007F00F38D7F641A
+:04008000F3747F6135
+:04008100F35B7F5F4F
+:04008200F3427F5C6A
+:04008300F3297F5A84
+:04008400F3107F579F
+:04008500F2F77F55BA
+:04008600F2DE7F52D5
+:04008700F2C57F50EF
+:04008800F2AC7F4D0A
+:04008900F2937F4A25
+:04008A00F27A7F483F
+:04008B00F2617F455A
+:04008C00F2487F4275
+:04008D00F22F7F408F
+:04008E00F2167F3DAA
+:04008F00F1FD7F3AC6
+:04009000F1E47F37E1
+:04009100F1CB7F35FB
+:04009200F1B37F3215
+:04009300F19A7F2F30
+:04009400F1817F2C4B
+:04009500F1687F2966
+:04009600F14F7F2681
+:04009700F1367F239C
+:04009800F11D7F21B6
+:04009900F1047F1ED1
+:04009A00F0EB7F1BED
+:04009B00F0D27F1808
+:04009C00F0B97F1523
+:04009D00F0A07F123E
+:04009E00F0877F0F59
+:04009F00F06E7F0C74
+:0400A000F0557F098F
+:0400A100F03C7F05AB
+:0400A200F0237F02C6
+:0400A300F00A7EFFE2
+:0400A400EFF17EFCFE
+:0400A500EFD87EF919
+:0400A600EFBF7EF634
+:0400A700EFA77EF34E
+:0400A800EF8E7EEF6A
+:0400A900EF757EEC85
+:0400AA00EF5C7EE9A0
+:0400AB00EF437EE6BB
+:0400AC00EF2A7EE2D7
+:0400AD00EF117EDFF2
+:0400AE00EEF87EDC0E
+:0400AF00EEDF7ED82A
+:0400B000EEC67ED545
+:0400B100EEAD7ED260
+:0400B200EE957ECE7B
+:0400B300EE7C7ECB96
+:0400B400EE637EC7B2
+:0400B500EE4A7EC4CD
+:0400B600EE317EC0E9
+:0400B700EE187EBD04
+:0400B800EDFF7EB921
+:0400B900EDE67EB63C
+:0400BA00EDCD7EB258
+:0400BB00EDB57EAF72
+:0400BC00ED9C7EAB8E
+:0400BD00ED837EA7AA
+:0400BE00ED6A7EA4C5
+:0400BF00ED517EA0E1
+:0400C000ED387E9CFD
+:0400C100ED1F7E9918
+:0400C200ED077E9533
+:0400C300ECEE7E9150
+:0400C400ECD57E8D6C
+:0400C500ECBC7E8A87
+:0400C600ECA37E86A3
+:0400C700EC8A7E82BF
+:0400C800EC717E7EDB
+:0400C900EC597E7AF6
+:0400CA00EC407E7711
+:0400CB00EC277E732D
+:0400CC00EC0E7E6F49
+:0400CD00EBF57E6B66
+:0400CE00EBDC7E6782
+:0400CF00EBC47E639D
+:0400D000EBAB7E5FB9
+:0400D100EB927E5BD5
+:0400D200EB797E57F1
+:0400D300EB607E530D
+:0400D400EB487E4F28
+:0400D500EB2F7E4B44
+:0400D600EB167E4760
+:0400D700EAFD7E437D
+:0400D800EAE47E3E9A
+:0400D900EACC7E3AB5
+:0400DA00EAB37E36D1
+:0400DB00EA9A7E32ED
+:0400DC00EA817E2E09
+:0400DD00EA697E2925
+:0400DE00EA507E2541
+:0400DF00EA377E215D
+:0400E000EA1E7E1D79
+:0400E100EA067E1895
+:0400E200E9ED7E14B2
+:0400E300E9D47E10CE
+:0400E400E9BB7E0BEB
+:0400E500E9A27E0707
+:0400E600E98A7E0223
+:0400E700E9717DFE40
+:0400E800E9587DFA5C
+:0400E900E9407DF578
+:0400EA00E9277DF194
+:0400EB00E90E7DECB1
+:0400EC00E8F57DE8CE
+:0400ED00E8DD7DE3EA
+:0400EE00E8C47DDF06
+:0400EF00E8AB7DDA23
+:0400F000E8937DD53F
+:0400F100E87A7DD15B
+:0400F200E8617DCC78
+:0400F300E8487DC894
+:0400F400E8307DC3B0
+:0400F500E8177DBECD
+:0400F600E7FE7DB9EB
+:0400F700E7E67DB506
+:0400F800E7CD7DB023
+:0400F900E7B47DAB40
+:0400FA00E79C7DA65C
+:0400FB00E7837DA278
+:0400FC00E76A7D9D95
+:0400FD00E7527D98B1
+:0400FE00E7397D93CE
+:0400FF00E7207D8EEB
+:04010000E7087D8906
+:04010100E6EF7D8424
+:04010200E6D67D8040
+:04010300E6BE7D7B5C
+:04010400E6A57D7679
+:04010500E68C7D7196
+:04010600E6747D6CB2
+:04010700E65B7D67CF
+:04010800E6437D62EB
+:04010900E62A7D5D08
+:04010A00E6117D5726
+:04010B00E5F97D5243
+:04010C00E5E07D4D60
+:04010D00E5C87D487C
+:04010E00E5AF7D4399
+:04010F00E5967D3EB6
+:04011000E57E7D39D2
+:04011100E5657D33F0
+:04011200E54D7D2E0C
+:04011300E5347D2929
+:04011400E51B7D2446
+:04011500E5037D1E63
+:04011600E4EA7D1981
+:04011700E4D27D149D
+:04011800E4B97D0EBB
+:04011900E4A17D09D7
+:04011A00E4887D04F4
+:04011B00E4707CFE12
+:04011C00E4577CF92F
+:04011D00E43F7CF34C
+:04011E00E4267CEE69
+:04011F00E40D7CE887
+:04012000E3F57CE3A4
+:04012100E3DC7CDDC2
+:04012200E3C47CD8DE
+:04012300E3AB7CD2FC
+:04012400E3937CCD18
+:04012500E37A7CC736
+:04012600E3627CC153
+:04012700E3497CBC70
+:04012800E3317CB68D
+:04012900E3187CB1AA
+:04012A00E3007CABC7
+:04012B00E2E77CA5E6
+:04012C00E2CF7C9F03
+:04012D00E2B77C9A1F
+:04012E00E29E7C943D
+:04012F00E2867C8E5A
+:04013000E26D7C8878
+:04013100E2557C8394
+:04013200E23C7C7DB2
+:04013300E2247C77CF
+:04013400E20B7C71ED
+:04013500E1F37C6B0B
+:04013600E1DB7C6528
+:04013700E1C27C5F46
+:04013800E1AA7C5963
+:04013900E1917C5381
+:04013A00E1797C4D9E
+:04013B00E1607C47BC
+:04013C00E1487C41D9
+:04013D00E1307C3BF6
+:04013E00E1177C3514
+:04013F00E0FF7C2F32
+:04014000E0E77C294F
+:04014100E0CE7C236D
+:04014200E0B67C1D8A
+:04014300E09D7C17A8
+:04014400E0857C10C6
+:04014500E06D7C0AE3
+:04014600E0547C0401
+:04014700E03C7BFE1F
+:04014800E0247BF83C
+:04014900E00B7BF15B
+:04014A00DFF37BEB79
+:04014B00DFDB7BE596
+:04014C00DFC27BDEB5
+:04014D00DFAA7BD8D2
+:04014E00DF927BD2EF
+:04014F00DF797BCB0E
+:04015000DF617BC52B
+:04015100DF497BBF48
+:04015200DF307BB867
+:04015300DF187BB284
+:04015400DF007BABA2
+:04015500DEE87BA5C0
+:04015600DECF7B9EDF
+:04015700DEB77B98FC
+:04015800DE9F7B911A
+:04015900DE877B8B37
+:04015A00DE6E7B8456
+:04015B00DE567B7D74
+:04015C00DE3E7B7791
+:04015D00DE267B70AF
+:04015E00DE0D7B69CE
+:04015F00DDF57B63EC
+:04016000DDDD7B5C0A
+:04016100DDC57B5528
+:04016200DDAC7B4F46
+:04016300DD947B4864
+:04016400DD7C7B4182
+:04016500DD647B3AA0
+:04016600DD4C7B34BD
+:04016700DD337B2DDC
+:04016800DD1B7B26FA
+:04016900DD037B1F18
+:04016A00DCEB7B1837
+:04016B00DCD37B1155
+:04016C00DCBB7B0A73
+:04016D00DCA27B0392
+:04016E00DC8A7AFCB1
+:04016F00DC727AF5CF
+:04017000DC5A7AEEED
+:04017100DC427AE70B
+:04017200DC2A7AE029
+:04017300DC127AD947
+:04017400DBFA7AD266
+:04017500DBE17ACB85
+:04017600DBC97AC4A3
+:04017700DBB17ABDC1
+:04017800DB997AB6DF
+:04017900DB817AAFFD
+:04017A00DB697AA81B
+:04017B00DB517AA03A
+:04017C00DB397A9958
+:04017D00DB217A9276
+:04017E00DB097A8B94
+:04017F00DAF17A83B4
+:04018000DAD97A7CD2
+:04018100DAC07A75F1
+:04018200DAA87A6D10
+:04018300DA907A662E
+:04018400DA787A5F4C
+:04018500DA607A576B
+:04018600DA487A5089
+:04018700DA307A49A7
+:04018800DA187A41C6
+:04018900DA007A3AE4
+:04018A00D9E87A3204
+:04018B00D9D07A2B22
+:04018C00D9B87A2341
+:04018D00D9A07A1C5F
+:04018E00D9887A147E
+:04018F00D9707A0D9C
+:04019000D9587A05BB
+:04019100D94179FDDA
+:04019200D92979F6F8
+:04019300D91179EE17
+:04019400D8F979E736
+:04019500D8E179DF55
+:04019600D8C979D774
+:04019700D8B179CF93
+:04019800D89979C8B1
+:04019900D88179C0D0
+:04019A00D86979B8EF
+:04019B00D85179B00E
+:04019C00D83979A92C
+:04019D00D82279A14A
+:04019E00D80A799969
+:04019F00D7F2799189
+:0401A000D7DA7989A8
+:0401A100D7C27981C7
+:0401A200D7AA7979E6
+:0401A300D792797204
+:0401A400D77B796A22
+:0401A500D763796241
+:0401A600D74B795A60
+:0401A700D73379527F
+:0401A800D71B794A9E
+:0401A900D7037942BD
+:0401AA00D6EC7939DD
+:0401AB00D6D47931FC
+:0401AC00D6BC79291B
+:0401AD00D6A479213A
+:0401AE00D68C791959
+:0401AF00D675791177
+:0401B000D65D790996
+:0401B100D6457901B5
+:0401B200D62D78F8D6
+:0401B300D61678F0F4
+:0401B400D5FE78E814
+:0401B500D5E678E033
+:0401B600D5CE78D753
+:0401B700D5B778CF71
+:0401B800D59F78C790
+:0401B900D58778BEB0
+:0401BA00D57078B6CE
+:0401BB00D55878AEED
+:0401BC00D54078A50D
+:0401BD00D528789D2C
+:0401BE00D51178954A
+:0401BF00D4F9788C6B
+:0401C000D4E178848A
+:0401C100D4CA787BA9
+:0401C200D4B27873C8
+:0401C300D49B786AE7
+:0401C400D483786206
+:0401C500D46B785926
+:0401C600D454785144
+:0401C700D43C784864
+:0401C800D424783F84
+:0401C900D40D7837A2
+:0401CA00D3F5782EC3
+:0401CB00D3DE7825E2
+:0401CC00D3C6781D01
+:0401CD00D3AE781421
+:0401CE00D397780B40
+:0401CF00D37F78035F
+:0401D000D36877FA7F
+:0401D100D35077F19F
+:0401D200D33977E8BE
+:0401D300D32177E0DD
+:0401D400D30A77D7FC
+:0401D500D2F277CE1D
+:0401D600D2DA77C53D
+:0401D700D2C377BC5C
+:0401D800D2AB77B37C
+:0401D900D29477AA9B
+:0401DA00D27C77A1BB
+:0401DB00D2657798DA
+:0401DC00D24E778FF9
+:0401DD00D236778619
+:0401DE00D21F777D38
+:0401DF00D207777458
+:0401E000D1F0776B78
+:0401E100D1D8776298
+:0401E200D1C17759B7
+:0401E300D1A97750D7
+:0401E400D1927747F6
+:0401E500D17B773E15
+:0401E600D163773535
+:0401E700D14C772C54
+:0401E800D134772374
+:0401E900D11D771994
+:0401EA00D1067710B3
+:0401EB00D0EE7707D4
+:0401EC00D0D776FEF4
+:0401ED00D0BF76F415
+:0401EE00D0A876EB34
+:0401EF00D09176E253
+:0401F000D07976D874
+:0401F100D06276CF93
+:0401F200D04B76C6B2
+:0401F300D03376BCD3
+:0401F400D01C76B3F2
+:0401F500D00576AA11
+:0401F600CFEE76A032
+:0401F700CFD6769752
+:0401F800CFBF768D72
+:0401F900CFA8768491
+:0401FA00CF90767AB2
+:0401FB00CF797671D1
+:0401FC00CF627667F1
+:0401FD00CF4B765E10
+:0401FE00CF33765431
+:0401FF00CF1C764A51
+:04020000CF0576416F
+:04020100CEEE763790
+:04020200CED7762EAF
+:04020300CEBF7624D0
+:04020400CEA8761AF0
+:04020500CE9176110F
+:04020600CE7A76072F
+:04020700CE6375FD50
+:04020800CE4B75F371
+:04020900CE3475EA90
+:04020A00CE1D75E0B0
+:04020B00CE0675D6D0
+:04020C00CDEF75CCF1
+:04020D00CDD875C211
+:04020E00CDC175B831
+:04020F00CDAA75AF50
+:04021000CD9275A571
+:04021100CD7B759B91
+:04021200CD647591B1
+:04021300CD4D7587D1
+:04021400CD36757DF1
+:04021500CD1F757311
+:04021600CD08756931
+:04021700CCF1755F52
+:04021800CCDA755572
+:04021900CCC3754B92
+:04021A00CCAC7541B2
+:04021B00CC957537D2
+:04021C00CC7E752DF2
+:04021D00CC67752213
+:04021E00CC50751833
+:04021F00CC39750E53
+:04022000CC22750473
+:04022100CC0B74FA94
+:04022200CBF474F0B5
+:04022300CBDD74E5D6
+:04022400CBC674DBF6
+:04022500CBAF74D116
+:04022600CB9874C736
+:04022700CB8174BC57
+:04022800CB6A74B277
+:04022900CB5374A897
+:04022A00CB3D749DB7
+:04022B00CB267493D7
+:04022C00CB0F7489F7
+:04022D00CAF8747E19
+:04022E00CAE1747439
+:04022F00CACA74695A
+:04023000CAB3745F7A
+:04023100CA9C74549B
+:04023200CA86744ABA
+:04023300CA6F743FDB
+:04023400CA587435FB
+:04023500CA41742A1C
+:04023600CA2A74203C
+:04023700CA1474155C
+:04023800C9FD740B7D
+:04023900C9E674009E
+:04023A00C9CF73F5C0
+:04023B00C9B873EBE0
+:04023C00C9A273E000
+:04023D00C98B73D521
+:04023E00C97473CB41
+:04023F00C95D73C062
+:04024000C94773B582
+:04024100C93073AAA3
+:04024200C91973A0C3
+:04024300C9037395E3
+:04024400C8EC738A05
+:04024500C8D5737F26
+:04024600C8BF737446
+:04024700C8A8736967
+:04024800C891735F87
+:04024900C87B7354A7
+:04024A00C8647349C8
+:04024B00C84D733EE9
+:04024C00C837733309
+:04024D00C82073282A
+:04024E00C809731D4B
+:04024F00C7F373126C
+:04025000C7DC73078D
+:04025100C7C672FCAE
+:04025200C7AF72F1CF
+:04025300C79972E6EF
+:04025400C78272DB10
+:04025500C76B72D031
+:04025600C75572C551
+:04025700C73E72B973
+:04025800C72872AE93
+:04025900C71172A3B4
+:04025A00C6FB7298D5
+:04025B00C6E4728DF6
+:04025C00C6CE728117
+:04025D00C6B7727638
+:04025E00C6A1726B58
+:04025F00C68A726079
+:04026000C67472549A
+:04026100C65E7249BA
+:04026200C647723EDB
+:04026300C6317232FC
+:04026400C61A72271D
+:04026500C604721C3D
+:04026600C5EE72105F
+:04026700C5D7720580
+:04026800C5C171F9A2
+:04026900C5AA71EEC3
+:04026A00C59471E3E3
+:04026B00C57E71D704
+:04026C00C56771CC25
+:04026D00C55171C046
+:04026E00C53B71B566
+:04026F00C52471A988
+:04027000C50E719DA9
+:04027100C4F87192CA
+:04027200C4E17186EC
+:04027300C4CB717B0C
+:04027400C4B5716F2D
+:04027500C49F71634E
+:04027600C48871586F
+:04027700C472714C90
+:04027800C45C7140B1
+:04027900C4467135D1
+:04027A00C42F7129F3
+:04027B00C419711D14
+:04027C00C403711135
+:04027D00C3ED710557
+:04027E00C3D770FA78
+:04027F00C3C070EE9A
+:04028000C3AA70E2BB
+:04028100C39470D6DC
+:04028200C37E70CAFD
+:04028300C36870BE1E
+:04028400C35270B33E
+:04028500C33C70A75F
+:04028600C325709B81
+:04028700C30F708FA2
+:04028800C2F97083C4
+:04028900C2E37077E5
+:04028A00C2CD706B06
+:04028B00C2B7705F27
+:04028C00C2A1705348
+:04028D00C28B704769
+:04028E00C275703B8A
+:04028F00C25F702EAC
+:04029000C2497022CD
+:04029100C2337016EE
+:04029200C21D700A0F
+:04029300C2076FFE31
+:04029400C1F16FF253
+:04029500C1DB6FE674
+:04029600C1C56FD996
+:04029700C1AF6FCDB7
+:04029800C1996FC1D8
+:04029900C1836FB5F9
+:04029A00C16D6FA81B
+:04029B00C1576F9C3C
+:04029C00C1416F905D
+:04029D00C12B6F837F
+:04029E00C1156F77A0
+:04029F00C1006F6BC0
+:0402A000C0EA6F5EE3
+:0402A100C0D46F5204
+:0402A200C0BE6F4526
+:0402A300C0A86F3947
+:0402A400C0926F2D68
+:0402A500C07C6F208A
+:0402A600C0676F14AA
+:0402A700C0516F07CC
+:0402A800C03B6EFBEE
+:0402A900C0256EEE10
+:0402AA00C0106EE230
+:0402AB00BFF96ED554
+:0402AC00BFE36EC876
+:0402AD00BFCD6EBC97
+:0402AE00BFB86EAFB8
+:0402AF00BFA26EA3D9
+:0402B000BF8C6E96FB
+:0402B100BF766E891D
+:0402B200BF616E7D3D
+:0402B300BF4B6E705F
+:0402B400BF356E6381
+:0402B500BF206E56A2
+:0402B600BF0A6E4AC3
+:0402B700BEF46E3DE6
+:0402B800BEDF6E3007
+:0402B900BEC96E2329
+:0402BA00BEB36E174A
+:0402BB00BE9E6E0A6B
+:0402BC00BE886DFD8E
+:0402BD00BE736DF0AF
+:0402BE00BE5D6DE3D1
+:0402BF00BE476DD6F3
+:0402C000BE326DC914
+:0402C100BE1C6DBC36
+:0402C200BE076DAF57
+:0402C300BDF16DA379
+:0402C400BDDC6D969A
+:0402C500BDC66D89BC
+:0402C600BDB16D7CDD
+:0402C700BD9B6D6E00
+:0402C800BD866D6121
+:0402C900BD706D5443
+:0402CA00BD5B6D4764
+:0402CB00BD456D3A86
+:0402CC00BD306D2DA7
+:0402CD00BD1B6D20C8
+:0402CE00BD056D13EA
+:0402CF00BCF06D060C
+:0402D000BCDA6CF92F
+:0402D100BCC56CEB51
+:0402D200BCB06CDE72
+:0402D300BC9A6CD194
+:0402D400BC856CC4B5
+:0402D500BC6F6CB6D8
+:0402D600BC5A6CA9F9
+:0402D700BC456C9C1A
+:0402D800BC2F6C8F3C
+:0402D900BC1A6C815E
+:0402DA00BC056C747F
+:0402DB00BBF06C66A2
+:0402DC00BBDA6C59C4
+:0402DD00BBC56C4CE5
+:0402DE00BBB06C3E07
+:0402DF00BB9B6C3128
+:0402E000BB856C234B
+:0402E100BB706C166C
+:0402E200BB5B6C098D
+:0402E300BB466BFBB0
+:0402E400BB306BEED2
+:0402E500BB1B6BE0F4
+:0402E600BB066BD315
+:0402E700BAF16BC538
+:0402E800BADC6BB75A
+:0402E900BAC76BAA7B
+:0402EA00BAB16B9C9E
+:0402EB00BA9C6B8FBF
+:0402EC00BA876B81E1
+:0402ED00BA726B7303
+:0402EE00BA5D6B6624
+:0402EF00BA486B5846
+:0402F000BA336B4A68
+:0402F100BA1E6B3D89
+:0402F200BA096B2FAB
+:0402F300B9F46B21CE
+:0402F400B9DF6B13F0
+:0402F500B9CA6B0611
+:0402F600B9B56AF834
+:0402F700B9A06AEA56
+:0402F800B98B6ADC78
+:0402F900B9766ACE9A
+:0402FA00B9616AC0BC
+:0402FB00B94C6AB3DD
+:0402FC00B9376AA5FF
+:0402FD00B9226A9721
+:0402FE00B90D6A8943
+:0402FF00B8F86A7B66
+:04030000B8E36A6D87
+:04030100B8CE6A5FA9
+:04030200B8B96A51CB
+:04030300B8A56A43EC
+:04030400B8906A350E
+:04030500B87B6A2730
+:04030600B8666A1952
+:04030700B8516A0B74
+:04030800B83C69FD97
+:04030900B82869EFB8
+:04030A00B81369E1DA
+:04030B00B7FE69D2FE
+:04030C00B7E969C420
+:04030D00B7D469B642
+:04030E00B7C069A863
+:04030F00B7AB699A85
+:04031000B796698BA8
+:04031100B782697DC9
+:04031200B76D696FEB
+:04031300B75869610D
+:04031400B743695230
+:04031500B72F694451
+:04031600B71A693673
+:04031700B705692895
+:04031800B6F16919B8
+:04031900B6DC690BDA
+:04031A00B6C868FDFC
+:04031B00B6B368EE1F
+:04031C00B69E68E041
+:04031D00B68A68D163
+:04031E00B67568C385
+:04031F00B66168B4A7
+:04032000B64C68A6C9
+:04032100B6386898EA
+:04032200B62368890D
+:04032300B60E687B2F
+:04032400B5FA686C52
+:04032500B5E5685D75
+:04032600B5D1684F96
+:04032700B5BD6840B8
+:04032800B5A86832DA
+:04032900B5946823FC
+:04032A00B57F68141F
+:04032B00B56B680640
+:04032C00B55667F764
+:04032D00B54267E985
+:04032E00B52E67DAA7
+:04032F00B51967CBCA
+:04033000B50567BCEC
+:04033100B4F067AE0F
+:04033200B4DC679F31
+:04033300B4C8679053
+:04033400B4B3678176
+:04033500B49F677397
+:04033600B48B6764B9
+:04033700B4766755DC
+:04033800B4626746FE
+:04033900B44E673720
+:04033A00B43A672842
+:04033B00B425671A64
+:04033C00B411670B86
+:04033D00B3FD66FCAA
+:04033E00B3E966EDCC
+:04033F00B3D566DEEE
+:04034000B3C066CF11
+:04034100B3AC66C033
+:04034200B39866B155
+:04034300B38466A277
+:04034400B370669399
+:04034500B35C6684BB
+:04034600B3476675DE
+:04034700B333666600
+:04034800B31F665722
+:04034900B30B664844
+:04034A00B2F7663868
+:04034B00B2E366298A
+:04034C00B2CF661AAC
+:04034D00B2BB660BCE
+:04034E00B2A765FCF1
+:04034F00B29365ED13
+:04035000B27F65DD36
+:04035100B26B65CE58
+:04035200B25765BF7A
+:04035300B24365B09C
+:04035400B22F65A0BF
+:04035500B21B6591E1
+:04035600B207658203
+:04035700B1F3657227
+:04035800B1DF656349
+:04035900B1CB65546B
+:04035A00B1B765448E
+:04035B00B1A46535AF
+:04035C00B1906526D1
+:04035D00B17C6516F4
+:04035E00B168650716
+:04035F00B15464F73A
+:04036000B14064E85C
+:04036100B12D64D87E
+:04036200B11964C9A0
+:04036300B10564BAC2
+:04036400B0F164AAE6
+:04036500B0DD649A09
+:04036600B0CA648B2A
+:04036700B0B6647B4D
+:04036800B0A2646C6F
+:04036900B08E645C92
+:04036A00B07B644DB3
+:04036B00B067643DD6
+:04036C00B053642DF9
+:04036D00B040641E1A
+:04036E00B02C640E3D
+:04036F00B01863FE61
+:04037000B00563EF82
+:04037100AFF163DFA6
+:04037200AFDE63CFC8
+:04037300AFCA63BFEB
+:04037400AFB663B00D
+:04037500AFA363A02F
+:04037600AF8F639052
+:04037700AF7C638074
+:04037800AF68637196
+:04037900AF556361B8
+:04037A00AF416351DB
+:04037B00AF2E6341FD
+:04037C00AF1A633120
+:04037D00AF07632142
+:04037E00AEF3631166
+:04037F00AEE0630188
+:04038000AECC62F1AC
+:04038100AEB962E2CD
+:04038200AEA662D2EF
+:04038300AE9262C212
+:04038400AE7F62B234
+:04038500AE6B62A257
+:04038600AE58629279
+:04038700AE4562819C
+:04038800AE316271BF
+:04038900AE1E6261E1
+:04038A00AE0B625103
+:04038B00ADF8624126
+:04038C00ADE4623149
+:04038D00ADD162216B
+:04038E00ADBE62118D
+:04038F00ADAA6201B0
+:04039000AD9761F0D4
+:04039100AD8461E0F6
+:04039200AD7161D018
+:04039300AD5E61C03A
+:04039400AD4A61B05D
+:04039500AD37619F80
+:04039600AD24618FA2
+:04039700AD11617FC4
+:04039800ACFE616FE7
+:04039900ACEB615E0A
+:04039A00ACD8614E2C
+:04039B00ACC4613E4F
+:04039C00ACB1612D72
+:04039D00AC9E611D94
+:04039E00AC8B610DB6
+:04039F00AC7860FCDA
+:0403A000AC6560ECFC
+:0403A100AC5260DB1F
+:0403A200AC3F60CB41
+:0403A300AC2C60BA64
+:0403A400AC1960AA86
+:0403A500AC066099A9
+:0403A600ABF36089CC
+:0403A700ABE06078EF
+:0403A800ABCD606811
+:0403A900ABBA605734
+:0403AA00ABA7604756
+:0403AB00AB95603678
+:0403AC00AB8260269A
+:0403AD00AB6F6015BD
+:0403AE00AB5C6004E0
+:0403AF00AB495FF403
+:0403B000AB365FE326
+:0403B100AB235FD348
+:0403B200AB115FC26A
+:0403B300AAFE5FB18E
+:0403B400AAEB5FA0B1
+:0403B500AAD85F90D3
+:0403B600AAC65F7FF5
+:0403B700AAB35F6E18
+:0403B800AAA05F5E3A
+:0403B900AA8D5F4D5D
+:0403BA00AA7B5F3C7F
+:0403BB00AA685F2BA2
+:0403BC00AA555F1AC5
+:0403BD00AA435F0AE6
+:0403BE00AA305EF90A
+:0403BF00AA1D5EE82D
+:0403C000AA0B5ED74F
+:0403C100A9F85EC673
+:0403C200A9E55EB596
+:0403C300A9D35EA4B8
+:0403C400A9C05E93DB
+:0403C500A9AE5E82FD
+:0403C600A99B5E7120
+:0403C700A9895E6141
+:0403C800A9765E5064
+:0403C900A9645E3F86
+:0403CA00A9515E2EA9
+:0403CB00A93F5E1CCC
+:0403CC00A92C5E0BEF
+:0403CD00A91A5DFA12
+:0403CE00A9075DE935
+:0403CF00A8F55DD858
+:0403D000A8E25DC77B
+:0403D100A8D05DB69D
+:0403D200A8BE5DA5BF
+:0403D300A8AB5D94E2
+:0403D400A8995D8304
+:0403D500A8875D7127
+:0403D600A8745D604A
+:0403D700A8625D4F6C
+:0403D800A8505D3E8E
+:0403D900A83D5D2DB1
+:0403DA00A82B5D1BD4
+:0403DB00A8195D0AF6
+:0403DC00A8065CF91A
+:0403DD00A7F45CE83D
+:0403DE00A7E25CD660
+:0403DF00A7D05CC582
+:0403E000A7BE5CB4A4
+:0403E100A7AB5CA2C8
+:0403E200A7995C91EA
+:0403E300A7875C800C
+:0403E400A7755C6E2F
+:0403E500A7635C5D51
+:0403E600A7515C4B74
+:0403E700A73E5C3A97
+:0403E800A72C5C29B9
+:0403E900A71A5C17DC
+:0403EA00A7085C06FE
+:0403EB00A6F65BF423
+:0403EC00A6E45BE345
+:0403ED00A6D25BD168
+:0403EE00A6C05BC08A
+:0403EF00A6AE5BAEAD
+:0403F000A69C5B9DCF
+:0403F100A68A5B8BF2
+:0403F200A6785B7A14
+:0403F300A6665B6837
+:0403F400A6545B565A
+:0403F500A6425B457C
+:0403F600A6305B339F
+:0403F700A61E5B21C2
+:0403F800A60D5B10E3
+:0403F900A5FB5AFE08
+:0403FA00A5E95AEC2B
+:0403FB00A5D75ADB4D
+:0403FC00A5C55AC970
+:0403FD00A5B35AB793
+:0403FE00A5A25AA6B4
+:0403FF00A5905A94D7
+:04040000A57E5A82F9
+:04040100A56C5A701C
+:04040200A55A5A5E3F
+:04040300A5495A4D60
+:04040400A5375A3B83
+:04040500A5255A29A6
+:04040600A5145A17C8
+:04040700A5025A05EB
+:04040800A4F059F310
+:04040900A4DF59E231
+:04040A00A4CD59D054
+:04040B00A4BB59BE77
+:04040C00A4AA59AC99
+:04040D00A498599ABC
+:04040E00A4865988DF
+:04040F00A475597601
+:04041000A463596424
+:04041100A452595246
+:04041200A440594069
+:04041300A42F592E8B
+:04041400A41D591CAE
+:04041500A40C590AD0
+:04041600A3FA58F8F5
+:04041700A3E958E617
+:04041800A3D758D43A
+:04041900A3C658C25C
+:04041A00A3B558AF7F
+:04041B00A3A3589DA2
+:04041C00A392588BC4
+:04041D00A3805879E7
+:04041E00A36F586709
+:04041F00A35E58552B
+:04042000A34C58424F
+:04042100A33B583071
+:04042200A32A581E93
+:04042300A318580CB6
+:04042400A30757FAD9
+:04042500A2F657E7FD
+:04042600A2E557D51F
+:04042700A2D357C342
+:04042800A2C257B065
+:04042900A2B1579E87
+:04042A00A2A0578CA9
+:04042B00A28F5779CC
+:04042C00A27D5767EF
+:04042D00A26C575511
+:04042E00A25B574234
+:04042F00A24A573056
+:04043000A239571E78
+:04043100A228570B9B
+:04043200A21756F9BE
+:04043300A20656E6E1
+:04043400A1F556D404
+:04043500A1E456C127
+:04043600A1D256AF4A
+:04043700A1C1569C6D
+:04043800A1B0568A8F
+:04043900A19F5677B2
+:04043A00A18F5665D3
+:04043B00A17E5652F6
+:04043C00A16D564018
+:04043D00A15C562D3B
+:04043E00A14B561B5D
+:04043F00A13A560880
+:04044000A12955F5A4
+:04044100A11855E3C6
+:04044200A10755D0E9
+:04044300A0F655BD0D
+:04044400A0E655AB2E
+:04044500A0D5559851
+:04044600A0C4558574
+:04044700A0B3557396
+:04044800A0A25560B9
+:04044900A092554DDB
+:04044A00A081553AFE
+:04044B00A070552820
+:04044C00A060551542
+:04044D00A04F550265
+:04044E00A03E54EF89
+:04044F00A02D54DDAB
+:04045000A01D54CACD
+:04045100A00C54B7F0
+:040452009FFC54A413
+:040453009FEB549136
+:040454009FDA547E59
+:040455009FCA546B7B
+:040456009FB954599D
+:040457009FA95446BF
+:040458009F985433E2
+:040459009F88542004
+:04045A009F77540D27
+:04045B009F6753FA4A
+:04045C009F5653E76D
+:04045D009F4653D48F
+:04045E009F3553C1B2
+:04045F009F2553AED4
+:040460009F14539BF7
+:040461009F04538819
+:040462009EF353753D
+:040463009EE353625F
+:040464009ED3534F81
+:040465009EC2533CA4
+:040466009EB25328C7
+:040467009EA25315E9
+:040468009E9153020C
+:040469009E8152EF2F
+:04046A009E7152DC51
+:04046B009E6152C973
+:04046C009E5052B696
+:04046D009E4052A2B9
+:04046E009E30528FDB
+:04046F009E20527CFD
+:040470009E1052691F
+:040471009DFF525643
+:040472009DEF524266
+:040473009DDF522F88
+:040474009DCF521CAA
+:040475009DBF5208CD
+:040476009DAF51F5F0
+:040477009D9F51E212
+:040478009D8F51CF34
+:040479009D7F51BB57
+:04047A009D6E51A87A
+:04047B009D5E51959C
+:04047C009D4E5181BF
+:04047D009D3E516EE1
+:04047E009D2E515A04
+:04047F009D1E514726
+:040480009D0F513447
+:040481009CFF51206B
+:040482009CEF510D8D
+:040483009CDF50F9B1
+:040484009CCF50E6D3
+:040485009CBF50D2F6
+:040486009CAF50BF18
+:040487009C9F50AB3B
+:040488009C8F50985D
+:040489009C8050847F
+:04048A009C705071A1
+:04048B009C60505DC4
+:04048C009C50504AE6
+:04048D009C41503608
+:04048E009C3150222B
+:04048F009C21500F4D
+:040490009C114FFB71
+:040491009C024FE892
+:040492009BF24FD4B6
+:040493009BE24FC0D9
+:040494009BD34FADFA
+:040495009BC34F991D
+:040496009BB34F8540
+:040497009BA44F7261
+:040498009B944F5E84
+:040499009B854F4AA6
+:04049A009B754F36C9
+:04049B009B664F23EA
+:04049C009B564F0F0D
+:04049D009B464EFB31
+:04049E009B374EE753
+:04049F009B284ED375
+:0404A0009B184EC097
+:0404A1009B094EACB9
+:0404A2009AF94E98DD
+:0404A3009AEA4E84FF
+:0404A4009ADA4E7022
+:0404A5009ACB4E5C44
+:0404A6009ABC4E4965
+:0404A7009AAC4E3588
+:0404A8009A9D4E21AA
+:0404A9009A8E4E0DCC
+:0404AA009A7E4DF9F0
+:0404AB009A6F4DE512
+:0404AC009A604DD134
+:0404AD009A504DBD57
+:0404AE009A414DA979
+:0404AF009A324D959B
+:0404B0009A234D81BD
+:0404B1009A134D6DE0
+:0404B2009A044D5902
+:0404B30099F54D4525
+:0404B40099E64D3147
+:0404B50099D74D1D69
+:0404B60099C84D098B
+:0404B70099B84CF5AF
+:0404B80099A94CE1D1
+:0404B900999A4CCDF3
+:0404BA00998B4CB915
+:0404BB00997C4CA438
+:0404BC00996D4C905A
+:0404BD00995E4C7C7C
+:0404BE00994F4C689E
+:0404BF0099404C54C0
+:0404C00099314C40E2
+:0404C10099224C2B05
+:0404C20099134C1727
+:0404C30099044C0349
+:0404C40098F54BEF6D
+:0404C50098E64BDB8F
+:0404C60098D84BC6B1
+:0404C70098C94BB2D3
+:0404C80098BA4B9EF5
+:0404C90098AB4B8A17
+:0404CA00989C4B753A
+:0404CB00988D4B615C
+:0404CC00987F4B4D7D
+:0404CD0098704B38A0
+:0404CE0098614B24C2
+:0404CF0098524B10E4
+:0404D00098444AFB07
+:0404D10098354AE729
+:0404D20098264AD24C
+:0404D30098174ABE6E
+:0404D40098094AAA8F
+:0404D50097FA4A95B3
+:0404D60097EC4A81D4
+:0404D70097DD4A6CF7
+:0404D80097CE4A5819
+:0404D90097C04A433B
+:0404DA0097B14A2F5D
+:0404DB0097A34A1B7E
+:0404DC0097944A06A1
+:0404DD00978549F2C4
+:0404DE00977749DDE6
+:0404DF00976849C809
+:0404E000975A49B42A
+:0404E100974C499F4C
+:0404E200973D498B6E
+:0404E300972F497690
+:0404E40097204962B2
+:0404E5009712494DD4
+:0404E60097034938F7
+:0404E70096F5492419
+:0404E80096E7490F3B
+:0404E90096D848FB5E
+:0404EA0096CA48E680
+:0404EB0096BC48D1A2
+:0404EC0096AE48BDC3
+:0404ED00969F48A8E6
+:0404EE009691489308
+:0404EF009683487E2A
+:0404F0009675486A4B
+:0404F100966648556E
+:0404F2009658484090
+:0404F300964A482CB1
+:0404F400963C4817D3
+:0404F500962E4802F5
+:0404F600961F47ED19
+:0404F700961147D83B
+:0404F800960347C45C
+:0404F90095F547AF7F
+:0404FA0095E7479AA1
+:0404FB0095D94785C3
+:0404FC0095CB4770E5
+:0404FD0095BD475B07
+:0404FE0095AF474728
+:0404FF0095A147324A
+:040500009593471D6B
+:04050100958547088D
+:04050200957746F3B0
+:04050300956946DED2
+:04050400955B46C9F4
+:04050500954D46B416
+:040506009540469F37
+:040507009532468A59
+:04050800952446757B
+:04050900951646609D
+:04050A009508464BBF
+:04050B0094FA4636E2
+:04050C0094ED462103
+:04050D0094DF460C25
+:04050E0094D145F748
+:04050F0094C345E26A
+:0405100094B645CD8B
+:0405110094A845B8AD
+:04051200949A45A3CF
+:04051300948D458EF0
+:04051400947F457912
+:040515009471456434
+:040516009464454F55
+:040517009456453978
+:040518009449452499
+:04051900943B450FBB
+:04051A00942D44FADE
+:04051B00942044E5FF
+:04051C00941244D021
+:04051D00940544BA43
+:04051E0093F744A566
+:04051F0093EA449087
+:0405200093DD447BA8
+:0405210093CF4465CB
+:0405220093C24450EC
+:0405230093B4443B0E
+:0405240093A744262F
+:04052500939A441051
+:04052600938C43FB74
+:04052700937F43E695
+:04052800937143D1B7
+:04052900936443BBD9
+:04052A00935743A6FA
+:04052B00934A43911B
+:04052C00933C437B3E
+:04052D00932F43665F
+:04052E009322435081
+:04052F009315433BA2
+:0405300093074326C4
+:0405310092FA4310E7
+:0405320092ED42FB09
+:0405330092E042E52B
+:0405340092D342D04C
+:0405350092C642BB6D
+:0405360092B942A58F
+:0405370092AC4290B0
+:04053800929F427AD2
+:0405390092924265F3
+:04053A009284424F16
+:04053B009277423A37
+:04053C00926A422459
+:04053D00925D420F7A
+:04053E00925141F99C
+:04053F00924441E4BD
+:04054000923741CEDF
+:04054100922A41B900
+:04054200921D41A322
+:040543009210418D44
+:040544009203417865
+:0405450091F6416288
+:0405460091E9414DA9
+:0405470091DD4137CA
+:0405480091D04121EC
+:0405490091C3410C0D
+:04054A0091B640F630
+:04054B0091AA40E051
+:04054C00919D40CB72
+:04054D00919040B594
+:04054E009183409FB6
+:04054F009177408AD6
+:04055000916A4074F8
+:04055100915D405E1A
+:04055200915140483B
+:04055300914440335C
+:040554009138401D7D
+:04055500912B40079F
+:04055600911E3FF0C3
+:0405570091123FDBE3
+:0405580091053FC505
+:0405590090F93FAF27
+:04055A0090EC3F9949
+:04055B0090E03F8469
+:04055C0090D33F6E8B
+:04055D0090C73F58AC
+:04055E0090BB3F42CD
+:04055F0090AE3F2CEF
+:0405600090A23F1610
+:0405610090953F0032
+:0405620090893EEB53
+:04056300907D3ED574
+:0405640090703EBF96
+:0405650090643EA9B7
+:0405660090583E93D8
+:04056700904B3E7DFA
+:04056800903F3E671B
+:0405690090333E513C
+:04056A0090273E3B5D
+:04056B00901A3E257F
+:04056C00900E3E0FA0
+:04056D0090023DF9C2
+:04056E008FF63DE3E4
+:04056F008FEA3DCD05
+:040570008FDE3DB726
+:040571008FD23DA147
+:040572008FC53D8B69
+:040573008FB93D758A
+:040574008FAD3D5FAB
+:040575008FA13D49CC
+:040576008F953D33ED
+:040577008F893D1D0E
+:040578008F7D3D072F
+:040579008F713CF151
+:04057A008F653CDB72
+:04057B008F593CC494
+:04057C008F4D3CAEB5
+:04057D008F423C98D5
+:04057E008F363C82F6
+:04057F008F2A3C6C17
+:040580008F1E3C5638
+:040581008F123C4059
+:040582008F063C297B
+:040583008EFB3C139C
+:040584008EEF3BFDBE
+:040585008EE33BE7DF
+:040586008ED73BD100
+:040587008ECB3BBA22
+:040588008EC03BA442
+:040589008EB43B8E63
+:04058A008EA83B7884
+:04058B008E9D3B61A5
+:04058C008E913B4BC6
+:04058D008E853B35E7
+:04058E008E7A3B1F07
+:04058F008E6E3B0829
+:040590008E633AF24A
+:040591008E573ADC6B
+:040592008E4B3AC58D
+:040593008E403AAFAD
+:040594008E343A99CE
+:040595008E293A82EF
+:040596008E1D3A6C10
+:040597008E123A5630
+:040598008E073A3F51
+:040599008DFB3A2973
+:04059A008DF03A1294
+:04059B008DE439FCB6
+:04059C008DD939E6D6
+:04059D008DCE39CFF7
+:04059E008DC239B918
+:04059F008DB739A239
+:0405A0008DAC398C59
+:0405A1008DA039767A
+:0405A2008D95395F9B
+:0405A3008D8A3949BB
+:0405A4008D7F3932DC
+:0405A5008D73391CFD
+:0405A6008D6839051E
+:0405A7008D5D38EF3F
+:0405A8008D5238D860
+:0405A9008D4738C280
+:0405AA008D3B38ABA2
+:0405AB008D303895C2
+:0405AC008D25387EE3
+:0405AD008D1A386704
+:0405AE008D0F385124
+:0405AF008D04383A45
+:0405B0008CF9382466
+:0405B1008CEE380D87
+:0405B2008CE337F7A8
+:0405B3008CD837E0C9
+:0405B4008CCD37C9EA
+:0405B5008CC237B30A
+:0405B6008CB7379C2B
+:0405B7008CAC37854C
+:0405B8008CA1376F6C
+:0405B9008C9737588C
+:0405BA008C8C3741AD
+:0405BB008C81372BCD
+:0405BC008C763714EE
+:0405BD008C6B36FD10
+:0405BE008C6036E730
+:0405BF008C5636D050
+:0405C0008C4B36B971
+:0405C1008C4036A391
+:0405C2008C35368CB2
+:0405C3008C2B3675D2
+:0405C4008C20365EF3
+:0405C5008C15364813
+:0405C6008C0B363133
+:0405C7008C00361A54
+:0405C8008BF5360376
+:0405C9008BEB35EC97
+:0405CA008BE035D6B7
+:0405CB008BD635BFD7
+:0405CC008BCB35A8F8
+:0405CD008BC1359118
+:0405CE008BB6357A39
+:0405CF008BAC356458
+:0405D0008BA1354D79
+:0405D1008B97353699
+:0405D2008B8C351FBA
+:0405D3008B823508DA
+:0405D4008B7734F1FC
+:0405D5008B6D34DA1C
+:0405D6008B6334C33C
+:0405D7008B5834AD5C
+:0405D8008B4E34967C
+:0405D9008B44347F9C
+:0405DA008B393468BD
+:0405DB008B2F3451DD
+:0405DC008B25343AFD
+:0405DD008B1B34231D
+:0405DE008B10340C3E
+:0405DF008B0633F55F
+:0405E0008AFC33DE80
+:0405E1008AF233C7A0
+:0405E2008AE833B0C0
+:0405E3008ADE3399E0
+:0405E4008AD3338201
+:0405E5008AC9336B21
+:0405E6008ABF335441
+:0405E7008AB5333D61
+:0405E8008AAB332681
+:0405E9008AA1330FA1
+:0405EA008A9732F8C2
+:0405EB008A8D32E1E2
+:0405EC008A8332CA02
+:0405ED008A7932B322
+:0405EE008A6F329C42
+:0405EF008A65328562
+:0405F0008A5B326E82
+:0405F1008A513256A3
+:0405F2008A48323FC2
+:0405F3008A3E3228E2
+:0405F4008A34321102
+:0405F5008A2A31FA23
+:0405F6008A2031E343
+:0405F7008A1631CC63
+:0405F8008A0D31B582
+:0405F9008A03319DA3
+:0405FA0089F93186C4
+:0405FB0089EF316FE4
+:0405FC0089E6315803
+:0405FD0089DC314123
+:0405FE0089D2312944
+:0405FF0089C9311263
+:0406000089BF30FB83
+:0406010089B630E4A2
+:0406020089AC30CDC2
+:0406030089A230B5E3
+:040604008999309E02
+:04060500898F308722
+:040606008986307041
+:04060700897C305862
+:040608008973304181
+:040609008969302AA1
+:04060A0089603012C1
+:04060B0089562FFBE2
+:04060C00894D2FE401
+:04060D0089442FCD20
+:04060E00893A2FB541
+:04060F0089312F9E60
+:0406100089282F877F
+:04061100891E2F6FA0
+:0406120089152F58BF
+:04061300890C2F41DE
+:0406140089022F29FF
+:0406150088F92F121F
+:0406160088F02EFA40
+:0406170088E72EE35F
+:0406180088DD2ECC7F
+:0406190088D42EB49F
+:04061A0088CB2E9DBE
+:04061B0088C22E85DE
+:04061C0088B92E6EFD
+:04061D0088B02E571C
+:04061E0088A72E3F3C
+:04061F00889E2E285B
+:0406200088952E107B
+:04062100888C2DF99B
+:0406220088832DE1BB
+:04062300887A2DCADA
+:0406240088712DB2FA
+:0406250088682D9B19
+:04062600885F2D8438
+:0406270088562D6C58
+:04062800884D2D5577
+:0406290088442D3D97
+:04062A00883B2D26B6
+:04062B0088322D0ED6
+:04062C0088292CF6F7
+:04062D0088202CDF16
+:04062E0088182CC735
+:04062F00880F2CB054
+:0406300088062C9874
+:0406310087FD2C8194
+:0406320087F52C69B3
+:0406330087EC2C52D2
+:0406340087E32C3AF2
+:0406350087DB2C2211
+:0406360087D22C0B30
+:0406370087C92BF351
+:0406380087C12BDC6F
+:0406390087B82BC48F
+:04063A0087AF2BACAF
+:04063B0087A72B95CD
+:04063C00879E2B7DED
+:04063D0087962B650C
+:04063E00878D2B4E2B
+:04063F0087852B364A
+:04064000877C2B1F69
+:0406410087742B0788
+:04064200876B2AEFA9
+:0406430087632AD8C7
+:04064400875B2AC0E6
+:0406450087522AA806
+:04064600874A2A9025
+:0406470087422A7943
+:0406480087392A6163
+:0406490087312A4982
+:04064A0087292A32A0
+:04064B0087202A1AC0
+:04064C0087182A02DF
+:04064D00871029EAFF
+:04064E00870829D31D
+:04064F0086FF29BB3E
+:0406500086F729A35D
+:0406510086EF298B7C
+:0406520086E729749A
+:0406530086DF295CB9
+:0406540086D72944D8
+:0406550086CF292CF7
+:0406560086C7291416
+:0406570086BE28FD36
+:0406580086B628E555
+:0406590086AE28CD74
+:04065A0086A628B593
+:04065B00869E289DB2
+:04065C0086962885D1
+:04065D00868E286EEF
+:04065E00868728560D
+:04065F00867F283E2C
+:04066000867728264B
+:04066100866F280E6A
+:04066200866727F68A
+:04066300865F27DEA9
+:04066400865727C7C7
+:04066500865027AFE5
+:040666008648279704
+:040667008640277F23
+:040668008638276742
+:040669008631274F60
+:04066A00862927377F
+:04066B008621271F9E
+:04066C0086192707BD
+:04066D00861226EFDC
+:04066E00860A26D7FB
+:04066F00860326BF19
+:0406700085FB26A838
+:0406710085F3269057
+:0406720085EC267875
+:0406730085E4266094
+:0406740085DD2648B2
+:0406750085D52630D1
+:0406760085CE2618EF
+:0406770085C626000E
+:0406780085BF25E82D
+:0406790085B725D04C
+:04067A0085B025B86A
+:04067B0085A925A088
+:04067C0085A12588A7
+:04067D00859A2570C5
+:04067E0085932558E3
+:04067F00858B254002
+:040680008584252721
+:04068100857D250F3F
+:04068200857524F75F
+:04068300856E24DF7D
+:04068400856724C79B
+:04068500856024AFB9
+:0406860085582497D8
+:040687008551247FF6
+:04068800854A246714
+:040689008543244F32
+:04068A00853C243750
+:04068B008535241F6E
+:04068C00852E24068D
+:04068D00852723EEAC
+:04068E00852023D6CA
+:04068F00851923BEE8
+:04069000851223A606
+:04069100850B238E24
+:040692008504237642
+:0406930084FD235E61
+:0406940084F6234580
+:0406950084EF232D9E
+:0406960084E82315BC
+:0406970084E122FDDB
+:0406980084DA22E5F9
+:0406990084D322CD17
+:04069A0084CC22B436
+:04069B0084C6229C53
+:04069C0084BF228471
+:04069D0084B8226C8F
+:04069E0084B12254AD
+:04069F0084AB223BCB
+:0406A00084A42223E9
+:0406A100849D220B07
+:0406A200849721F325
+:0406A300849021DA44
+:0406A400848921C262
+:0406A500848321AA7F
+:0406A600847C21929D
+:0406A70084752179BC
+:0406A800846F2161D9
+:0406A90084682149F7
+:0406AA008462213114
+:0406AB00845B211833
+:0406AC008455210050
+:0406AD00844E20E86F
+:0406AE00844820D08C
+:0406AF00844120B7AB
+:0406B000843B209FC8
+:0406B10084352087E5
+:0406B200842E206E04
+:0406B3008428205621
+:0406B4008422203E3E
+:0406B500841B20255D
+:0406B6008415200D7A
+:0406B700840F1FF598
+:0406B80084081FDCB7
+:0406B90084021FC4D4
+:0406BA0083FC1FACF2
+:0406BB0083F61F9310
+:0406BC0083F01F7B2D
+:0406BD0083E91F634B
+:0406BE0083E31F4A69
+:0406BF0083DD1F3286
+:0406C00083D71F19A4
+:0406C10083D11F01C1
+:0406C20083CB1EE9DF
+:0406C30083C51ED0FD
+:0406C40083BF1EB81A
+:0406C50083B91EA037
+:0406C60083B31E8755
+:0406C70083AD1E6F72
+:0406C80083A71E5690
+:0406C90083A11E3EAD
+:0406CA00839B1E25CB
+:0406CB0083951E0DE8
+:0406CC00838F1DF506
+:0406CD0083891DDC24
+:0406CE0083831DC441
+:0406CF00837D1DAB5F
+:0406D00083781D937B
+:0406D10083721D7A99
+:0406D200836C1D62B6
+:0406D30083661D49D4
+:0406D40083611D31F0
+:0406D500835B1D190D
+:0406D60083551D002B
+:0406D700834F1CE849
+:0406D800834A1CCF66
+:0406D90083441CB783
+:0406DA00833F1C9EA0
+:0406DB0083391C86BD
+:0406DC0083331C6DDB
+:0406DD00832E1C55F7
+:0406DE0083281C3C15
+:0406DF0083231C2431
+:0406E000831D1C0B4F
+:0406E10083181BF36C
+:0406E20083121BDA8A
+:0406E300830D1BC1A7
+:0406E40083071BA9C4
+:0406E50083021B90E1
+:0406E60082FC1B78FF
+:0406E70082F71B5F1C
+:0406E80082F21B4738
+:0406E90082EC1B2E56
+:0406EA0082E71B1672
+:0406EB0082E21AFD90
+:0406EC0082DC1AE5AD
+:0406ED0082D71ACCCA
+:0406EE0082D21AB3E7
+:0406EF0082CD1A9B03
+:0406F00082C71A8221
+:0406F10082C21A6A3D
+:0406F20082BD1A515A
+:0406F30082B81A3877
+:0406F40082B31A2093
+:0406F50082AE1A07B0
+:0406F60082A919EFCD
+:0406F70082A319D6EB
+:0406F800829E19BD08
+:0406F900829919A524
+:0406FA008294198C41
+:0406FB00828F19745D
+:0406FC00828A195B7A
+:0406FD008285194297
+:0406FE008280192AB3
+:0406FF00827C1911CF
+:04070000827718F8EC
+:04070100827218E008
+:04070200826D18C725
+:04070300826818AE42
+:04070400826318965E
+:04070500825E187D7B
+:04070600825A186497
+:040707008255184CB3
+:0407080082501833D0
+:04070900824B181AED
+:04070A008247180208
+:04070B00824217E926
+:04070C00823D17D043
+:04070D00823817B85F
+:04070E008234179F7B
+:04070F00822F178698
+:04071000822B176DB4
+:0407110082261755D0
+:040712008221173CED
+:04071300821D172309
+:040714008218170B25
+:04071500821416F242
+:04071600820F16D95F
+:04071700820B16C07B
+:04071800820616A897
+:040719008202168FB3
+:04071A0081FE1676D0
+:04071B0081F9165EEC
+:04071C0081F5164508
+:04071D0081F0162C25
+:04071E0081EC161341
+:04071F0081E815FA5E
+:0407200081E315E27A
+:0407210081DF15C996
+:0407220081DB15B0B2
+:0407230081D71597CE
+:0407240081D2157FEA
+:0407250081CE156606
+:0407260081CA154D22
+:0407270081C615343E
+:0407280081C2151C59
+:0407290081BD150376
+:04072A0081B914EA93
+:04072B0081B514D1AF
+:04072C0081B114B8CB
+:04072D0081AD14A0E6
+:04072E0081A9148702
+:04072F0081A5146E1E
+:0407300081A114553A
+:04073100819D143C56
+:040732008199142471
+:040733008195140B8D
+:04073400819113F2AA
+:04073500818D13D9C6
+:04073600818913C0E2
+:04073700818613A7FD
+:040738008182138F18
+:04073900817E137634
+:04073A00817A135D50
+:04073B00817613446C
+:04073C008173132B87
+:04073D00816F1312A3
+:04073E00816B12F9C0
+:04073F00816712E1DB
+:04074000816412C8F6
+:04074100816012AF12
+:04074200815C12962E
+:040743008159127D49
+:040744008155126465
+:040745008151124B81
+:04074600814E12339B
+:04074700814A121AB7
+:0407480081471201D2
+:04074900814311E8EF
+:04074A00814011CF0A
+:04074B00813C11B626
+:04074C008139119D41
+:04074D00813511845D
+:04074E008132116B78
+:04074F00812E115393
+:04075000812B113AAE
+:0407510081281121C9
+:0407520081241108E5
+:04075300812110EF01
+:04075400811E10D61C
+:04075500811A10BD38
+:04075600811710A453
+:040757008114108B6E
+:040758008111107289
+:04075900810D1059A5
+:04075A00810A1041BF
+:04075B0081071028DA
+:04075C008104100FF5
+:04075D0081010FF611
+:04075E0080FE0FDD2D
+:04075F0080FB0FC448
+:0407600080F70FAB64
+:0407610080F40F927F
+:0407620080F10F799A
+:0407630080EE0F60B5
+:0407640080EB0F47D0
+:0407650080E80F2EEB
+:0407660080E50F1506
+:0407670080E20EFC22
+:0407680080DF0EE33D
+:0407690080DD0ECA57
+:04076A0080DA0EB172
+:04076B0080D70E988D
+:04076C0080D40E7FA8
+:04076D0080D10E66C3
+:04076E0080CE0E4DDE
+:04076F0080CB0E35F8
+:0407700080C90E1C12
+:0407710080C60E032D
+:0407720080C30DEA49
+:0407730080C00DD164
+:0407740080BE0DB87E
+:0407750080BB0D9F99
+:0407760080B80D86B4
+:0407770080B60D6DCE
+:0407780080B30D54E9
+:0407790080B00D3B04
+:04077A0080AE0D221E
+:04077B0080AB0D0939
+:04077C0080A90CF054
+:04077D0080A60CD76F
+:04077E0080A40CBE89
+:04077F0080A10CA5A4
+:04078000809F0C8CBE
+:04078100809C0C73D9
+:04078200809A0C5AF3
+:0407830080970C410E
+:0407840080950C2828
+:0407850080930C0F42
+:0407860080900BF65E
+:04078700808E0BDD78
+:04078800808C0BC393
+:0407890080890BAAAE
+:04078A0080870B91C8
+:04078B0080850B78E2
+:04078C0080830B5FFC
+:04078D0080800B4617
+:04078E00807E0B2D31
+:04078F00807C0B144B
+:04079000807A0AFB66
+:0407910080780AE280
+:0407920080760AC99A
+:0407930080730AB0B5
+:0407940080710A97CF
+:04079500806F0A7EE9
+:04079600806D0A6503
+:04079700806B0A4C1D
+:0407980080690A3337
+:0407990080670A1A51
+:04079A0080650A016B
+:04079B00806309E886
+:04079C00806109CFA0
+:04079D00805F09B6BA
+:04079E00805E099DD3
+:04079F00805C0983EE
+:0407A000805A096A08
+:0407A1008058095122
+:0407A200805609383C
+:0407A3008054091F56
+:0407A400805309066F
+:0407A500805108ED8A
+:0407A600804F08D4A4
+:0407A700804D08BBBE
+:0407A800804C08A2D7
+:0407A900804A0889F1
+:0407AA00804808700B
+:0407AB008047085724
+:0407AC008045083E3E
+:0407AD008043082459
+:0407AE008042080B72
+:0407AF00804007F28D
+:0407B000803F07D9A6
+:0407B100803D07C0C0
+:0407B200803C07A7D9
+:0407B300803A078EF3
+:0407B400803907750C
+:0407B5008037075C26
+:0407B600803607433F
+:0407B7008034072A59
+:0407B8008033071172
+:0407B900803206F78D
+:0407BA00803006DEA7
+:0407BB00802F06C5C0
+:0407BC00802E06ACD9
+:0407BD00802C0693F3
+:0407BE00802B067A0C
+:0407BF00802A066125
+:0407C000802806483F
+:0407C1008027062F58
+:0407C2008026061671
+:0407C300802505FC8C
+:0407C400802405E3A5
+:0407C500802305CABE
+:0407C600802105B1D8
+:0407C70080200598F1
+:0407C800801F057F0A
+:0407C900801E056623
+:0407CA00801D054D3C
+:0407CB00801C053455
+:0407CC00801B051A6F
+:0407CD00801A050188
+:0407CE00801904E8A2
+:0407CF00801804CFBB
+:0407D000801704B6D4
+:0407D1008016049DED
+:0407D2008015048406
+:0407D3008015046B1E
+:0407D4008014045237
+:0407D5008013043851
+:0407D6008012041F6A
+:0407D7008011040683
+:0407D800801003ED9D
+:0407D900801003D4B5
+:0407DA00800F03BBCE
+:0407DB00800E03A2E7
+:0407DC00800D038900
+:0407DD00800D036F19
+:0407DE00800C035632
+:0407DF00800B033D4B
+:0407E000800B032463
+:0407E100800A030B7C
+:0407E200800A02F295
+:0407E300800902D9AE
+:0407E400800902C0C6
+:0407E500800802A6E0
+:0407E6008008028DF8
+:0407E7008007027411
+:0407E8008007025B29
+:0407E9008006024242
+:0407EA00800602295A
+:0407EB008005021073
+:0407EC00800501F78C
+:0407ED00800401DDA6
+:0407EE00800401C4BE
+:0407EF00800401ABD6
+:0407F00080030192EF
+:0407F1008003017907
+:0407F200800301601F
+:0407F3008003014737
+:0407F4008002012E50
+:0407F5008002011469
+:0407F600800200FB82
+:0407F700800200E29A
+:0407F800800200C9B2
+:0407F900800100B0CB
+:0407FA0080010097E3
+:0407FB008001007EFB
+:0407FC008001006513
+:0407FD008001004B2C
+:0407FE008001003244
+:0407FF00800100195C
+:040800008001000073
+:040801008000FFE78D
+:040802008000FFCEA5
+:040803008000FFB5BD
+:040804008000FF9BD6
+:040805008000FF82EE
+:040806008000FF6906
+:040807008000FF501E
+:040808008001FF3735
+:040809008001FF1E4D
+:04080A008001FF0565
+:04080B008001FEEC7E
+:04080C008001FED297
+:04080D008002FEB9AE
+:04080E008002FEA0C6
+:04080F008002FE87DE
+:040810008002FE6EF6
+:040811008003FE550D
+:040812008003FE3C25
+:040813008003FE233D
+:040814008004FE0955
+:040815008004FDF06E
+:040816008005FDD785
+:040817008005FDBE9D
+:040818008006FDA5B4
+:040819008006FD8CCC
+:04081A008007FD73E3
+:04081B008007FD5AFB
+:04081C008008FD4013
+:04081D008008FD272B
+:04081E008009FD0E42
+:04081F008009FCF55B
+:04082000800AFCDC72
+:04082100800AFCC38A
+:04082200800BFCAAA1
+:04082300800CFC91B8
+:04082400800CFC77D1
+:04082500800DFC5EE8
+:04082600800EFC45FF
+:04082700800FFC2C16
+:04082800800FFC132E
+:040829008010FBFA46
+:04082A008011FBE15D
+:04082B008012FBC874
+:04082C008013FBAE8C
+:04082D008014FB95A3
+:04082E008014FB7CBB
+:04082F008015FB63D2
+:040830008016FB4AE9
+:040831008017FB3100
+:040832008018FB1817
+:040833008019FAFF2F
+:04083400801AFAE646
+:04083500801BFACC5E
+:04083600801CFAB375
+:04083700801DFA9A8C
+:04083800801EFA81A3
+:04083900801FFA68BA
+:04083A008020FA4FD1
+:04083B008022FA36E7
+:04083C008023FA1DFE
+:04083D008024FA0415
+:04083E008025F9EA2E
+:04083F008026F9D145
+:040840008027F9B85C
+:040841008029F99F72
+:04084200802AF98689
+:04084300802BF96DA0
+:04084400802DF954B6
+:04084500802EF93BCD
+:04084600802FF922E4
+:040847008031F909FA
+:040848008032F8EF13
+:040849008033F8D62A
+:04084A008035F8BD40
+:04084B008036F8A457
+:04084C008038F88B6D
+:04084D008039F87284
+:04084E00803BF8599A
+:04084F00803CF840B1
+:04085000803EF827C7
+:04085100803FF80EDE
+:040852008041F7F5F5
+:040853008042F7DC0C
+:040854008044F7C223
+:040855008046F7A939
+:040856008047F79050
+:040857008049F77766
+:04085800804BF75E7C
+:04085900804CF74593
+:04085A00804EF72CA9
+:04085B008050F713BF
+:04085C008052F6FAD6
+:04085D008053F6E1ED
+:04085E008055F6C803
+:04085F008057F6AF19
+:040860008059F6962F
+:04086100805BF67D45
+:04086200805DF6635C
+:04086300805EF64A73
+:040864008060F63189
+:040865008062F6189F
+:040866008064F5FFB6
+:040867008066F5E6CC
+:040868008068F5CDE2
+:04086900806AF5B4F8
+:04086A00806CF59B0E
+:04086B00806EF58224
+:04086C008070F5693A
+:04086D008072F55050
+:04086E008075F53765
+:04086F008077F51E7B
+:040870008079F50591
+:04087100807BF4ECA8
+:04087200807DF4D3BE
+:04087300807FF4BAD4
+:040874008082F4A1E9
+:040875008084F488FF
+:040876008086F46F15
+:040877008088F4562B
+:04087800808BF43D40
+:04087900808DF42357
+:04087A00808FF40A6D
+:04087B008092F3F183
+:04087C008094F3D899
+:04087D008096F3BFAF
+:04087E008099F3A6C4
+:04087F00809BF38DDA
+:04088000809EF374EF
+:0408810080A0F35B05
+:0408820080A3F3421A
+:0408830080A5F32930
+:0408840080A8F31045
+:0408850080AAF2F75C
+:0408860080ADF2DE71
+:0408870080AFF2C587
+:0408880080B2F2AC9C
+:0408890080B5F293B1
+:04088A0080B7F27AC7
+:04088B0080BAF261DC
+:04088C0080BDF248F1
+:04088D0080BFF22F07
+:04088E0080C2F2161C
+:04088F0080C5F1FD32
+:0408900080C8F1E447
+:0408910080CAF1CB5D
+:0408920080CDF1B371
+:0408930080D0F19A86
+:0408940080D3F1819B
+:0408950080D6F168B0
+:0408960080D9F14FC5
+:0408970080DCF136DA
+:0408980080DEF11DF0
+:0408990080E1F10405
+:04089A0080E4F0EB1B
+:04089B0080E7F0D230
+:04089C0080EAF0B945
+:04089D0080EDF0A05A
+:04089E0080F0F0876F
+:04089F0080F3F06E84
+:0408A00080F6F05599
+:0408A10080FAF03CAD
+:0408A20080FDF023C2
+:0408A3008100F00AD6
+:0408A4008103EFF1EC
+:0408A5008106EFD801
+:0408A6008109EFBF16
+:0408A700810CEFA72A
+:0408A8008110EF8E3E
+:0408A9008113EF7553
+:0408AA008116EF5C68
+:0408AB008119EF437D
+:0408AC00811DEF2A91
+:0408AD008120EF11A6
+:0408AE008123EEF8BC
+:0408AF008127EEDFD0
+:0408B000812AEEC6E5
+:0408B100812DEEADFA
+:0408B2008131EE950D
+:0408B3008134EE7C22
+:0408B4008138EE6336
+:0408B500813BEE4A4B
+:0408B600813FEE315F
+:0408B7008142EE1874
+:0408B8008146EDFF89
+:0408B9008149EDE69E
+:0408BA00814DEDCDB2
+:0408BB008150EDB5C6
+:0408BC008154ED9CDA
+:0408BD008158ED83EE
+:0408BE00815BED6A03
+:0408BF00815FED5117
+:0408C0008163ED382B
+:0408C1008166ED1F40
+:0408C200816AED0753
+:0408C300816EECEE68
+:0408C4008172ECD57C
+:0408C5008175ECBC91
+:0408C6008179ECA3A5
+:0408C700817DEC8AB9
+:0408C8008181EC71CD
+:0408C9008185EC59E0
+:0408CA008188EC40F5
+:0408CB00818CEC2709
+:0408CC008190EC0E1D
+:0408CD008194EBF532
+:0408CE008198EBDC46
+:0408CF00819CEBC459
+:0408D00081A0EBAB6D
+:0408D10081A4EB9281
+:0408D20081A8EB7995
+:0408D30081ACEB60A9
+:0408D40081B0EB48BC
+:0408D50081B4EB2FD0
+:0408D60081B8EB16E4
+:0408D70081BCEAFDF9
+:0408D80081C1EAE40C
+:0408D90081C5EACC1F
+:0408DA0081C9EAB333
+:0408DB0081CDEA9A47
+:0408DC0081D1EA815B
+:0408DD0081D6EA696D
+:0408DE0081DAEA5081
+:0408DF0081DEEA3795
+:0408E00081E2EA1EA9
+:0408E10081E7EA06BB
+:0408E20081EBE9EDD0
+:0408E30081EFE9D4E4
+:0408E40081F4E9BBF7
+:0408E50081F8E9A20B
+:0408E60081FDE98A1D
+:0408E7008201E97130
+:0408E8008205E95844
+:0408E900820AE94056
+:0408EA00820EE9276A
+:0408EB008213E90E7D
+:0408EC008217E8F592
+:0408ED00821CE8DDA4
+:0408EE008220E8C4B8
+:0408EF008225E8ABCB
+:0408F000822AE893DD
+:0408F100822EE87AF1
+:0408F2008233E86104
+:0408F3008237E84818
+:0408F400823CE8302A
+:0408F5008241E8173D
+:0408F6008246E7FE51
+:0408F700824AE7E664
+:0408F800824FE7CD77
+:0408F9008254E7B48A
+:0408FA008259E79C9C
+:0408FB00825DE783B0
+:0408FC008262E76AC3
+:0408FD008267E752D5
+:0408FE00826CE739E8
+:0408FF008271E720FB
+:040900008276E7080C
+:04090100827BE6EF20
+:04090200827FE6D634
+:040903008284E6BE46
+:040904008289E6A559
+:04090500828EE68C6C
+:040906008293E6747E
+:040907008298E65B91
+:04090800829DE643A3
+:0409090082A2E62AB6
+:04090A0082A8E611C8
+:04090B0082ADE5F9DB
+:04090C0082B2E5E0EE
+:04090D0082B7E5C800
+:04090E0082BCE5AF13
+:04090F0082C1E59626
+:0409100082C6E57E38
+:0409110082CCE5654A
+:0409120082D1E54D5C
+:0409130082D6E5346F
+:0409140082DBE51B82
+:0409150082E1E50393
+:0409160082E6E4EAA7
+:0409170082EBE4D2B9
+:0409180082F1E4B9CB
+:0409190082F6E4A1DD
+:04091A0082FBE488F0
+:04091B008301E47000
+:04091C008306E45713
+:04091D00830CE43F24
+:04091E008311E42637
+:04091F008317E40D49
+:04092000831CE3F55C
+:040921008322E3DC6E
+:040922008327E3C480
+:04092300832DE3AB92
+:040924008332E393A4
+:040925008338E37AB6
+:04092600833EE362C7
+:040927008343E349DA
+:040928008349E331EB
+:04092900834EE318FE
+:04092A008354E3000F
+:04092B00835AE2E722
+:04092C008360E2CF33
+:04092D008365E2B745
+:04092E00836BE29E57
+:04092F008371E28668
+:040930008377E26D7A
+:04093100837CE2558C
+:040932008382E23C9E
+:040933008388E224AF
+:04093400838EE20BC1
+:040935008394E1F3D3
+:04093600839AE1DBE4
+:0409370083A0E1C2F6
+:0409380083A6E1AA07
+:0409390083ACE19119
+:04093A0083B2E1792A
+:04093B0083B8E1603C
+:04093C0083BEE1484D
+:04093D0083C4E1305E
+:04093E0083CAE11770
+:04093F0083D0E0FF82
+:0409400083D6E0E793
+:0409410083DCE0CEA5
+:0409420083E2E0B6B6
+:0409430083E8E09DC8
+:0409440083EFE085D8
+:0409450083F5E06DE9
+:0409460083FBE054FB
+:040947008401E03C0B
+:040948008407E0241C
+:04094900840EE00B2D
+:04094A008414DFF33F
+:04094B00841ADFDB50
+:04094C008421DFC261
+:04094D008427DFAA72
+:04094E00842DDF9283
+:04094F008434DF7994
+:04095000843ADF61A5
+:040951008440DF49B6
+:040952008447DF30C7
+:04095300844DDF18D8
+:040954008454DF00E8
+:04095500845ADEE8FA
+:040956008461DECF0B
+:040957008467DEB71C
+:04095800846EDE9F2C
+:040959008474DE873D
+:04095A00847BDE6E4E
+:04095B008482DE565E
+:04095C008488DE3E6F
+:04095D00848FDE267F
+:04095E008496DE0D90
+:04095F00849CDDF5A2
+:0409600084A3DDDDB2
+:0409610084AADDC5C2
+:0409620084B0DDACD4
+:0409630084B7DD94E4
+:0409640084BEDD7CF4
+:0409650084C5DD6404
+:0409660084CBDD4C15
+:0409670084D2DD3326
+:0409680084D9DD1B36
+:0409690084E0DD0346
+:04096A0084E7DCEB57
+:04096B0084EEDCD367
+:04096C0084F5DCBB77
+:04096D0084FCDCA288
+:04096E008503DC8A97
+:04096F00850ADC72A7
+:040970008511DC5AB7
+:040971008518DC42C7
+:04097200851FDC2AD7
+:040973008526DC12E7
+:04097400852DDBFAF8
+:040975008534DBE109
+:04097600853BDBC919
+:040977008542DBB129
+:040978008549DB9939
+:040979008550DB8149
+:04097A008557DB6959
+:04097B00855FDB5168
+:04097C008566DB3978
+:04097D00856DDB2188
+:04097E008574DB0998
+:04097F00857CDAF1A8
+:040980008583DAD9B8
+:04098100858ADAC0C9
+:040982008592DAA8D8
+:040983008599DA90E8
+:0409840085A0DA78F8
+:0409850085A8DA6007
+:0409860085AFDA4817
+:0409870085B6DA3027
+:0409880085BEDA1836
+:0409890085C5DA0046
+:04098A0085CDD9E856
+:04098B0085D4D9D066
+:04098C0085DCD9B875
+:04098D0085E3D9A085
+:04098E0085EBD98894
+:04098F0085F2D970A4
+:0409900085FAD958B3
+:040991008602D941C0
+:040992008609D929D0
+:040993008611D911DF
+:040994008618D8F9F0
+:040995008620D8E1FF
+:040996008628D8C90E
+:040997008630D8B11D
+:040998008637D8992D
+:04099900863FD8813C
+:04099A008647D8694B
+:04099B00864FD8515A
+:04099C008656D8396A
+:04099D00865ED82278
+:04099E008666D80A87
+:04099F00866ED7F297
+:0409A0008676D7DAA6
+:0409A100867ED7C2B5
+:0409A2008686D7AAC4
+:0409A300868DD792D4
+:0409A4008695D77BE2
+:0409A500869DD763F1
+:0409A60086A5D74B00
+:0409A70086ADD7330F
+:0409A80086B5D71B1E
+:0409A90086BDD7032D
+:0409AA0086C6D6EC3B
+:0409AB0086CED6D44A
+:0409AC0086D6D6BC59
+:0409AD0086DED6A468
+:0409AE0086E6D68C77
+:0409AF0086EED67585
+:0409B00086F6D65D94
+:0409B10086FED645A3
+:0409B2008707D62DB0
+:0409B300870FD616BE
+:0409B4008717D5FECE
+:0409B500871FD5E6DD
+:0409B6008728D5CEEB
+:0409B7008730D5B7F9
+:0409B8008738D59F08
+:0409B9008741D58716
+:0409BA008749D57024
+:0409BB008751D55833
+:0409BC00875AD54041
+:0409BD008762D52850
+:0409BE00876AD5115E
+:0409BF008773D4F96D
+:0409C000877BD4E17C
+:0409C1008784D4CA89
+:0409C200878CD4B298
+:0409C3008795D49BA5
+:0409C400879DD483B4
+:0409C50087A6D46BC2
+:0409C60087AED454D0
+:0409C70087B7D43CDE
+:0409C80087C0D424EC
+:0409C90087C8D40DFA
+:0409CA0087D1D3F509
+:0409CB0087DAD3DE16
+:0409CC0087E2D3C625
+:0409CD0087EBD3AE33
+:0409CE0087F4D39740
+:0409CF0087FCD37F4F
+:0409D0008805D3685B
+:0409D100880ED35069
+:0409D2008817D33976
+:0409D300881FD32185
+:0409D4008828D30A92
+:0409D5008831D2F2A1
+:0409D600883AD2DAAF
+:0409D7008843D2C3BC
+:0409D800884CD2ABCA
+:0409D9008855D294D7
+:0409DA00885ED27CE5
+:0409DB008867D265F2
+:0409DC008870D24EFF
+:0409DD008879D2360D
+:0409DE008882D21F1A
+:0409DF00888BD20728
+:0409E0008894D1F036
+:0409E100889DD1D844
+:0409E20088A6D1C151
+:0409E30088AFD1A95F
+:0409E40088B8D1926C
+:0409E50088C1D17B79
+:0409E60088CAD16387
+:0409E70088D3D14C94
+:0409E80088DCD134A2
+:0409E90088E6D11DAE
+:0409EA0088EFD106BB
+:0409EB0088F8D0EECA
+:0409EC008901D0D7D6
+:0409ED00890BD0BFE3
+:0409EE008914D0A8F0
+:0409EF00891DD091FD
+:0409F0008927D0790A
+:0409F1008930D06217
+:0409F2008939D04B24
+:0409F3008943D03331
+:0409F400894CD01C3E
+:0409F5008955D0054B
+:0409F600895FCFEE58
+:0409F7008968CFD666
+:0409F8008972CFBF72
+:0409F900897BCFA87F
+:0409FA008985CF908C
+:0409FB00898ECF7999
+:0409FC008998CF62A5
+:0409FD0089A1CF4BB2
+:0409FE0089ABCF33BF
+:0409FF0089B5CF1CCB
+:040A000089BECF05D7
+:040A010089C8CEEEE4
+:040A020089D1CED7F1
+:040A030089DBCEBFFE
+:040A040089E5CEA80A
+:040A050089EECE9117
+:040A060089F8CE7A23
+:040A07008A02CE632E
+:040A08008A0CCE4B3B
+:040A09008A15CE3448
+:040A0A008A1FCE1D54
+:040A0B008A29CE0660
+:040A0C008A33CDEF6D
+:040A0D008A3DCDD879
+:040A0E008A47CDC185
+:040A0F008A50CDAA92
+:040A10008A5ACD929F
+:040A11008A64CD7BAB
+:040A12008A6ECD64B7
+:040A13008A78CD4DC3
+:040A14008A82CD36CF
+:040A15008A8CCD1FDB
+:040A16008A96CD08E7
+:040A17008AA0CCF1F4
+:040A18008AAACCDA00
+:040A19008AB4CCC30C
+:040A1A008ABECCAC18
+:040A1B008AC8CC9524
+:040A1C008AD2CC7E30
+:040A1D008ADDCC673B
+:040A1E008AE7CC5047
+:040A1F008AF1CC3953
+:040A20008AFBCC225F
+:040A21008B05CC0B6A
+:040A22008B0FCBF477
+:040A23008B1ACBDD82
+:040A24008B24CBC68E
+:040A25008B2ECBAF9A
+:040A26008B38CB98A6
+:040A27008B43CB81B1
+:040A28008B4DCB6ABD
+:040A29008B57CB53C9
+:040A2A008B62CB3DD3
+:040A2B008B6CCB26DF
+:040A2C008B76CB0FEB
+:040A2D008B81CAF8F7
+:040A2E008B8BCAE103
+:040A2F008B96CACA0E
+:040A30008BA0CAB31A
+:040A31008BABCA9C25
+:040A32008BB5CA8630
+:040A33008BC0CA6F3B
+:040A34008BCACA5847
+:040A35008BD5CA4152
+:040A36008BDFCA2A5E
+:040A37008BEACA1468
+:040A38008BF4C9FD75
+:040A39008BFFC9E680
+:040A3A008C0AC9CF8A
+:040A3B008C14C9B896
+:040A3C008C1FC9A2A0
+:040A3D008C2AC98BAB
+:040A3E008C34C974B7
+:040A3F008C3FC95DC2
+:040A40008C4AC947CC
+:040A41008C55C930D7
+:040A42008C5FC919E3
+:040A43008C6AC903ED
+:040A44008C75C8ECF9
+:040A45008C80C8D504
+:040A46008C8BC8BF0E
+:040A47008C96C8A819
+:040A48008CA0C89125
+:040A49008CABC87B2F
+:040A4A008CB6C8643A
+:040A4B008CC1C84D45
+:040A4C008CCCC8374F
+:040A4D008CD7C8205A
+:040A4E008CE2C80965
+:040A4F008CEDC7F370
+:040A50008CF8C7DC7B
+:040A51008D03C7C684
+:040A52008D0EC7AF8F
+:040A53008D19C79999
+:040A54008D24C782A4
+:040A55008D2FC76BAF
+:040A56008D3AC755B9
+:040A57008D46C73EC3
+:040A58008D51C728CD
+:040A59008D5CC711D8
+:040A5A008D67C6FBE3
+:040A5B008D72C6E4EE
+:040A5C008D7EC6CEF7
+:040A5D008D89C6B702
+:040A5E008D94C6A10C
+:040A5F008D9FC68A17
+:040A60008DABC67420
+:040A61008DB6C65E2A
+:040A62008DC1C64735
+:040A63008DCDC6313E
+:040A64008DD8C61A49
+:040A65008DE3C60453
+:040A66008DEFC5EE5D
+:040A67008DFAC5D768
+:040A68008E06C5C170
+:040A69008E11C5AA7B
+:040A6A008E1CC59485
+:040A6B008E28C57E8E
+:040A6C008E33C56799
+:040A6D008E3FC551A2
+:040A6E008E4AC53BAC
+:040A6F008E56C524B6
+:040A70008E62C50EBF
+:040A71008E6DC4F8CA
+:040A72008E79C4E1D4
+:040A73008E84C4CBDE
+:040A74008E90C4B5E7
+:040A75008E9CC49FF0
+:040A76008EA7C488FB
+:040A77008EB3C47204
+:040A78008EBFC45C0D
+:040A79008ECAC44617
+:040A7A008ED6C42F21
+:040A7B008EE2C4192A
+:040A7C008EEEC40333
+:040A7D008EFAC3ED3D
+:040A7E008F05C3D746
+:040A7F008F11C3C050
+:040A80008F1DC3AA59
+:040A81008F29C39462
+:040A82008F35C37E6B
+:040A83008F41C36874
+:040A84008F4CC3527E
+:040A85008F58C33C87
+:040A86008F64C32591
+:040A87008F70C30F9A
+:040A88008F7CC2F9A4
+:040A89008F88C2E3AD
+:040A8A008F94C2CDB6
+:040A8B008FA0C2B7BF
+:040A8C008FACC2A1C8
+:040A8D008FB8C28BD1
+:040A8E008FC4C275DA
+:040A8F008FD1C25FE2
+:040A90008FDDC249EB
+:040A91008FE9C233F4
+:040A92008FF5C21DFD
+:040A93009001C20705
+:040A9400900DC1F10F
+:040A95009019C1DB18
+:040A96009026C1C520
+:040A97009032C1AF29
+:040A9800903EC19932
+:040A9900904AC1833B
+:040A9A009057C16D43
+:040A9B009063C1574C
+:040A9C00906FC14155
+:040A9D00907CC12B5D
+:040A9E009088C11566
+:040A9F009094C1006E
+:040AA00090A1C0EA77
+:040AA10090ADC0D480
+:040AA20090BAC0BE88
+:040AA30090C6C0A891
+:040AA40090D2C0929A
+:040AA50090DFC07CA2
+:040AA60090EBC067AA
+:040AA70090F8C051B2
+:040AA8009104C03BBA
+:040AA9009111C025C2
+:040AAA00911DC010CA
+:040AAB00912ABFF9D4
+:040AAC009137BFE3DC
+:040AAD009143BFCDE5
+:040AAE009150BFB8EC
+:040AAF00915CBFA2F5
+:040AB0009169BF8CFD
+:040AB1009176BF7605
+:040AB2009182BF610D
+:040AB300918FBF4B15
+:040AB400919CBF351D
+:040AB50091A9BF2024
+:040AB60091B5BF0A2D
+:040AB70091C2BEF436
+:040AB80091CFBEDF3D
+:040AB90091DCBEC945
+:040ABA0091E8BEB34E
+:040ABB0091F5BE9E55
+:040ABC009202BE885C
+:040ABD00920FBE7363
+:040ABE00921CBE5D6B
+:040ABF009229BE4773
+:040AC0009236BE327A
+:040AC1009243BE1C82
+:040AC2009250BE0789
+:040AC300925CBDF193
+:040AC4009269BDDC9A
+:040AC5009276BDC6A2
+:040AC6009283BDB1A9
+:040AC7009291BD9BB0
+:040AC800929EBD86B7
+:040AC90092ABBD70BF
+:040ACA0092B8BD5BC6
+:040ACB0092C5BD45CE
+:040ACC0092D2BD30D5
+:040ACD0092DFBD1BDC
+:040ACE0092ECBD05E4
+:040ACF0092F9BCF0EC
+:040AD0009306BCDAF3
+:040AD1009314BCC5F9
+:040AD2009321BCB000
+:040AD300932EBC9A08
+:040AD400933BBC850F
+:040AD5009349BC6F16
+:040AD6009356BC5A1D
+:040AD7009363BC4524
+:040AD8009370BC2F2C
+:040AD900937EBC1A32
+:040ADA00938BBC0539
+:040ADB009399BBF040
+:040ADC0093A6BBDA48
+:040ADD0093B3BBC54F
+:040ADE0093C1BBB055
+:040ADF0093CEBB9B5C
+:040AE00093DCBB8563
+:040AE10093E9BB706A
+:040AE20093F6BB5B71
+:040AE3009404BB4676
+:040AE4009411BB307E
+:040AE500941FBB1B84
+:040AE600942CBB068B
+:040AE700943ABAF192
+:040AE8009448BADC98
+:040AE9009455BAC79F
+:040AEA009463BAB1A6
+:040AEB009470BA9CAD
+:040AEC00947EBA87B3
+:040AED00948CBA72B9
+:040AEE009499BA5DC0
+:040AEF0094A7BA48C6
+:040AF00094B5BA33CC
+:040AF10094C2BA1ED3
+:040AF20094D0BA09D9
+:040AF30094DEB9F4E0
+:040AF40094ECB9DFE6
+:040AF50094F9B9CAED
+:040AF6009507B9B5F2
+:040AF7009515B9A0F8
+:040AF8009523B98BFE
+:040AF9009531B97604
+:040AFA00953FB9610A
+:040AFB00954CB94C11
+:040AFC00955AB93717
+:040AFD009568B9221D
+:040AFE009576B90D23
+:040AFF009584B8F82A
+:040B00009592B8E32F
+:040B010095A0B8CE35
+:040B020095AEB8B93B
+:040B030095BCB8A540
+:040B040095CAB89046
+:040B050095D8B87B4C
+:040B060095E6B86652
+:040B070095F4B85158
+:040B08009602B83C5D
+:040B09009610B82862
+:040B0A00961EB81368
+:040B0B00962DB7FE6E
+:040B0C00963BB7E974
+:040B0D009649B7D47A
+:040B0E009657B7C07F
+:040B0F009665B7AB85
+:040B10009674B7968A
+:040B11009682B7828F
+:040B12009690B76D95
+:040B1300969EB7589B
+:040B140096ADB743A0
+:040B150096BBB72FA5
+:040B160096C9B71AAB
+:040B170096D7B705B1
+:040B180096E6B6F1B6
+:040B190096F4B6DCBC
+:040B1A009702B6C8C0
+:040B1B009711B6B3C5
+:040B1C00971FB69ECB
+:040B1D00972EB68ACF
+:040B1E00973CB675D5
+:040B1F00974BB661D9
+:040B20009759B64CDF
+:040B21009767B638E4
+:040B22009776B623E9
+:040B23009784B60EEF
+:040B24009793B5FAF4
+:040B250097A2B5E5F9
+:040B260097B0B5D1FE
+:040B270097BFB5BD02
+:040B280097CDB5A808
+:040B290097DCB5940C
+:040B2A0097EBB57F11
+:040B2B0097F9B56B16
+:040B2C009808B5561A
+:040B2D009816B5421F
+:040B2E009825B52E23
+:040B2F009834B51928
+:040B30009843B5052C
+:040B31009851B4F033
+:040B32009860B4DC37
+:040B3300986FB4C83B
+:040B3400987EB4B340
+:040B3500988CB49F45
+:040B3600989BB48B49
+:040B370098AAB4764E
+:040B380098B9B46252
+:040B390098C8B44E56
+:040B3A0098D7B43A5A
+:040B3B0098E5B42560
+:040B3C0098F4B41164
+:040B3D009903B3FD68
+:040B3E009912B3E96C
+:040B3F009921B3D570
+:040B40009930B3C075
+:040B4100993FB3AC79
+:040B4200994EB3987D
+:040B4300995DB38481
+:040B4400996CB37085
+:040B4500997BB35C89
+:040B4600998AB3478E
+:040B47009999B33392
+:040B480099A8B31F96
+:040B490099B7B30B9A
+:040B4A0099C7B2F79E
+:040B4B0099D6B2E3A2
+:040B4C0099E5B2CFA6
+:040B4D0099F4B2BBAA
+:040B4E009A03B2A7AD
+:040B4F009A12B293B1
+:040B50009A22B27FB4
+:040B51009A31B26BB8
+:040B52009A40B257BC
+:040B53009A4FB243C0
+:040B54009A5FB22FC3
+:040B55009A6EB21BC7
+:040B56009A7DB207CB
+:040B57009A8DB1F3CF
+:040B58009A9CB1DFD3
+:040B59009AABB1CBD7
+:040B5A009ABBB1B7DA
+:040B5B009ACAB1A4DD
+:040B5C009AD9B190E1
+:040B5D009AE9B17CE4
+:040B5E009AF8B168E8
+:040B5F009B08B154EA
+:040B60009B17B140EE
+:040B61009B27B12DF0
+:040B62009B36B119F4
+:040B63009B45B105F8
+:040B64009B55B0F1FC
+:040B65009B65B0DDFF
+:040B66009B74B0CA02
+:040B67009B84B0B605
+:040B68009B93B0A209
+:040B69009BA3B08E0C
+:040B6A009BB2B07B0F
+:040B6B009BC2B06712
+:040B6C009BD2B05315
+:040B6D009BE1B04018
+:040B6E009BF1B02C1B
+:040B6F009C01B0181D
+:040B70009C10B00520
+:040B71009C20AFF124
+:040B72009C30AFDE26
+:040B73009C40AFCA29
+:040B74009C4FAFB62D
+:040B75009C5FAFA32F
+:040B76009C6FAF8F32
+:040B77009C7FAF7C34
+:040B78009C8EAF6838
+:040B79009C9EAF553A
+:040B7A009CAEAF413D
+:040B7B009CBEAF2E3F
+:040B7C009CCEAF1A42
+:040B7D009CDEAF0744
+:040B7E009CEEAEF348
+:040B7F009CFEAEE04A
+:040B80009D0EAECC4C
+:040B81009D1DAEB94F
+:040B82009D2DAEA651
+:040B83009D3DAE9254
+:040B84009D4DAE7F56
+:040B85009D5DAE6B59
+:040B86009D6DAE585B
+:040B87009D7EAE455C
+:040B88009D8EAE315F
+:040B89009D9EAE1E61
+:040B8A009DAEAE0B63
+:040B8B009DBEADF866
+:040B8C009DCEADE469
+:040B8D009DDEADD16B
+:040B8E009DEEADBE6D
+:040B8F009DFEADAA70
+:040B90009E0FAD9770
+:040B91009E1FAD8472
+:040B92009E2FAD7174
+:040B93009E3FAD5E76
+:040B94009E4FAD4A79
+:040B95009E60AD377A
+:040B96009E70AD247C
+:040B97009E80AD117E
+:040B98009E90ACFE81
+:040B99009EA1ACEB82
+:040B9A009EB1ACD884
+:040B9B009EC1ACC487
+:040B9C009ED2ACB188
+:040B9D009EE2AC9E8A
+:040B9E009EF2AC8B8C
+:040B9F009F03AC788C
+:040BA0009F13AC658E
+:040BA1009F24AC528F
+:040BA2009F34AC3F91
+:040BA3009F45AC2C92
+:040BA4009F55AC1994
+:040BA5009F66AC0695
+:040BA6009F76ABF398
+:040BA7009F87ABE099
+:040BA8009F97ABCD9B
+:040BA9009FA8ABBA9C
+:040BAA009FB8ABA79E
+:040BAB009FC9AB959E
+:040BAC009FD9AB82A0
+:040BAD009FEAAB6FA1
+:040BAE009FFBAB5CA2
+:040BAF00A00BAB49A3
+:040BB000A01CAB36A4
+:040BB100A02CAB23A6
+:040BB200A03DAB11A6
+:040BB300A04EAAFEA8
+:040BB400A05FAAEBA9
+:040BB500A06FAAD8AB
+:040BB600A080AAC6AB
+:040BB700A091AAB3AC
+:040BB800A0A1AAA0AE
+:040BB900A0B2AA8DAF
+:040BBA00A0C3AA7BAF
+:040BBB00A0D4AA68B0
+:040BBC00A0E5AA55B1
+:040BBD00A0F5AA43B2
+:040BBE00A106AA30B2
+:040BBF00A117AA1DB3
+:040BC000A128AA0BB3
+:040BC100A139A9F8B5
+:040BC200A14AA9E5B6
+:040BC300A15BA9D3B6
+:040BC400A16CA9C0B7
+:040BC500A17DA9AEB7
+:040BC600A18EA99BB8
+:040BC700A19EA989B9
+:040BC800A1AFA976BA
+:040BC900A1C0A964BA
+:040BCA00A1D1A951BB
+:040BCB00A1E3A93FBA
+:040BCC00A1F4A92CBB
+:040BCD00A205A91ABA
+:040BCE00A216A907BB
+:040BCF00A227A8F5BC
+:040BD000A238A8E2BD
+:040BD100A249A8D0BD
+:040BD200A25AA8BEBD
+:040BD300A26BA8ABBE
+:040BD400A27CA899BE
+:040BD500A28EA887BD
+:040BD600A29FA874BE
+:040BD700A2B0A862BE
+:040BD800A2C1A850BE
+:040BD900A2D2A83DBF
+:040BDA00A2E4A82BBE
+:040BDB00A2F5A819BE
+:040BDC00A306A806BE
+:040BDD00A317A7F4BF
+:040BDE00A329A7E2BE
+:040BDF00A33AA7D0BE
+:040BE000A34BA7BEBE
+:040BE100A35DA7ABBE
+:040BE200A36EA799BE
+:040BE300A37FA787BE
+:040BE400A391A775BD
+:040BE500A3A2A763BD
+:040BE600A3B4A751BC
+:040BE700A3C5A73EBD
+:040BE800A3D6A72CBD
+:040BE900A3E8A71ABC
+:040BEA00A3F9A708BC
+:040BEB00A40BA6F6BB
+:040BEC00A41CA6E4BB
+:040BED00A42EA6D2BA
+:040BEE00A43FA6C0BA
+:040BEF00A451A6AEB9
+:040BF000A462A69CB9
+:040BF100A474A68AB8
+:040BF200A485A678B8
+:040BF300A497A666B7
+:040BF400A4A9A654B6
+:040BF500A4BAA642B6
+:040BF600A4CCA630B5
+:040BF700A4DEA61EB4
+:040BF800A4EFA60DB3
+:040BF900A501A5FBB2
+:040BFA00A513A5E9B1
+:040BFB00A524A5D7B1
+:040BFC00A536A5C5B0
+:040BFD00A548A5B3AF
+:040BFE00A559A5A2AE
+:040BFF00A56BA590AD
+:040C0000A57DA57EAB
+:040C0100A58FA56CAA
+:040C0200A5A1A55AA9
+:040C0300A5B2A549A8
+:040C0400A5C4A537A7
+:040C0500A5D6A525A6
+:040C0600A5E8A514A4
+:040C0700A5FAA502A3
+:040C0800A60CA4F0A2
+:040C0900A61DA4DFA1
+:040C0A00A62FA4CDA0
+:040C0B00A641A4BB9F
+:040C0C00A653A4AA9D
+:040C0D00A665A4989C
+:040C0E00A677A4869B
+:040C0F00A689A47599
+:040C1000A69BA46398
+:040C1100A6ADA45296
+:040C1200A6BFA44095
+:040C1300A6D1A42F93
+:040C1400A6E3A41D92
+:040C1500A6F5A40C90
+:040C1600A707A3FA8F
+:040C1700A719A3E98D
+:040C1800A72BA3D78C
+:040C1900A73DA3C68A
+:040C1A00A750A3B587
+:040C1B00A762A3A386
+:040C1C00A774A39284
+:040C1D00A786A38083
+:040C1E00A798A36F81
+:040C1F00A7AAA35E7F
+:040C2000A7BDA34C7D
+:040C2100A7CFA33B7B
+:040C2200A7E1A32A79
+:040C2300A7F3A31878
+:040C2400A805A30775
+:040C2500A818A2F673
+:040C2600A82AA2E571
+:040C2700A83CA2D370
+:040C2800A84FA2C26D
+:040C2900A861A2B16B
+:040C2A00A873A2A069
+:040C2B00A886A28F66
+:040C2C00A898A27D65
+:040C2D00A8AAA26C63
+:040C2E00A8BDA25B60
+:040C2F00A8CFA24A5E
+:040C3000A8E1A2395C
+:040C3100A8F4A22859
+:040C3200A906A21756
+:040C3300A919A20653
+:040C3400A92BA1F552
+:040C3500A93EA1E44F
+:040C3600A950A1D24E
+:040C3700A963A1C14B
+:040C3800A975A1B049
+:040C3900A988A19F46
+:040C3A00A99AA18F43
+:040C3B00A9ADA17E40
+:040C3C00A9BFA16D3E
+:040C3D00A9D2A15C3B
+:040C3E00A9E4A14B39
+:040C3F00A9F7A13A36
+:040C4000AA0AA12932
+:040C4100AA1CA11830
+:040C4200AA2FA1072D
+:040C4300AA42A0F62B
+:040C4400AA54A0E628
+:040C4500AA67A0D525
+:040C4600AA7AA0C422
+:040C4700AA8CA0B320
+:040C4800AA9FA0A21D
+:040C4900AAB2A09219
+:040C4A00AAC5A08116
+:040C4B00AAD7A07014
+:040C4C00AAEAA06010
+:040C4D00AAFDA04F0D
+:040C4E00AB10A03E09
+:040C4F00AB22A02D07
+:040C5000AB35A01D03
+:040C5100AB48A00C00
+:040C5200AB5B9FFCFD
+:040C5300AB6E9FEBFA
+:040C5400AB819FDAF7
+:040C5500AB949FCAF3
+:040C5600ABA69FB9F1
+:040C5700ABB99FA9ED
+:040C5800ABCC9F98EA
+:040C5900ABDF9F88E6
+:040C5A00ABF29F77E3
+:040C5B00AC059F67DE
+:040C5C00AC189F56DB
+:040C5D00AC2B9F46D7
+:040C5E00AC3E9F35D4
+:040C5F00AC519F25D0
+:040C6000AC649F14CD
+:040C6100AC779F04C9
+:040C6200AC8A9EF3C7
+:040C6300AC9D9EE3C3
+:040C6400ACB09ED3BF
+:040C6500ACC39EC2BC
+:040C6600ACD79EB2B7
+:040C6700ACEA9EA2B3
+:040C6800ACFD9E91B0
+:040C6900AD109E81AB
+:040C6A00AD239E71A7
+:040C6B00AD369E61A3
+:040C6C00AD499E50A0
+:040C6D00AD5D9E409B
+:040C6E00AD709E3097
+:040C6F00AD839E2093
+:040C7000AD969E108F
+:040C7100ADA99DFF8D
+:040C7200ADBD9DEF88
+:040C7300ADD09DDF84
+:040C7400ADE39DCF80
+:040C7500ADF79DBF7B
+:040C7600AE0A9DAF76
+:040C7700AE1D9D9F72
+:040C7800AE309D8F6E
+:040C7900AE449D7F69
+:040C7A00AE579D6E66
+:040C7B00AE6A9D5E62
+:040C7C00AE7E9D4E5D
+:040C7D00AE919D3E59
+:040C7E00AEA59D2E54
+:040C7F00AEB89D1E50
+:040C8000AECB9D0F4B
+:040C8100AEDF9CFF47
+:040C8200AEF29CEF43
+:040C8300AF069CDF3D
+:040C8400AF199CCF39
+:040C8500AF2D9CBF34
+:040C8600AF409CAF30
+:040C8700AF549C9F2B
+:040C8800AF679C8F27
+:040C8900AF7B9C8021
+:040C8A00AF8E9C701D
+:040C8B00AFA29C6018
+:040C8C00AFB59C5014
+:040C8D00AFC99C410E
+:040C8E00AFDD9C3109
+:040C8F00AFF09C2105
+:040C9000B0049C11FF
+:040C9100B0179C02FA
+:040C9200B02B9BF2F6
+:040C9300B03F9BE2F1
+:040C9400B0529BD3EC
+:040C9500B0669BC3E7
+:040C9600B07A9BB3E2
+:040C9700B08D9BA4DD
+:040C9800B0A19B94D8
+:040C9900B0B59B85D2
+:040C9A00B0C99B75CD
+:040C9B00B0DC9B66C8
+:040C9C00B0F09B56C3
+:040C9D00B1049B46BD
+:040C9E00B1189B37B7
+:040C9F00B12C9B28B1
+:040CA000B13F9B18AD
+:040CA100B1539B09A7
+:040CA200B1679AF9A3
+:040CA300B17B9AEA9D
+:040CA400B18F9ADA98
+:040CA500B1A39ACB92
+:040CA600B1B69ABC8D
+:040CA700B1CA9AAC88
+:040CA800B1DE9A9D82
+:040CA900B1F29A8E7C
+:040CAA00B2069A7E76
+:040CAB00B21A9A6F70
+:040CAC00B22E9A606A
+:040CAD00B2429A5065
+:040CAE00B2569A415F
+:040CAF00B26A9A3259
+:040CB000B27E9A2353
+:040CB100B2929A134E
+:040CB200B2A69A0448
+:040CB300B2BA99F543
+:040CB400B2CE99E63D
+:040CB500B2E299D737
+:040CB600B2F699C831
+:040CB700B30A99B82B
+:040CB800B31E99A925
+:040CB900B332999A1F
+:040CBA00B346998B19
+:040CBB00B35B997C12
+:040CBC00B36F996D0C
+:040CBD00B383995E06
+:040CBE00B397994F00
+:040CBF00B3AB9940FA
+:040CC000B3BF9931F4
+:040CC100B3D49922ED
+:040CC200B3E89913E7
+:040CC300B3FC9904E1
+:040CC400B41098F5DB
+:040CC500B42498E6D5
+:040CC600B43998D8CD
+:040CC700B44D98C9C7
+:040CC800B46198BAC1
+:040CC900B47598ABBB
+:040CCA00B48A989CB4
+:040CCB00B49E988DAE
+:040CCC00B4B2987FA7
+:040CCD00B4C79870A0
+:040CCE00B4DB98619A
+:040CCF00B4EF985294
+:040CD000B50498448B
+:040CD100B518983585
+:040CD200B52D98267E
+:040CD300B541981778
+:040CD400B555980971
+:040CD500B56A97FA6B
+:040CD600B57E97EC64
+:040CD700B59397DD5D
+:040CD800B5A797CE57
+:040CD900B5BC97C04F
+:040CDA00B5D097B149
+:040CDB00B5E497A342
+:040CDC00B5F997943B
+:040CDD00B60D978534
+:040CDE00B62297772C
+:040CDF00B637976825
+:040CE000B64B975A1E
+:040CE100B660974C16
+:040CE200B674973D10
+:040CE300B689972F08
+:040CE400B69D972002
+:040CE500B6B29712FA
+:040CE600B6C79703F3
+:040CE700B6DB96F5ED
+:040CE800B6F096E7E5
+:040CE900B70496D8DE
+:040CEA00B71996CAD6
+:040CEB00B72E96BCCE
+:040CEC00B74296AEC7
+:040CED00B757969FC0
+:040CEE00B76C9691B8
+:040CEF00B7819683B0
+:040CF000B7959675A9
+:040CF100B7AA9666A2
+:040CF200B7BF96589A
+:040CF300B7D3964A93
+:040CF400B7E8963C8B
+:040CF500B7FD962E83
+:040CF600B812961F7B
+:040CF700B827961173
+:040CF800B83B96036C
+:040CF900B85095F565
+:040CFA00B86595E75D
+:040CFB00B87A95D955
+:040CFC00B88F95CB4D
+:040CFD00B8A495BD45
+:040CFE00B8B895AF3E
+:040CFF00B8CD95A136
+:040D0000B8E295932D
+:040D0100B8F7958525
+:040D0200B90C95771C
+:040D0300B921956914
+:040D0400B936955B0C
+:040D0500B94B954D04
+:040D0600B9609540FB
+:040D0700B9759532F3
+:040D0800B98A9524EB
+:040D0900B99F9516E3
+:040D0A00B9B49508DB
+:040D0B00B9C994FAD4
+:040D0C00B9DE94EDCB
+:040D0D00B9F394DFC3
+:040D0E00BA0894D1BA
+:040D0F00BA1D94C3B2
+:040D1000BA3294B6A9
+:040D1100BA4794A8A1
+:040D1200BA5C949A99
+:040D1300BA71948D90
+:040D1400BA86947F88
+:040D1500BA9B947180
+:040D1600BAB0946477
+:040D1700BAC694566E
+:040D1800BADB944965
+:040D1900BAF0943B5D
+:040D1A00BB05942D54
+:040D1B00BB1A94204B
+:040D1C00BB2F941243
+:040D1D00BB45940539
+:040D1E00BB5A93F732
+:040D1F00BB6F93EA29
+:040D2000BB8493DD20
+:040D2100BB9A93CF17
+:040D2200BBAF93C20E
+:040D2300BBC493B406
+:040D2400BBD993A7FD
+:040D2500BBEF939AF3
+:040D2600BC04938CEA
+:040D2700BC19937FE1
+:040D2800BC2E9371D9
+:040D2900BC449364CF
+:040D2A00BC599357C6
+:040D2B00BC6E934ABD
+:040D2C00BC84933CB4
+:040D2D00BC99932FAB
+:040D2E00BCAF9322A1
+:040D2F00BCC4931598
+:040D3000BCD9930790
+:040D3100BCEF92FA87
+:040D3200BD0492ED7D
+:040D3300BD1A92E073
+:040D3400BD2F92D36A
+:040D3500BD4492C661
+:040D3600BD5A92B957
+:040D3700BD6F92AC4E
+:040D3800BD85929F44
+:040D3900BD9A92923B
+:040D3A00BDB0928432
+:040D3B00BDC5927729
+:040D3C00BDDB926A1F
+:040D3D00BDF0925D16
+:040D3E00BE0692510A
+:040D3F00BE1B924401
+:040D4000BE319237F7
+:040D4100BE46922AEE
+:040D4200BE5C921DE4
+:040D4300BE729210DA
+:040D4400BE879203D1
+:040D4500BE9D91F6C8
+:040D4600BEB291E9BF
+:040D4700BEC891DDB4
+:040D4800BEDE91D0AA
+:040D4900BEF391C3A1
+:040D4A00BF0991B696
+:040D4B00BF1F91AA8B
+:040D4C00BF34919D82
+:040D4D00BF4A919078
+:040D4E00BF6091836E
+:040D4F00BF75917764
+:040D5000BF8B916A5A
+:040D5100BFA1915D50
+:040D5200BFB7915145
+:040D5300BFCC91443C
+:040D5400BFE2913831
+:040D5500BFF8912B27
+:040D5600C00F911E1B
+:040D5700C024911211
+:040D5800C03A910507
+:040D5900C05090F9FD
+:040D5A00C06690ECF3
+:040D5B00C07B90E0E9
+:040D5C00C09190D3DF
+:040D5D00C0A790C7D4
+:040D5E00C0BD90BBC9
+:040D5F00C0D390AEBF
+:040D6000C0E990A2B4
+:040D6100C0FF9095AA
+:040D6200C11490899F
+:040D6300C12A907D94
+:040D6400C14090708A
+:040D6500C15690647F
+:040D6600C16C905874
+:040D6700C182904B6A
+:040D6800C198903F5F
+:040D6900C1AE903354
+:040D6A00C1C4902749
+:040D6B00C1DA901A3F
+:040D6C00C1F0900E34
+:040D6D00C206900228
+:040D6E00C21C8FF61E
+:040D6F00C2328FEA13
+:040D7000C2488FDE08
+:040D7100C25E8FD2FD
+:040D7200C2748FC5F3
+:040D7300C28A8FB9E8
+:040D7400C2A08FADDD
+:040D7500C2B68FA1D2
+:040D7600C2CC8F95C7
+:040D7700C2E28F89BC
+:040D7800C2F88F7DB1
+:040D7900C30E8F71A5
+:040D7A00C3248F659A
+:040D7B00C33B8F598E
+:040D7C00C3518F4D83
+:040D7D00C3678F4277
+:040D7E00C37D8F366C
+:040D7F00C3938F2A61
+:040D8000C3A98F1E56
+:040D8100C3BF8F124B
+:040D8200C3D68F063F
+:040D8300C3EC8EFB34
+:040D8400C4028EEF28
+:040D8500C4188EE31D
+:040D8600C42E8ED712
+:040D8700C4458ECB06
+:040D8800C45B8EC0FA
+:040D8900C4718EB4EF
+:040D8A00C4878EA8E4
+:040D8B00C49E8E9DD7
+:040D8C00C4B48E91CC
+:040D8D00C4CA8E85C1
+:040D8E00C4E08E7AB5
+:040D8F00C4F78E6EA9
+:040D9000C50D8E639C
+:040D9100C5238E5791
+:040D9200C53A8E4B85
+:040D9300C5508E4079
+:040D9400C5668E346E
+:040D9500C57D8E2961
+:040D9600C5938E1D56
+:040D9700C5A98E124A
+:040D9800C5C08E073D
+:040D9900C5D68DFB33
+:040D9A00C5ED8DF026
+:040D9B00C6038DE41A
+:040D9C00C6198DD90E
+:040D9D00C6308DCE01
+:040D9E00C6468DC2F6
+:040D9F00C65D8DB7E9
+:040DA000C6738DACDD
+:040DA100C6898DA0D2
+:040DA200C6A08D95C5
+:040DA300C6B68D8AB9
+:040DA400C6CD8D7FAC
+:040DA500C6E38D73A1
+:040DA600C6FA8D6894
+:040DA700C7108D5D87
+:040DA800C7278D527A
+:040DA900C73D8D476E
+:040DAA00C7548D3B62
+:040DAB00C76A8D3056
+:040DAC00C7818D2549
+:040DAD00C7988D1A3C
+:040DAE00C7AE8D0F30
+:040DAF00C7C58D0423
+:040DB000C7DB8CF918
+:040DB100C7F28CEE0B
+:040DB200C8088CE3FE
+:040DB300C81F8CD8F1
+:040DB400C8368CCDE4
+:040DB500C84C8CC2D8
+:040DB600C8638CB7CB
+:040DB700C87A8CACBE
+:040DB800C8908CA1B2
+:040DB900C8A78C97A4
+:040DBA00C8BE8C8C97
+:040DBB00C8D48C818B
+:040DBC00C8EB8C767E
+:040DBD00C9028C6B70
+:040DBE00C9188C6064
+:040DBF00C92F8C5656
+:040DC000C9468C4B49
+:040DC100C95C8C403D
+:040DC200C9738C3530
+:040DC300C98A8C2B22
+:040DC400C9A18C2015
+:040DC500C9B78C1509
+:040DC600C9CE8C0BFB
+:040DC700C9E58C00EE
+:040DC800C9FC8BF5E2
+:040DC900CA138BEBD3
+:040DCA00CA298BE0C7
+:040DCB00CA408BD6B9
+:040DCC00CA578BCBAC
+:040DCD00CA6E8BC19E
+:040DCE00CA858BB691
+:040DCF00CA9B8BAC84
+:040DD000CAB28BA177
+:040DD100CAC98B9769
+:040DD200CAE08B8C5C
+:040DD300CAF78B824E
+:040DD400CB0E8B7740
+:040DD500CB258B6D32
+:040DD600CB3C8B6324
+:040DD700CB528B5818
+:040DD800CB698B4E0A
+:040DD900CB808B44FC
+:040DDA00CB978B39EF
+:040DDB00CBAE8B2FE1
+:040DDC00CBC58B25D3
+:040DDD00CBDC8B1BC5
+:040DDE00CBF38B10B8
+:040DDF00CC0A8B06A9
+:040DE000CC218AFC9C
+:040DE100CC388AF28E
+:040DE200CC4F8AE880
+:040DE300CC668ADE72
+:040DE400CC7D8AD365
+:040DE500CC948AC957
+:040DE600CCAB8ABF49
+:040DE700CCC28AB53B
+:040DE800CCD98AAB2D
+:040DE900CCF08AA11F
+:040DEA00CD078A9710
+:040DEB00CD1E8A8D02
+:040DEC00CD358A83F4
+:040DED00CD4C8A79E6
+:040DEE00CD638A6FD8
+:040DEF00CD7A8A65CA
+:040DF000CD918A5BBC
+:040DF100CDA98A51AD
+:040DF200CDC08A489E
+:040DF300CDD78A3E90
+:040DF400CDEE8A3482
+:040DF500CE058A2A73
+:040DF600CE1C8A2065
+:040DF700CE338A1657
+:040DF800CE4A8A0D48
+:040DF900CE628A0339
+:040DFA00CE7989F92C
+:040DFB00CE9089EF1E
+:040DFC00CEA789E60F
+:040DFD00CEBE89DC01
+:040DFE00CED689D2F2
+:040DFF00CEED89C9E3
+:040E0000CF0489BFD3
+:040E0100CF1B89B6C4
+:040E0200CF3289ACB6
+:040E0300CF4A89A2A7
+:040E0400CF61899998
+:040E0500CF78898F8A
+:040E0600CF8F89867B
+:040E0700CFA7897C6C
+:040E0800CFBE89735D
+:040E0900CFD589694F
+:040E0A00CFED89603F
+:040E0B00D004895630
+:040E0C00D01B894D21
+:040E0D00D032894412
+:040E0E00D04A893A03
+:040E0F00D0618931F4
+:040E1000D0788928E5
+:040E1100D090891ED6
+:040E1200D0A78915C7
+:040E1300D0BE890CB8
+:040E1400D0D68902A9
+:040E1500D0ED88F99B
+:040E1600D10588F08A
+:040E1700D11C88E77B
+:040E1800D13388DD6D
+:040E1900D14B88D45D
+:040E1A00D16288CB4E
+:040E1B00D17A88C23E
+:040E1C00D19188B92F
+:040E1D00D1A888B020
+:040E1E00D1C088A710
+:040E1F00D1D7889E01
+:040E2000D1EF8895F1
+:040E2100D206888CE1
+:040E2200D21E8883D1
+:040E2300D235887AC2
+:040E2400D24D8871B2
+:040E2500D2648868A3
+:040E2600D27B885F94
+:040E2700D293885684
+:040E2800D2AA884D75
+:040E2900D2C2884465
+:040E2A00D2D9883B56
+:040E2B00D2F1883246
+:040E2C00D309882935
+:040E2D00D320882026
+:040E2E00D338881815
+:040E2F00D34F880F06
+:040E3000D3678806F6
+:040E3100D37E87FDE8
+:040E3200D39687F5D7
+:040E3300D3AD87ECC8
+:040E3400D3C587E3B8
+:040E3500D3DD87DBA7
+:040E3600D3F487D298
+:040E3700D40C87C987
+:040E3800D42387C177
+:040E3900D43B87B867
+:040E3A00D45387AF57
+:040E3B00D46A87A747
+:040E3C00D482879E37
+:040E3D00D49A879626
+:040E3E00D4B1878D17
+:040E3F00D4C9878506
+:040E4000D4E0877CF7
+:040E4100D4F88774E6
+:040E4200D510876BD5
+:040E4300D5278763C5
+:040E4400D53F875BB4
+:040E4500D5578752A4
+:040E4600D56F874A93
+:040E4700D586874283
+:040E4800D59E873973
+:040E4900D5B6873162
+:040E4A00D5CD872952
+:040E4B00D5E5872042
+:040E4C00D5FD871831
+:040E4D00D61587101F
+:040E4E00D62C87080F
+:040E4F00D64486FF00
+:040E5000D65C86F7EF
+:040E5100D67486EFDE
+:040E5200D68B86E7CE
+:040E5300D6A386DFBD
+:040E5400D6BB86D7AC
+:040E5500D6D386CF9B
+:040E5600D6EB86C78A
+:040E5700D70286BE7A
+:040E5800D71A86B669
+:040E5900D73286AE58
+:040E5A00D74A86A647
+:040E5B00D762869E36
+:040E5C00D77A869625
+:040E5D00D791868E15
+:040E5E00D7A9868703
+:040E5F00D7C1867FF2
+:040E6000D7D98677E1
+:040E6100D7F1866FD0
+:040E6200D8098667BE
+:040E6300D821865FAD
+:040E6400D83886579D
+:040E6500D85086508B
+:040E6600D86886487A
+:040E6700D880864069
+:040E6800D898863858
+:040E6900D8B0863146
+:040E6A00D8C8862935
+:040E6B00D8E0862124
+:040E6C00D8F8861913
+:040E6D00D910861200
+:040E6E00D928860AEF
+:040E6F00D9408603DD
+:040E7000D95785FBCE
+:040E7100D96F85F3BD
+:040E7200D98785ECAB
+:040E7300D99F85E49A
+:040E7400D9B785DD88
+:040E7500D9CF85D577
+:040E7600D9E785CE65
+:040E7700D9FF85C654
+:040E7800DA1785BF41
+:040E7900DA2F85B730
+:040E7A00DA4785B01E
+:040E7B00DA5F85A90C
+:040E7C00DA7785A1FB
+:040E7D00DA8F859AE9
+:040E7E00DAA78593D7
+:040E7F00DABF858BC6
+:040E8000DAD88584B3
+:040E8100DAF0857DA1
+:040E8200DB0885758F
+:040E8300DB20856E7D
+:040E8400DB3885676B
+:040E8500DB50856059
+:040E8600DB68855848
+:040E8700DB80855136
+:040E8800DB98854A24
+:040E8900DBB0854312
+:040E8A00DBC8853C00
+:040E8B00DBE08535EE
+:040E8C00DBF9852EDB
+:040E8D00DC118527C8
+:040E8E00DC298520B6
+:040E8F00DC418519A4
+:040E9000DC59851292
+:040E9100DC71850B80
+:040E9200DC8985046E
+:040E9300DCA184FD5D
+:040E9400DCBA84F64A
+:040E9500DCD284EF38
+:040E9600DCEA84E826
+:040E9700DD0284E113
+:040E9800DD1A84DA01
+:040E9900DD3284D3EF
+:040E9A00DD4B84CCDC
+:040E9B00DD6384C6C9
+:040E9C00DD7B84BFB7
+:040E9D00DD9384B8A5
+:040E9E00DDAB84B193
+:040E9F00DDC484AB7F
+:040EA000DDDC84A46D
+:040EA100DDF4849D5B
+:040EA200DE0C849747
+:040EA300DE25849034
+:040EA400DE3D848922
+:040EA500DE5584830F
+:040EA600DE6D847CFD
+:040EA700DE868475EA
+:040EA800DE9E846FD7
+:040EA900DEB68468C5
+:040EAA00DECE8462B2
+:040EAB00DEE7845B9F
+:040EAC00DEFF84558C
+:040EAD00DF17844E79
+:040EAE00DF2F844866
+:040EAF00DF48844153
+:040EB000DF60843B40
+:040EB100DF7884352D
+:040EB200DF91842E1A
+:040EB300DFA9842807
+:040EB400DFC18422F4
+:040EB500DFDA841BE1
+:040EB600DFF28415CE
+:040EB700E00A840FBA
+:040EB800E0238408A7
+:040EB900E03B840294
+:040EBA00E05383FC82
+:040EBB00E06C83F66E
+:040EBC00E08483F05B
+:040EBD00E09C83E949
+:040EBE00E0B583E335
+:040EBF00E0CD83DD22
+:040EC000E0E683D70E
+:040EC100E0FE83D1FB
+:040EC200E11683CBE7
+:040EC300E12F83C5D3
+:040EC400E14783BFC0
+:040EC500E15F83B9AD
+:040EC600E17883B399
+:040EC700E19083AD86
+:040EC800E1A983A772
+:040EC900E1C183A15F
+:040ECA00E1DA839B4B
+:040ECB00E1F2839538
+:040ECC00E20A838F24
+:040ECD00E223838910
+:040ECE00E23B8383FD
+:040ECF00E254837DE9
+:040ED000E26C8378D5
+:040ED100E2858372C1
+:040ED200E29D836CAE
+:040ED300E2B683669A
+:040ED400E2CE836186
+:040ED500E2E6835B73
+:040ED600E2FF83555F
+:040ED700E317834F4B
+:040ED800E330834A36
+:040ED900E348834423
+:040EDA00E361833F0E
+:040EDB00E3798339FB
+:040EDC00E3928333E7
+:040EDD00E3AA832ED3
+:040EDE00E3C38328BF
+:040EDF00E3DB8323AB
+:040EE000E3F4831D97
+:040EE100E40C831882
+:040EE200E42583126E
+:040EE300E43E830D59
+:040EE400E456830746
+:040EE500E46F830231
+:040EE600E48782FC1F
+:040EE700E4A082F70A
+:040EE800E4B882F2F6
+:040EE900E4D182ECE2
+:040EEA00E4E982E7CE
+:040EEB00E50282E2B8
+:040EEC00E51A82DCA5
+:040EED00E53382D790
+:040EEE00E54C82D27B
+:040EEF00E56482CD67
+:040EF000E57D82C753
+:040EF100E59582C23F
+:040EF200E5AE82BD2A
+:040EF300E5C782B815
+:040EF400E5DF82B301
+:040EF500E5F882AEEC
+:040EF600E61082A9D7
+:040EF700E62982A3C3
+:040EF800E642829EAE
+:040EF900E65A82999A
+:040EFA00E673829485
+:040EFB00E68B828F71
+:040EFC00E6A4828A5C
+:040EFD00E6BD828547
+:040EFE00E6D5828033
+:040EFF00E6EE827C1D
+:040F0000E707827706
+:040F0100E71F8272F2
+:040F0200E738826DDD
+:040F0300E7518268C8
+:040F0400E7698263B4
+:040F0500E782825E9F
+:040F0600E79B825A89
+:040F0700E7B3825575
+:040F0800E7CC825060
+:040F0900E7E5824B4B
+:040F0A00E7FD824736
+:040F0B00E816824220
+:040F0C00E82F823D0B
+:040F0D00E8478238F7
+:040F0E00E8608234E1
+:040F0F00E879822FCC
+:040F1000E892822BB6
+:040F1100E8AA8226A2
+:040F1200E8C382218D
+:040F1300E8DC821D77
+:040F1400E8F4821863
+:040F1500E90D82144C
+:040F1600E926820F37
+:040F1700E93F820B21
+:040F1800E95782060D
+:040F1900E9708202F7
+:040F1A00E98981FEE2
+:040F1B00E9A181F9CE
+:040F1C00E9BA81F5B8
+:040F1D00E9D381F0A3
+:040F1E00E9EC81EC8D
+:040F1F00EA0581E876
+:040F2000EA1D81E362
+:040F2100EA3681DF4C
+:040F2200EA4F81DB36
+:040F2300EA6881D720
+:040F2400EA8081D20C
+:040F2500EA9981CEF6
+:040F2600EAB281CAE0
+:040F2700EACB81C6CA
+:040F2800EAE381C2B5
+:040F2900EAFC81BDA0
+:040F2A00EB1581B989
+:040F2B00EB2E81B573
+:040F2C00EB4781B15D
+:040F2D00EB5F81AD48
+:040F2E00EB7881A932
+:040F2F00EB9181A51C
+:040F3000EBAA81A106
+:040F3100EBC3819DF0
+:040F3200EBDB8199DB
+:040F3300EBF48195C5
+:040F3400EC0D8191AE
+:040F3500EC26818D98
+:040F3600EC3F818982
+:040F3700EC5881866B
+:040F3800EC70818256
+:040F3900EC89817E40
+:040F3A00ECA2817A2A
+:040F3B00ECBB817614
+:040F3C00ECD48173FD
+:040F3D00ECED816FE7
+:040F3E00ED06816BD0
+:040F3F00ED1E8167BB
+:040F4000ED378164A4
+:040F4100ED5081608E
+:040F4200ED69815C78
+:040F4300ED82815961
+:040F4400ED9B81554B
+:040F4500EDB4815135
+:040F4600EDCC814E1F
+:040F4700EDE5814A09
+:040F4800EDFE8147F2
+:040F4900EE178143DB
+:040F4A00EE308140C4
+:040F4B00EE49813CAE
+:040F4C00EE62813997
+:040F4D00EE7B813581
+:040F4E00EE9481326A
+:040F4F00EEAC812E55
+:040F5000EEC5812B3E
+:040F5100EEDE812827
+:040F5200EEF7812411
+:040F5300EF108121F9
+:040F5400EF29811EE2
+:040F5500EF42811ACC
+:040F5600EF5B8117B5
+:040F5700EF7481149E
+:040F5800EF8D811187
+:040F5900EFA6810D71
+:040F5A00EFBE810A5B
+:040F5B00EFD7810744
+:040F5C00EFF081042D
+:040F5D00F009810115
+:040F5E00F02280FEFF
+:040F5F00F03B80FBE8
+:040F6000F05480F7D2
+:040F6100F06D80F4BB
+:040F6200F08680F1A4
+:040F6300F09F80EE8D
+:040F6400F0B880EB76
+:040F6500F0D180E85F
+:040F6600F0EA80E548
+:040F6700F10380E230
+:040F6800F11C80DF19
+:040F6900F13580DD01
+:040F6A00F14E80DAEA
+:040F6B00F16780D7D3
+:040F6C00F18080D4BC
+:040F6D00F19980D1A5
+:040F6E00F1B280CE8E
+:040F6F00F1CA80CB78
+:040F7000F1E380C960
+:040F7100F1FC80C649
+:040F7200F21580C331
+:040F7300F22E80C01A
+:040F7400F24780BE02
+:040F7500F26080BBEB
+:040F7600F27980B8D4
+:040F7700F29280B6BC
+:040F7800F2AB80B3A5
+:040F7900F2C480B08E
+:040F7A00F2DD80AE76
+:040F7B00F2F680AB5F
+:040F7C00F30F80A946
+:040F7D00F32880A62F
+:040F7E00F34180A417
+:040F7F00F35A80A100
+:040F8000F373809FE8
+:040F8100F38C809CD1
+:040F8200F3A5809AB9
+:040F8300F3BE8097A2
+:040F8400F3D780958A
+:040F8500F3F0809372
+:040F8600F40980905A
+:040F8700F422808E42
+:040F8800F43C808C29
+:040F8900F455808912
+:040F8A00F46E8087FA
+:040F8B00F4878085E2
+:040F8C00F4A08083CA
+:040F8D00F4B98080B3
+:040F8E00F4D2807E9B
+:040F8F00F4EB807C83
+:040F9000F504807A6A
+:040F9100F51D807852
+:040F9200F53680763A
+:040F9300F54F807323
+:040F9400F56880710B
+:040F9500F581806FF3
+:040F9600F59A806DDB
+:040F9700F5B3806BC3
+:040F9800F5CC8069AB
+:040F9900F5E5806793
+:040F9A00F5FE80657B
+:040F9B00F617806362
+:040F9C00F63080614A
+:040F9D00F649805F32
+:040F9E00F662805E19
+:040F9F00F67C805C00
+:040FA000F695805AE8
+:040FA100F6AE8058D0
+:040FA200F6C78056B8
+:040FA300F6E08054A0
+:040FA400F6F9805387
+:040FA500F71280516E
+:040FA600F72B804F56
+:040FA700F744804D3E
+:040FA800F75D804C25
+:040FA900F776804A0D
+:040FAA00F78F8048F5
+:040FAB00F7A88047DC
+:040FAC00F7C18045C4
+:040FAD00F7DB8043AB
+:040FAE00F7F4804292
+:040FAF00F80D804079
+:040FB000F826803F60
+:040FB100F83F803D48
+:040FB200F858803C2F
+:040FB300F871803A17
+:040FB400F88A8039FE
+:040FB500F8A38037E6
+:040FB600F8BC8036CD
+:040FB700F8D58034B5
+:040FB800F8EE80339C
+:040FB900F908803281
+:040FBA00F921803069
+:040FBB00F93A802F50
+:040FBC00F953802E37
+:040FBD00F96C802C1F
+:040FBE00F985802B06
+:040FBF00F99E802AED
+:040FC000F9B78028D5
+:040FC100F9D08027BC
+:040FC200F9E98026A3
+:040FC300FA03802588
+:040FC400FA1C80246F
+:040FC500FA35802356
+:040FC600FA4E80213E
+:040FC700FA67802025
+:040FC800FA80801F0C
+:040FC900FA99801EF3
+:040FCA00FAB2801DDA
+:040FCB00FACB801CC1
+:040FCC00FAE5801BA7
+:040FCD00FAFE801A8E
+:040FCE00FB17801974
+:040FCF00FB3080185B
+:040FD000FB49801742
+:040FD100FB62801629
+:040FD200FB7B801510
+:040FD300FB948015F6
+:040FD400FBAD8014DD
+:040FD500FBC78013C3
+:040FD600FBE08012AA
+:040FD700FBF9801191
+:040FD800FC12801077
+:040FD900FC2B80105D
+:040FDA00FC44800F44
+:040FDB00FC5D800E2B
+:040FDC00FC76800D12
+:040FDD00FC90800DF7
+:040FDE00FCA9800CDE
+:040FDF00FCC2800BC5
+:040FE000FCDB800BAB
+:040FE100FCF4800A92
+:040FE200FD0D800A77
+:040FE300FD2680095E
+:040FE400FD3F800944
+:040FE500FD5980082A
+:040FE600FD72800810
+:040FE700FD8B8007F7
+:040FE800FDA48007DD
+:040FE900FDBD8006C4
+:040FEA00FDD68006AA
+:040FEB00FDEF800591
+:040FEC00FE08800576
+:040FED00FE2280045C
+:040FEE00FE3B800442
+:040FEF00FE54800428
+:040FF000FE6D80030F
+:040FF100FE868003F5
+:040FF200FE9F8003DB
+:040FF300FEB88003C1
+:040FF400FED18002A8
+:040FF500FEEB80028D
+:040FF600FF04800272
+:040FF700FF1D800258
+:040FF800FF3680023E
+:040FF900FF4F800125
+:040FFA00FF6880010B
+:040FFB00FF818001F1
+:040FFC00FF9A8001D7
+:040FFD00FFB48001BC
+:040FFE00FFCD8001A2
+:040FFF00FFE6800188
+:0410000000007FFF6E
+:04100100FFF37FFF7B
+:04100200FFE77FFF86
+:04100300FFDA7FFF92
+:04100400FFCE7FFF9D
+:04100500FFC17FFFA9
+:04100600FFB57FFFB4
+:04100700FFA87FFFC0
+:04100800FF9B7FFFCC
+:04100900FF8F7FFFD7
+:04100A00FF827FFFE3
+:04100B00FF767FFFEE
+:04100C00FF697FFFFA
+:04100D00FF5D7FFF05
+:04100E00FF507FFF11
+:04100F00FF447FFE1D
+:04101000FF377FFE29
+:04101100FF2A7FFE35
+:04101200FF1E7FFE40
+:04101300FF117FFE4C
+:04101400FF057FFE57
+:04101500FEF87FFE64
+:04101600FEEC7FFE6F
+:04101700FEDF7FFE7B
+:04101800FED27FFE87
+:04101900FEC67FFD93
+:04101A00FEB97FFD9F
+:04101B00FEAD7FFDAA
+:04101C00FEA07FFDB6
+:04101D00FE947FFDC1
+:04101E00FE877FFDCD
+:04101F00FE7A7FFDD9
+:04102000FE6E7FFDE4
+:04102100FE617FFCF1
+:04102200FE557FFCFC
+:04102300FE487FFC08
+:04102400FE3C7FFC13
+:04102500FE2F7FFC1F
+:04102600FE237FFC2A
+:04102700FE167FFB37
+:04102800FE097FFB43
+:04102900FDFD7FFB4F
+:04102A00FDF07FFB5B
+:04102B00FDE47FFB66
+:04102C00FDD77FFA73
+:04102D00FDCB7FFA7E
+:04102E00FDBE7FFA8A
+:04102F00FDB17FFA96
+:04103000FDA57FF9A2
+:04103100FD987FF9AE
+:04103200FD8C7FF9B9
+:04103300FD7F7FF9C5
+:04103400FD737FF8D1
+:04103500FD667FF8DD
+:04103600FD5A7FF8E8
+:04103700FD4D7FF8F4
+:04103800FD407FF701
+:04103900FD347FF70C
+:04103A00FD277FF718
+:04103B00FD1B7FF723
+:04103C00FD0E7FF630
+:04103D00FD027FF63B
+:04103E00FCF57FF648
+:04103F00FCE87FF555
+:04104000FCDC7FF560
+:04104100FCCF7FF56C
+:04104200FCC37FF577
+:04104300FCB67FF484
+:04104400FCAA7FF48F
+:04104500FC9D7FF49B
+:04104600FC917FF3A7
+:04104700FC847FF3B3
+:04104800FC777FF3BF
+:04104900FC6B7FF2CB
+:04104A00FC5E7FF2D7
+:04104B00FC527FF1E3
+:04104C00FC457FF1EF
+:04104D00FC397FF1FA
+:04104E00FC2C7FF007
+:04104F00FC1F7FF013
+:04105000FC137FF01E
+:04105100FC067FEF2B
+:04105200FBFA7FEF37
+:04105300FBED7FEE44
+:04105400FBE17FEE4F
+:04105500FBD47FEE5B
+:04105600FBC87FED67
+:04105700FBBB7FED73
+:04105800FBAE7FEC80
+:04105900FBA27FEC8B
+:04105A00FB957FEB98
+:04105B00FB897FEBA3
+:04105C00FB7C7FEBAF
+:04105D00FB707FEABB
+:04105E00FB637FEAC7
+:04105F00FB577FE9D3
+:04106000FB4A7FE9DF
+:04106100FB3D7FE8EC
+:04106200FB317FE8F7
+:04106300FB247FE704
+:04106400FB187FE70F
+:04106500FB0B7FE61C
+:04106600FAFF7FE628
+:04106700FAF27FE535
+:04106800FAE67FE540
+:04106900FAD97FE44D
+:04106A00FACC7FE459
+:04106B00FAC07FE365
+:04106C00FAB37FE371
+:04106D00FAA77FE27D
+:04106E00FA9A7FE289
+:04106F00FA8E7FE195
+:04107000FA817FE1A1
+:04107100FA757FE0AD
+:04107200FA687FE0B9
+:04107300FA5B7FDFC6
+:04107400FA4F7FDFD1
+:04107500FA427FDEDE
+:04107600FA367FDDEA
+:04107700FA297FDDF6
+:04107800FA1D7FDC02
+:04107900FA107FDC0E
+:04107A00FA047FDB1A
+:04107B00F9F77FDB27
+:04107C00F9EA7FDA34
+:04107D00F9DE7FD940
+:04107E00F9D17FD94C
+:04107F00F9C57FD858
+:04108000F9B87FD864
+:04108100F9AC7FD770
+:04108200F99F7FD67D
+:04108300F9937FD688
+:04108400F9867FD595
+:04108500F9797FD4A2
+:04108600F96D7FD4AD
+:04108700F9607FD3BA
+:04108800F9547FD2C6
+:04108900F9477FD2D2
+:04108A00F93B7FD1DE
+:04108B00F92E7FD0EB
+:04108C00F9227FD0F6
+:04108D00F9157FCF03
+:04108E00F9097FCE0F
+:04108F00F8FC7FCE1C
+:04109000F8EF7FCD29
+:04109100F8E37FCC35
+:04109200F8D67FCC41
+:04109300F8CA7FCB4D
+:04109400F8BD7FCA5A
+:04109500F8B17FCA65
+:04109600F8A47FC972
+:04109700F8987FC87E
+:04109800F88B7FC78B
+:04109900F87F7FC796
+:04109A00F8727FC6A3
+:04109B00F8657FC5B0
+:04109C00F8597FC4BC
+:04109D00F84C7FC4C8
+:04109E00F8407FC3D4
+:04109F00F8337FC2E1
+:0410A000F8277FC1ED
+:0410A100F81A7FC1F9
+:0410A200F80E7FC005
+:0410A300F8017FBF12
+:0410A400F7F57FBE1F
+:0410A500F7E87FBD2C
+:0410A600F7DC7FBD37
+:0410A700F7CF7FBC44
+:0410A800F7C27FBB51
+:0410A900F7B67FBA5D
+:0410AA00F7A97FB96A
+:0410AB00F79D7FB975
+:0410AC00F7907FB882
+:0410AD00F7847FB78E
+:0410AE00F7777FB69B
+:0410AF00F76B7FB5A7
+:0410B000F75E7FB4B4
+:0410B100F7527FB4BF
+:0410B200F7457FB3CC
+:0410B300F7397FB2D8
+:0410B400F72C7FB1E5
+:0410B500F71F7FB0F2
+:0410B600F7137FAFFE
+:0410B700F7067FAE0B
+:0410B800F6FA7FAD18
+:0410B900F6ED7FAD24
+:0410BA00F6E17FAC30
+:0410BB00F6D47FAB3D
+:0410BC00F6C87FAA49
+:0410BD00F6BB7FA956
+:0410BE00F6AF7FA862
+:0410BF00F6A27FA76F
+:0410C000F6967FA67B
+:0410C100F6897FA588
+:0410C200F67D7FA494
+:0410C300F6707FA3A1
+:0410C400F6637FA2AE
+:0410C500F6577FA2B9
+:0410C600F64A7FA1C6
+:0410C700F63E7FA0D2
+:0410C800F6317F9FDF
+:0410C900F6257F9EEB
+:0410CA00F6187F9DF8
+:0410CB00F60C7F9C04
+:0410CC00F5FF7F9B12
+:0410CD00F5F37F9A1E
+:0410CE00F5E67F992B
+:0410CF00F5DA7F9837
+:0410D000F5CD7F9744
+:0410D100F5C17F9650
+:0410D200F5B47F955D
+:0410D300F5A87F9469
+:0410D400F59B7F9376
+:0410D500F58F7F9282
+:0410D600F5827F918F
+:0410D700F5757F909C
+:0410D800F5697F8FA8
+:0410D900F55C7F8EB5
+:0410DA00F5507F8DC1
+:0410DB00F5437F8CCE
+:0410DC00F5377F8ADB
+:0410DD00F52A7F89E8
+:0410DE00F51E7F88F4
+:0410DF00F5117F8701
+:0410E000F5057F860D
+:0410E100F4F87F851B
+:0410E200F4EC7F8427
+:0410E300F4DF7F8334
+:0410E400F4D37F8240
+:0410E500F4C67F814D
+:0410E600F4BA7F8059
+:0410E700F4AD7F7F66
+:0410E800F4A17F7D73
+:0410E900F4947F7C80
+:0410EA00F4887F7B8C
+:0410EB00F47B7F7A99
+:0410EC00F46F7F79A5
+:0410ED00F4627F78B2
+:0410EE00F4567F77BE
+:0410EF00F4497F75CC
+:0410F000F43D7F74D8
+:0410F100F4307F73E5
+:0410F200F4237F72F2
+:0410F300F4177F71FE
+:0410F400F40A7F700B
+:0410F500F3FE7F6E19
+:0410F600F3F17F6D26
+:0410F700F3E57F6C32
+:0410F800F3D87F6B3F
+:0410F900F3CC7F6A4B
+:0410FA00F3BF7F6958
+:0410FB00F3B37F6765
+:0410FC00F3A67F6672
+:0410FD00F39A7F657E
+:0410FE00F38D7F648B
+:0410FF00F3817F6298
+:04110000F3747F61A4
+:04110100F3687F60B0
+:04110200F35B7F5FBD
+:04110300F34F7F5EC9
+:04110400F3427F5CD7
+:04110500F3367F5BE3
+:04110600F3297F5AF0
+:04110700F31D7F58FD
+:04110800F3107F570A
+:04110900F3047F5616
+:04110A00F2F77F5524
+:04110B00F2EB7F5331
+:04110C00F2DE7F523E
+:04110D00F2D27F514A
+:04110E00F2C57F5057
+:04110F00F2B97F4E64
+:04111000F2AC7F4D71
+:04111100F2A07F4C7D
+:04111200F2937F4A8B
+:04111300F2877F4997
+:04111400F27A7F48A4
+:04111500F26E7F46B1
+:04111600F2617F45BE
+:04111700F2557F44CA
+:04111800F2487F42D8
+:04111900F23C7F41E4
+:04111A00F22F7F40F1
+:04111B00F2237F3EFE
+:04111C00F2167F3D0B
+:04111D00F20A7F3B18
+:04111E00F1FD7F3A26
+:04111F00F1F17F3932
+:04112000F1E47F3740
+:04112100F1D87F364C
+:04112200F1CB7F3559
+:04112300F1BF7F3366
+:04112400F1B37F3272
+:04112500F1A67F3080
+:04112600F19A7F2F8C
+:04112700F18D7F2E99
+:04112800F1817F2CA6
+:04112900F1747F2BB3
+:04112A00F1687F29C0
+:04112B00F15B7F28CD
+:04112C00F14F7F26DA
+:04112D00F1427F25E7
+:04112E00F1367F23F4
+:04112F00F1297F2201
+:04113000F11D7F210D
+:04113100F1107F1F1B
+:04113200F1047F1E27
+:04113300F0F77F1C36
+:04113400F0EB7F1B42
+:04113500F0DE7F1950
+:04113600F0D27F185C
+:04113700F0C57F166A
+:04113800F0B97F1576
+:04113900F0AC7F1384
+:04113A00F0A07F1290
+:04113B00F0937F109E
+:04113C00F0877F0FAA
+:04113D00F07B7F0DB7
+:04113E00F06E7F0CC4
+:04113F00F0627F0AD1
+:04114000F0557F09DE
+:04114100F0497F07EB
+:04114200F03C7F05F9
+:04114300F0307F0405
+:04114400F0237F0213
+:04114500F0177F011F
+:04114600F00A7EFF2E
+:04114700EFFE7EFE3B
+:04114800EFF17EFC49
+:04114900EFE57EFB55
+:04114A00EFD87EF963
+:04114B00EFCC7EF770
+:04114C00EFBF7EF67D
+:04114D00EFB37EF48A
+:04114E00EFA77EF396
+:04114F00EF9A7EF1A4
+:04115000EF8E7EEFB1
+:04115100EF817EEEBE
+:04115200EF757EECCB
+:04115300EF687EEAD9
+:04115400EF5C7EE9E5
+:04115500EF4F7EE7F3
+:04115600EF437EE6FF
+:04115700EF367EE40D
+:04115800EF2A7EE21A
+:04115900EF1E7EE126
+:04115A00EF117EDF34
+:04115B00EF057EDD41
+:04115C00EEF87EDC4F
+:04115D00EEEC7EDA5C
+:04115E00EEDF7ED86A
+:04115F00EED37ED776
+:04116000EEC67ED584
+:04116100EEBA7ED391
+:04116200EEAD7ED29E
+:04116300EEA17ED0AB
+:04116400EE957ECEB8
+:04116500EE887ECCC6
+:04116600EE7C7ECBD2
+:04116700EE6F7EC9E0
+:04116800EE637EC7ED
+:04116900EE567EC6FA
+:04116A00EE4A7EC407
+:04116B00EE3D7EC215
+:04116C00EE317EC022
+:04116D00EE257EBF2E
+:04116E00EE187EBD3C
+:04116F00EE0C7EBB49
+:04117000EDFF7EB958
+:04117100EDF37EB765
+:04117200EDE67EB672
+:04117300EDDA7EB47F
+:04117400EDCD7EB28D
+:04117500EDC17EB09A
+:04117600EDB57EAFA6
+:04117700EDA87EADB4
+:04117800ED9C7EABC1
+:04117900ED8F7EA9CF
+:04117A00ED837EA7DC
+:04117B00ED767EA6E9
+:04117C00ED6A7EA4F6
+:04117D00ED5E7EA203
+:04117E00ED517EA011
+:04117F00ED457E9E1E
+:04118000ED387E9C2C
+:04118100ED2C7E9B38
+:04118200ED1F7E9946
+:04118300ED137E9753
+:04118400ED077E9560
+:04118500ECFA7E936F
+:04118600ECEE7E917C
+:04118700ECE17E8F8A
+:04118800ECD57E8D97
+:04118900ECC87E8CA4
+:04118A00ECBC7E8AB1
+:04118B00ECB07E88BE
+:04118C00ECA37E86CC
+:04118D00EC977E84D9
+:04118E00EC8A7E82E7
+:04118F00EC7E7E80F4
+:04119000EC717E7E02
+:04119100EC657E7C0F
+:04119200EC597E7A1C
+:04119300EC4C7E782A
+:04119400EC407E7736
+:04119500EC337E7544
+:04119600EC277E7351
+:04119700EC1B7E715E
+:04119800EC0E7E6F6C
+:04119900EC027E6D79
+:04119A00EBF57E6B88
+:04119B00EBE97E6995
+:04119C00EBDC7E67A3
+:04119D00EBD07E65B0
+:04119E00EBC47E63BD
+:04119F00EBB77E61CB
+:0411A000EBAB7E5FD8
+:0411A100EB9E7E5DE6
+:0411A200EB927E5BF3
+:0411A300EB867E5900
+:0411A400EB797E570E
+:0411A500EB6D7E551B
+:0411A600EB607E5329
+:0411A700EB547E5136
+:0411A800EB487E4F43
+:0411A900EB3B7E4D51
+:0411AA00EB2F7E4B5E
+:0411AB00EB227E496C
+:0411AC00EB167E4779
+:0411AD00EB0A7E4586
+:0411AE00EAFD7E4395
+:0411AF00EAF17E40A3
+:0411B000EAE47E3EB1
+:0411B100EAD87E3CBE
+:0411B200EACC7E3ACB
+:0411B300EABF7E38D9
+:0411B400EAB37E36E6
+:0411B500EAA67E34F4
+:0411B600EA9A7E3201
+:0411B700EA8E7E300E
+:0411B800EA817E2E1C
+:0411B900EA757E2C29
+:0411BA00EA697E2937
+:0411BB00EA5C7E2745
+:0411BC00EA507E2552
+:0411BD00EA437E2360
+:0411BE00EA377E216D
+:0411BF00EA2B7E1F7A
+:0411C000EA1E7E1D88
+:0411C100EA127E1A96
+:0411C200EA067E18A3
+:0411C300E9F97E16B2
+:0411C400E9ED7E14BF
+:0411C500E9E07E12CD
+:0411C600E9D47E10DA
+:0411C700E9C87E0DE8
+:0411C800E9BB7E0BF6
+:0411C900E9AF7E0903
+:0411CA00E9A27E0711
+:0411CB00E9967E051E
+:0411CC00E98A7E022C
+:0411CD00E97D7E003A
+:0411CE00E9717DFE48
+:0411CF00E9657DFC55
+:0411D000E9587DFA63
+:0411D100E94C7DF771
+:0411D200E9407DF57E
+:0411D300E9337DF38C
+:0411D400E9277DF199
+:0411D500E91A7DEEA8
+:0411D600E90E7DECB5
+:0411D700E9027DEAC2
+:0411D800E8F57DE8D1
+:0411D900E8E97DE5DF
+:0411DA00E8DD7DE3EC
+:0411DB00E8D07DE1FA
+:0411DC00E8C47DDF07
+:0411DD00E8B87DDC15
+:0411DE00E8AB7DDA23
+:0411DF00E89F7DD830
+:0411E000E8937DD53E
+:0411E100E8867DD34C
+:0411E200E87A7DD159
+:0411E300E86D7DCF67
+:0411E400E8617DCC75
+:0411E500E8557DCA82
+:0411E600E8487DC890
+:0411E700E83C7DC59E
+:0411E800E8307DC3AB
+:0411E900E8237DC1B9
+:0411EA00E8177DBEC7
+:0411EB00E80B7DBCD4
+:0411EC00E7FE7DB9E4
+:0411ED00E7F27DB7F1
+:0411EE00E7E67DB5FE
+:0411EF00E7D97DB20D
+:0411F000E7CD7DB01A
+:0411F100E7C17DAE27
+:0411F200E7B47DAB36
+:0411F300E7A87DA943
+:0411F400E79C7DA651
+:0411F500E78F7DA45F
+:0411F600E7837DA26C
+:0411F700E7777D9F7A
+:0411F800E76A7D9D88
+:0411F900E75E7D9A96
+:0411FA00E7527D98A3
+:0411FB00E7457D96B1
+:0411FC00E7397D93BF
+:0411FD00E72D7D91CC
+:0411FE00E7207D8EDB
+:0411FF00E7147D8CE8
+:04120000E7087D89F5
+:04120100E6FB7D8704
+:04120200E6EF7D8412
+:04120300E6E37D821F
+:04120400E6D67D802D
+:04120500E6CA7D7D3B
+:04120600E6BE7D7B48
+:04120700E6B17D7857
+:04120800E6A57D7664
+:04120900E6997D7372
+:04120A00E68C7D7180
+:04120B00E6807D6E8E
+:04120C00E6747D6C9B
+:04120D00E6687D69A9
+:04120E00E65B7D67B7
+:04120F00E64F7D64C5
+:04121000E6437D62D2
+:04121100E6367D5FE1
+:04121200E62A7D5DEE
+:04121300E61E7D5AFC
+:04121400E6117D570B
+:04121500E6057D5518
+:04121600E5F97D5227
+:04121700E5EC7D5035
+:04121800E5E07D4D43
+:04121900E5D47D4B50
+:04121A00E5C87D485E
+:04121B00E5BB7D466C
+:04121C00E5AF7D437A
+:04121D00E5A37D4088
+:04121E00E5967D3E96
+:04121F00E58A7D3BA4
+:04122000E57E7D39B1
+:04122100E5717D36C0
+:04122200E5657D33CE
+:04122300E5597D31DB
+:04122400E54D7D2EE9
+:04122500E5407D2BF8
+:04122600E5347D2905
+:04122700E5287D2613
+:04122800E51B7D2421
+:04122900E50F7D212F
+:04122A00E5037D1E3D
+:04122B00E4F77D1C4B
+:04122C00E4EA7D195A
+:04122D00E4DE7D1668
+:04122E00E4D27D1475
+:04122F00E4C67D1183
+:04123000E4B97D0E92
+:04123100E4AD7D0C9F
+:04123200E4A17D09AD
+:04123300E4947D06BC
+:04123400E4887D04C9
+:04123500E47C7D01D7
+:04123600E4707CFEE6
+:04123700E4637CFBF5
+:04123800E4577CF902
+:04123900E44B7CF610
+:04123A00E43F7CF31E
+:04123B00E4327CF12C
+:04123C00E4267CEE3A
+:04123D00E41A7CEB48
+:04123E00E40D7CE857
+:04123F00E4017CE664
+:04124000E3F57CE373
+:04124100E3E97CE081
+:04124200E3DC7CDD90
+:04124300E3D07CDB9D
+:04124400E3C47CD8AB
+:04124500E3B87CD5B9
+:04124600E3AB7CD2C8
+:04124700E39F7CCFD6
+:04124800E3937CCDE3
+:04124900E3877CCAF1
+:04124A00E37A7CC700
+:04124B00E36E7CC40E
+:04124C00E3627CC11C
+:04124D00E3567CBF29
+:04124E00E3497CBC38
+:04124F00E33D7CB946
+:04125000E3317CB654
+:04125100E3257CB362
+:04125200E3187CB170
+:04125300E30C7CAE7E
+:04125400E3007CAB8C
+:04125500E2F47CA89B
+:04125600E2E77CA5AA
+:04125700E2DB7CA2B8
+:04125800E2CF7C9FC6
+:04125900E2C37C9DD3
+:04125A00E2B77C9AE1
+:04125B00E2AA7C97F0
+:04125C00E29E7C94FE
+:04125D00E2927C910C
+:04125E00E2867C8E1A
+:04125F00E2797C8B29
+:04126000E26D7C8837
+:04126100E2617C8545
+:04126200E2557C8352
+:04126300E2487C8061
+:04126400E23C7C7D6F
+:04126500E2307C7A7D
+:04126600E2247C778B
+:04126700E2187C7499
+:04126800E20B7C71A8
+:04126900E1FF7C6EB7
+:04126A00E1F37C6BC5
+:04126B00E1E77C68D3
+:04126C00E1DB7C65E1
+:04126D00E1CE7C62F0
+:04126E00E1C27C5FFE
+:04126F00E1B67C5C0C
+:04127000E1AA7C591A
+:04127100E19D7C5629
+:04127200E1917C5337
+:04127300E1857C5045
+:04127400E1797C4D53
+:04127500E16D7C4A61
+:04127600E1607C4770
+:04127700E1547C447E
+:04127800E1487C418C
+:04127900E13C7C3E9A
+:04127A00E1307C3BA8
+:04127B00E1237C38B7
+:04127C00E1177C35C5
+:04127D00E10B7C32D3
+:04127E00E0FF7C2FE2
+:04127F00E0F37C2CF0
+:04128000E0E77C29FE
+:04128100E0DA7C260D
+:04128200E0CE7C231B
+:04128300E0C27C2029
+:04128400E0B67C1D37
+:04128500E0AA7C1A45
+:04128600E09D7C1754
+:04128700E0917C1462
+:04128800E0857C1071
+:04128900E0797C0D7F
+:04128A00E06D7C0A8D
+:04128B00E0617C079B
+:04128C00E0547C04AA
+:04128D00E0487C01B8
+:04128E00E03C7BFEC7
+:04128F00E0307BFBD5
+:04129000E0247BF8E3
+:04129100E0177BF4F3
+:04129200E00B7BF101
+:04129300DFFF7BEE10
+:04129400DFF37BEB1E
+:04129500DFE77BE82C
+:04129600DFDB7BE53A
+:04129700DFCE7BE249
+:04129800DFC27BDE58
+:04129900DFB67BDB66
+:04129A00DFAA7BD874
+:04129B00DF9E7BD582
+:04129C00DF927BD290
+:04129D00DF867BCF9E
+:04129E00DF797BCBAE
+:04129F00DF6D7BC8BC
+:0412A000DF617BC5CA
+:0412A100DF557BC2D8
+:0412A200DF497BBFE6
+:0412A300DF3D7BBBF5
+:0412A400DF307BB804
+:0412A500DF247BB512
+:0412A600DF187BB220
+:0412A700DF0C7BAE2F
+:0412A800DF007BAB3D
+:0412A900DEF47BA84C
+:0412AA00DEE87BA55A
+:0412AB00DEDB7BA16A
+:0412AC00DECF7B9E78
+:0412AD00DEC37B9B86
+:0412AE00DEB77B9894
+:0412AF00DEAB7B94A3
+:0412B000DE9F7B91B1
+:0412B100DE937B8EBF
+:0412B200DE877B8BCD
+:0412B300DE7A7B87DD
+:0412B400DE6E7B84EB
+:0412B500DE627B81F9
+:0412B600DE567B7D08
+:0412B700DE4A7B7A16
+:0412B800DE3E7B7724
+:0412B900DE327B7333
+:0412BA00DE267B7041
+:0412BB00DE197B6D50
+:0412BC00DE0D7B695F
+:0412BD00DE017B666D
+:0412BE00DDF57B637C
+:0412BF00DDE97B5F8B
+:0412C000DDDD7B5C99
+:0412C100DDD17B59A7
+:0412C200DDC57B55B6
+:0412C300DDB97B52C4
+:0412C400DDAC7B4FD3
+:0412C500DDA07B4BE2
+:0412C600DD947B48F0
+:0412C700DD887B45FE
+:0412C800DD7C7B410D
+:0412C900DD707B3E1B
+:0412CA00DD647B3A2A
+:0412CB00DD587B3738
+:0412CC00DD4C7B3446
+:0412CD00DD407B3055
+:0412CE00DD337B2D64
+:0412CF00DD277B2973
+:0412D000DD1B7B2681
+:0412D100DD0F7B2290
+:0412D200DD037B1F9E
+:0412D300DCF77B1CAD
+:0412D400DCEB7B18BC
+:0412D500DCDF7B15CA
+:0412D600DCD37B11D9
+:0412D700DCC77B0EE7
+:0412D800DCBB7B0AF6
+:0412D900DCAF7B0704
+:0412DA00DCA27B0314
+:0412DB00DC967B0022
+:0412DC00DC8A7AFC32
+:0412DD00DC7E7AF940
+:0412DE00DC727AF54F
+:0412DF00DC667AF25D
+:0412E000DC5A7AEE6C
+:0412E100DC4E7AEB7A
+:0412E200DC427AE789
+:0412E300DC367AE497
+:0412E400DC2A7AE0A6
+:0412E500DC1E7ADDB4
+:0412E600DC127AD9C3
+:0412E700DC067AD6D1
+:0412E800DBFA7AD2E1
+:0412E900DBED7ACFF0
+:0412EA00DBE17ACBFF
+:0412EB00DBD57AC80D
+:0412EC00DBC97AC41C
+:0412ED00DBBD7AC12A
+:0412EE00DBB17ABD39
+:0412EF00DBA57AB948
+:0412F000DB997AB656
+:0412F100DB8D7AB265
+:0412F200DB817AAF73
+:0412F300DB757AAB82
+:0412F400DB697AA890
+:0412F500DB5D7AA49F
+:0412F600DB517AA0AE
+:0412F700DB457A9DBC
+:0412F800DB397A99CB
+:0412F900DB2D7A96D9
+:0412FA00DB217A92E8
+:0412FB00DB157A8EF7
+:0412FC00DB097A8B05
+:0412FD00DAFD7A8715
+:0412FE00DAF17A8324
+:0412FF00DAE57A8032
+:04130000DAD97A7C40
+:04130100DACD7A784F
+:04130200DAC07A755E
+:04130300DAB47A716D
+:04130400DAA87A6D7C
+:04130500DA9C7A6A8A
+:04130600DA907A6699
+:04130700DA847A62A8
+:04130800DA787A5FB6
+:04130900DA6C7A5BC5
+:04130A00DA607A57D4
+:04130B00DA547A54E2
+:04130C00DA487A50F1
+:04130D00DA3C7A4C00
+:04130E00DA307A490E
+:04130F00DA247A451D
+:04131000DA187A412C
+:04131100DA0C7A3D3B
+:04131200DA007A3A49
+:04131300D9F47A3659
+:04131400D9E87A3268
+:04131500D9DC7A2E77
+:04131600D9D07A2B85
+:04131700D9C47A2794
+:04131800D9B87A23A3
+:04131900D9AC7A1FB2
+:04131A00D9A07A1CC0
+:04131B00D9947A18CF
+:04131C00D9887A14DE
+:04131D00D97C7A10ED
+:04131E00D9707A0DFB
+:04131F00D9647A090A
+:04132000D9587A0519
+:04132100D94C7A0128
+:04132200D94179FD37
+:04132300D93579FA45
+:04132400D92979F654
+:04132500D91D79F263
+:04132600D91179EE72
+:04132700D90579EA81
+:04132800D8F979E790
+:04132900D8ED79E39F
+:04132A00D8E179DFAE
+:04132B00D8D579DBBD
+:04132C00D8C979D7CC
+:04132D00D8BD79D3DB
+:04132E00D8B179CFEA
+:04132F00D8A579CCF8
+:04133000D89979C807
+:04133100D88D79C416
+:04133200D88179C025
+:04133300D87579BC34
+:04133400D86979B843
+:04133500D85D79B452
+:04133600D85179B061
+:04133700D84579AD6F
+:04133800D83979A97E
+:04133900D82D79A58D
+:04133A00D82279A19B
+:04133B00D816799DAA
+:04133C00D80A7999B9
+:04133D00D7FE7995C9
+:04133E00D7F27991D8
+:04133F00D7E6798DE7
+:04134000D7DA7989F6
+:04134100D7CE798505
+:04134200D7C2798114
+:04134300D7B6797D23
+:04134400D7AA797932
+:04134500D79E797541
+:04134600D79279724F
+:04134700D786796E5E
+:04134800D77B796A6C
+:04134900D76F79667B
+:04134A00D76379628A
+:04134B00D757795E99
+:04134C00D74B795AA8
+:04134D00D73F7956B7
+:04134E00D7337952C6
+:04134F00D727794ED5
+:04135000D71B794AE4
+:04135100D70F7946F3
+:04135200D703794202
+:04135300D6F7793E12
+:04135400D6EC793921
+:04135500D6E0793530
+:04135600D6D479313F
+:04135700D6C8792D4E
+:04135800D6BC79295D
+:04135900D6B079256C
+:04135A00D6A479217B
+:04135B00D698791D8A
+:04135C00D68C791999
+:04135D00D6817915A7
+:04135E00D6757911B6
+:04135F00D669790DC5
+:04136000D65D7909D4
+:04136100D6517905E3
+:04136200D6457901F2
+:04136300D63978FC03
+:04136400D62D78F812
+:04136500D62178F421
+:04136600D61678F02F
+:04136700D60A78EC3E
+:04136800D5FE78E84E
+:04136900D5F278E45D
+:04136A00D5E678E06C
+:04136B00D5DA78DC7B
+:04136C00D5CE78D78B
+:04136D00D5C378D399
+:04136E00D5B778CFA8
+:04136F00D5AB78CBB7
+:04137000D59F78C7C6
+:04137100D59378C3D5
+:04137200D58778BEE5
+:04137300D57B78BAF4
+:04137400D57078B602
+:04137500D56478B211
+:04137600D55878AE20
+:04137700D54C78AA2F
+:04137800D54078A53F
+:04137900D53478A14E
+:04137A00D528789D5D
+:04137B00D51D78996B
+:04137C00D51178957A
+:04137D00D50578908A
+:04137E00D4F9788C9A
+:04137F00D4ED7888A9
+:04138000D4E17884B8
+:04138100D4D6787FC7
+:04138200D4CA787BD6
+:04138300D4BE7877E5
+:04138400D4B27873F4
+:04138500D4A6786E04
+:04138600D49B786A12
+:04138700D48F786621
+:04138800D483786230
+:04138900D477785D40
+:04138A00D46B78594F
+:04138B00D45F78555E
+:04138C00D45478516C
+:04138D00D448784C7C
+:04138E00D43C78488B
+:04138F00D43078449A
+:04139000D424783FAA
+:04139100D419783BB8
+:04139200D40D7837C7
+:04139300D4017832D7
+:04139400D3F5782EE7
+:04139500D3E9782AF6
+:04139600D3DE782505
+:04139700D3D2782114
+:04139800D3C6781D23
+:04139900D3BA781833
+:04139A00D3AE781442
+:04139B00D3A3781050
+:04139C00D397780B60
+:04139D00D38B78076F
+:04139E00D37F78037E
+:04139F00D37377FE8F
+:0413A000D36877FA9D
+:0413A100D35C77F5AD
+:0413A200D35077F1BC
+:0413A300D34477EDCB
+:0413A400D33977E8DA
+:0413A500D32D77E4E9
+:0413A600D32177E0F8
+:0413A700D31577DB08
+:0413A800D30A77D716
+:0413A900D2FE77D227
+:0413AA00D2F277CE36
+:0413AB00D2E677C946
+:0413AC00D2DA77C555
+:0413AD00D2CF77C163
+:0413AE00D2C377BC73
+:0413AF00D2B777B882
+:0413B000D2AB77B392
+:0413B100D2A077AFA0
+:0413B200D29477AAB0
+:0413B300D28877A6BF
+:0413B400D27C77A1CF
+:0413B500D271779DDD
+:0413B600D2657798ED
+:0413B700D2597794FC
+:0413B800D24E778F0B
+:0413B900D242778B1A
+:0413BA00D23677862A
+:0413BB00D22A778239
+:0413BC00D21F777D48
+:0413BD00D213777957
+:0413BE00D207777467
+:0413BF00D1FB777077
+:0413C000D1F0776B86
+:0413C100D1E4776795
+:0413C200D1D87762A5
+:0413C300D1CD775EB3
+:0413C400D1C17759C3
+:0413C500D1B57755D2
+:0413C600D1A97750E2
+:0413C700D19E774CF0
+:0413C800D192774700
+:0413C900D18677430F
+:0413CA00D17B773E1E
+:0413CB00D16F77392E
+:0413CC00D16377353D
+:0413CD00D15777304D
+:0413CE00D14C772C5B
+:0413CF00D14077276B
+:0413D000D13477237A
+:0413D100D129771E89
+:0413D200D11D771999
+:0413D300D1117715A8
+:0413D400D1067710B7
+:0413D500D0FA770BC8
+:0413D600D0EE7707D7
+:0413D700D0E27702E7
+:0413D800D0D776FEF6
+:0413D900D0CB76F906
+:0413DA00D0BF76F416
+:0413DB00D0B476F024
+:0413DC00D0A876EB34
+:0413DD00D09C76E644
+:0413DE00D09176E252
+:0413DF00D08576DD62
+:0413E000D07976D872
+:0413E100D06E76D480
+:0413E200D06276CF90
+:0413E300D05676CAA0
+:0413E400D04B76C6AE
+:0413E500D03F76C1BE
+:0413E600D03376BCCE
+:0413E700D02876B8DC
+:0413E800D01C76B3EC
+:0413E900D01076AEFC
+:0413EA00D00576AA0A
+:0413EB00CFF976A51B
+:0413EC00CFEE76A02A
+:0413ED00CFE2769B3A
+:0413EE00CFD6769749
+:0413EF00CFCB769258
+:0413F000CFBF768D68
+:0413F100CFB3768878
+:0413F200CFA8768486
+:0413F300CF9C767F96
+:0413F400CF90767AA6
+:0413F500CF857675B5
+:0413F600CF797671C4
+:0413F700CF6E766CD3
+:0413F800CF627667E3
+:0413F900CF567662F3
+:0413FA00CF4B765E01
+:0413FB00CF3F765911
+:0413FC00CF33765421
+:0413FD00CF28764F30
+:0413FE00CF1C764A40
+:0413FF00CF1176464E
+:04140000CF0576415D
+:04140100CEF9763C6E
+:04140200CEEE76377D
+:04140300CEE276328D
+:04140400CED7762E9B
+:04140500CECB7629AB
+:04140600CEBF7624BB
+:04140700CEB4761FCA
+:04140800CEA8761ADA
+:04140900CE9D7615E9
+:04140A00CE917611F8
+:04140B00CE85760C08
+:04140C00CE7A760717
+:04140D00CE6E760227
+:04140E00CE6375FD37
+:04140F00CE5775F847
+:04141000CE4B75F357
+:04141100CE4075EE66
+:04141200CE3475EA75
+:04141300CE2975E584
+:04141400CE1D75E094
+:04141500CE1275DBA3
+:04141600CE0675D6B3
+:04141700CDFA75D1C4
+:04141800CDEF75CCD3
+:04141900CDE375C7E3
+:04141A00CDD875C2F2
+:04141B00CDCC75BD02
+:04141C00CDC175B811
+:04141D00CDB575B420
+:04141E00CDAA75AF2F
+:04141F00CD9E75AA3F
+:04142000CD9275A54F
+:04142100CD8775A05E
+:04142200CD7B759B6E
+:04142300CD7075967D
+:04142400CD6475918D
+:04142500CD59758C9C
+:04142600CD4D7587AC
+:04142700CD427582BB
+:04142800CD36757DCB
+:04142900CD2B7578DA
+:04142A00CD1F7573EA
+:04142B00CD14756EF9
+:04142C00CD08756909
+:04142D00CCFC75641A
+:04142E00CCF1755F29
+:04142F00CCE5755A39
+:04143000CCDA755548
+:04143100CCCE755058
+:04143200CCC3754B67
+:04143300CCB7754677
+:04143400CCAC754186
+:04143500CCA0753C96
+:04143600CC957537A5
+:04143700CC897532B5
+:04143800CC7E752DC4
+:04143900CC727528D4
+:04143A00CC677522E4
+:04143B00CC5B751DF4
+:04143C00CC50751803
+:04143D00CC44751313
+:04143E00CC39750E22
+:04143F00CC2D750932
+:04144000CC22750441
+:04144100CC1674FF52
+:04144200CC0B74FA61
+:04144300CBFF74F572
+:04144400CBF474F081
+:04144500CBE874EA92
+:04144600CBDD74E5A1
+:04144700CBD174E0B1
+:04144800CBC674DBC0
+:04144900CBBB74D6CF
+:04144A00CBAF74D1DF
+:04144B00CBA474CCEE
+:04144C00CB9874C7FE
+:04144D00CB8D74C10E
+:04144E00CB8174BC1E
+:04144F00CB7674B72D
+:04145000CB6A74B23D
+:04145100CB5F74AD4C
+:04145200CB5374A85C
+:04145300CB4874A26C
+:04145400CB3D749D7B
+:04145500CB3174988B
+:04145600CB2674939A
+:04145700CB1A748EAA
+:04145800CB0F7489B9
+:04145900CB037483CA
+:04145A00CAF8747EDA
+:04145B00CAEC7479EA
+:04145C00CAE17474F9
+:04145D00CAD6746E09
+:04145E00CACA746919
+:04145F00CABF746428
+:04146000CAB3745F38
+:04146100CAA8745A47
+:04146200CA9C745458
+:04146300CA91744F67
+:04146400CA86744A76
+:04146500CA7A744586
+:04146600CA6F743F96
+:04146700CA63743AA6
+:04146800CA587435B5
+:04146900CA4D7430C4
+:04146A00CA41742AD5
+:04146B00CA367425E4
+:04146C00CA2A7420F4
+:04146D00CA1F741A04
+:04146E00CA14741513
+:04146F00CA08741023
+:04147000C9FD740B33
+:04147100C9F1740544
+:04147200C9E6740053
+:04147300C9DB73FB63
+:04147400C9CF73F574
+:04147500C9C473F083
+:04147600C9B873EB93
+:04147700C9AD73E5A3
+:04147800C9A273E0B2
+:04147900C99673DBC2
+:04147A00C98B73D5D2
+:04147B00C98073D0E1
+:04147C00C97473CBF1
+:04147D00C96973C501
+:04147E00C95D73C011
+:04147F00C95273BA21
+:04148000C94773B530
+:04148100C93B73B040
+:04148200C93073AA50
+:04148300C92573A55F
+:04148400C91973A06F
+:04148500C90E739A7F
+:04148600C90373958E
+:04148700C8F7738FA0
+:04148800C8EC738AAF
+:04148900C8E17385BE
+:04148A00C8D5737FCF
+:04148B00C8CA737ADE
+:04148C00C8BF7374EE
+:04148D00C8B3736FFE
+:04148E00C8A873690E
+:04148F00C89D73641D
+:04149000C891735F2D
+:04149100C88673593D
+:04149200C87B73544C
+:04149300C86F734E5D
+:04149400C86473496C
+:04149500C85973437C
+:04149600C84D733E8C
+:04149700C84273389C
+:04149800C8377333AB
+:04149900C82B732DBC
+:04149A00C8207328CB
+:04149B00C8157322DB
+:04149C00C809731DEB
+:04149D00C7FE7317FC
+:04149E00C7F373120B
+:04149F00C7E8730C1B
+:0414A000C7DC73072B
+:0414A100C7D173013B
+:0414A200C7C672FC4B
+:0414A300C7BA72F65C
+:0414A400C7AF72F16B
+:0414A500C7A472EB7B
+:0414A600C79972E68A
+:0414A700C78D72E09B
+:0414A800C78272DBAA
+:0414A900C77772D5BA
+:0414AA00C76B72D0CA
+:0414AB00C76072CADA
+:0414AC00C75572C5E9
+:0414AD00C74A72BFF9
+:0414AE00C73E72B90A
+:0414AF00C73372B419
+:0414B000C72872AE29
+:0414B100C71D72A938
+:0414B200C71172A349
+:0414B300C706729D59
+:0414B400C6FB729869
+:0414B500C6F0729279
+:0414B600C6E4728D89
+:0414B700C6D9728799
+:0414B800C6CE7281A9
+:0414B900C6C3727CB8
+:0414BA00C6B77276C9
+:0414BB00C6AC7271D8
+:0414BC00C6A1726BE8
+:0414BD00C6967265F8
+:0414BE00C68A726008
+:0414BF00C67F725A18
+:0414C000C674725428
+:0414C100C669724F37
+:0414C200C65E724947
+:0414C300C652724358
+:0414C400C647723E67
+:0414C500C63C723877
+:0414C600C631723287
+:0414C700C626722D96
+:0414C800C61A7227A7
+:0414C900C60F7221B7
+:0414CA00C604721CC6
+:0414CB00C5F97216D7
+:0414CC00C5EE7210E7
+:0414CD00C5E2720BF7
+:0414CE00C5D7720507
+:0414CF00C5CC71FF18
+:0414D000C5C171F928
+:0414D100C5B671F437
+:0414D200C5AA71EE48
+:0414D300C59F71E858
+:0414D400C59471E367
+:0414D500C58971DD77
+:0414D600C57E71D787
+:0414D700C57271D198
+:0414D800C56771CCA7
+:0414D900C55C71C6B7
+:0414DA00C55171C0C7
+:0414DB00C54671BAD7
+:0414DC00C53B71B5E6
+:0414DD00C52F71AFF7
+:0414DE00C52471A907
+:0414DF00C51971A317
+:0414E000C50E719D27
+:0414E100C503719836
+:0414E200C4F8719247
+:0414E300C4ED718C57
+:0414E400C4E1718668
+:0414E500C4D6718078
+:0414E600C4CB717B87
+:0414E700C4C0717597
+:0414E800C4B5716FA7
+:0414E900C4AA7169B7
+:0414EA00C49F7163C7
+:0414EB00C493715DD8
+:0414EC00C4887158E7
+:0414ED00C47D7152F7
+:0414EE00C472714C07
+:0414EF00C467714617
+:0414F000C45C714027
+:0414F100C451713A37
+:0414F200C446713546
+:0414F300C43A712F57
+:0414F400C42F712967
+:0414F500C424712377
+:0414F600C419711D87
+:0414F700C40E711797
+:0414F800C4037111A7
+:0414F900C3F8710BB8
+:0414FA00C3ED7105C8
+:0414FB00C3E27100D7
+:0414FC00C3D770FAE8
+:0414FD00C3CB70F4F9
+:0414FE00C3C070EE09
+:0414FF00C3B570E819
+:04150000C3AA70E228
+:04150100C39F70DC38
+:04150200C39470D648
+:04150300C38970D058
+:04150400C37E70CA68
+:04150500C37370C478
+:04150600C36870BE88
+:04150700C35D70B898
+:04150800C35270B3A7
+:04150900C34770ADB7
+:04150A00C33C70A7C7
+:04150B00C33070A1D8
+:04150C00C325709BE8
+:04150D00C31A7095F8
+:04150E00C30F708F08
+:04150F00C304708918
+:04151000C2F9708329
+:04151100C2EE707D39
+:04151200C2E3707749
+:04151300C2D8707159
+:04151400C2CD706B69
+:04151500C2C2706579
+:04151600C2B7705F89
+:04151700C2AC705999
+:04151800C2A17053A9
+:04151900C296704DB9
+:04151A00C28B7047C9
+:04151B00C2807041D9
+:04151C00C275703BE9
+:04151D00C26A7034FA
+:04151E00C25F702E0A
+:04151F00C25470281A
+:04152000C24970222A
+:04152100C23E701C3A
+:04152200C23370164A
+:04152300C22870105A
+:04152400C21D700A6A
+:04152500C21270047A
+:04152600C2076FFE8B
+:04152700C1FC6FF89C
+:04152800C1F16FF2AC
+:04152900C1E66FECBC
+:04152A00C1DB6FE6CC
+:04152B00C1D06FDFDD
+:04152C00C1C56FD9ED
+:04152D00C1BA6FD3FD
+:04152E00C1AF6FCD0D
+:04152F00C1A46FC71D
+:04153000C1996FC12D
+:04153100C18E6FBB3D
+:04153200C1836FB54D
+:04153300C1786FAE5E
+:04153400C16D6FA86E
+:04153500C1626FA27E
+:04153600C1576F9C8E
+:04153700C14C6F969E
+:04153800C1416F90AE
+:04153900C1366F8ABE
+:04153A00C12B6F83CF
+:04153B00C1206F7DDF
+:04153C00C1156F77EF
+:04153D00C10B6F71FE
+:04153E00C1006F6B0E
+:04153F00C0F56F6420
+:04154000C0EA6F5E30
+:04154100C0DF6F5840
+:04154200C0D46F5250
+:04154300C0C96F4C60
+:04154400C0BE6F4571
+:04154500C0B36F3F81
+:04154600C0A86F3991
+:04154700C09D6F33A1
+:04154800C0926F2DB1
+:04154900C0876F26C2
+:04154A00C07C6F20D2
+:04154B00C0726F1AE1
+:04154C00C0676F14F1
+:04154D00C05C6F0D02
+:04154E00C0516F0712
+:04154F00C0466F0122
+:04155000C03B6EFB33
+:04155100C0306EF444
+:04155200C0256EEE54
+:04155300C01A6EE864
+:04155400C0106EE273
+:04155500C0056EDB84
+:04155600BFF96ED596
+:04155700BFEE6ECFA6
+:04155800BFE36EC8B7
+:04155900BFD86EC2C7
+:04155A00BFCD6EBCD7
+:04155B00BFC26EB6E7
+:04155C00BFB86EAFF7
+:04155D00BFAD6EA907
+:04155E00BFA26EA317
+:04155F00BF976E9C28
+:04156000BF8C6E9638
+:04156100BF816E9048
+:04156200BF766E8959
+:04156300BF6C6E8368
+:04156400BF616E7D78
+:04156500BF566E7689
+:04156600BF4B6E7099
+:04156700BF406E6AA9
+:04156800BF356E63BA
+:04156900BF2A6E5DCA
+:04156A00BF206E56DA
+:04156B00BF156E50EA
+:04156C00BF0A6E4AFA
+:04156D00BEFF6E430C
+:04156E00BEF46E3D1C
+:04156F00BEE96E372C
+:04157000BEDF6E303C
+:04157100BED46E2A4C
+:04157200BEC96E235D
+:04157300BEBE6E1D6D
+:04157400BEB36E177D
+:04157500BEA96E108D
+:04157600BE9E6E0A9D
+:04157700BE936E03AE
+:04157800BE886DFDBF
+:04157900BE7D6DF6D0
+:04157A00BE736DF0DF
+:04157B00BE686DEAEF
+:04157C00BE5D6DE300
+:04157D00BE526DDD10
+:04157E00BE476DD621
+:04157F00BE3D6DD030
+:04158000BE326DC941
+:04158100BE276DC351
+:04158200BE1C6DBC62
+:04158300BE126DB671
+:04158400BE076DAF82
+:04158500BDFC6DA993
+:04158600BDF16DA3A3
+:04158700BDE76D9CB3
+:04158800BDDC6D96C3
+:04158900BDD16D8FD4
+:04158A00BDC66D89E4
+:04158B00BDBC6D82F4
+:04158C00BDB16D7C04
+:04158D00BDA66D7515
+:04158E00BD9B6D6E26
+:04158F00BD916D6835
+:04159000BD866D6146
+:04159100BD7B6D5B56
+:04159200BD706D5467
+:04159300BD666D4E76
+:04159400BD5B6D4787
+:04159500BD506D4197
+:04159600BD456D3AA8
+:04159700BD3B6D34B7
+:04159800BD306D2DC8
+:04159900BD256D27D8
+:04159A00BD1B6D20E8
+:04159B00BD106D19F9
+:04159C00BD056D1309
+:04159D00BCFA6D0C1B
+:04159E00BCF06D062A
+:04159F00BCE56CFF3C
+:0415A000BCDA6CF94C
+:0415A100BCD06CF25C
+:0415A200BCC56CEB6D
+:0415A300BCBA6CE57D
+:0415A400BCB06CDE8D
+:0415A500BCA56CD79E
+:0415A600BC9A6CD1AE
+:0415A700BC8F6CCABF
+:0415A800BC856CC4CE
+:0415A900BC7A6CBDDF
+:0415AA00BC6F6CB6F0
+:0415AB00BC656CB0FF
+:0415AC00BC5A6CA910
+:0415AD00BC4F6CA221
+:0415AE00BC456C9C30
+:0415AF00BC3A6C9541
+:0415B000BC2F6C8F51
+:0415B100BC256C8861
+:0415B200BC1A6C8172
+:0415B300BC0F6C7B82
+:0415B400BC056C7492
+:0415B500BBFA6C6DA4
+:0415B600BBF06C66B4
+:0415B700BBE56C60C4
+:0415B800BBDA6C59D5
+:0415B900BBD06C52E5
+:0415BA00BBC56C4CF5
+:0415BB00BBBA6C4506
+:0415BC00BBB06C3E16
+:0415BD00BBA56C3826
+:0415BE00BB9B6C3136
+:0415BF00BB906C2A47
+:0415C000BB856C2358
+:0415C100BB7B6C1D67
+:0415C200BB706C1678
+:0415C300BB656C0F89
+:0415C400BB5B6C0998
+:0415C500BB506C02A9
+:0415C600BB466BFBBA
+:0415C700BB3B6BF4CB
+:0415C800BB306BEEDB
+:0415C900BB266BE7EB
+:0415CA00BB1B6BE0FC
+:0415CB00BB116BD90C
+:0415CC00BB066BD31C
+:0415CD00BAFB6BCC2E
+:0415CE00BAF16BC53E
+:0415CF00BAE66BBE4F
+:0415D000BADC6BB75F
+:0415D100BAD16BB16F
+:0415D200BAC76BAA7F
+:0415D300BABC6BA390
+:0415D400BAB16B9CA1
+:0415D500BAA76B95B1
+:0415D600BA9C6B8FC1
+:0415D700BA926B88D1
+:0415D800BA876B81E2
+:0415D900BA7D6B7AF2
+:0415DA00BA726B7303
+:0415DB00BA686B6C13
+:0415DC00BA5D6B6623
+:0415DD00BA526B5F34
+:0415DE00BA486B5844
+:0415DF00BA3D6B5155
+:0415E000BA336B4A65
+:0415E100BA286B4376
+:0415E200BA1E6B3D85
+:0415E300BA136B3696
+:0415E400BA096B2FA6
+:0415E500B9FE6B28B8
+:0415E600B9F46B21C8
+:0415E700B9E96B1AD9
+:0415E800B9DF6B13E9
+:0415E900B9D46B0CFA
+:0415EA00B9CA6B0609
+:0415EB00B9BF6AFF1B
+:0415EC00B9B56AF82B
+:0415ED00B9AA6AF13C
+:0415EE00B9A06AEA4C
+:0415EF00B9956AE35D
+:0415F000B98B6ADC6D
+:0415F100B9806AD57E
+:0415F200B9766ACE8E
+:0415F300B96B6AC79F
+:0415F400B9616AC0AF
+:0415F500B9566AB9C0
+:0415F600B94C6AB3CF
+:0415F700B9416AACE0
+:0415F800B9376AA5F0
+:0415F900B92C6A9E01
+:0415FA00B9226A9711
+:0415FB00B9176A9022
+:0415FC00B90D6A8932
+:0415FD00B9036A8242
+:0415FE00B8F86A7B54
+:0415FF00B8EE6A7464
+:04160000B8E36A6D74
+:04160100B8D96A6684
+:04160200B8CE6A5F95
+:04160300B8C46A58A5
+:04160400B8B96A51B6
+:04160500B8AF6A4AC6
+:04160600B8A56A43D6
+:04160700B89A6A3CE7
+:04160800B8906A35F7
+:04160900B8856A2E08
+:04160A00B87B6A2718
+:04160B00B8706A2029
+:04160C00B8666A1939
+:04160D00B85C6A1249
+:04160E00B8516A0B5A
+:04160F00B8476A046A
+:04161000B83C69FD7C
+:04161100B83269F68C
+:04161200B82869EF9C
+:04161300B81D69E8AD
+:04161400B81369E1BD
+:04161500B80869D9CF
+:04161600B7FE69D2E0
+:04161700B7F469CBF0
+:04161800B7E969C401
+:04161900B7DF69BD11
+:04161A00B7D469B622
+:04161B00B7CA69AF32
+:04161C00B7C069A842
+:04161D00B7B569A153
+:04161E00B7AB699A63
+:04161F00B7A1699373
+:04162000B796698B85
+:04162100B78C698495
+:04162200B782697DA5
+:04162300B7776976B6
+:04162400B76D696FC6
+:04162500B7626968D7
+:04162600B7586961E7
+:04162700B74E695AF7
+:04162800B743695209
+:04162900B739694B19
+:04162A00B72F694429
+:04162B00B724693D3A
+:04162C00B71A69364A
+:04162D00B710692F5A
+:04162E00B70569286B
+:04162F00B6FB69207D
+:04163000B6F169198D
+:04163100B6E669129E
+:04163200B6DC690BAE
+:04163300B6D26904BE
+:04163400B6C868FDCF
+:04163500B6BD68F5E1
+:04163600B6B368EEF1
+:04163700B6A968E701
+:04163800B69E68E012
+:04163900B69468D922
+:04163A00B68A68D133
+:04163B00B67F68CA44
+:04163C00B67568C354
+:04163D00B66B68BC64
+:04163E00B66168B475
+:04163F00B65668AD86
+:04164000B64C68A696
+:04164100B642689FA6
+:04164200B6386898B6
+:04164300B62D6890C8
+:04164400B6236889D8
+:04164500B6196882E8
+:04164600B60E687BF9
+:04164700B60468730A
+:04164800B5FA686C1B
+:04164900B5F068652B
+:04164A00B5E5685D3D
+:04164B00B5DB68564D
+:04164C00B5D1684F5D
+:04164D00B5C768486D
+:04164E00B5BD68407E
+:04164F00B5B268398F
+:04165000B5A868329F
+:04165100B59E682AB0
+:04165200B5946823C0
+:04165300B589681CD1
+:04165400B57F6814E2
+:04165500B575680DF2
+:04165600B56B680602
+:04165700B56167FF13
+:04165800B55667F725
+:04165900B54C67F035
+:04165A00B54267E945
+:04165B00B53867E156
+:04165C00B52E67DA66
+:04165D00B52367D377
+:04165E00B51967CB88
+:04165F00B50F67C498
+:04166000B50567BCA9
+:04166100B4FB67B5BA
+:04166200B4F067AECB
+:04166300B4E667A6DC
+:04166400B4DC679FEC
+:04166500B4D26798FC
+:04166600B4C867900D
+:04166700B4BE67891D
+:04166800B4B367812F
+:04166900B4A9677A3F
+:04166A00B49F67734F
+:04166B00B495676B60
+:04166C00B48B676470
+:04166D00B481675C81
+:04166E00B476675592
+:04166F00B46C674EA2
+:04167000B4626746B3
+:04167100B458673FC3
+:04167200B44E6737D4
+:04167300B4446730E4
+:04167400B43A6728F5
+:04167500B430672105
+:04167600B425671A16
+:04167700B41B671227
+:04167800B411670B37
+:04167900B407670348
+:04167A00B3FD66FC5A
+:04167B00B3F366F46B
+:04167C00B3E966ED7B
+:04167D00B3DF66E58C
+:04167E00B3D566DE9C
+:04167F00B3CA66D6AE
+:04168000B3C066CFBE
+:04168100B3B666C7CF
+:04168200B3AC66C0DF
+:04168300B3A266B8F0
+:04168400B39866B100
+:04168500B38E66A911
+:04168600B38466A221
+:04168700B37A669A32
+:04168800B370669342
+:04168900B366668B53
+:04168A00B35C668463
+:04168B00B351667C75
+:04168C00B347667585
+:04168D00B33D666D96
+:04168E00B3336666A6
+:04168F00B329665EB7
+:04169000B31F6657C7
+:04169100B315664FD8
+:04169200B30B6648E8
+:04169300B3016640F9
+:04169400B2F766380B
+:04169500B2ED66311B
+:04169600B2E366292C
+:04169700B2D966223C
+:04169800B2CF661A4D
+:04169900B2C566135D
+:04169A00B2BB660B6E
+:04169B00B2B166037F
+:04169C00B2A765FC90
+:04169D00B29D65F4A1
+:04169E00B29365EDB1
+:04169F00B28965E5C2
+:0416A000B27F65DDD3
+:0416A100B27565D6E3
+:0416A200B26B65CEF4
+:0416A300B26165C704
+:0416A400B25765BF15
+:0416A500B24D65B726
+:0416A600B24365B036
+:0416A700B23965A847
+:0416A800B22F65A058
+:0416A900B225659968
+:0416AA00B21B659179
+:0416AB00B21165898A
+:0416AC00B20765829A
+:0416AD00B1FD657AAC
+:0416AE00B1F36572BD
+:0416AF00B1E9656BCD
+:0416B000B1DF6563DE
+:0416B100B1D5655BEF
+:0416B200B1CB6554FF
+:0416B300B1C1654C10
+:0416B400B1B7654421
+:0416B500B1AD653D31
+:0416B600B1A4653541
+:0416B700B19A652D52
+:0416B800B190652662
+:0416B900B186651E73
+:0416BA00B17C651684
+:0416BB00B172650F94
+:0416BC00B1686507A5
+:0416BD00B15E64FFB7
+:0416BE00B15464F7C8
+:0416BF00B14A64F0D8
+:0416C000B14064E8E9
+:0416C100B13664E0FA
+:0416C200B12D64D80A
+:0416C300B12364D11A
+:0416C400B11964C92B
+:0416C500B10F64C13C
+:0416C600B10564BA4C
+:0416C700B0FB64B25E
+:0416C800B0F164AA6F
+:0416C900B0E764A280
+:0416CA00B0DD649A91
+:0416CB00B0D46493A0
+:0416CC00B0CA648BB1
+:0416CD00B0C06483C2
+:0416CE00B0B6647BD3
+:0416CF00B0AC6474E3
+:0416D000B0A2646CF4
+:0416D100B098646405
+:0416D200B08E645C16
+:0416D300B085645426
+:0416D400B07B644D36
+:0416D500B071644547
+:0416D600B067643D58
+:0416D700B05D643569
+:0416D800B053642D7A
+:0416D900B04A642689
+:0416DA00B040641E9A
+:0416DB00B0366416AB
+:0416DC00B02C640EBC
+:0416DD00B0226406CD
+:0416DE00B01863FEDF
+:0416DF00B00F63F6EF
+:0416E000B00563EFFF
+:0416E100AFFB63E711
+:0416E200AFF163DF22
+:0416E300AFE763D733
+:0416E400AFDE63CF43
+:0416E500AFD463C754
+:0416E600AFCA63BF65
+:0416E700AFC063B875
+:0416E800AFB663B086
+:0416E900AFAD63A896
+:0416EA00AFA363A0A7
+:0416EB00AF996398B8
+:0416EC00AF8F6390C9
+:0416ED00AF866388D9
+:0416EE00AF7C6380EA
+:0416EF00AF726378FB
+:0416F000AF6863710B
+:0416F100AF5F63691B
+:0416F200AF5563612C
+:0416F300AF4B63593D
+:0416F400AF4163514E
+:0416F500AF3863495E
+:0416F600AF2E63416F
+:0416F700AF24633980
+:0416F800AF1A633191
+:0416F900AF116329A1
+:0416FA00AF076321B2
+:0416FB00AEFD6319C4
+:0416FC00AEF36311D5
+:0416FD00AEEA6309E5
+:0416FE00AEE06301F6
+:0416FF00AED662F908
+:04170000AECC62F118
+:04170100AEC362E928
+:04170200AEB962E238
+:04170300AEAF62DA49
+:04170400AEA662D259
+:04170500AE9C62CA6A
+:04170600AE9262C27B
+:04170700AE8962BA8B
+:04170800AE7F62B29C
+:04170900AE7562AAAD
+:04170A00AE6B62A2BE
+:04170B00AE62629ACE
+:04170C00AE586292DF
+:04170D00AE4E628AF0
+:04170E00AE45628101
+:04170F00AE3B627912
+:04171000AE31627123
+:04171100AE28626933
+:04171200AE1E626144
+:04171300AE14625955
+:04171400AE0B625165
+:04171500AE01624976
+:04171600ADF8624187
+:04171700ADEE623998
+:04171800ADE46231A9
+:04171900ADDB6229B9
+:04171A00ADD16221CA
+:04171B00ADC76219DB
+:04171C00ADBE6211EB
+:04171D00ADB46209FC
+:04171E00ADAA62010D
+:04171F00ADA161F91E
+:04172000AD9761F030
+:04172100AD8E61E840
+:04172200AD8461E051
+:04172300AD7A61D862
+:04172400AD7161D072
+:04172500AD6761C883
+:04172600AD5E61C093
+:04172700AD5461B8A4
+:04172800AD4A61B0B5
+:04172900AD4161A8C5
+:04172A00AD37619FD7
+:04172B00AD2E6197E7
+:04172C00AD24618FF8
+:04172D00AD1A618709
+:04172E00AD11617F19
+:04172F00AD0761772A
+:04173000ACFE616F3B
+:04173100ACF461664D
+:04173200ACEB615E5D
+:04173300ACE161566E
+:04173400ACD8614E7E
+:04173500ACCE61468F
+:04173600ACC4613EA0
+:04173700ACBB6135B1
+:04173800ACB1612DC2
+:04173900ACA86125D2
+:04173A00AC9E611DE3
+:04173B00AC956115F3
+:04173C00AC8B610D04
+:04173D00AC82610415
+:04173E00AC7860FC27
+:04173F00AC6F60F437
+:04174000AC6560EC48
+:04174100AC5C60E359
+:04174200AC5260DB6A
+:04174300AC4960D37A
+:04174400AC3F60CB8B
+:04174500AC3660C39B
+:04174600AC2C60BAAD
+:04174700AC2360B2BD
+:04174800AC1960AACE
+:04174900AC1060A2DE
+:04174A00AC066099F0
+:04174B00ABFD609101
+:04174C00ABF3608912
+:04174D00ABEA608122
+:04174E00ABE0607834
+:04174F00ABD7607044
+:04175000ABCD606855
+:04175100ABC4606065
+:04175200ABBA605777
+:04175300ABB1604F87
+:04175400ABA7604798
+:04175500AB9E603FA8
+:04175600AB956036B9
+:04175700AB8B602ECA
+:04175800AB826026DA
+:04175900AB78601DEC
+:04175A00AB6F6015FC
+:04175B00AB65600D0D
+:04175C00AB5C60041E
+:04175D00AB535FFC2F
+:04175E00AB495FF440
+:04175F00AB405FEC50
+:04176000AB365FE362
+:04176100AB2D5FDB72
+:04176200AB235FD383
+:04176300AB1A5FCA94
+:04176400AB115FC2A4
+:04176500AB075FBAB5
+:04176600AAFE5FB1C7
+:04176700AAF45FA9D8
+:04176800AAEB5FA0E9
+:04176900AAE25F98F9
+:04176A00AAD85F900A
+:04176B00AACF5F871B
+:04176C00AAC65F7F2B
+:04176D00AABC5F773C
+:04176E00AAB35F6E4D
+:04176F00AAA95F665E
+:04177000AAA05F5E6E
+:04177100AA975F557F
+:04177200AA8D5F4D90
+:04177300AA845F44A1
+:04177400AA7B5F3CB1
+:04177500AA715F34C2
+:04177600AA685F2BD3
+:04177700AA5F5F23E3
+:04177800AA555F1AF5
+:04177900AA4C5F1205
+:04177A00AA435F0A15
+:04177B00AA395F0127
+:04177C00AA305EF938
+:04177D00AA275EF049
+:04177E00AA1D5EE85A
+:04177F00AA145EDF6B
+:04178000AA0B5ED77B
+:04178100AA015ECF8C
+:04178200A9F85EC69E
+:04178300A9EF5EBEAE
+:04178400A9E55EB5C0
+:04178500A9DC5EADD0
+:04178600A9D35EA4E1
+:04178700A9CA5E9CF1
+:04178800A9C05E9303
+:04178900A9B75E8B13
+:04178A00A9AE5E8224
+:04178B00A9A45E7A35
+:04178C00A99B5E7146
+:04178D00A9925E6956
+:04178E00A9895E6166
+:04178F00A97F5E5878
+:04179000A9765E5088
+:04179100A96D5E4799
+:04179200A9645E3FA9
+:04179300A95A5E36BB
+:04179400A9515E2ECB
+:04179500A9485E25DC
+:04179600A93F5E1CED
+:04179700A9355E14FE
+:04179800A92C5E0B0F
+:04179900A9235E031F
+:04179A00A91A5DFA31
+:04179B00A9105DF242
+:04179C00A9075DE953
+:04179D00A8FE5DE164
+:04179E00A8F55DD875
+:04179F00A8EC5DD085
+:0417A000A8E25DC797
+:0417A100A8D95DBFA7
+:0417A200A8D05DB6B8
+:0417A300A8C75DADC9
+:0417A400A8BE5DA5D9
+:0417A500A8B45D9CEB
+:0417A600A8AB5D94FB
+:0417A700A8A25D8B0C
+:0417A800A8995D831C
+:0417A900A8905D7A2D
+:0417AA00A8875D713E
+:0417AB00A87D5D694F
+:0417AC00A8745D6060
+:0417AD00A86B5D5870
+:0417AE00A8625D4F81
+:0417AF00A8595D4692
+:0417B000A8505D3EA2
+:0417B100A8465D35B4
+:0417B200A83D5D2DC4
+:0417B300A8345D24D5
+:0417B400A82B5D1BE6
+:0417B500A8225D13F6
+:0417B600A8195D0A07
+:0417B700A8105D0217
+:0417B800A8065CF92A
+:0417B900A7FD5CF03C
+:0417BA00A7F45CE84C
+:0417BB00A7EB5CDF5D
+:0417BC00A7E25CD66E
+:0417BD00A7D95CCE7E
+:0417BE00A7D05CC58F
+:0417BF00A7C75CBCA0
+:0417C000A7BE5CB4B0
+:0417C100A7B45CABC2
+:0417C200A7AB5CA2D3
+:0417C300A7A25C9AE3
+:0417C400A7995C91F4
+:0417C500A7905C8805
+:0417C600A7875C8015
+:0417C700A77E5C7726
+:0417C800A7755C6E37
+:0417C900A76C5C6647
+:0417CA00A7635C5D58
+:0417CB00A75A5C5469
+:0417CC00A7515C4B7A
+:0417CD00A7475C438B
+:0417CE00A73E5C3A9C
+:0417CF00A7355C31AD
+:0417D000A72C5C29BD
+:0417D100A7235C20CE
+:0417D200A71A5C17DF
+:0417D300A7115C0EF0
+:0417D400A7085C0600
+:0417D500A6FF5BFD13
+:0417D600A6F65BF424
+:0417D700A6ED5BEB35
+:0417D800A6E45BE345
+:0417D900A6DB5BDA56
+:0417DA00A6D25BD167
+:0417DB00A6C95BC878
+:0417DC00A6C05BC088
+:0417DD00A6B75BB799
+:0417DE00A6AE5BAEAA
+:0417DF00A6A55BA5BB
+:0417E000A69C5B9DCB
+:0417E100A6935B94DC
+:0417E200A68A5B8BED
+:0417E300A6815B82FE
+:0417E400A6785B7A0E
+:0417E500A66F5B711F
+:0417E600A6665B6830
+:0417E700A65D5B5F41
+:0417E800A6545B5652
+:0417E900A64B5B4E62
+:0417EA00A6425B4573
+:0417EB00A6395B3C84
+:0417EC00A6305B3395
+:0417ED00A6275B2AA6
+:0417EE00A61E5B21B7
+:0417EF00A6155B19C7
+:0417F000A60D5B10D7
+:0417F100A6045B07E8
+:0417F200A5FB5AFEFB
+:0417F300A5F25AF50C
+:0417F400A5E95AEC1D
+:0417F500A5E05AE42D
+:0417F600A5D75ADB3E
+:0417F700A5CE5AD24F
+:0417F800A5C55AC960
+:0417F900A5BC5AC071
+:0417FA00A5B35AB782
+:0417FB00A5AA5AAE93
+:0417FC00A5A25AA6A2
+:0417FD00A5995A9DB3
+:0417FE00A5905A94C4
+:0417FF00A5875A8BD5
+:04180000A57E5A82E5
+:04180100A5755A79F6
+:04180200A56C5A7007
+:04180300A5635A6718
+:04180400A55A5A5E29
+:04180500A5525A5638
+:04180600A5495A4D49
+:04180700A5405A445A
+:04180800A5375A3B6B
+:04180900A52E5A327C
+:04180A00A5255A298D
+:04180B00A51C5A209E
+:04180C00A5145A17AE
+:04180D00A50B5A0EBF
+:04180E00A5025A05D0
+:04180F00A4F959FCE3
+:04181000A4F059F3F4
+:04181100A4E759EB04
+:04181200A4DF59E214
+:04181300A4D659D925
+:04181400A4CD59D036
+:04181500A4C459C747
+:04181600A4BB59BE58
+:04181700A4B259B569
+:04181800A4AA59AC79
+:04181900A4A159A38A
+:04181A00A498599A9B
+:04181B00A48F5991AC
+:04181C00A4865988BD
+:04181D00A47E597FCD
+:04181E00A4755976DE
+:04181F00A46C596DEF
+:04182000A463596400
+:04182100A45B595B10
+:04182200A452595221
+:04182300A449594932
+:04182400A440594043
+:04182500A438593753
+:04182600A42F592E64
+:04182700A426592575
+:04182800A41D591C86
+:04182900A415591396
+:04182A00A40C590AA7
+:04182B00A4035901B8
+:04182C00A3FA58F8CB
+:04182D00A3F258EFDB
+:04182E00A3E958E6EC
+:04182F00A3E058DDFD
+:04183000A3D758D40E
+:04183100A3CF58CB1E
+:04183200A3C658C22F
+:04183300A3BD58B940
+:04183400A3B558AF51
+:04183500A3AC58A662
+:04183600A3A3589D73
+:04183700A39A589484
+:04183800A392588B94
+:04183900A3895882A5
+:04183A00A3805879B6
+:04183B00A3785870C6
+:04183C00A36F5867D7
+:04183D00A366585EE8
+:04183E00A35E5855F8
+:04183F00A355584C09
+:04184000A34C58421B
+:04184100A34458392B
+:04184200A33B58303C
+:04184300A33258274D
+:04184400A32A581E5D
+:04184500A32158156E
+:04184600A318580C7F
+:04184700A31058038F
+:04184800A30757FAA1
+:04184900A2FE57F0B4
+:04184A00A2F657E7C4
+:04184B00A2ED57DED5
+:04184C00A2E557D5E5
+:04184D00A2DC57CCF6
+:04184E00A2D357C307
+:04184F00A2CB57BA17
+:04185000A2C257B029
+:04185100A2BA57A739
+:04185200A2B1579E4A
+:04185300A2A857955B
+:04185400A2A0578C6B
+:04185500A29757837C
+:04185600A28F57798D
+:04185700A28657709E
+:04185800A27D5767AF
+:04185900A275575EBF
+:04185A00A26C5755D0
+:04185B00A264574CE0
+:04185C00A25B5742F2
+:04185D00A253573902
+:04185E00A24A573013
+:04185F00A241572724
+:04186000A239571E34
+:04186100A230571446
+:04186200A228570B56
+:04186300A21F570267
+:04186400A21756F978
+:04186500A20E56F089
+:04186600A20656E69A
+:04186700A1FD56DDAC
+:04186800A1F556D4BC
+:04186900A1EC56CBCD
+:04186A00A1E456C1DE
+:04186B00A1DB56B8EF
+:04186C00A1D256AF00
+:04186D00A1CA56A610
+:04186E00A1C1569C22
+:04186F00A1B9569332
+:04187000A1B0568A43
+:04187100A1A8568153
+:04187200A19F567765
+:04187300A197566E75
+:04187400A18F566585
+:04187500A186565C96
+:04187600A17E5652A7
+:04187700A1755649B8
+:04187800A16D5640C8
+:04187900A1645636DA
+:04187A00A15C562DEA
+:04187B00A1535624FB
+:04187C00A14B561B0B
+:04187D00A14256111D
+:04187E00A13A56082D
+:04187F00A13155FF3F
+:04188000A12955F550
+:04188100A12155EC60
+:04188200A11855E371
+:04188300A11055D982
+:04188400A10755D093
+:04188500A0FF55C7A4
+:04188600A0F655BDB6
+:04188700A0EE55B4C6
+:04188800A0E655ABD6
+:04188900A0DD55A1E8
+:04188A00A0D55598F8
+:04188B00A0CC558F09
+:04188C00A0C455851A
+:04188D00A0BC557C2A
+:04188E00A0B355733B
+:04188F00A0AB55694C
+:04189000A0A255605D
+:04189100A09A55576D
+:04189200A092554D7E
+:04189300A08955448F
+:04189400A081553AA0
+:04189500A0795531B0
+:04189600A0705528C1
+:04189700A068551ED2
+:04189800A0605515E2
+:04189900A057550CF3
+:04189A00A04F550204
+:04189B00A04654F916
+:04189C00A03E54EF27
+:04189D00A03654E637
+:04189E00A02D54DD48
+:04189F00A02554D359
+:0418A000A01D54CA69
+:0418A100A01454C07B
+:0418A200A00C54B78B
+:0418A300A00454AD9C
+:0418A4009FFC54A4AD
+:0418A5009FF3549BBE
+:0418A6009FEB5491CF
+:0418A7009FE35488DF
+:0418A8009FDA547EF1
+:0418A9009FD2547501
+:0418AA009FCA546B12
+:0418AB009FC1546223
+:0418AC009FB9545933
+:0418AD009FB1544F44
+:0418AE009FA9544654
+:0418AF009FA0543C66
+:0418B0009F98543376
+:0418B1009F90542987
+:0418B2009F88542097
+:0418B3009F7F5416A9
+:0418B4009F77540DB9
+:0418B5009F6F5403CA
+:0418B6009F6753FADB
+:0418B7009F5E53F0ED
+:0418B8009F5653E7FD
+:0418B9009F4E53DD0E
+:0418BA009F4653D41E
+:0418BB009F3D53CA30
+:0418BC009F3553C140
+:0418BD009F2D53B751
+:0418BE009F2553AE61
+:0418BF009F1D53A472
+:0418C0009F14539B83
+:0418C1009F0C539194
+:0418C2009F045388A4
+:0418C3009EFC537EB6
+:0418C4009EF35375C7
+:0418C5009EEB536BD8
+:0418C6009EE35362E8
+:0418C7009EDB5358F9
+:0418C8009ED3534F09
+:0418C9009ECB53451A
+:0418CA009EC2533C2B
+:0418CB009EBA53323C
+:0418CC009EB253284D
+:0418CD009EAA531F5D
+:0418CE009EA253156E
+:0418CF009E9A530C7E
+:0418D0009E91530290
+:0418D1009E8952F9A1
+:0418D2009E8152EFB2
+:0418D3009E7952E6C2
+:0418D4009E7152DCD3
+:0418D5009E6952D2E4
+:0418D6009E6152C9F4
+:0418D7009E5852BF06
+:0418D8009E5052B616
+:0418D9009E4852AC27
+:0418DA009E4052A238
+:0418DB009E38529948
+:0418DC009E30528F59
+:0418DD009E28528669
+:0418DE009E20527C7A
+:0418DF009E1852728B
+:0418E0009E1052699B
+:0418E1009E07525FAD
+:0418E2009DFF5256BE
+:0418E3009DF7524CCF
+:0418E4009DEF5242E0
+:0418E5009DE75239F0
+:0418E6009DDF522F01
+:0418E7009DD7522512
+:0418E8009DCF521C22
+:0418E9009DC7521233
+:0418EA009DBF520844
+:0418EB009DB751FF55
+:0418EC009DAF51F566
+:0418ED009DA751EC76
+:0418EE009D9F51E287
+:0418EF009D9751D898
+:0418F0009D8F51CFA8
+:0418F1009D8751C5B9
+:0418F2009D7F51BBCA
+:0418F3009D7651B2DB
+:0418F4009D6E51A8EC
+:0418F5009D66519EFD
+:0418F6009D5E51950D
+:0418F7009D56518B1E
+:0418F8009D4E51812F
+:0418F9009D46517740
+:0418FA009D3E516E50
+:0418FB009D36516461
+:0418FC009D2E515A72
+:0418FD009D26515182
+:0418FE009D1E514793
+:0418FF009D17513DA3
+:041900009D0F5134B2
+:041901009D07512AC3
+:041902009CFF5120D5
+:041903009CF75116E6
+:041904009CEF510DF6
+:041905009CE7510307
+:041906009CDF50F919
+:041907009CD750EF2A
+:041908009CCF50E63A
+:041909009CC750DC4B
+:04190A009CBF50D25C
+:04190B009CB750C86D
+:04190C009CAF50BF7D
+:04190D009CA750B58E
+:04190E009C9F50AB9F
+:04190F009C9750A1B0
+:041910009C8F5098C0
+:041911009C88508ED0
+:041912009C805084E1
+:041913009C78507AF2
+:041914009C70507102
+:041915009C68506713
+:041916009C60505D24
+:041917009C58505335
+:041918009C50504A45
+:041919009C48504056
+:04191A009C41503666
+:04191B009C39502C77
+:04191C009C31502288
+:04191D009C29501998
+:04191E009C21500FA9
+:04191F009C195005BA
+:041920009C114FFBCC
+:041921009C0A4FF1DC
+:041922009C024FE8EC
+:041923009BFA4FDEFE
+:041924009BF24FD40F
+:041925009BEA4FCA20
+:041926009BE24FC031
+:041927009BDA4FB642
+:041928009BD34FAD51
+:041929009BCB4FA362
+:04192A009BC34F9973
+:04192B009BBB4F8F84
+:04192C009BB34F8595
+:04192D009BAC4F7BA5
+:04192E009BA44F72B5
+:04192F009B9C4F68C6
+:041930009B944F5ED7
+:041931009B8C4F54E8
+:041932009B854F4AF8
+:041933009B7D4F4009
+:041934009B754F361A
+:041935009B6D4F2C2B
+:041936009B664F233A
+:041937009B5E4F194B
+:041938009B564F0F5C
+:041939009B4E4F056D
+:04193A009B464EFB7F
+:04193B009B3F4EF18F
+:04193C009B374EE7A0
+:04193D009B2F4EDDB1
+:04193E009B284ED3C1
+:04193F009B204ECAD1
+:041940009B184EC0E2
+:041941009B104EB6F3
+:041942009B094EAC03
+:041943009B014EA214
+:041944009AF94E9826
+:041945009AF14E8E37
+:041946009AEA4E8447
+:041947009AE24E7A58
+:041948009ADA4E7069
+:041949009AD34E6679
+:04194A009ACB4E5C8A
+:04194B009AC34E539A
+:04194C009ABC4E49AA
+:04194D009AB44E3FBB
+:04194E009AAC4E35CC
+:04194F009AA54E2BDC
+:041950009A9D4E21ED
+:041951009A954E17FE
+:041952009A8E4E0D0E
+:041953009A864E031F
+:041954009A7E4DF931
+:041955009A774DEF41
+:041956009A6F4DE552
+:041957009A674DDB63
+:041958009A604DD173
+:041959009A584DC784
+:04195A009A504DBD95
+:04195B009A494DB3A5
+:04195C009A414DA9B6
+:04195D009A394D9FC7
+:04195E009A324D95D7
+:04195F009A2A4D8BE8
+:041960009A234D81F8
+:041961009A1B4D7709
+:041962009A134D6D1A
+:041963009A0C4D632A
+:041964009A044D593B
+:0419650099FD4D4F4C
+:0419660099F54D455D
+:0419670099ED4D3B6E
+:0419680099E64D317E
+:0419690099DE4D278F
+:04196A0099D74D1D9F
+:04196B0099CF4D13B0
+:04196C0099C84D09C0
+:04196D0099C04CFFD2
+:04196E0099B84CF5E3
+:04196F0099B14CEBF3
+:0419700099A94CE104
+:0419710099A24CD714
+:04197200999A4CCD25
+:0419730099934CC335
+:04197400998B4CB946
+:0419750099844CAF56
+:04197600997C4CA468
+:0419770099754C9A78
+:04197800996D4C9089
+:0419790099664C8699
+:04197A00995E4C7CAA
+:04197B0099574C72BA
+:04197C00994F4C68CB
+:04197D0099484C5EDB
+:04197E0099404C54EC
+:04197F0099394C4AFC
+:0419800099314C400D
+:04198100992A4C361D
+:0419820099224C2B2F
+:04198300991B4C213F
+:0419840099134C1750
+:04198500990C4C0D60
+:0419860099044C0371
+:0419870098FD4BF983
+:0419880098F54BEF94
+:0419890098EE4BE5A4
+:04198A0098E64BDBB5
+:04198B0098DF4BD0C6
+:04198C0098D84BC6D6
+:04198D0098D04BBCE7
+:04198E0098C94BB2F7
+:04198F0098C14BA808
+:0419900098BA4B9E18
+:0419910098B24B9429
+:0419920098AB4B8A39
+:0419930098A44B7F4A
+:04199400989C4B755B
+:0419950098954B6B6B
+:04199600988D4B617C
+:0419970098864B578C
+:04199800987F4B4D9C
+:0419990098774B42AE
+:04199A0098704B38BE
+:04199B0098684B2ECF
+:04199C0098614B24DF
+:04199D00985A4B1AEF
+:04199E0098524B1000
+:04199F00984B4B0511
+:0419A00098444AFB22
+:0419A100983C4AF133
+:0419A20098354AE743
+:0419A300982D4ADD54
+:0419A40098264AD265
+:0419A500981F4AC875
+:0419A60098174ABE86
+:0419A70098104AB496
+:0419A80098094AAAA6
+:0419A90098014A9FB8
+:0419AA0097FA4A95C9
+:0419AB0097F34A8BD9
+:0419AC0097EC4A81E9
+:0419AD0097E44A77FA
+:0419AE0097DD4A6C0B
+:0419AF0097D64A621B
+:0419B00097CE4A582C
+:0419B10097C74A4E3C
+:0419B20097C04A434D
+:0419B30097B84A395E
+:0419B40097B14A2F6E
+:0419B50097AA4A257E
+:0419B60097A34A1B8E
+:0419B700979B4A10A0
+:0419B80097944A06B0
+:0419B900978D49FCC1
+:0419BA00978549F2D2
+:0419BB00977E49E7E3
+:0419BC00977749DDF3
+:0419BD00977049D303
+:0419BE00976849C815
+:0419BF00976149BE25
+:0419C000975A49B435
+:0419C100975349AA45
+:0419C200974C499F56
+:0419C3009744499567
+:0419C400973D498B77
+:0419C5009736498187
+:0419C600972F497698
+:0419C7009727496CA9
+:0419C80097204962B9
+:0419C90097194957CA
+:0419CA009712494DDA
+:0419CB00970B4943EA
+:0419CC0097034938FC
+:0419CD0096FC492E0D
+:0419CE0096F549241D
+:0419CF0096EE491A2D
+:0419D00096E7490F3E
+:0419D10096E049054E
+:0419D20096D848FB60
+:0419D30096D148F071
+:0419D40096CA48E681
+:0419D50096C348DC91
+:0419D60096BC48D1A2
+:0419D70096B548C7B2
+:0419D80096AE48BDC2
+:0419D90096A648B2D4
+:0419DA00969F48A8E4
+:0419DB009698489EF4
+:0419DC009691489305
+:0419DD00968A488915
+:0419DE009683487E26
+:0419DF00967C487436
+:0419E0009675486A46
+:0419E100966D485F58
+:0419E2009666485568
+:0419E300965F484B78
+:0419E4009658484089
+:0419E5009651483699
+:0419E600964A482CA9
+:0419E70096434821BA
+:0419E800963C4817CA
+:0419E9009635480CDB
+:0419EA00962E4802EB
+:0419EB00962747F8FC
+:0419EC00961F47ED0E
+:0419ED00961847E31E
+:0419EE00961147D82F
+:0419EF00960A47CE3F
+:0419F000960347C44F
+:0419F10095FC47B961
+:0419F20095F547AF71
+:0419F30095EE47A482
+:0419F40095E7479A92
+:0419F50095E04790A2
+:0419F60095D94785B3
+:0419F70095D2477BC3
+:0419F80095CB4770D4
+:0419F90095C44766E4
+:0419FA0095BD475BF5
+:0419FB0095B6475105
+:0419FC0095AF474715
+:0419FD0095A8473C26
+:0419FE0095A1473236
+:0419FF00959A472747
+:041A00009593471D56
+:041A0100958C471267
+:041A02009585470877
+:041A0300957E46FD89
+:041A0400957746F399
+:041A0500957046E9A9
+:041A0600956946DEBA
+:041A0700956246D4CA
+:041A0800955B46C9DB
+:041A0900955446BFEB
+:041A0A00954D46B4FC
+:041A0B00954746AA0B
+:041A0C009540469F1C
+:041A0D00953946952C
+:041A0E009532468A3D
+:041A0F00952B46804D
+:041A1000952446755E
+:041A1100951D466B6E
+:041A1200951646607F
+:041A1300950F46568F
+:041A14009508464BA0
+:041A150095014641B0
+:041A160094FA4636C2
+:041A170094F4462CD1
+:041A180094ED4621E2
+:041A190094E64617F2
+:041A1A0094DF460C03
+:041A1B0094D8460213
+:041A1C0094D145F725
+:041A1D0094CA45ED35
+:041A1E0094C345E246
+:041A1F0094BD45D855
+:041A200094B645CD66
+:041A210094AF45C376
+:041A220094A845B887
+:041A230094A145AE97
+:041A2400949A45A3A8
+:041A250094944598B8
+:041A2600948D458EC8
+:041A270094864583D9
+:041A2800947F4579E9
+:041A29009478456EFA
+:041A2A00947145640A
+:041A2B00946B45591A
+:041A2C009464454F2A
+:041A2D00945D45443B
+:041A2E00945645394C
+:041A2F00944F452F5C
+:041A3000944945246C
+:041A31009442451A7C
+:041A3200943B450F8D
+:041A3300943445059D
+:041A3400942D44FAAF
+:041A3500942744EFBF
+:041A3600942044E5CF
+:041A3700941944DAE0
+:041A3800941244D0F0
+:041A3900940C44C500
+:041A3A00940544BA11
+:041A3B0093FE44B022
+:041A3C0093F744A533
+:041A3D0093F1449B42
+:041A3E0093EA449053
+:041A3F0093E3448564
+:041A400093DD447B73
+:041A410093D6447084
+:041A420093CF446595
+:041A430093C8445BA5
+:041A440093C24450B5
+:041A450093BB4446C5
+:041A460093B4443BD6
+:041A470093AE4430E6
+:041A480093A74426F6
+:041A490093A0441B07
+:041A4A00939A441017
+:041A4B009393440627
+:041A4C00938C43FB39
+:041A4D00938543F149
+:041A4E00937F43E659
+:041A4F00937843DB6A
+:041A5000937143D17A
+:041A5100936B43C68A
+:041A5200936443BB9B
+:041A5300935E43B1AA
+:041A5400935743A6BB
+:041A55009350439BCC
+:041A5600934A4391DB
+:041A570093434386EC
+:041A5800933C437BFD
+:041A5900933643710C
+:041A5A00932F43661D
+:041A5B009329435B2D
+:041A5C00932243503E
+:041A5D00931B43464E
+:041A5E009315433B5E
+:041A5F00930E43306F
+:041A6000930743267F
+:041A61009301431B8F
+:041A620092FA4310A1
+:041A630092F44306B0
+:041A640092ED42FBC2
+:041A650092E742F0D2
+:041A660092E042E5E3
+:041A670092D942DBF3
+:041A680092D342D003
+:041A690092CC42C514
+:041A6A0092C642BB23
+:041A6B0092BF42B034
+:041A6C0092B942A544
+:041A6D0092B2429A55
+:041A6E0092AC429064
+:041A6F0092A5428575
+:041A7000929F427A85
+:041A71009298426F96
+:041A720092924265A5
+:041A7300928B425AB6
+:041A74009284424FC7
+:041A7500927E4244D7
+:041A76009277423AE7
+:041A77009271422FF7
+:041A7800926A422408
+:041A79009264421918
+:041A7A00925D420F28
+:041A7B009257420438
+:041A7C00925141F949
+:041A7D00924A41EE5A
+:041A7E00924441E469
+:041A7F00923D41D97A
+:041A8000923741CE8A
+:041A8100923041C39B
+:041A8200922A41B9AA
+:041A8300922341AEBB
+:041A8400921D41A3CB
+:041A850092164198DC
+:041A86009210418DEC
+:041A8700920A4183FB
+:041A8800920341780C
+:041A890091FD416D1D
+:041A8A0091F641622E
+:041A8B0091F041573E
+:041A8C0091E9414D4E
+:041A8D0091E341425E
+:041A8E0091DD41376E
+:041A8F0091D6412C7F
+:041A900091D041218F
+:041A910091C941179F
+:041A920091C3410CAF
+:041A930091BD4101BF
+:041A940091B640F6D1
+:041A950091B040EBE1
+:041A960091AA40E0F1
+:041A970091A340D601
+:041A9800919D40CB11
+:041A9900919640C022
+:041A9A00919040B532
+:041A9B00918A40AA42
+:041A9C009183409F53
+:041A9D00917D409463
+:041A9E009177408A72
+:041A9F009170407F83
+:041AA000916A407493
+:041AA10091644069A3
+:041AA200915D405EB4
+:041AA30091574053C4
+:041AA40091514048D4
+:041AA500914A403EE4
+:041AA60091444033F4
+:041AA700913E402804
+:041AA8009138401D14
+:041AA9009131401225
+:041AAA00912B400735
+:041AAB0091253FFB47
+:041AAC00911E3FF058
+:041AAD0091183FE667
+:041AAE0091123FDB77
+:041AAF00910C3FD087
+:041AB00091053FC598
+:041AB10090FF3FBAA9
+:041AB20090F93FAFB9
+:041AB30090F33FA4C9
+:041AB40090EC3F99DA
+:041AB50090E63F8EEA
+:041AB60090E03F84F9
+:041AB70090DA3F7909
+:041AB80090D33F6E1A
+:041AB90090CD3F632A
+:041ABA0090C73F583A
+:041ABB0090C13F4D4A
+:041ABC0090BB3F425A
+:041ABD0090B43F376B
+:041ABE0090AE3F2C7B
+:041ABF0090A83F218B
+:041AC00090A23F169B
+:041AC100909C3F0BAB
+:041AC20090953F00BC
+:041AC300908F3EF5CD
+:041AC40090893EEBDC
+:041AC50090833EE0EC
+:041AC600907D3ED5FC
+:041AC70090763ECA0D
+:041AC80090703EBF1D
+:041AC900906A3EB42D
+:041ACA0090643EA93D
+:041ACB00905E3E9E4D
+:041ACC0090583E935D
+:041ACD0090523E886D
+:041ACE00904B3E7D7E
+:041ACF0090453E728E
+:041AD000903F3E679E
+:041AD10090393E5CAE
+:041AD20090333E51BE
+:041AD300902D3E46CE
+:041AD40090273E3BDE
+:041AD50090213E30EE
+:041AD600901A3E25FF
+:041AD70090143E1A0F
+:041AD800900E3E0F1F
+:041AD90090083E042F
+:041ADA0090023DF940
+:041ADB008FFC3DEE51
+:041ADC008FF63DE361
+:041ADD008FF03DD871
+:041ADE008FEA3DCD81
+:041ADF008FE43DC291
+:041AE0008FDE3DB7A1
+:041AE1008FD83DACB1
+:041AE2008FD23DA1C1
+:041AE3008FCC3D96D1
+:041AE4008FC53D8BE2
+:041AE5008FBF3D80F2
+:041AE6008FB93D7502
+:041AE7008FB33D6A12
+:041AE8008FAD3D5F22
+:041AE9008FA73D5432
+:041AEA008FA13D4942
+:041AEB008F9B3D3E52
+:041AEC008F953D3362
+:041AED008F8F3D2872
+:041AEE008F893D1D82
+:041AEF008F833D1292
+:041AF0008F7D3D07A2
+:041AF1008F773CFCB3
+:041AF2008F713CF1C3
+:041AF3008F6B3CE6D3
+:041AF4008F653CDBE3
+:041AF5008F5F3CD0F3
+:041AF6008F593CC404
+:041AF7008F533CB914
+:041AF8008F4D3CAE24
+:041AF9008F483CA333
+:041AFA008F423C9843
+:041AFB008F3C3C8D53
+:041AFC008F363C8263
+:041AFD008F303C7773
+:041AFE008F2A3C6C83
+:041AFF008F243C6193
+:041B00008F1E3C56A2
+:041B01008F183C4BB2
+:041B02008F123C40C2
+:041B03008F0C3C35D2
+:041B04008F063C29E3
+:041B05008F003C1EF3
+:041B06008EFB3C1303
+:041B07008EF53C0813
+:041B08008EEF3BFD24
+:041B09008EE93BF234
+:041B0A008EE33BE744
+:041B0B008EDD3BDC54
+:041B0C008ED73BD164
+:041B0D008ED13BC674
+:041B0E008ECB3BBA85
+:041B0F008EC63BAF94
+:041B10008EC03BA4A4
+:041B11008EBA3B99B4
+:041B12008EB43B8EC4
+:041B13008EAE3B83D4
+:041B14008EA83B78E4
+:041B15008EA33B6DF3
+:041B16008E9D3B6104
+:041B17008E973B5614
+:041B18008E913B4B24
+:041B19008E8B3B4034
+:041B1A008E853B3544
+:041B1B008E803B2A53
+:041B1C008E7A3B1F63
+:041B1D008E743B1374
+:041B1E008E6E3B0884
+:041B1F008E683AFD95
+:041B20008E633AF2A4
+:041B21008E5D3AE7B4
+:041B22008E573ADCC4
+:041B23008E513AD1D4
+:041B24008E4B3AC5E5
+:041B25008E463ABAF4
+:041B26008E403AAF04
+:041B27008E3A3AA414
+:041B28008E343A9924
+:041B29008E2F3A8E33
+:041B2A008E293A8244
+:041B2B008E233A7754
+:041B2C008E1D3A6C64
+:041B2D008E183A6173
+:041B2E008E123A5683
+:041B2F008E0C3A4A94
+:041B30008E073A3FA3
+:041B31008E013A34B3
+:041B32008DFB3A29C4
+:041B33008DF53A1ED4
+:041B34008DF03A12E4
+:041B35008DEA3A07F4
+:041B36008DE439FC05
+:041B37008DDF39F114
+:041B38008DD939E624
+:041B39008DD339DA35
+:041B3A008DCE39CF44
+:041B3B008DC839C454
+:041B3C008DC239B964
+:041B3D008DBD39AE73
+:041B3E008DB739A284
+:041B3F008DB1399794
+:041B40008DAC398CA3
+:041B41008DA63981B3
+:041B42008DA03976C3
+:041B43008D9B396AD3
+:041B44008D95395FE3
+:041B45008D8F3954F3
+:041B46008D8A394902
+:041B47008D84393D13
+:041B48008D7F393222
+:041B49008D79392732
+:041B4A008D73391C42
+:041B4B008D6E391052
+:041B4C008D68390562
+:041B4D008D6338FA72
+:041B4E008D5D38EF82
+:041B4F008D5738E393
+:041B50008D5238D8A2
+:041B51008D4C38CDB2
+:041B52008D4738C2C1
+:041B53008D4138B6D2
+:041B54008D3B38ABE2
+:041B55008D3638A0F1
+:041B56008D30389501
+:041B57008D2B388911
+:041B58008D25387E21
+:041B59008D20387330
+:041B5A008D1A386741
+:041B5B008D15385C50
+:041B5C008D0F385160
+:041B5D008D0A38466F
+:041B5E008D04383A80
+:041B5F008CFF382F90
+:041B60008CF93824A0
+:041B61008CF43818B0
+:041B62008CEE380DC0
+:041B63008CE93802CF
+:041B64008CE337F7E0
+:041B65008CDE37EBF0
+:041B66008CD837E000
+:041B67008CD337D50F
+:041B68008CCD37C920
+:041B69008CC837BE2F
+:041B6A008CC237B33F
+:041B6B008CBD37A74F
+:041B6C008CB7379C5F
+:041B6D008CB237916E
+:041B6E008CAC37857F
+:041B6F008CA7377A8E
+:041B70008CA1376F9E
+:041B71008C9C3763AE
+:041B72008C973758BD
+:041B73008C91374DCD
+:041B74008C8C3741DD
+:041B75008C863736ED
+:041B76008C81372BFC
+:041B77008C7B371F0D
+:041B78008C7637141C
+:041B79008C7137092B
+:041B7A008C6B36FD3D
+:041B7B008C6636F24C
+:041B7C008C6036E75C
+:041B7D008C5B36DB6C
+:041B7E008C5636D07B
+:041B7F008C5036C58B
+:041B80008C4B36B99B
+:041B81008C4636AEAA
+:041B82008C4036A3BA
+:041B83008C3B3697CA
+:041B84008C35368CDA
+:041B85008C303680EA
+:041B86008C2B3675F9
+:041B87008C25366A09
+:041B88008C20365E19
+:041B89008C1B365328
+:041B8A008C15364838
+:041B8B008C10363C48
+:041B8C008C0B363157
+:041B8D008C05362568
+:041B8E008C00361A77
+:041B8F008BFB360F87
+:041B90008BF5360398
+:041B91008BF035F8A8
+:041B92008BEB35ECB8
+:041B93008BE635E1C7
+:041B94008BE035D6D7
+:041B95008BDB35CAE7
+:041B96008BD635BFF6
+:041B97008BD035B307
+:041B98008BCB35A816
+:041B99008BC6359D25
+:041B9A008BC1359135
+:041B9B008BBB358645
+:041B9C008BB6357A55
+:041B9D008BB1356F64
+:041B9E008BAC356473
+:041B9F008BA6355884
+:041BA0008BA1354D93
+:041BA1008B9C3541A3
+:041BA2008B973536B2
+:041BA3008B92352AC2
+:041BA4008B8C351FD2
+:041BA5008B873514E1
+:041BA6008B823508F1
+:041BA7008B7D34FD01
+:041BA8008B7734F112
+:041BA9008B7234E621
+:041BAA008B6D34DA31
+:041BAB008B6834CF40
+:041BAC008B6334C350
+:041BAD008B5E34B85F
+:041BAE008B5834AD6F
+:041BAF008B5334A17F
+:041BB0008B4E34968E
+:041BB1008B49348A9E
+:041BB2008B44347FAD
+:041BB3008B3F3473BD
+:041BB4008B393468CD
+:041BB5008B34345CDD
+:041BB6008B2F3451EC
+:041BB7008B2A3445FC
+:041BB8008B25343A0B
+:041BB9008B20342F1A
+:041BBA008B1B34232A
+:041BBB008B16341839
+:041BBC008B10340C4A
+:041BBD008B0B340159
+:041BBE008B0633F56A
+:041BBF008B0133EA79
+:041BC0008AFC33DE8A
+:041BC1008AF733D399
+:041BC2008AF233C7A9
+:041BC3008AED33BCB8
+:041BC4008AE833B0C8
+:041BC5008AE333A5D7
+:041BC6008ADE3399E7
+:041BC7008AD8338EF7
+:041BC8008AD3338207
+:041BC9008ACE337716
+:041BCA008AC9336B26
+:041BCB008AC4336035
+:041BCC008ABF335445
+:041BCD008ABA334954
+:041BCE008AB5333D64
+:041BCF008AB0333273
+:041BD0008AAB332683
+:041BD1008AA6331B92
+:041BD2008AA1330FA2
+:041BD3008A9C3304B1
+:041BD4008A9732F8C2
+:041BD5008A9232ECD2
+:041BD6008A8D32E1E1
+:041BD7008A8832D5F1
+:041BD8008A8332CA00
+:041BD9008A7E32BE10
+:041BDA008A7932B31F
+:041BDB008A7432A72F
+:041BDC008A6F329C3E
+:041BDD008A6A32904E
+:041BDE008A6532855D
+:041BDF008A6032796D
+:041BE0008A5B326E7C
+:041BE1008A5632628C
+:041BE2008A5132569C
+:041BE3008A4C324BAB
+:041BE4008A48323FBA
+:041BE5008A433234C9
+:041BE6008A3E3228D9
+:041BE7008A39321DE8
+:041BE8008A343211F8
+:041BE9008A2F320607
+:041BEA008A2A31FA18
+:041BEB008A2531EE28
+:041BEC008A2031E337
+:041BED008A1B31D747
+:041BEE008A1631CC56
+:041BEF008A1231C065
+:041BF0008A0D31B574
+:041BF1008A0831A984
+:041BF2008A03319D94
+:041BF30089FE3192A4
+:041BF40089F93186B4
+:041BF50089F4317BC3
+:041BF60089EF316FD3
+:041BF70089EB3163E2
+:041BF80089E63158F1
+:041BF90089E1314C01
+:041BFA0089DC314110
+:041BFB0089D7313520
+:041BFC0089D2312930
+:041BFD0089CE311E3E
+:041BFE0089C931124E
+:041BFF0089C431075D
+:041C000089BF30FB6D
+:041C010089BA30EF7D
+:041C020089B630E48B
+:041C030089B130D89B
+:041C040089AC30CDAA
+:041C050089A730C1BA
+:041C060089A230B5CA
+:041C0700899E30AAD8
+:041C08008999309EE8
+:041C090089943092F8
+:041C0A00898F308707
+:041C0B00898B307B16
+:041C0C008986307025
+:041C0D008981306435
+:041C0E00897C305845
+:041C0F008978304D53
+:041C10008973304163
+:041C1100896E303573
+:041C12008969302A82
+:041C13008965301E91
+:041C140089603012A1
+:041C1500895B3007B0
+:041C160089562FFBC1
+:041C170089522FF0CF
+:041C1800894D2FE4DF
+:041C190089482FD8EF
+:041C1A0089442FCDFD
+:041C1B00893F2FC10D
+:041C1C00893A2FB51D
+:041C1D0089362FAA2B
+:041C1E0089312F9E3B
+:041C1F00892C2F924B
+:041C200089282F8759
+:041C210089232F7B69
+:041C2200891E2F6F79
+:041C2300891A2F6487
+:041C240089152F5897
+:041C250089102F4CA7
+:041C2600890C2F41B5
+:041C270089072F35C5
+:041C280089022F29D5
+:041C290088FE2F1EE4
+:041C2A0088F92F12F4
+:041C2B0088F52F0603
+:041C2C0088F02EFA14
+:041C2D0088EB2EEF23
+:041C2E0088E72EE332
+:041C2F0088E22ED742
+:041C300088DD2ECC51
+:041C310088D92EC060
+:041C320088D42EB470
+:041C330088D02EA97E
+:041C340088CB2E9D8E
+:041C350088C72E919D
+:041C360088C22E85AD
+:041C370088BD2E7ABC
+:041C380088B92E6ECB
+:041C390088B42E62DB
+:041C3A0088B02E57E9
+:041C3B0088AB2E4BF9
+:041C3C0088A72E3F08
+:041C3D0088A22E3318
+:041C3E00889E2E2826
+:041C3F0088992E1C36
+:041C400088952E1045
+:041C410088902E0554
+:041C4200888C2DF964
+:041C430088872DED74
+:041C440088832DE183
+:041C4500887E2DD692
+:041C4600887A2DCAA1
+:041C470088752DBEB1
+:041C480088712DB2C0
+:041C4900886C2DA7CF
+:041C4A0088682D9BDE
+:041C4B0088632D8FEE
+:041C4C00885F2D84FC
+:041C4D00885A2D780C
+:041C4E0088562D6C1B
+:041C4F0088512D602B
+:041C5000884D2D5539
+:041C510088482D4949
+:041C520088442D3D58
+:041C5300883F2D3168
+:041C5400883B2D2676
+:041C550088372D1A85
+:041C560088322D0E95
+:041C5700882E2D02A4
+:041C580088292CF6B5
+:041C590088252CEBC3
+:041C5A0088202CDFD3
+:041C5B00881C2CD3E2
+:041C5C0088182CC7F1
+:041C5D0088132CBC00
+:041C5E00880F2CB00F
+:041C5F00880B2CA41E
+:041C600088062C982E
+:041C610088022C8D3C
+:041C620087FD2C814D
+:041C630087F92C755C
+:041C640087F52C696B
+:041C650087F02C5D7B
+:041C660087EC2C5289
+:041C670087E82C4698
+:041C680087E32C3AA8
+:041C690087DF2C2EB7
+:041C6A0087DB2C22C6
+:041C6B0087D62C17D5
+:041C6C0087D22C0BE4
+:041C6D0087CE2BFFF4
+:041C6E0087C92BF304
+:041C6F0087C52BE713
+:041C700087C12BDC21
+:041C710087BC2BD031
+:041C720087B82BC440
+:041C730087B42BB84F
+:041C740087AF2BAC5F
+:041C750087AB2BA16D
+:041C760087A72B957C
+:041C770087A32B898B
+:041C7800879E2B7D9B
+:041C7900879A2B71AA
+:041C7A0087962B65B9
+:041C7B0087922B5AC7
+:041C7C00878D2B4ED7
+:041C7D0087892B42E6
+:041C7E0087852B36F5
+:041C7F0087812B2A04
+:041C8000877C2B1F13
+:041C810087782B1322
+:041C820087742B0731
+:041C830087702AFB41
+:041C8400876B2AEF51
+:041C850087672AE360
+:041C860087632AD86E
+:041C8700875F2ACC7D
+:041C8800875B2AC08C
+:041C890087562AB49C
+:041C8A0087522AA8AB
+:041C8B00874E2A9CBA
+:041C8C00874A2A90C9
+:041C8D0087462A85D7
+:041C8E0087422A79E6
+:041C8F00873D2A6DF6
+:041C900087392A6105
+:041C910087352A5514
+:041C920087312A4923
+:041C9300872D2A3D32
+:041C940087292A3240
+:041C950087242A2650
+:041C960087202A1A5F
+:041C9700871C2A0E6E
+:041C980087182A027D
+:041C9900871429F68D
+:041C9A00871029EA9C
+:041C9B00870C29DFAA
+:041C9C00870829D3B9
+:041C9D00870429C7C8
+:041C9E0086FF29BBD9
+:041C9F0086FB29AFE8
+:041CA00086F729A3F7
+:041CA10086F3299706
+:041CA20086EF298B15
+:041CA30086EB297F24
+:041CA40086E7297432
+:041CA50086E3296841
+:041CA60086DF295C50
+:041CA70086DB29505F
+:041CA80086D729446E
+:041CA90086D329387D
+:041CAA0086CF292C8C
+:041CAB0086CB29209B
+:041CAC0086C72914AA
+:041CAD0086C22909B9
+:041CAE0086BE28FDC9
+:041CAF0086BA28F1D8
+:041CB00086B628E5E7
+:041CB10086B228D9F6
+:041CB20086AE28CD05
+:041CB30086AA28C114
+:041CB40086A628B523
+:041CB50086A228A932
+:041CB600869E289D41
+:041CB700869A289150
+:041CB800869628855F
+:041CB9008692287A6D
+:041CBA00868E286E7C
+:041CBB00868B28628A
+:041CBC008687285699
+:041CBD008683284AA8
+:041CBE00867F283EB7
+:041CBF00867B2832C6
+:041CC00086772826D5
+:041CC1008673281AE4
+:041CC200866F280EF3
+:041CC300866B280202
+:041CC400866727F612
+:041CC500866327EA21
+:041CC600865F27DE30
+:041CC700865B27D33E
+:041CC800865727C74D
+:041CC900865327BB5C
+:041CCA00865027AF6A
+:041CCB00864C27A379
+:041CCC008648279788
+:041CCD008644278B97
+:041CCE008640277FA6
+:041CCF00863C2773B5
+:041CD00086382767C4
+:041CD1008634275BD3
+:041CD2008631274FE1
+:041CD300862D2743F0
+:041CD40086292737FF
+:041CD5008625272B0E
+:041CD6008621271F1D
+:041CD700861D27132C
+:041CD800861927073B
+:041CD900861626FB4A
+:041CDA00861226EF59
+:041CDB00860E26E368
+:041CDC00860A26D777
+:041CDD00860626CB86
+:041CDE00860326BF94
+:041CDF0085FF26B4A3
+:041CE00085FB26A8B2
+:041CE10085F7269CC1
+:041CE20085F32690D0
+:041CE30085F02684DE
+:041CE40085EC2678ED
+:041CE50085E8266CFC
+:041CE60085E426600B
+:041CE70085E1265419
+:041CE80085DD264828
+:041CE90085D9263C37
+:041CEA0085D5263046
+:041CEB0085D2262454
+:041CEC0085CE261863
+:041CED0085CA260C72
+:041CEE0085C6260081
+:041CEF0085C325F490
+:041CF00085BF25E89F
+:041CF10085BB25DCAE
+:041CF20085B725D0BD
+:041CF30085B425C4CB
+:041CF40085B025B8DA
+:041CF50085AC25ACE9
+:041CF60085A925A0F7
+:041CF70085A5259406
+:041CF80085A1258815
+:041CF900859E257C23
+:041CFA00859A257032
+:041CFB008596256441
+:041CFC00859325584F
+:041CFD00858F254C5E
+:041CFE00858B25406D
+:041CFF00858825337C
+:041D0000858425278A
+:041D01008580251B99
+:041D0200857D250FA7
+:041D030085792503B6
+:041D0400857524F7C6
+:041D0500857224EBD4
+:041D0600856E24DFE3
+:041D0700856A24D3F2
+:041D0800856724C700
+:041D0900856324BB0F
+:041D0A00856024AF1D
+:041D0B00855C24A32C
+:041D0C00855824973B
+:041D0D008555248B49
+:041D0E008551247F58
+:041D0F00854E247366
+:041D1000854A246775
+:041D11008547245B83
+:041D12008543244F92
+:041D1300853F2443A1
+:041D1400853C2437AF
+:041D15008538242BBE
+:041D16008535241FCC
+:041D170085312413DB
+:041D1800852E2406EA
+:041D1900852A23FAFA
+:041D1A00852723EE08
+:041D1B00852323E217
+:041D1C00852023D625
+:041D1D00851C23CA34
+:041D1E00851923BE42
+:041D1F00851523B251
+:041D2000851223A65F
+:041D2100850E239A6E
+:041D2200850B238E7C
+:041D2300850723828B
+:041D24008504237699
+:041D25008500236AA8
+:041D260084FD235EB7
+:041D270084F92351C7
+:041D280084F62345D5
+:041D290084F22339E4
+:041D2A0084EF232DF2
+:041D2B0084EB232101
+:041D2C0084E823150F
+:041D2D0084E423091E
+:041D2E0084E122FD2D
+:041D2F0084DE22F13B
+:041D300084DA22E54A
+:041D310084D722D958
+:041D320084D322CD67
+:041D330084D022C076
+:041D340084CC22B485
+:041D350084C922A893
+:041D360084C6229CA1
+:041D370084C22290B0
+:041D380084BF2284BE
+:041D390084BB2278CD
+:041D3A0084B8226CDB
+:041D3B0084B52260E9
+:041D3C0084B12254F8
+:041D3D0084AE224707
+:041D3E0084AB223B15
+:041D3F0084A7222F24
+:041D400084A4222332
+:041D410084A1221740
+:041D4200849D220B4F
+:041D4300849A21FF5E
+:041D4400849721F36C
+:041D4500849321E77B
+:041D4600849021DA8A
+:041D4700848D21CE98
+:041D4800848921C2A7
+:041D4900848621B6B5
+:041D4A00848321AAC3
+:041D4B00847F219ED2
+:041D4C00847C2192E0
+:041D4D0084792186EE
+:041D4E0084752179FE
+:041D4F008472216D0C
+:041D5000846F21611A
+:041D5100846C215528
+:041D52008468214937
+:041D53008465213D45
+:041D54008462213153
+:041D5500845F212561
+:041D5600845B211871
+:041D57008458210C7F
+:041D5800845521008D
+:041D5900845220F49C
+:041D5A00844E20E8AB
+:041D5B00844B20DCB9
+:041D5C00844820D0C7
+:041D5D00844520C3D6
+:041D5E00844120B7E5
+:041D5F00843E20ABF3
+:041D6000843B209F01
+:041D6100843820930F
+:041D6200843520871D
+:041D63008431207A2D
+:041D6400842E206E3B
+:041D6500842B206249
+:041D66008428205657
+:041D67008425204A65
+:041D68008422203E73
+:041D6900841E203282
+:041D6A00841B202591
+:041D6B00841820199F
+:041D6C008415200DAD
+:041D6D0084122001BB
+:041D6E00840F1FF5CA
+:041D6F00840C1FE9D8
+:041D700084081FDCE8
+:041D710084051FD0F6
+:041D720084021FC404
+:041D730083FF1FB813
+:041D740083FC1FAC21
+:041D750083F91F9F30
+:041D760083F61F933E
+:041D770083F31F874C
+:041D780083F01F7B5A
+:041D790083EC1F6F69
+:041D7A0083E91F6377
+:041D7B0083E61F5686
+:041D7C0083E31F4A94
+:041D7D0083E01F3EA2
+:041D7E0083DD1F32B0
+:041D7F0083DA1F26BE
+:041D800083D71F19CD
+:041D810083D41F0DDB
+:041D820083D11F01E9
+:041D830083CE1EF5F8
+:041D840083CB1EE906
+:041D850083C81EDD14
+:041D860083C51ED023
+:041D870083C21EC431
+:041D880083BF1EB83F
+:041D890083BC1EAC4D
+:041D8A0083B91EA05B
+:041D8B0083B61E936A
+:041D8C0083B31E8778
+:041D8D0083B01E7B86
+:041D8E0083AD1E6F94
+:041D8F0083AA1E63A2
+:041D900083A71E56B1
+:041D910083A41E4ABF
+:041D920083A11E3ECD
+:041D9300839E1E32DB
+:041D9400839B1E25EA
+:041D950083981E19F8
+:041D960083951E0D06
+:041D970083921E0114
+:041D9800838F1DF523
+:041D9900838C1DE832
+:041D9A0083891DDC40
+:041D9B0083861DD04E
+:041D9C0083831DC45C
+:041D9D0083801DB86A
+:041D9E00837D1DAB79
+:041D9F00837B1D9F86
+:041DA00083781D9394
+:041DA10083751D87A2
+:041DA20083721D7AB1
+:041DA300836F1D6EBF
+:041DA400836C1D62CD
+:041DA50083691D56DB
+:041DA60083661D49EA
+:041DA70083631D3DF8
+:041DA80083611D3105
+:041DA900835E1D2513
+:041DAA00835B1D1921
+:041DAB0083581D0C30
+:041DAC0083551D003E
+:041DAD0083521CF44D
+:041DAE00834F1CE85B
+:041DAF00834D1CDB69
+:041DB000834A1CCF77
+:041DB10083471CC385
+:041DB20083441CB793
+:041DB30083411CAAA2
+:041DB400833F1C9EAF
+:041DB500833C1C92BD
+:041DB60083391C86CB
+:041DB70083361C79DA
+:041DB80083331C6DE8
+:041DB90083311C61F5
+:041DBA00832E1C5503
+:041DBB00832B1C4812
+:041DBC0083281C3C20
+:041DBD0083251C302E
+:041DBE0083231C243B
+:041DBF0083201C174A
+:041DC000831D1C0B58
+:041DC100831A1BFF67
+:041DC20083181BF374
+:041DC30083151BE683
+:041DC40083121BDA91
+:041DC500830F1BCE9F
+:041DC600830D1BC1AD
+:041DC700830A1BB5BB
+:041DC80083071BA9C9
+:041DC90083051B9DD6
+:041DCA0083021B90E5
+:041DCB0082FF1B84F4
+:041DCC0082FC1B7802
+:041DCD0082FA1B6C0F
+:041DCE0082F71B5F1E
+:041DCF0082F41B532C
+:041DD00082F21B4739
+:041DD10082EF1B3A48
+:041DD20082EC1B2E56
+:041DD30082EA1B2263
+:041DD40082E71B1671
+:041DD50082E41B0980
+:041DD60082E21AFD8E
+:041DD70082DF1AF19C
+:041DD80082DC1AE5AA
+:041DD90082DA1AD8B8
+:041DDA0082D71ACCC6
+:041DDB0082D51AC0D3
+:041DDC0082D21AB3E2
+:041DDD0082CF1AA7F0
+:041DDE0082CD1A9BFD
+:041DDF0082CA1A8F0B
+:041DE00082C71A821A
+:041DE10082C51A7627
+:041DE20082C21A6A35
+:041DE30082C01A5D43
+:041DE40082BD1A5151
+:041DE50082BA1A455F
+:041DE60082B81A386D
+:041DE70082B51A2C7B
+:041DE80082B31A2088
+:041DE90082B01A1496
+:041DEA0082AE1A07A4
+:041DEB0082AB19FBB3
+:041DEC0082A919EFC0
+:041DED0082A619E2CF
+:041DEE0082A319D6DD
+:041DEF0082A119CAEA
+:041DF000829E19BDF9
+:041DF100829C19B106
+:041DF200829919A514
+:041DF3008297199822
+:041DF4008294198C30
+:041DF500829219803D
+:041DF600828F19744B
+:041DF700828D196759
+:041DF800828A195B67
+:041DF9008288194F74
+:041DFA008285194283
+:041DFB008283193690
+:041DFC008280192A9E
+:041DFD00827E191DAC
+:041DFE00827C1911B9
+:041DFF0082791905C7
+:041E0000827718F8D5
+:041E0100827418ECE3
+:041E0200827218E0F0
+:041E0300826F18D3FF
+:041E0400826D18C70C
+:041E0500826A18BB1A
+:041E0600826818AE28
+:041E0700826618A235
+:041E08008263189643
+:041E09008261188951
+:041E0A00825E187D5F
+:041E0B00825C18716C
+:041E0C00825A18647A
+:041E0D008257185888
+:041E0E008255184C95
+:041E0F008252183FA4
+:041E100082501833B1
+:041E1100824E1827BE
+:041E1200824B181ACD
+:041E13008249180EDA
+:041E140082471802E7
+:041E1500824417F5F7
+:041E1600824217E904
+:041E1700823F17DD12
+:041E1800823D17D020
+:041E1900823B17C42D
+:041E1A00823817B83B
+:041E1B00823617AB49
+:041E1C008234179F56
+:041E1D008231179364
+:041E1E00822F178672
+:041E1F00822D177A7F
+:041E2000822B176D8D
+:041E2100822817619B
+:041E220082261755A8
+:041E230082241748B6
+:041E24008221173CC4
+:041E2500821F1730D1
+:041E2600821D1723DF
+:041E2700821B1717EC
+:041E28008218170BFA
+:041E2900821616FE09
+:041E2A00821416F216
+:041E2B00821216E623
+:041E2C00820F16D932
+:041E2D00820D16CD3F
+:041E2E00820B16C04D
+:041E2F00820916B45A
+:041E3000820616A868
+:041E31008204169B76
+:041E32008202168F83
+:041E33008200168390
+:041E340081FE16769F
+:041E350081FB166AAD
+:041E360081F9165EBA
+:041E370081F71651C8
+:041E380081F51645D5
+:041E390081F31638E3
+:041E3A0081F0162CF1
+:041E3B0081EE1620FE
+:041E3C0081EC16130C
+:041E3D0081EA160719
+:041E3E0081E815FA28
+:041E3F0081E615EE35
+:041E400081E315E243
+:041E410081E115D551
+:041E420081DF15C95E
+:041E430081DD15BD6B
+:041E440081DB15B079
+:041E450081D915A486
+:041E460081D7159794
+:041E470081D4158BA2
+:041E480081D2157FAF
+:041E490081D01572BD
+:041E4A0081CE1566CA
+:041E4B0081CC155AD7
+:041E4C0081CA154DE5
+:041E4D0081C81541F2
+:041E4E0081C6153400
+:041E4F0081C415280D
+:041E500081C2151C1A
+:041E510081C0150F28
+:041E520081BD150336
+:041E530081BB14F645
+:041E540081B914EA52
+:041E550081B714DE5F
+:041E560081B514D16D
+:041E570081B314C57A
+:041E580081B114B888
+:041E590081AF14AC95
+:041E5A0081AD14A0A2
+:041E5B0081AB1493B0
+:041E5C0081A91487BD
+:041E5D0081A7147ACB
+:041E5E0081A5146ED8
+:041E5F0081A31462E5
+:041E600081A11455F3
+:041E6100819F144900
+:041E6200819D143C0E
+:041E6300819B14301B
+:041E64008199142428
+:041E65008197141736
+:041E66008195140B43
+:041E6700819313FE52
+:041E6800819113F25F
+:041E6900818F13E56D
+:041E6A00818D13D97A
+:041E6B00818B13CD87
+:041E6C00818913C095
+:041E6D00818813B4A1
+:041E6E00818613A7AF
+:041E6F008184139BBC
+:041E70008182138FC9
+:041E710081801382D7
+:041E7200817E1376E4
+:041E7300817C1369F2
+:041E7400817A135DFF
+:041E7500817813500D
+:041E7600817613441A
+:041E77008174133827
+:041E78008173132B34
+:041E79008171131F41
+:041E7A00816F13124F
+:041E7B00816D13065C
+:041E7C00816B12F96B
+:041E7D00816912ED78
+:041E7E00816712E185
+:041E7F00816512D493
+:041E8000816412C89F
+:041E8100816212BBAD
+:041E8200816012AFBA
+:041E8300815E12A2C8
+:041E8400815C1296D5
+:041E8500815A128AE2
+:041E86008159127DEF
+:041E870081571271FC
+:041E8800815512640A
+:041E89008153125817
+:041E8A008151124B25
+:041E8B008150123F31
+:041E8C00814E12333E
+:041E8D00814C12264C
+:041E8E00814A121A59
+:041E8F008149120D66
+:041E90008147120173
+:041E9100814511F482
+:041E9200814311E88F
+:041E9300814111DB9D
+:041E9400814011CFA9
+:041E9500813E11C3B6
+:041E9600813C11B6C4
+:041E9700813A11AAD1
+:041E98008139119DDE
+:041E990081371191EB
+:041E9A0081351184F9
+:041E9B008134117805
+:041E9C008132116B13
+:041E9D008130115F20
+:041E9E00812E11532D
+:041E9F00812D11463A
+:041EA000812B113A47
+:041EA1008129112D55
+:041EA2008128112161
+:041EA300812611146F
+:041EA400812411087C
+:041EA500812310FB8A
+:041EA600812110EF97
+:041EA700811F10E2A5
+:041EA800811E10D6B1
+:041EA900811C10CABE
+:041EAA00811A10BDCC
+:041EAB00811910B1D8
+:041EAC00811710A4E6
+:041EAD0081161098F2
+:041EAE008114108B00
+:041EAF008112107F0D
+:041EB000811110721A
+:041EB100810F106627
+:041EB200810D105935
+:041EB300810C104D41
+:041EB400810A10414E
+:041EB500810910345B
+:041EB6008107102868
+:041EB7008105101B76
+:041EB8008104100F82
+:041EB9008102100290
+:041EBA0081010FF69D
+:041EBB0080FF0FE9AC
+:041EBC0080FE0FDDB8
+:041EBD0080FC0FD0C6
+:041EBE0080FB0FC4D2
+:041EBF0080F90FB7E0
+:041EC00080F70FABED
+:041EC10080F60F9EFA
+:041EC20080F40F9207
+:041EC30080F30F8514
+:041EC40080F10F7921
+:041EC50080F00F6D2D
+:041EC60080EE0F603B
+:041EC70080ED0F5447
+:041EC80080EB0F4755
+:041EC90080EA0F3B61
+:041ECA0080E80F2E6F
+:041ECB0080E70F227B
+:041ECC0080E50F1589
+:041ECD0080E40F0995
+:041ECE0080E20EFCA4
+:041ECF0080E10EF0B0
+:041ED00080DF0EE3BE
+:041ED10080DE0ED7CA
+:041ED20080DD0ECAD7
+:041ED30080DB0EBEE4
+:041ED40080DA0EB1F1
+:041ED50080D80EA5FE
+:041ED60080D70E980B
+:041ED70080D50E8C18
+:041ED80080D40E7F25
+:041ED90080D20E7332
+:041EDA0080D10E663F
+:041EDB0080D00E5A4B
+:041EDC0080CE0E4D59
+:041EDD0080CD0E4165
+:041EDE0080CB0E3572
+:041EDF0080CA0E287F
+:041EE00080C90E1C8B
+:041EE10080C70E0F99
+:041EE20080C60E03A5
+:041EE30080C50DF6B3
+:041EE40080C30DEAC0
+:041EE50080C20DDDCD
+:041EE60080C00DD1DA
+:041EE70080BF0DC4E7
+:041EE80080BE0DB8F3
+:041EE90080BC0DAB01
+:041EEA0080BB0D9F0D
+:041EEB0080BA0D921A
+:041EEC0080B80D8627
+:041EED0080B70D7934
+:041EEE0080B60D6D40
+:041EEF0080B40D604E
+:041EF00080B30D545A
+:041EF10080B20D4767
+:041EF20080B00D3B74
+:041EF30080AF0D2E81
+:041EF40080AE0D228D
+:041EF50080AD0D159A
+:041EF60080AB0D09A7
+:041EF70080AA0CFCB5
+:041EF80080A90CF0C1
+:041EF90080A80CE3CE
+:041EFA0080A60CD7DB
+:041EFB0080A50CCAE8
+:041EFC0080A40CBEF4
+:041EFD0080A20CB102
+:041EFE0080A10CA50E
+:041EFF0080A00C981B
+:041F0000809F0C8C26
+:041F0100809E0C7F33
+:041F0200809C0C7340
+:041F0300809B0C664D
+:041F0400809A0C5A59
+:041F050080990C4D66
+:041F060080970C4173
+:041F070080960C3480
+:041F080080950C288C
+:041F090080940C1B99
+:041F0A0080930C0FA5
+:041F0B0080920C02B2
+:041F0C0080900BF6C0
+:041F0D00808F0BE9CD
+:041F0E00808E0BDDD9
+:041F0F00808D0BD0E6
+:041F1000808C0BC3F3
+:041F1100808B0BB7FF
+:041F120080890BAA0D
+:041F130080880B9E19
+:041F140080870B9126
+:041F150080860B8532
+:041F160080850B783F
+:041F170080840B6C4B
+:041F180080830B5F58
+:041F190080810B5365
+:041F1A0080800B4672
+:041F1B00807F0B3A7E
+:041F1C00807E0B2D8B
+:041F1D00807D0B2197
+:041F1E00807C0B14A4
+:041F1F00807B0B08B0
+:041F2000807A0AFBBE
+:041F210080790AEFCA
+:041F220080780AE2D7
+:041F230080770AD6E3
+:041F240080760AC9F0
+:041F250080740ABDFD
+:041F260080730AB00A
+:041F270080720AA416
+:041F280080710A9723
+:041F290080700A8B2F
+:041F2A00806F0A7E3C
+:041F2B00806E0A7149
+:041F2C00806D0A6555
+:041F2D00806C0A5862
+:041F2E00806B0A4C6E
+:041F2F00806A0A3F7B
+:041F300080690A3387
+:041F310080680A2694
+:041F320080670A1AA0
+:041F330080660A0DAD
+:041F340080650A01B9
+:041F3500806409F4C7
+:041F3600806309E8D3
+:041F3700806209DBE0
+:041F3800806109CFEC
+:041F3900806009C2F9
+:041F3A00805F09B605
+:041F3B00805E09A912
+:041F3C00805E099D1D
+:041F3D00805D09902A
+:041F3E00805C098337
+:041F3F00805B097743
+:041F4000805A096A50
+:041F41008059095E5C
+:041F42008058095169
+:041F43008057094575
+:041F44008056093882
+:041F45008055092C8E
+:041F46008054091F9B
+:041F470080530913A7
+:041F480080530906B3
+:041F4900805208FAC0
+:041F4A00805108EDCD
+:041F4B00805008E1D9
+:041F4C00804F08D4E6
+:041F4D00804E08C7F3
+:041F4E00804D08BBFF
+:041F4F00804C08AE0C
+:041F5000804C08A217
+:041F5100804B089524
+:041F5200804A088930
+:041F53008049087C3D
+:041F54008048087049
+:041F55008047086356
+:041F56008047085761
+:041F57008046084A6E
+:041F58008045083E7A
+:041F59008044083187
+:041F5A008043082494
+:041F5B00804308189F
+:041F5C008042080BAC
+:041F5D00804107FFB9
+:041F5E00804007F2C6
+:041F5F00803F07E6D2
+:041F6000803F07D9DE
+:041F6100803E07CDEA
+:041F6200803D07C0F7
+:041F6300803C07B403
+:041F6400803C07A70F
+:041F6500803B079B1B
+:041F6600803A078E28
+:041F67008039078135
+:041F68008039077540
+:041F6900803807684D
+:041F6A008037075C59
+:041F6B008036074F66
+:041F6C008036074371
+:041F6D00803507367E
+:041F6E008034072A8A
+:041F6F008034071D96
+:041F700080330711A2
+:041F710080320704AF
+:041F7200803206F7BC
+:041F7300803106EBC8
+:041F7400803006DED5
+:041F7500803006D2E0
+:041F7600802F06C5ED
+:041F7700802E06B9F9
+:041F7800802E06AC05
+:041F7900802D06A011
+:041F7A00802C06931E
+:041F7B00802C068729
+:041F7C00802B067A36
+:041F7D00802A066D43
+:041F7E00802A06614E
+:041F7F00802906545B
+:041F80008028064867
+:041F81008028063B73
+:041F82008027062F7F
+:041F8300802706228B
+:041F84008026061697
+:041F850080250609A4
+:041F8600802505FCB1
+:041F8700802405F0BD
+:041F8800802405E3C9
+:041F8900802305D7D5
+:041F8A00802305CAE1
+:041F8B00802205BEED
+:041F8C00802105B1FA
+:041F8D00802105A505
+:041F8E008020059812
+:041F8F008020058B1E
+:041F9000801F057F2A
+:041F9100801F057236
+:041F9200801E056642
+:041F9300801E05594E
+:041F9400801D054D5A
+:041F9500801D054066
+:041F9600801C053472
+:041F9700801C05277E
+:041F9800801B051A8B
+:041F9900801B050E96
+:041F9A00801A0501A3
+:041F9B00801A04F5AF
+:041F9C00801904E8BC
+:041F9D00801904DCC7
+:041F9E00801804CFD4
+:041F9F00801804C3DF
+:041FA000801704B6EC
+:041FA100801704A9F8
+:041FA2008016049D04
+:041FA3008016049010
+:041FA400801504841C
+:041FA5008015047728
+:041FA6008015046B33
+:041FA7008014045E40
+:041FA800801404524B
+:041FA9008013044558
+:041FAA008013043864
+:041FAB008012042C70
+:041FAC008012041F7C
+:041FAD008012041387
+:041FAE008011040694
+:041FAF00801103FAA0
+:041FB000801003EDAD
+:041FB100801003E1B8
+:041FB200801003D4C4
+:041FB300800F03C7D1
+:041FB400800F03BBDC
+:041FB500800F03AEE8
+:041FB600800E03A2F4
+:041FB700800E039500
+:041FB800800D03890C
+:041FB900800D037C18
+:041FBA00800D036F24
+:041FBB00800C036330
+:041FBC00800C03563C
+:041FBD00800C034A47
+:041FBE00800B033D54
+:041FBF00800B03315F
+:041FC000800B03246B
+:041FC100800B031876
+:041FC200800A030B83
+:041FC300800A02FE90
+:041FC400800A02F29B
+:041FC500800902E5A8
+:041FC600800902D9B3
+:041FC700800902CCBF
+:041FC800800902C0CA
+:041FC900800802B3D7
+:041FCA00800802A6E3
+:041FCB008008029AEE
+:041FCC008008028DFA
+:041FCD008007028106
+:041FCE008007027412
+:041FCF00800702681D
+:041FD0008007025B29
+:041FD1008006024F35
+:041FD2008006024241
+:041FD300800602354D
+:041FD4008006022958
+:041FD5008005021C65
+:041FD6008005021070
+:041FD700800502037C
+:041FD800800501F788
+:041FD900800501EA94
+:041FDA00800401DDA1
+:041FDB00800401D1AC
+:041FDC00800401C4B8
+:041FDD00800401B8C3
+:041FDE00800401ABCF
+:041FDF008004019FDA
+:041FE00080030192E7
+:041FE10080030186F2
+:041FE20080030179FE
+:041FE3008003016C0A
+:041FE4008003016015
+:041FE5008003015321
+:041FE600800301472C
+:041FE7008003013A38
+:041FE8008002012E44
+:041FE9008002012150
+:041FEA00800201145C
+:041FEB008002010867
+:041FEC00800200FB74
+:041FED00800200EF7F
+:041FEE00800200E28B
+:041FEF00800200D696
+:041FF000800200C9A2
+:041FF100800200BCAE
+:041FF200800100B0BA
+:041FF300800100A3C6
+:041FF40080010097D1
+:041FF5008001008ADD
+:041FF6008001007EE8
+:041FF70080010071F4
+:041FF80080010065FF
+:041FF900800100580B
+:041FFA008001004B17
+:041FFB008001003F22
+:041FFC00800100322E
+:041FFD008001002639
+:041FFE008001001945
+:041FFF008001000D50
+:0420000000007FFF5E
+:04200100FFDA7FFF84
+:04200200FFB57FFFA8
+:04200300FF8F7FFFCD
+:04200400FF697FFFF2
+:04200500FF447FFE17
+:04200600FF1E7FFE3C
+:04200700FEF87FFE62
+:04200800FED27FFE87
+:04200900FEAD7FFDAC
+:04200A00FE877FFDD1
+:04200B00FE617FFCF7
+:04200C00FE3C7FFC1B
+:04200D00FE167FFB41
+:04200E00FDF07FFB67
+:04200F00FDCB7FFA8C
+:04201000FDA57FF9B2
+:04201100FD7F7FF9D7
+:04201200FD5A7FF8FC
+:04201300FD347FF722
+:04201400FD0E7FF648
+:04201500FCE87FF56F
+:04201600FCC37FF593
+:04201700FC9D7FF4B9
+:04201800FC777FF3DF
+:04201900FC527FF105
+:04201A00FC2C7FF02B
+:04201B00FC067FEF51
+:04201C00FBE17FEE77
+:04201D00FBBB7FED9D
+:04201E00FB957FEBC4
+:04201F00FB707FEAE9
+:04202000FB4A7FE90F
+:04202100FB247FE736
+:04202200FAFF7FE65C
+:04202300FAD97FE483
+:04202400FAB37FE3A9
+:04202500FA8E7FE1CF
+:04202600FA687FE0F5
+:04202700FA427FDE1C
+:04202800FA1D7FDC42
+:04202900F9F77FDB69
+:04202A00F9D17FD990
+:04202B00F9AC7FD7B6
+:04202C00F9867FD5DD
+:04202D00F9607FD304
+:04202E00F93B7FD12A
+:04202F00F9157FCF51
+:04203000F8EF7FCD79
+:04203100F8CA7FCB9F
+:04203200F8A47FC9C6
+:04203300F87F7FC7EC
+:04203400F8597FC414
+:04203500F8337FC23B
+:04203600F80E7FC061
+:04203700F7E87FBD8A
+:04203800F7C27FBBB1
+:04203900F79D7FB9D7
+:04203A00F7777FB6FF
+:04203B00F7527FB425
+:04203C00F72C7FB14D
+:04203D00F7067FAE75
+:04203E00F6E17FAC9C
+:04203F00F6BB7FA9C4
+:04204000F6967FA6EB
+:04204100F6707FA313
+:04204200F64A7FA13A
+:04204300F6257F9E61
+:04204400F5FF7F9B8A
+:04204500F5DA7F98B1
+:04204600F5B47F95D9
+:04204700F58F7F9200
+:04204800F5697F8F28
+:04204900F5437F8C50
+:04204A00F51E7F8878
+:04204B00F4F87F85A1
+:04204C00F4D37F82C8
+:04204D00F4AD7F7FF0
+:04204E00F4887F7B18
+:04204F00F4627F7840
+:04205000F43D7F7468
+:04205100F4177F7190
+:04205200F3F17F6DBA
+:04205300F3CC7F6AE1
+:04205400F3A67F660A
+:04205500F3817F6232
+:04205600F35B7F5F5A
+:04205700F3367F5B82
+:04205800F3107F57AB
+:04205900F2EB7F53D4
+:04205A00F2C57F50FC
+:04205B00F2A07F4C24
+:04205C00F27A7F484D
+:04205D00F2557F4475
+:04205E00F22F7F409E
+:04205F00F20A7F3BC7
+:04206000F1E47F37F1
+:04206100F1BF7F3319
+:04206200F19A7F2F41
+:04206300F1747F2B6A
+:04206400F14F7F2693
+:04206500F1297F22BC
+:04206600F1047F1EE4
+:04206700F0DE7F190F
+:04206800F0B97F1537
+:04206900F0937F1061
+:04206A00F06E7F0C89
+:04206B00F0497F07B2
+:04206C00F0237F02DC
+:04206D00EFFE7EFE06
+:04206E00EFD87EF930
+:04206F00EFB37EF459
+:04207000EF8E7EEF82
+:04207100EF687EEAAC
+:04207200EF437EE6D4
+:04207300EF1E7EE1FD
+:04207400EEF87EDC28
+:04207500EED37ED751
+:04207600EEAD7ED27B
+:04207700EE887ECCA5
+:04207800EE637EC7CE
+:04207900EE3D7EC2F8
+:04207A00EE187EBD21
+:04207B00EDF37EB74C
+:04207C00EDCD7EB276
+:04207D00EDA87EAD9F
+:04207E00ED837EA7C9
+:04207F00ED5E7EA2F2
+:04208000ED387E9C1D
+:04208100ED137E9746
+:04208200ECEE7E9171
+:04208300ECC87E8C9B
+:04208400ECA37E86C5
+:04208500EC7E7E80EF
+:04208600EC597E7A19
+:04208700EC337E7543
+:04208800EC0E7E6F6D
+:04208900EBE97E6998
+:04208A00EBC47E63C2
+:04208B00EB9E7E5DED
+:04208C00EB797E5717
+:04208D00EB547E5141
+:04208E00EB2F7E4B6B
+:04208F00EB0A7E4595
+:04209000EAE47E3EC2
+:04209100EABF7E38EC
+:04209200EA9A7E3216
+:04209300EA757E2C40
+:04209400EA507E256B
+:04209500EA2B7E1F95
+:04209600EA067E18C0
+:04209700E9E07E12EC
+:04209800E9BB7E0B17
+:04209900E9967E0541
+:04209A00E9717DFE6D
+:04209B00E94C7DF798
+:04209C00E9277DF1C2
+:04209D00E9027DEAED
+:04209E00E8DD7DE319
+:04209F00E8B87DDC44
+:0420A000E8937DD56F
+:0420A100E86D7DCF9A
+:0420A200E8487DC8C5
+:0420A300E8237DC1F0
+:0420A400E7FE7DB91D
+:0420A500E7D97DB248
+:0420A600E7B47DAB73
+:0420A700E78F7DA49E
+:0420A800E76A7D9DC9
+:0420A900E7457D96F4
+:0420AA00E7207D8E20
+:0420AB00E6FB7D874C
+:0420AC00E6D67D8077
+:0420AD00E6B17D78A3
+:0420AE00E68C7D71CE
+:0420AF00E6687D69F9
+:0420B000E6437D6224
+:0420B100E61E7D5A50
+:0420B200E5F97D527D
+:0420B300E5D47D4BA8
+:0420B400E5AF7D43D4
+:0420B500E58A7D3B00
+:0420B600E5657D332C
+:0420B700E5407D2B58
+:0420B800E51B7D2483
+:0420B900E4F77D1CAF
+:0420BA00E4D27D14DB
+:0420BB00E4AD7D0C07
+:0420BC00E4887D0433
+:0420BD00E4637CFB61
+:0420BE00E43F7CF38C
+:0420BF00E41A7CEBB8
+:0420C000E3F57CE3E5
+:0420C100E3D07CDB11
+:0420C200E3AB7CD23E
+:0420C300E3877CCA69
+:0420C400E3627CC196
+:0420C500E33D7CB9C2
+:0420C600E3187CB1EE
+:0420C700E2F47CA81B
+:0420C800E2CF7C9F48
+:0420C900E2AA7C9774
+:0420CA00E2867C8EA0
+:0420CB00E2617C85CD
+:0420CC00E23C7C7DF9
+:0420CD00E2187C7425
+:0420CE00E1F37C6B53
+:0420CF00E1CE7C6280
+:0420D000E1AA7C59AC
+:0420D100E1857C50D9
+:0420D200E1607C4706
+:0420D300E13C7C3E32
+:0420D400E1177C355F
+:0420D500E0F37C2C8C
+:0420D600E0CE7C23B9
+:0420D700E0AA7C1AE5
+:0420D800E0857C1013
+:0420D900E0617C073F
+:0420DA00E03C7BFE6D
+:0420DB00E0177BF49B
+:0420DC00DFF37BEBC8
+:0420DD00DFCE7BE2F5
+:0420DE00DFAA7BD822
+:0420DF00DF867BCF4E
+:0420E000DF617BC57C
+:0420E100DF3D7BBBA9
+:0420E200DF187BB2D6
+:0420E300DEF47BA804
+:0420E400DECF7B9E32
+:0420E500DEAB7B945F
+:0420E600DE877B8B8B
+:0420E700DE627B81B9
+:0420E800DE3E7B77E6
+:0420E900DE197B6D14
+:0420EA00DDF57B6342
+:0420EB00DDD17B596F
+:0420EC00DDAC7B4F9D
+:0420ED00DD887B45CA
+:0420EE00DD647B3AF8
+:0420EF00DD407B3025
+:0420F000DD1B7B2653
+:0420F100DCF77B1C81
+:0420F200DCD37B11AF
+:0420F300DCAF7B07DC
+:0420F400DC8A7AFC0C
+:0420F500DC667AF239
+:0420F600DC427AE767
+:0420F700DC1E7ADD94
+:0420F800DBFA7AD2C3
+:0420F900DBD57AC8F1
+:0420FA00DBB17ABD1F
+:0420FB00DB8D7AB24D
+:0420FC00DB697AA87A
+:0420FD00DB457A9DA8
+:0420FE00DB217A92D6
+:0420FF00DAFD7A8705
+:04210000DAD97A7C32
+:04210100DAB47A7161
+:04210200DA907A668F
+:04210300DA6C7A5BBD
+:04210400DA487A50EB
+:04210500DA247A4519
+:04210600DA007A3A47
+:04210700D9DC7A2E77
+:04210800D9B87A23A5
+:04210900D9947A18D3
+:04210A00D9707A0D01
+:04210B00D94C7A0130
+:04210C00D92979F65E
+:04210D00D90579EA8D
+:04210E00D8E179DFBC
+:04210F00D8BD79D3EB
+:04211000D89979C819
+:04211100D87579BC48
+:04211200D85179B077
+:04211300D82D79A5A5
+:04211400D80A7999D3
+:04211500D7E6798D03
+:04211600D7C2798132
+:04211700D79E797561
+:04211800D77B796A8E
+:04211900D757795EBD
+:04211A00D7337952EC
+:04211B00D70F79461B
+:04211C00D6EC79394B
+:04211D00D6C8792D7A
+:04211E00D6A47921A9
+:04211F00D6817915D7
+:04212000D65D790906
+:04212100D63978FC37
+:04212200D61678F065
+:04212300D5F278E495
+:04212400D5CE78D7C5
+:04212500D5AB78CBF3
+:04212600D58778BE23
+:04212700D56478B251
+:04212800D54078A581
+:04212900D51D7899AF
+:04212A00D4F9788CE0
+:04212B00D4D6787F0F
+:04212C00D4B278733E
+:04212D00D48F78666D
+:04212E00D46B78599D
+:04212F00D448784CCC
+:04213000D424783FFC
+:04213100D40178322B
+:04213200D3DE78255B
+:04213300D3BA78188B
+:04213400D397780BBA
+:04213500D37377FEEB
+:04213600D35077F11A
+:04213700D32D77E449
+:04213800D30A77D778
+:04213900D2E677C9AA
+:04213A00D2C377BCD9
+:04213B00D2A077AF08
+:04213C00D27C77A139
+:04213D00D259779468
+:04213E00D236778698
+:04213F00D2137779C7
+:04214000D1F0776BF8
+:04214100D1CD775E27
+:04214200D1A9775058
+:04214300D186774387
+:04214400D1637735B7
+:04214500D1407727E7
+:04214600D11D771917
+:04214700D0FA770B48
+:04214800D0D776FE78
+:04214900D0B476F0A8
+:04214A00D09176E2D8
+:04214B00D06E76D408
+:04214C00D04B76C638
+:04214D00D02876B868
+:04214E00D00576AA98
+:04214F00CFE2769BCA
+:04215000CFBF768DFA
+:04215100CF9C767F2A
+:04215200CF7976715A
+:04215300CF5676628B
+:04215400CF337654BB
+:04215500CF117646EA
+:04215600CEEE76371C
+:04215700CECB76294C
+:04215800CEA8761A7D
+:04215900CE85760CAD
+:04215A00CE6375FDDE
+:04215B00CE4075EE0F
+:04215C00CE1D75E03F
+:04215D00CDFA75D171
+:04215E00CDD875C2A1
+:04215F00CDB575B4D1
+:04216000CD9275A502
+:04216100CD70759632
+:04216200CD4D758763
+:04216300CD2B757893
+:04216400CD087569C4
+:04216500CCE5755AF6
+:04216600CCC3754B26
+:04216700CCA0753C57
+:04216800CC7E752D87
+:04216900CC5B751DB9
+:04216A00CC39750EE9
+:04216B00CC1674FF1B
+:04216C00CBF474F04C
+:04216D00CBD174E07E
+:04216E00CBAF74D1AE
+:04216F00CB8D74C1DF
+:04217000CB6A74B210
+:04217100CB4874A241
+:04217200CB26749371
+:04217300CB037483A3
+:04217400CAE17474D4
+:04217500CABF746405
+:04217600CA9C745437
+:04217700CA7A744567
+:04217800CA58743598
+:04217900CA367425C9
+:04217A00CA147415FA
+:04217B00C9F174052D
+:04217C00C9CF73F55F
+:04217D00C9AD73E590
+:04217E00C98B73D5C1
+:04217F00C96973C5F2
+:04218000C94773B523
+:04218100C92573A554
+:04218200C903739585
+:04218300C8E17385B7
+:04218400C8BF7374E9
+:04218500C89D73641A
+:04218600C87B73544B
+:04218700C85973437D
+:04218800C8377333AE
+:04218900C8157322E0
+:04218A00C7F3731212
+:04218B00C7D1730144
+:04218C00C7AF72F176
+:04218D00C78D72E0A8
+:04218E00C76B72D0D9
+:04218F00C74A72BF0A
+:04219000C72872AE3C
+:04219100C706729D6E
+:04219200C6E4728DA0
+:04219300C6C3727CD1
+:04219400C6A1726B03
+:04219500C67F725A35
+:04219600C65E724966
+:04219700C63C723898
+:04219800C61A7227CA
+:04219900C5F97216FC
+:04219A00C5D772052E
+:04219B00C5B671F460
+:04219C00C59471E392
+:04219D00C57271D1C5
+:04219E00C55171C0F6
+:04219F00C52F71AF28
+:0421A000C50E719D5A
+:0421A100C4ED718C8C
+:0421A200C4CB717BBE
+:0421A300C4AA7169F0
+:0421A400C488715822
+:0421A500C467714654
+:0421A600C446713585
+:0421A700C4247123B8
+:0421A800C4037111EA
+:0421A900C3E271001C
+:0421AA00C3C070EE50
+:0421AB00C39F70DC82
+:0421AC00C37E70CAB4
+:0421AD00C35D70B8E6
+:0421AE00C33C70A717
+:0421AF00C31A70954A
+:0421B000C2F970837D
+:0421B100C2D87071AF
+:0421B200C2B7705FE1
+:0421B300C296704D13
+:0421B400C275703B45
+:0421B500C254702878
+:0421B600C2337016AA
+:0421B700C2127004DC
+:0421B800C1F16FF210
+:0421B900C1D06FDF43
+:0421BA00C1AF6FCD75
+:0421BB00C18E6FBBA7
+:0421BC00C16D6FA8DA
+:0421BD00C14C6F960C
+:0421BE00C12B6F833F
+:0421BF00C10B6F7170
+:0421C000C0EA6F5EA4
+:0421C100C0C96F4CD6
+:0421C200C0A86F3909
+:0421C300C0876F263C
+:0421C400C0676F146D
+:0421C500C0466F01A0
+:0421C600C0256EEED4
+:0421C700C0056EDB06
+:0421C800BFE36EC83B
+:0421C900BFC26EB66D
+:0421CA00BFA26EA39F
+:0421CB00BF816E90D2
+:0421CC00BF616E7D04
+:0421CD00BF406E6A37
+:0421CE00BF206E566A
+:0421CF00BEFF6E439E
+:0421D000BEDF6E30D0
+:0421D100BEBE6E1D03
+:0421D200BE9E6E0A35
+:0421D300BE7D6DF66A
+:0421D400BE5D6DE39C
+:0421D500BE3D6DD0CE
+:0421D600BE1C6DBC02
+:0421D700BDFC6DA935
+:0421D800BDDC6D9667
+:0421D900BDBC6D829A
+:0421DA00BD9B6D6ECE
+:0421DB00BD7B6D5B00
+:0421DC00BD5B6D4733
+:0421DD00BD3B6D3465
+:0421DE00BD1B6D2098
+:0421DF00BCFA6D0CCD
+:0421E000BCDA6CF900
+:0421E100BCBA6CE533
+:0421E200BC9A6CD166
+:0421E300BC7A6CBD99
+:0421E400BC5A6CA9CC
+:0421E500BC3A6C95FF
+:0421E600BC1A6C8132
+:0421E700BBFA6C6D66
+:0421E800BBDA6C5999
+:0421E900BBBA6C45CC
+:0421EA00BB9B6C31FE
+:0421EB00BB7B6C1D31
+:0421EC00BB5B6C0964
+:0421ED00BB3B6BF499
+:0421EE00BB1B6BE0CC
+:0421EF00BAFB6BCC00
+:0421F000BADC6BB733
+:0421F100BABC6BA366
+:0421F200BA9C6B8F99
+:0421F300BA7D6B7ACC
+:0421F400BA5D6B66FF
+:0421F500BA3D6B5133
+:0421F600BA1E6B3D65
+:0421F700B9FE6B289A
+:0421F800B9DF6B13CD
+:0421F900B9BF6AFF01
+:0421FA00B9A06AEA34
+:0421FB00B9806AD568
+:0421FC00B9616AC09B
+:0421FD00B9416AACCE
+:0421FE00B9226A9701
+:0421FF00B9036A8234
+:04220000B8E36A6D68
+:04220100B8C46A589B
+:04220200B8A56A43CE
+:04220300B8856A2E02
+:04220400B8666A1935
+:04220500B8476A0468
+:04220600B82869EF9C
+:04220700B80869D9D1
+:04220800B7E969C405
+:04220900B7CA69AF38
+:04220A00B7AB699A6B
+:04220B00B78C69849F
+:04220C00B76D696FD2
+:04220D00B74E695A05
+:04220E00B72F694439
+:04220F00B710692F6C
+:04221000B6F16919A1
+:04221100B6D26904D4
+:04221200B6B368EE09
+:04221300B69468D93C
+:04221400B67568C370
+:04221500B65668ADA4
+:04221600B6386898D6
+:04221700B61968820A
+:04221800B5FA686C3F
+:04221900B5DB685673
+:04221A00B5BD6840A6
+:04221B00B59E682ADA
+:04221C00B57F68140E
+:04221D00B56167FF41
+:04221E00B54267E975
+:04221F00B52367D3A9
+:04222000B50567BCDD
+:04222100B4E667A612
+:04222200B4C8679045
+:04222300B4A9677A79
+:04222400B48B6764AC
+:04222500B46C674EE0
+:04222600B44E673714
+:04222700B430672147
+:04222800B411670B7B
+:04222900B3F366F4B1
+:04222A00B3D566DEE4
+:04222B00B3B666C719
+:04222C00B39866B14C
+:04222D00B37A669A80
+:04222E00B35C6684B3
+:04222F00B33D666DE8
+:04223000B31F66571B
+:04223100B30166404F
+:04223200B2E3662984
+:04223300B2C56613B7
+:04223400B2A765FCEC
+:04223500B28965E520
+:04223600B26B65CE54
+:04223700B24D65B788
+:04223800B22F65A0BC
+:04223900B2116589F0
+:04223A00B1F3657225
+:04223B00B1D5655B59
+:04223C00B1B765448D
+:04223D00B19A652DC0
+:04223E00B17C6516F4
+:04223F00B15E64FF29
+:04224000B14064E85D
+:04224100B12364D190
+:04224200B10564BAC4
+:04224300B0E764A2FA
+:04224400B0CA648B2D
+:04224500B0AC647461
+:04224600B08E645C96
+:04224700B0716445C9
+:04224800B053642DFE
+:04224900B036641631
+:04224A00B01863FE67
+:04224B00AFFB63E79B
+:04224C00AFDE63CFCF
+:04224D00AFC063B803
+:04224E00AFA363A037
+:04224F00AF8663886B
+:04225000AF6863719F
+:04225100AF4B6359D3
+:04225200AF2E634107
+:04225300AF1163293B
+:04225400AEF3631171
+:04225500AED662F9A6
+:04225600AEB962E2D9
+:04225700AE9C62CA0D
+:04225800AE7F62B241
+:04225900AE62629A75
+:04225A00AE456281AA
+:04225B00AE286269DE
+:04225C00AE0B625112
+:04225D00ADEE623947
+:04225E00ADD162217B
+:04225F00ADB46209AF
+:04226000AD9761F0E5
+:04226100AD7A61D819
+:04226200AD5E61C04C
+:04226300AD4161A880
+:04226400AD24618FB5
+:04226500AD076177E9
+:04226600ACEB615E1E
+:04226700ACCE614652
+:04226800ACB1612D87
+:04226900AC956115BA
+:04226A00AC7860FCF0
+:04226B00AC5C60E324
+:04226C00AC3F60CB58
+:04226D00AC2360B28C
+:04226E00AC066099C1
+:04226F00ABEA6081F5
+:04227000ABCD60682A
+:04227100ABB1604F5E
+:04227200AB95603692
+:04227300AB78601DC7
+:04227400AB5C6004FB
+:04227500AB405FEC2F
+:04227600AB235FD364
+:04227700AB075FBA98
+:04227800AAEB5FA0CE
+:04227900AACF5F8702
+:04227A00AAB35F6E36
+:04227B00AA975F556A
+:04227C00AA7B5F3C9E
+:04227D00AA5F5F23D2
+:04227E00AA435F0A06
+:04227F00AA275EF03C
+:04228000AA0B5ED770
+:04228100A9EF5EBEA5
+:04228200A9D35EA4DA
+:04228300A9B75E8B0E
+:04228400A99B5E7143
+:04228500A97F5E5877
+:04228600A9645E3FAA
+:04228700A9485E25DF
+:04228800A92C5E0B14
+:04228900A9105DF249
+:04228A00A8F55DD87E
+:04228B00A8D95DBFB2
+:04228C00A8BE5DA5E6
+:04228D00A8A25D8B1B
+:04228E00A8875D714F
+:04228F00A86B5D5883
+:04229000A8505D3EB7
+:04229100A8345D24EC
+:04229200A8195D0A20
+:04229300A7FD5CF057
+:04229400A7E25CD68B
+:04229500A7C75CBCBF
+:04229600A7AB5CA2F4
+:04229700A7905C8828
+:04229800A7755C6E5C
+:04229900A75A5C5490
+:04229A00A73E5C3AC5
+:04229B00A7235C20F9
+:04229C00A7085C062D
+:04229D00A6ED5BEB64
+:04229E00A6D25BD198
+:04229F00A6B75BB7CC
+:0422A000A69C5B9D00
+:0422A100A6815B8235
+:0422A200A6665B6869
+:0422A300A64B5B4E9D
+:0422A400A6305B33D2
+:0422A500A6155B1906
+:0422A600A5FB5AFE3C
+:0422A700A5E05AE470
+:0422A800A5C55AC9A5
+:0422A900A5AA5AAEDA
+:0422AA00A5905A940D
+:0422AB00A5755A7942
+:0422AC00A55A5A5E77
+:0422AD00A5405A44AA
+:0422AE00A5255A29DF
+:0422AF00A50B5A0E13
+:0422B000A4F059F34A
+:0422B100A4D659D97D
+:0422B200A4BB59BEB2
+:0422B300A4A159A3E6
+:0422B400A48659881B
+:0422B500A46C596D4F
+:0422B600A452595283
+:0422B700A4385937B7
+:0422B800A41D591CEC
+:0422B900A403590120
+:0422BA00A3E958E656
+:0422BB00A3CF58CB8A
+:0422BC00A3B558AFBF
+:0422BD00A39A5894F4
+:0422BE00A380587928
+:0422BF00A366585E5C
+:0422C000A34C584291
+:0422C100A3325827C5
+:0422C200A318580CF9
+:0422C300A2FE57F030
+:0422C400A2E557D563
+:0422C500A2CB57BA97
+:0422C600A2B1579ECC
+:0422C700A297578300
+:0422C800A27D576735
+:0422C900A264574C68
+:0422CA00A24A57309D
+:0422CB00A2305714D2
+:0422CC00A21756F906
+:0422CD00A1FD56DD3C
+:0422CE00A1E456C170
+:0422CF00A1CA56A6A4
+:0422D000A1B0568AD9
+:0422D100A197566E0D
+:0422D200A17E565241
+:0422D300A164563676
+:0422D400A14B561BA9
+:0422D500A13155FFDF
+:0422D600A11855E313
+:0422D700A0FF55C748
+:0422D800A0E655AB7C
+:0422D900A0CC558FB1
+:0422DA00A0B35573E5
+:0422DB00A09A555719
+:0422DC00A081553A4E
+:0422DD00A068551E82
+:0422DE00A04F5502B6
+:0422DF00A03654E6EB
+:0422E000A01D54CA1F
+:0422E100A00454AD54
+:0422E2009FEB549189
+:0422E3009FD25475BD
+:0422E4009FB95459F1
+:0422E5009FA0543C26
+:0422E6009F88542059
+:0422E7009F6F54038E
+:0422E8009F5653E7C3
+:0422E9009F3D53CAF8
+:0422EA009F2553AE2B
+:0422EB009F0C539160
+:0422EC009EF3537595
+:0422ED009EDB5358C9
+:0422EE009EC2533CFD
+:0422EF009EAA531F31
+:0422F0009E91530266
+:0422F1009E7952E69A
+:0422F2009E6152C9CE
+:0422F3009E4852AC03
+:0422F4009E30528F37
+:0422F5009E1852726B
+:0422F6009DFF5256A0
+:0422F7009DE75239D4
+:0422F8009DCF521C08
+:0422F9009DB751FF3D
+:0422FA009D9F51E271
+:0422FB009D8751C5A5
+:0422FC009D6E51A8DA
+:0422FD009D56518B0E
+:0422FE009D3E516E42
+:0422FF009D26515176
+:042300009D0F5134A8
+:042301009CF75116DE
+:042302009CDF50F913
+:042303009CC750DC47
+:042304009CAF50BF7B
+:042305009C9750A1B0
+:042306009C805084E3
+:042307009C68506717
+:042308009C50504A4B
+:042309009C39502C7F
+:04230A009C21500FB3
+:04230B009C0A4FF1E8
+:04230C009BF24FD41D
+:04230D009BDA4FB652
+:04230E009BC34F9985
+:04230F009BAC4F7BB9
+:042310009B944F5EED
+:042311009B7D4F4021
+:042312009B664F2354
+:042313009B4E4F0589
+:042314009B374EE7BE
+:042315009B204ECAF1
+:042316009B094EAC25
+:042317009AF14E8E5B
+:042318009ADA4E708F
+:042319009AC34E53C2
+:04231A009AAC4E35F6
+:04231B009A954E172A
+:04231C009A7E4DF95F
+:04231D009A674DDB93
+:04231E009A504DBDC7
+:04231F009A394D9FFB
+:042320009A234D812E
+:042321009A0C4D6362
+:0423220099F54D4597
+:0423230099DE4D27CB
+:0423240099C84D09FE
+:0423250099B14CEB33
+:04232600999A4CCD67
+:0423270099844CAF9A
+:04232800996D4C90CF
+:0423290099574C7202
+:04232A0099404C5436
+:04232B00992A4C3669
+:04232C0099134C179E
+:04232D0098FD4BF9D3
+:04232E0098E64BDB07
+:04232F0098D04BBC3B
+:0423300098BA4B9E6E
+:0423310098A44B7FA2
+:04233200988D4B61D6
+:0423330098774B420A
+:0423340098614B243D
+:04233500984B4B0571
+:0423360098354AE7A5
+:04233700981F4AC8D9
+:0423380098094AAA0C
+:0423390097F34A8B41
+:04233A0097DD4A6C75
+:04233B0097C74A4EA8
+:04233C0097B14A2FDC
+:04233D00979B4A1010
+:04233E00978549F244
+:04233F00977049D377
+:04234000975A49B4AB
+:0423410097444995DF
+:04234200972F497612
+:042343009719495746
+:04234400970349387A
+:0423450096EE491AAD
+:0423460096D848FBE2
+:0423470096C348DC15
+:0423480096AE48BD48
+:042349009698489E7C
+:04234A009683487EB0
+:04234B00966D485FE4
+:04234C009658484017
+:04234D00964348214A
+:04234E00962E48027D
+:04234F00961847E3B2
+:04235000960347C4E5
+:0423510095EE47A41A
+:0423520095D947854D
+:0423530095C4476680
+:0423540095AF4747B3
+:04235500959A4727E7
+:04235600958547081A
+:04235700957046E94E
+:04235800955B46C982
+:04235900954746AAB4
+:04235A009532468AE8
+:04235B00951D466B1B
+:04235C009508464B4F
+:04235D0094F4462C82
+:04235E0094DF460CB6
+:04235F0094CA45EDEA
+:0423600094B645CD1D
+:0423610094A145AE50
+:04236200948D458E83
+:042363009478456EB7
+:042364009464454FE9
+:04236500944F452F1D
+:04236600943B450F50
+:04236700942744EF84
+:04236800941244D0B7
+:0423690093FE44B0EB
+:04236A0093EA44901E
+:04236B0093D6447051
+:04236C0093C2445084
+:04236D0093AE4430B7
+:04236E00939A4410EA
+:04236F00938543F11E
+:04237000937143D151
+:04237100935E43B183
+:04237200934A4391B6
+:0423730093364371E9
+:04237400932243501D
+:04237500930E433050
+:0423760092FA431084
+:0423770092E742F0B7
+:0423780092D342D0EA
+:0423790092BF42B01D
+:04237A0092AC42904F
+:04237B009298426F83
+:04237C009284424FB6
+:04237D009271422FE8
+:04237E00925D420F1B
+:04237F00924A41EE4F
+:04238000923741CE81
+:04238100922341AEB4
+:042382009210418DE7
+:0423830091FD416D1A
+:0423840091E9414D4D
+:0423850091D6412C80
+:0423860091C3410CB2
+:0423870091B040EBE6
+:04238800919D40CB18
+:04238900918A40AA4B
+:04238A009177408A7D
+:04238B0091644069B0
+:04238C0091514048E3
+:04238D00913E402815
+:04238E00912B400748
+:04238F0091183FE67C
+:0423900091053FC5AF
+:0423910090F33FA4E2
+:0423920090E03F8414
+:0423930090CD3F6347
+:0423940090BB3F4279
+:0423950090A83F21AC
+:0423960090953F00DF
+:0423970090833EE011
+:0423980090703EBF44
+:04239900905E3E9E76
+:04239A00904B3E7DA9
+:04239B0090393E5CDB
+:04239C0090273E3B0D
+:04239D0090143E1A40
+:04239E0090023DF973
+:04239F008FF03DD8A6
+:0423A0008FDE3DB7D8
+:0423A1008FCC3D960A
+:0423A2008FB93D753D
+:0423A3008FA73D546F
+:0423A4008F953D33A1
+:0423A5008F833D12D3
+:0423A6008F713CF106
+:0423A7008F5F3CD038
+:0423A8008F4D3CAE6B
+:0423A9008F3C3C8D9C
+:0423AA008F2A3C6CCE
+:0423AB008F183C4B00
+:0423AC008F063C2933
+:0423AD008EF53C0865
+:0423AE008EE33BE798
+:0423AF008ED13BC6CA
+:0423B0008EC03BA4FC
+:0423B1008EAE3B832E
+:0423B2008E9D3B6160
+:0423B3008E8B3B4092
+:0423B4008E7A3B1FC3
+:0423B5008E683AFDF7
+:0423B6008E573ADC28
+:0423B7008E463ABA5A
+:0423B8008E343A998C
+:0423B9008E233A77BE
+:0423BA008E123A56EF
+:0423BB008E013A3421
+:0423BC008DF03A1254
+:0423BD008DDF39F186
+:0423BE008DCE39CFB8
+:0423BF008DBD39AEE9
+:0423C0008DAC398C1B
+:0423C1008D9B396A4D
+:0423C2008D8A39497E
+:0423C3008D793927B0
+:0423C4008D683905E2
+:0423C5008D5738E315
+:0423C6008D4738C245
+:0423C7008D3638A077
+:0423C8008D25387EA9
+:0423C9008D15385CDA
+:0423CA008D04383A0C
+:0423CB008CF438183E
+:0423CC008CE337F770
+:0423CD008CD337D5A1
+:0423CE008CC237B3D3
+:0423CF008CB2379104
+:0423D0008CA1376F36
+:0423D1008C91374D67
+:0423D2008C81372B98
+:0423D3008C713709C9
+:0423D4008C6036E7FC
+:0423D5008C5036C52D
+:0423D6008C4036A35E
+:0423D7008C30368090
+:0423D8008C20365EC1
+:0423D9008C10363CF2
+:0423DA008C00361A23
+:0423DB008BF035F856
+:0423DC008BE035D687
+:0423DD008BD035B3B9
+:0423DE008BC13591E9
+:0423DF008BB1356F1A
+:0423E0008BA1354D4B
+:0423E1008B92352A7C
+:0423E2008B823508AD
+:0423E3008B7234E6DF
+:0423E4008B6334C310
+:0423E5008B5334A141
+:0423E6008B44347F71
+:0423E7008B34345CA3
+:0423E8008B25343AD3
+:0423E9008B16341803
+:0423EA008B0633F536
+:0423EB008AF733D367
+:0423EC008AE833B098
+:0423ED008AD8338EC9
+:0423EE008AC9336BFA
+:0423EF008ABA33492A
+:0423F0008AAB33265B
+:0423F1008A9C33048B
+:0423F2008A8D32E1BD
+:0423F3008A7E32BEEE
+:0423F4008A6F329C1E
+:0423F5008A6032794F
+:0423F6008A51325680
+:0423F7008A433234AF
+:0423F8008A343211E0
+:0423F9008A2531EE12
+:0423FA008A1631CC42
+:0423FB008A0831A972
+:0423FC0089F93186A4
+:0423FD0089EB3163D4
+:0423FE0089DC314104
+:0423FF0089CE311E34
+:0424000089BF30FB65
+:0424010089B130D895
+:0424020089A230B5C6
+:0424030089943092F6
+:042404008986307025
+:042405008978304D55
+:042406008969302A86
+:04240700895B3007B6
+:04240800894D2FE4E7
+:04240900893F2FC117
+:04240A0089312F9E47
+:04240B0089232F7B77
+:04240C0089152F58A7
+:04240D0089072F35D7
+:04240E0088F92F1208
+:04240F0088EB2EEF39
+:0424100088DD2ECC69
+:0424110088D02EA998
+:0424120088C22E85C9
+:0424130088B42E62F9
+:0424140088A72E3F28
+:0424150088992E1C58
+:04241600888C2DF988
+:04241700887E2DD6B8
+:0424180088712DB2E8
+:0424190088632D8F18
+:04241A0088562D6C47
+:04241B0088482D4977
+:04241C00883B2D26A6
+:04241D00882E2D02D6
+:04241E0088202CDF07
+:04241F0088132CBC36
+:0424200088062C9866
+:0424210087F92C7596
+:0424220087EC2C52C5
+:0424230087DF2C2EF5
+:0424240087D22C0B24
+:0424250087C52BE755
+:0424260087B82BC484
+:0424270087AB2BA1B3
+:04242800879E2B7DE3
+:0424290087922B5A11
+:04242A0087852B3641
+:04242B0087782B1370
+:04242C00876B2AEFA1
+:04242D00875F2ACCCF
+:04242E0087522AA8FF
+:04242F0087462A852D
+:0424300087392A615D
+:04243100872D2A3D8C
+:0424320087202A1ABB
+:04243300871429F6EB
+:04243400870829D319
+:0424350086FB29AF4A
+:0424360086EF298B79
+:0424370086E32968A7
+:0424380086D72944D6
+:0424390086CB292005
+:04243A0086BE28FD35
+:04243B0086B228D964
+:04243C0086A628B593
+:04243D00869A2891C2
+:04243E00868E286EF0
+:04243F008683284A1E
+:04244000867728264D
+:04244100866B28027C
+:04244200865F27DEAC
+:04244300865327BBDA
+:042444008648279708
+:04244500863C277337
+:042446008631274F65
+:042447008625272B94
+:0424480086192707C3
+:04244900860E26E3F2
+:04244A00860326BF20
+:04244B0085F7269C4F
+:04244C0085EC26787D
+:04244D0085E12654AB
+:04244E0085D52630DA
+:04244F0085CA260C08
+:0424500085BF25E837
+:0424510085B425C465
+:0424520085A925A093
+:04245300859E257CC1
+:0424540085932558EF
+:04245500858825331E
+:04245600857D250F4C
+:04245700857224EB7B
+:04245800856724C7A9
+:04245900855C24A3D7
+:04245A008551247F05
+:04245B008547245B32
+:04245C00853C243760
+:04245D00853124138E
+:04245E00852723EEBD
+:04245F00851C23CAEB
+:04246000851223A618
+:042461008507238246
+:0424620084FD235E74
+:0424630084F22339A3
+:0424640084E82315D0
+:0424650084DE22F1FE
+:0424660084D322CD2C
+:0424670084C922A85A
+:0424680084BF228487
+:0424690084B52260B4
+:04246A0084AB223BE2
+:04246B0084A122170F
+:04246C00849721F33D
+:04246D00848D21CE6B
+:04246E00848321AA98
+:04246F0084792186C5
+:04247000846F2161F3
+:042471008465213D20
+:04247200845B21184E
+:04247300845220F47B
+:04247400844820D0A8
+:04247500843E20ABD6
+:042476008435208702
+:04247700842B206230
+:042478008422203E5C
+:04247900841820198A
+:04247A00840F1FF5B7
+:04247B0084051FD0E5
+:04247C0083FC1FAC12
+:04247D0083F31F873F
+:04247E0083E91F636C
+:04247F0083E01F3E99
+:0424800083D71F19C6
+:0424810083CE1EF5F3
+:0424820083C51ED020
+:0424830083BC1EAC4C
+:0424840083B31E8779
+:0424850083AA1E63A5
+:0424860083A11E3ED2
+:0424870083981E19FF
+:04248800838F1DF52C
+:0424890083861DD059
+:04248A00837D1DAB86
+:04248B0083751D87B1
+:04248C00836C1D62DE
+:04248D0083631D3D0B
+:04248E00835B1D1936
+:04248F0083521CF464
+:04249000834A1CCF90
+:0424910083411CAABD
+:0424920083391C86E8
+:0424930083311C6114
+:0424940083281C3C41
+:0424950083201C176D
+:0424960083181BF399
+:04249700830F1BCEC6
+:0424980083071BA9F2
+:0424990082FF1B841F
+:04249A0082F71B5F4B
+:04249B0082EF1B3A77
+:04249C0082E71B16A2
+:04249D0082DF1AF1CF
+:04249E0082D71ACCFB
+:04249F0082CF1AA727
+:0424A00082C71A8253
+:0424A10082C01A5D7E
+:0424A20082B81A38AA
+:0424A30082B01A14D5
+:0424A40082A919EF01
+:0424A50082A119CA2D
+:0424A600829919A559
+:0424A7008292198084
+:0424A800828A195BB0
+:0424A90082831936DB
+:0424AA00827C191106
+:0424AB00827418EC33
+:0424AC00826D18C75E
+:0424AD00826618A289
+:0424AE00825E187DB5
+:0424AF0082571858E0
+:0424B000825018330B
+:0424B1008249180E36
+:0424B200824217E962
+:0424B300823B17C48D
+:0424B4008234179FB8
+:0424B500822D177AE3
+:0424B600822617550E
+:0424B700821F173039
+:0424B8008218170B64
+:0424B900821216E68F
+:0424BA00820B16C0BB
+:0424BB008204169BE6
+:0424BC0081FE167611
+:0424BD0081F716513C
+:0424BE0081F0162C67
+:0424BF0081EA160791
+:0424C00081E315E2BD
+:0424C10081DD15BDE7
+:0424C20081D7159712
+:0424C30081D015723D
+:0424C40081CA154D67
+:0424C50081C4152891
+:0424C60081BD1503BC
+:0424C70081B714DEE7
+:0424C80081B114B812
+:0424C90081AB14933C
+:0424CA0081A5146E66
+:0424CB00819F144990
+:0424CC0081991424BA
+:0424CD00819313FEE6
+:0424CE00818D13D910
+:0424CF00818813B439
+:0424D0008182138F63
+:0424D100817C13698E
+:0424D20081761344B8
+:0424D3008171131FE1
+:0424D400816B12F90D
+:0424D500816512D437
+:0424D600816012AF60
+:0424D700815A128A8A
+:0424D80081551264B4
+:0424D9008150123FDD
+:0424DA00814A121A07
+:0424DB00814511F432
+:0424DC00814011CF5B
+:0424DD00813A11AA85
+:0424DE0081351184AF
+:0424DF008130115FD8
+:0424E000812B113A01
+:0424E100812611142B
+:0424E200812110EF55
+:0424E300811C10CA7E
+:0424E400811710A4A8
+:0424E5008112107FD1
+:0424E600810D1059FB
+:0424E7008109103423
+:0424E8008104100F4C
+:0424E90080FF0FE978
+:0424EA0080FB0FC4A0
+:0424EB0080F60F9ECA
+:0424EC0080F10F79F3
+:0424ED0080ED0F541B
+:0424EE0080E80F2E45
+:0424EF0080E40F096D
+:0424F00080DF0EE398
+:0424F10080DB0EBEC0
+:0424F20080D70E98E9
+:0424F30080D20E7312
+:0424F40080CE0E4D3B
+:0424F50080CA0E2863
+:0424F60080C60E038B
+:0424F70080C20DDDB5
+:0424F80080BE0DB8DD
+:0424F90080BA0D9206
+:0424FA0080B60D6D2E
+:0424FB0080B20D4757
+:0424FC0080AE0D227F
+:0424FD0080AA0CFCA9
+:0424FE0080A60CD7D1
+:0424FF0080A20CB1FA
+:04250000809F0C8C20
+:04250100809B0C6649
+:0425020080970C4171
+:0425030080940C1B99
+:0425040080900BF6C2
+:04250500808D0BD0EA
+:0425060080890BAA13
+:0425070080860B853A
+:0425080080830B5F62
+:04250900807F0B3A8A
+:04250A00807C0B14B2
+:04250B0080790AEFDA
+:04250C0080760AC902
+:04250D0080720AA42A
+:04250E00806F0A7E52
+:04250F00806C0A587A
+:0425100080690A33A1
+:0425110080660A0DC9
+:04251200806309E8F1
+:04251300806009C219
+:04251400805E099D3F
+:04251500805B097767
+:04251600805809518F
+:042517008055092CB6
+:0425180080530906DD
+:04251900805008E105
+:04251A00804D08BB2D
+:04251B00804B089554
+:04251C00804808707B
+:04251D008046084AA2
+:04251E0080430824CA
+:04251F00804107FFF1
+:04252000803F07D918
+:04252100803C07B43F
+:04252200803A078E66
+:04252300803807688D
+:0425240080360743B3
+:042525008034071DDA
+:04252600803206F702
+:04252700803006D228
+:04252800802E06AC4F
+:04252900802C068775
+:04252A00802A06619C
+:04252B008028063BC3
+:04252C0080260616E9
+:04252D00802405F011
+:04252E00802305CA37
+:04252F00802105A55D
+:04253000801F057F84
+:04253100801E0559AA
+:04253200801C0534D0
+:04253300801B050EF6
+:04253400801904E81E
+:04253500801804C343
+:042536008016049D6A
+:042537008015047790
+:0425380080140452B5
+:042539008012042CDC
+:04253A008011040602
+:04253B00801003E128
+:04253C00800F03BB4E
+:04253D00800E039574
+:04253E00800D036F9A
+:04253F00800C034ABF
+:04254000800B0324E5
+:04254100800A02FE0C
+:04254200800902D931
+:04254300800802B357
+:042544008008028D7C
+:0425450080070268A1
+:0425460080060242C7
+:042547008005021CED
+:04254800800501F712
+:04254900800401D138
+:04254A00800401AB5D
+:04254B008003018682
+:04254C0080030160A7
+:04254D008003013ACC
+:04254E0080020114F2
+:04254F00800200EF17
+:04255000800200C93C
+:04255100800100A362
+:042552008001007E86
+:0425530080010058AB
+:0425540080010032D0
+:042555008001000DF4
+:042556008000FFE71B
+:042557008000FFC140
+:042558008000FF9B65
+:042559008000FF7689
+:04255A008000FF50AE
+:04255B008001FF2AD2
+:04255C008001FF05F6
+:04255D008001FEDF1C
+:04255E008002FEB940
+:04255F008002FE9464
+:042560008002FE6E89
+:042561008003FE48AD
+:042562008003FE23D1
+:042563008004FDFDF6
+:042564008005FDD71A
+:042565008005FDB13F
+:042566008006FD8C62
+:042567008007FD6686
+:042568008008FD40AA
+:042569008008FD1BCE
+:04256A008009FCF5F3
+:04256B00800AFCCF17
+:04256C00800BFCAA3A
+:04256D00800CFC845E
+:04256E00800DFC5E82
+:04256F00800EFC39A5
+:04257000800FFC13C9
+:042571008011FBEDED
+:042572008012FBC810
+:042573008013FBA234
+:042574008014FB7C58
+:042575008016FB577A
+:042576008017FB319E
+:042577008019FB0BC1
+:04257800801AFAE6E5
+:04257900801CFAC008
+:04257A00801DFA9A2C
+:04257B00801FFA754E
+:04257C008020FA4F72
+:04257D008022FA2995
+:04257E008024FA04B7
+:04257F008026F9DEDB
+:042580008027F9B8FF
+:042581008029F99321
+:04258200802BF96D44
+:04258300802DF94767
+:04258400802FF92289
+:042585008031F8FCAD
+:042586008033F8D6D0
+:042587008035F8B1F2
+:042588008038F88B14
+:04258900803AF86537
+:04258A00803CF84059
+:04258B00803EF81A7C
+:04258C008041F7F59E
+:04258D008043F7CFC1
+:04258E008046F7A9E3
+:04258F008048F78405
+:04259000804BF75E27
+:04259100804DF73949
+:042592008050F7136B
+:042593008052F6ED8F
+:042594008055F6C8B0
+:042595008058F6A2D2
+:04259600805BF67DF3
+:04259700805DF65716
+:042598008060F63138
+:042599008063F60C59
+:04259A008066F5E67C
+:04259B008069F5C19D
+:04259C00806CF59BBF
+:04259D00806FF575E1
+:04259E008072F55002
+:04259F008076F52A23
+:0425A0008079F50544
+:0425A100807CF4DF67
+:0425A200807FF4BA88
+:0425A3008083F494A9
+:0425A4008086F46FCA
+:0425A500808AF449EB
+:0425A600808DF4230D
+:0425A7008091F3FE2E
+:0425A8008094F3D850
+:0425A9008098F3B370
+:0425AA00809BF38D92
+:0425AB00809FF368B2
+:0425AC0080A3F342D3
+:0425AD0080A7F31DF3
+:0425AE0080AAF2F716
+:0425AF0080AEF2D236
+:0425B00080B2F2AC57
+:0425B10080B6F28777
+:0425B20080BAF26198
+:0425B30080BEF23CB8
+:0425B40080C2F216D9
+:0425B50080C6F1F1FA
+:0425B60080CAF1CB1B
+:0425B70080CFF1A63A
+:0425B80080D3F1815A
+:0425B90080D7F15B7B
+:0425BA0080DCF1369A
+:0425BB0080E0F110BB
+:0425BC0080E4F0EBDC
+:0425BD0080E9F0C5FC
+:0425BE0080EDF0A01C
+:0425BF0080F2F07B3B
+:0425C00080F6F0555C
+:0425C10080FBF0307B
+:0425C2008100F00A9A
+:0425C3008104EFE5BB
+:0425C4008109EFBFDB
+:0425C500810EEF9AFA
+:0425C6008113EF7519
+:0425C7008118EF4F39
+:0425C800811DEF2A58
+:0425C9008122EF0577
+:0425CA008127EEDF98
+:0425CB00812CEEBAB7
+:0425CC008131EE95D6
+:0425CD008136EE6FF6
+:0425CE00813BEE4A15
+:0425CF008140EE2534
+:0425D0008146EDFF54
+:0425D100814BEDDA73
+:0425D2008150EDB592
+:0425D3008156ED8FB1
+:0425D400815BED6AD0
+:0425D5008161ED45EE
+:0425D6008166ED1F0E
+:0425D700816CECFA2D
+:0425D8008172ECD54B
+:0425D9008177ECB06A
+:0425DA00817DEC8A89
+:0425DB008183EC65A7
+:0425DC008188EC40C6
+:0425DD00818EEC1BE4
+:0425DE008194EBF504
+:0425DF00819AEBD022
+:0425E00081A0EBAB40
+:0425E10081A6EB865E
+:0425E20081ACEB607D
+:0425E30081B2EB3B9B
+:0425E40081B8EB16B9
+:0425E50081BFEAF1D7
+:0425E60081C5EACCF5
+:0425E70081CBEAA614
+:0425E80081D1EA8132
+:0425E90081D8EA5C4F
+:0425EA0081DEEA376D
+:0425EB0081E5EA128A
+:0425EC0081EBE9EDA9
+:0425ED0081F2E9C8C6
+:0425EE0081F8E9A2E5
+:0425EF0081FFE97D02
+:0425F0008205E9581F
+:0425F100820CE9333C
+:0425F2008213E90E59
+:0425F300821AE8E977
+:0425F4008220E8C495
+:0425F5008227E89FB2
+:0425F600822EE87ACF
+:0425F7008235E855EC
+:0425F800823CE83009
+:0425F9008243E80B26
+:0425FA00824AE7E644
+:0425FB008251E7C161
+:0425FC008259E79C7D
+:0425FD008260E7779A
+:0425FE008267E752B7
+:0425FF00826EE72DD4
+:042600008276E708EF
+:04260100827DE6E30D
+:042602008284E6BE2A
+:04260300828CE69946
+:042604008293E67463
+:04260500829BE64F7F
+:0426060082A2E62A9C
+:0426070082AAE605B8
+:0426080082B2E5E0D5
+:0426090082B9E5BBF2
+:04260A0082C1E5960E
+:04260B0082C9E5712A
+:04260C0082D1E54D45
+:04260D0082D9E52861
+:04260E0082E1E5037D
+:04260F0082E9E4DE9A
+:0426100082F1E4B9B6
+:0426110082F9E494D2
+:042612008301E470EC
+:042613008309E44B08
+:042614008311E42624
+:042615008319E40140
+:042616008322E3DC5C
+:04261700832AE3B877
+:042618008332E39393
+:04261900833BE36EAE
+:04261A008343E349CA
+:04261B00834CE325E4
+:04261C008354E30000
+:04261D00835DE2DB1C
+:04261E008365E2B737
+:04261F00836EE29252
+:042620008377E26D6D
+:04262100837FE24889
+:042622008388E224A3
+:042623008391E1FFBF
+:04262400839AE1DBD9
+:0426250083A3E1B6F4
+:0426260083ACE1910F
+:0426270083B5E16D29
+:0426280083BEE14844
+:0426290083C7E1235F
+:04262A0083D0E0FF7A
+:04262B0083D9E0DA95
+:04262C0083E2E0B6AF
+:04262D0083EBE091CA
+:04262E0083F5E06DE3
+:04262F0083FEE048FE
+:042630008407E02417
+:042631008411DFFF32
+:04263200841ADFDB4C
+:042633008424DFB666
+:04263400842DDF9280
+:042635008437DF6D9A
+:042636008440DF49B4
+:04263700844ADF24CE
+:042638008454DF00E7
+:04263900845EDEDB02
+:04263A008467DEB71C
+:04263B008471DE9335
+:04263C00847BDE6E4F
+:04263D008485DE4A68
+:04263E00848FDE2681
+:04263F008499DE019B
+:0426400084A3DDDDB5
+:0426410084ADDDB9CE
+:0426420084B7DD94E8
+:0426430084C1DD7001
+:0426440084CBDD4C1A
+:0426450084D6DD2733
+:0426460084E0DD034C
+:0426470084EADCDF66
+:0426480084F5DCBB7E
+:0426490084FFDC9698
+:04264A00850ADC72AF
+:04264B008514DC4EC8
+:04264C00851FDC2AE0
+:04264D008529DC06F9
+:04264E008534DBE113
+:04264F00853EDBBD2C
+:042650008549DB9944
+:042651008554DB755C
+:04265200855FDB5174
+:042653008569DB2D8D
+:042654008574DB09A5
+:04265500857FDAE5BE
+:04265600858ADAC0D7
+:042657008595DA9CEF
+:0426580085A0DA7807
+:0426590085ABDA541F
+:04265A0085B6DA3037
+:04265B0085C2DA0C4E
+:04265C0085CDD9E867
+:04265D0085D8D9C47F
+:04265E0085E3D9A097
+:04265F0085EFD97CAE
+:0426600085FAD958C6
+:042661008605D935DC
+:042662008611D911F3
+:04266300861CD8ED0C
+:042664008628D8C923
+:042665008633D8A53B
+:04266600863FD88152
+:04266700864BD85D69
+:042668008656D83981
+:042669008662D81697
+:04266A00866ED7F2AF
+:04266B00867AD7CEC6
+:04266C008686D7AADD
+:04266D008691D786F5
+:04266E00869DD7630B
+:04266F0086A9D73F22
+:0426700086B5D71B39
+:0426710086C1D6F751
+:0426720086CED6D466
+:0426730086DAD6B07D
+:0426740086E6D68C94
+:0426750086F2D669AA
+:0426760086FED645C1
+:04267700870BD621D6
+:042678008717D5FEED
+:042679008723D5DA04
+:04267A008730D5B719
+:04267B00873CD59330
+:04267C008749D57045
+:04267D008755D54C5C
+:04267E008762D52872
+:04267F00876FD50587
+:04268000877BD4E19F
+:042681008788D4BEB4
+:042682008795D49BC9
+:0426830087A2D477DF
+:0426840087AED454F5
+:0426850087BBD4300B
+:0426860087C8D40D20
+:0426870087D5D3E937
+:0426880087E2D3C64C
+:0426890087EFD3A361
+:04268A0087FCD37F77
+:04268B00880AD35C8A
+:04268C008817D3399F
+:04268D008824D315B5
+:04268E008831D2F2CB
+:04268F00883ED2CFE0
+:04269000884CD2ABF5
+:042691008859D2880A
+:042692008867D2651E
+:042693008874D24233
+:042694008882D21F47
+:04269500888FD1FB5E
+:04269600889DD1D872
+:0426970088AAD1B587
+:0426980088B8D1929B
+:0426990088C6D16FAF
+:04269A0088D3D14CC4
+:04269B0088E1D129D8
+:04269C0088EFD106EC
+:04269D0088FDD0E202
+:04269E00890BD0BF15
+:04269F008919D09C29
+:0426A0008927D0793D
+:0426A1008935D05651
+:0426A2008943D03365
+:0426A3008951D01079
+:0426A400895FCFEE8D
+:0426A500896DCFCBA1
+:0426A600897BCFA8B5
+:0426A700898ACF85C8
+:0426A8008998CF62DC
+:0426A90089A6CF3FF0
+:0426AA0089B5CF1C03
+:0426AB0089C3CEF918
+:0426AC0089D1CED72B
+:0426AD0089E0CEB43E
+:0426AE0089EECE9152
+:0426AF0089FDCE6E65
+:0426B0008A0CCE4B77
+:0426B1008A1ACE298A
+:0426B2008A29CE069D
+:0426B3008A38CDE3B1
+:0426B4008A47CDC1C3
+:0426B5008A55CD9ED7
+:0426B6008A64CD7BEA
+:0426B7008A73CD59FC
+:0426B8008A82CD360F
+:0426B9008A91CD1421
+:0426BA008AA0CCF135
+:0426BB008AAFCCCE48
+:0426BC008ABECCAC5A
+:0426BD008ACDCC896D
+:0426BE008ADDCC677E
+:0426BF008AECCC4491
+:0426C0008AFBCC22A3
+:0426C1008B0ACBFFB6
+:0426C2008B1ACBDDC7
+:0426C3008B29CBBBD9
+:0426C4008B38CB98EC
+:0426C5008B48CB76FD
+:0426C6008B57CB5310
+:0426C7008B67CB3121
+:0426C8008B76CB0F33
+:0426C9008B86CAEC46
+:0426CA008B96CACA57
+:0426CB008BA5CAA869
+:0426CC008BB5CA867A
+:0426CD008BC5CA638C
+:0426CE008BD5CA419D
+:0426CF008BE5CA1FAE
+:0426D0008BF4C9FDC1
+:0426D1008C04C9DBD1
+:0426D2008C14C9B8E3
+:0426D3008C24C996F4
+:0426D4008C34C97405
+:0426D5008C45C95215
+:0426D6008C55C93026
+:0426D7008C65C90E37
+:0426D8008C75C8EC49
+:0426D9008C85C8CA5A
+:0426DA008C96C8A86A
+:0426DB008CA6C8867B
+:0426DC008CB6C8648C
+:0426DD008CC7C8429C
+:0426DE008CD7C820AD
+:0426DF008CE8C7FEBE
+:0426E0008CF8C7DCCF
+:0426E1008D09C7BADE
+:0426E2008D19C799EE
+:0426E3008D2AC777FE
+:0426E4008D3AC7550F
+:0426E5008D4BC7331F
+:0426E6008D5CC7112F
+:0426E7008D6DC6F03F
+:0426E8008D7EC6CE4F
+:0426E9008D8EC6AC60
+:0426EA008D9FC68A70
+:0426EB008DB0C6697F
+:0426EC008DC1C6478F
+:0426ED008DD2C6269E
+:0426EE008DE3C604AE
+:0426EF008DF4C5E2BF
+:0426F0008E06C5C1CC
+:0426F1008E17C59FDC
+:0426F2008E28C57EEB
+:0426F3008E39C55CFB
+:0426F4008E4AC53B0A
+:0426F5008E5CC51919
+:0426F6008E6DC4F829
+:0426F7008E7FC4D638
+:0426F8008E90C4B547
+:0426F9008EA2C49356
+:0426FA008EB3C47265
+:0426FB008EC5C45173
+:0426FC008ED6C42F83
+:0426FD008EE8C40E91
+:0426FE008EFAC3EDA0
+:0426FF008F0BC3CBAF
+:042700008F1DC3AABC
+:042701008F2FC389CA
+:042702008F41C368D8
+:042703008F52C347E7
+:042704008F64C325F6
+:042705008F76C30404
+:042706008F88C2E313
+:042707008F9AC2C221
+:042708008FACC2A12F
+:042709008FBEC2803D
+:04270A008FD1C25F4A
+:04270B008FE3C23E58
+:04270C008FF5C21D66
+:04270D009007C1FC74
+:04270E009019C1DB82
+:04270F00902CC1BA8F
+:04271000903EC1999D
+:042711009051C178AA
+:042712009063C157B8
+:042713009075C136C6
+:042714009088C115D3
+:04271500909BC0F5E0
+:0427160090ADC0D4EE
+:0427170090C0C0B3FB
+:0427180090D2C09209
+:0427190090E5C07215
+:04271A0090F8C05122
+:04271B00910BC0302E
+:04271C00911DC0103B
+:04271D009130BFEE4A
+:04271E009143BFCD57
+:04271F009156BFAD63
+:042720009169BF8C70
+:04272100917CBF6C7C
+:04272200918FBF4B89
+:0427230091A2BF2A96
+:0427240091B5BF0AA2
+:0427250091C8BEE9B0
+:0427260091DCBEC9BB
+:0427270091EFBEA9C7
+:042728009202BE88D3
+:042729009215BE68DF
+:04272A009229BE47EB
+:04272B00923CBE27F7
+:04272C009250BE0702
+:04272D009263BDE70F
+:04272E009276BDC61C
+:04272F00928ABDA627
+:04273000929EBD8632
+:0427310092B1BD663E
+:0427320092C5BD454A
+:0427330092D8BD2556
+:0427340092ECBD0561
+:042735009300BCE56C
+:042736009314BCC577
+:042737009328BCA582
+:04273800933BBC858E
+:04273900934FBC6599
+:04273A009363BC45A4
+:04273B009377BC25AF
+:04273C00938BBC05BA
+:04273D00939FBBE5C6
+:04273E0093B3BBC5D1
+:04273F0093C7BBA5DC
+:0427400093DCBB85E6
+:0427410093F0BB65F1
+:042742009404BB46FA
+:042743009418BB2605
+:04274400942CBB0610
+:042745009441BAE61B
+:042746009455BAC725
+:04274700946ABAA72F
+:04274800947EBA873A
+:042749009493BA6843
+:04274A0094A7BA484E
+:04274B0094BCBA2858
+:04274C0094D0BA0962
+:04274D0094E5B9E96D
+:04274E0094F9B9CA77
+:04274F00950EB9AA80
+:042750009523B98B89
+:042751009538B96B93
+:04275200954CB94C9D
+:042753009561B92CA7
+:042754009576B90DB0
+:04275500958BB8EEBA
+:0427560095A0B8CEC4
+:0427570095B5B8AFCD
+:0427580095CAB890D6
+:0427590095DFB870E0
+:04275A0095F4B851E9
+:04275B009609B832F1
+:04275C00961EB813FA
+:04275D009634B7F403
+:04275E009649B7D40D
+:04275F00965EB7B516
+:042760009674B7961E
+:042761009689B77727
+:04276200969EB75830
+:0427630096B4B73938
+:0427640096C9B71A41
+:0427650096DFB6FB4A
+:0427660096F4B6DC53
+:04276700970AB6BD5A
+:04276800971FB69E63
+:042769009735B67F6B
+:04276A00974BB66172
+:04276B009760B6427B
+:04276C009776B62383
+:04276D00978CB6048B
+:04276E0097A2B5E594
+:04276F0097B7B5C79C
+:0427700097CDB5A8A4
+:0427710097E3B589AC
+:0427720097F9B56BB3
+:04277300980FB54CBA
+:042774009825B52EC1
+:04277500983BB50FC9
+:042776009851B4F0D2
+:042777009867B4D2D9
+:04277800987EB4B3E0
+:042779009894B495E7
+:04277A0098AAB476EF
+:04277B0098C0B458F6
+:04277C0098D7B43AFC
+:04277D0098EDB41B04
+:04277E009903B3FD0B
+:04277F00991AB3DF11
+:042780009930B3C019
+:042781009947B3A21F
+:04278200995DB38426
+:042783009974B3662C
+:04278400998AB34734
+:0427850099A1B3293A
+:0427860099B7B30B41
+:0427870099CEB2ED48
+:0427880099E5B2CF4E
+:0427890099FCB2B154
+:04278A009A12B2935A
+:04278B009A29B27560
+:04278C009A40B25766
+:04278D009A57B2396C
+:04278E009A6EB21B72
+:04278F009A85B1FD79
+:042790009A9CB1DF7F
+:042791009AB3B1C185
+:042792009ACAB1A48A
+:042793009AE1B18690
+:042794009AF8B16896
+:042795009B0FB14A9B
+:042796009B27B12D9F
+:042797009B3EB10FA5
+:042798009B55B0F1AC
+:042799009B6CB0D4B1
+:04279A009B84B0B6B6
+:04279B009B9BB098BC
+:04279C009BB2B07BC1
+:04279D009BCAB05DC6
+:04279E009BE1B040CB
+:04279F009BF9B022D0
+:0427A0009C10B005D4
+:0427A1009C28AFE7DA
+:0427A2009C40AFCADE
+:0427A3009C57AFADE3
+:0427A4009C6FAF8FE8
+:0427A5009C87AF72EC
+:0427A6009C9EAF55F1
+:0427A7009CB6AF38F5
+:0427A8009CCEAF1AFA
+:0427A9009CE6AEFDFF
+:0427AA009CFEAEE003
+:0427AB009D16AEC306
+:0427AC009D2DAEA60B
+:0427AD009D45AE890F
+:0427AE009D5DAE6B14
+:0427AF009D75AE4E18
+:0427B0009D8EAE311B
+:0427B1009DA6AE141F
+:0427B2009DBEADF823
+:0427B3009DD6ADDB27
+:0427B4009DEEADBE2B
+:0427B5009E06ADA12E
+:0427B6009E1FAD8431
+:0427B7009E37AD6735
+:0427B8009E4FAD4A39
+:0427B9009E68AD2E3B
+:0427BA009E80AD113F
+:0427BB009E99ACF443
+:0427BC009EB1ACD846
+:0427BD009ECAACBB49
+:0427BE009EE2AC9E4D
+:0427BF009EFBAC824F
+:0427C0009F13AC6552
+:0427C1009F2CAC4954
+:0427C2009F45AC2C57
+:0427C3009F5DAC105A
+:0427C4009F76ABF35E
+:0427C5009F8FABD760
+:0427C6009FA8ABBA63
+:0427C7009FC0AB9E66
+:0427C8009FD9AB8268
+:0427C9009FF2AB656B
+:0427CA00A00BAB496C
+:0427CB00A024AB2D6E
+:0427CC00A03DAB1170
+:0427CD00A056AAF474
+:0427CE00A06FAAD876
+:0427CF00A088AABC78
+:0427D000A0A1AAA07A
+:0427D100A0BBAA847B
+:0427D200A0D4AA687D
+:0427D300A0EDAA4C7F
+:0427D400A106AA3080
+:0427D500A120AA1481
+:0427D600A139A9F884
+:0427D700A152A9DC86
+:0427D800A16CA9C087
+:0427D900A185A9A489
+:0427DA00A19EA9898A
+:0427DB00A1B8A96D8B
+:0427DC00A1D1A9518D
+:0427DD00A1EBA9358E
+:0427DE00A205A91A8D
+:0427DF00A21EA8FE90
+:0427E000A238A8E291
+:0427E100A252A8C791
+:0427E200A26BA8AB93
+:0427E300A285A89093
+:0427E400A29FA87494
+:0427E500A2B9A85994
+:0427E600A2D2A83D96
+:0427E700A2ECA82296
+:0427E800A306A80696
+:0427E900A320A7EB97
+:0427EA00A33AA7D097
+:0427EB00A354A7B498
+:0427EC00A36EA79998
+:0427ED00A388A77E98
+:0427EE00A3A2A76398
+:0427EF00A3BCA74799
+:0427F000A3D6A72C99
+:0427F100A3F1A71198
+:0427F200A40BA6F698
+:0427F300A425A6DB98
+:0427F400A43FA6C098
+:0427F500A45AA6A597
+:0427F600A474A68A97
+:0427F700A48EA66F97
+:0427F800A4A9A65496
+:0427F900A4C3A63996
+:0427FA00A4DEA61E95
+:0427FB00A4F8A60494
+:0427FC00A513A5E993
+:0427FD00A52DA5CE93
+:0427FE00A548A5B392
+:0427FF00A562A59991
+:04280000A57DA57E8F
+:04280100A598A5638E
+:04280200A5B2A5498D
+:04280300A5CDA52E8C
+:04280400A5E8A5148A
+:04280500A603A4F989
+:04280600A61DA4DF88
+:04280700A638A4C487
+:04280800A653A4AA85
+:04280900A66EA48F84
+:04280A00A689A47582
+:04280B00A6A4A45B80
+:04280C00A6BFA4407F
+:04280D00A6DAA4267D
+:04280E00A6F5A40C7B
+:04280F00A710A3F279
+:04281000A72BA3D778
+:04281100A746A3BD76
+:04281200A762A3A373
+:04281300A77DA38971
+:04281400A798A36F6F
+:04281500A7B3A3556D
+:04281600A7CFA33B6A
+:04281700A7EAA32168
+:04281800A805A30765
+:04281900A821A2ED63
+:04281A00A83CA2D361
+:04281B00A858A2BA5D
+:04281C00A873A2A05B
+:04281D00A88FA28658
+:04281E00A8AAA26C56
+:04281F00A8C6A25352
+:04282000A8E1A23950
+:04282100A8FDA21F4D
+:04282200A919A20648
+:04282300A934A1EC47
+:04282400A950A1D244
+:04282500A96CA1B940
+:04282600A988A19F3D
+:04282700A9A3A1863A
+:04282800A9BFA16D36
+:04282900A9DBA15333
+:04282A00A9F7A13A2F
+:04282B00AA13A1212A
+:04282C00AA2FA10727
+:04282D00AA4BA0EE24
+:04282E00AA67A0D520
+:04282F00AA83A0BC1C
+:04283000AA9FA0A219
+:04283100AABBA08915
+:04283200AAD7A07011
+:04283300AAF3A0570D
+:04283400AB10A03E07
+:04283500AB2CA02503
+:04283600AB48A00CFF
+:04283700AB649FF3FC
+:04283800AB819FDAF7
+:04283900AB9D9FC1F3
+:04283A00ABB99FA9EE
+:04283B00ABD69F90E9
+:04283C00ABF29F77E5
+:04283D00AC0F9F5EDF
+:04283E00AC2B9F46DA
+:04283F00AC489F2DD5
+:04284000AC649F14D1
+:04284100AC819EFCCC
+:04284200AC9D9EE3C8
+:04284300ACBA9ECBC2
+:04284400ACD79EB2BD
+:04284500ACF39E9AB8
+:04284600AD109E81B2
+:04284700AD2D9E69AC
+:04284800AD499E50A8
+:04284900AD669E38A2
+:04284A00AD839E209C
+:04284B00ADA09E0797
+:04284C00ADBD9DEF92
+:04284D00ADDA9DD78C
+:04284E00ADF79DBF86
+:04284F00AE139DA780
+:04285000AE309D8F7A
+:04285100AE4D9D7675
+:04285200AE6A9D5E6F
+:04285300AE889D4668
+:04285400AEA59D2E62
+:04285500AEC29D175B
+:04285600AEDF9CFF56
+:04285700AEFC9CE750
+:04285800AF199CCF49
+:04285900AF379CB742
+:04285A00AF549C9F3C
+:04285B00AF719C8835
+:04285C00AF8E9C702F
+:04285D00AFAC9C5828
+:04285E00AFC99C4121
+:04285F00AFE69C291B
+:04286000B0049C1113
+:04286100B0219BFA0D
+:04286200B03F9BE206
+:04286300B05C9BCBFF
+:04286400B07A9BB3F8
+:04286500B0979B9CF1
+:04286600B0B59B85E9
+:04286700B0D39B6DE2
+:04286800B0F09B56DB
+:04286900B10E9B3FD2
+:04286A00B12C9B28CA
+:04286B00B1499B10C4
+:04286C00B1679AF9BD
+:04286D00B1859AE2B5
+:04286E00B1A39ACBAD
+:04286F00B1C09AB4A6
+:04287000B1DE9A9D9E
+:04287100B1FC9A8696
+:04287200B21A9A6F8D
+:04287300B2389A5885
+:04287400B2569A417D
+:04287500B2749A2A75
+:04287600B2929A136D
+:04287700B2B099FD65
+:04287800B2CE99E65D
+:04287900B2EC99CF55
+:04287A00B30A99B84C
+:04287B00B32899A243
+:04287C00B346998B3B
+:04287D00B365997531
+:04287E00B383995E29
+:04287F00B3A1994820
+:04288000B3BF993118
+:04288100B3DE991B0E
+:04288200B3FC990406
+:04288300B41A98EEFD
+:04288400B43998D8F3
+:04288500B45798C1EB
+:04288600B47598ABE2
+:04288700B4949895D8
+:04288800B4B2987FCF
+:04288900B4D19868C6
+:04288A00B4EF9852BD
+:04288B00B50E983CB2
+:04288C00B52D9826A8
+:04288D00B54B98109F
+:04288E00B56A97FA96
+:04288F00B58897E48D
+:04289000B5A797CE83
+:04289100B5C697B879
+:04289200B5E497A36F
+:04289300B603978D64
+:04289400B62297775A
+:04289500B641976150
+:04289600B660974C45
+:04289700B67E97363C
+:04289800B69D972032
+:04289900B6BC970B27
+:04289A00B6DB96F51E
+:04289B00B6FA96E013
+:04289C00B71996CA08
+:04289D00B73896B5FD
+:04289E00B757969FF3
+:04289F00B776968AE8
+:0428A000B7959675DD
+:0428A100B7B4965FD3
+:0428A200B7D3964AC8
+:0428A300B7F39635BC
+:0428A400B812961FB1
+:0428A500B831960AA6
+:0428A600B85095F59C
+:0428A700B86F95E091
+:0428A800B88F95CB85
+:0428A900B8AE95B67A
+:0428AA00B8CD95A16F
+:0428AB00B8ED958C63
+:0428AC00B90C957757
+:0428AD00B92B95624C
+:0428AE00B94B954D40
+:0428AF00B96A953934
+:0428B000B98A952428
+:0428B100B9A9950F1D
+:0428B200B9C994FA12
+:0428B300B9E894E606
+:0428B400BA0894D1F9
+:0428B500BA2794BDED
+:0428B600BA4794A8E1
+:0428B700BA679494D4
+:0428B800BA86947FC9
+:0428B900BAA6946BBC
+:0428BA00BAC69456B0
+:0428BB00BAE59442A4
+:0428BC00BB05942D97
+:0428BD00BB2594198A
+:0428BE00BB4594057D
+:0428BF00BB6493F172
+:0428C000BB8493DD65
+:0428C100BBA493C859
+:0428C200BBC493B44C
+:0428C300BBE493A03F
+:0428C400BC04938C31
+:0428C500BC24937824
+:0428C600BC44936417
+:0428C700BC6493500A
+:0428C800BC84933CFD
+:0428C900BCA49329EF
+:0428CA00BCC49315E2
+:0428CB00BCE49301D5
+:0428CC00BD0492EDC8
+:0428CD00BD2492D9BB
+:0428CE00BD4492C6AD
+:0428CF00BD6592B29F
+:0428D000BD85929F91
+:0428D100BDA5928B84
+:0428D200BDC5927777
+:0428D300BDE6926468
+:0428D400BE06925159
+:0428D500BE26923D4C
+:0428D600BE46922A3E
+:0428D700BE67921630
+:0428D800BE87920322
+:0428D900BEA891F014
+:0428DA00BEC891DD06
+:0428DB00BEE891C9F9
+:0428DC00BF0991B6E9
+:0428DD00BF2991A3DB
+:0428DE00BF4A9190CC
+:0428DF00BF6B917DBD
+:0428E000BF8B916AAF
+:0428E100BFAC9157A0
+:0428E200BFCC914492
+:0428E300BFED913183
+:0428E400C00F911E72
+:0428E500C02F910C63
+:0428E600C05090F955
+:0428E700C07190E646
+:0428E800C09190D338
+:0428E900C0B290C128
+:0428EA00C0D390AE19
+:0428EB00C0F4909C09
+:0428EC00C1149089FA
+:0428ED00C1359076EB
+:0428EE00C1569064DB
+:0428EF00C1779052CB
+:0428F000C198903FBC
+:0428F100C1B9902DAC
+:0428F200C1DA901A9D
+:0428F300C1FB90088D
+:0428F400C21C8FF67D
+:0428F500C23D8FE46D
+:0428F600C25E8FD25D
+:0428F700C27F8FBF4E
+:0428F800C2A08FAD3E
+:0428F900C2C18F9B2E
+:0428FA00C2E28F891E
+:0428FB00C3038F770D
+:0428FC00C3248F65FD
+:0428FD00C3468F53EC
+:0428FE00C3678F42DB
+:0428FF00C3888F30CB
+:04290000C3A98F1EBA
+:04290100C3CA8F0CAA
+:04290200C3EC8EFB99
+:04290300C40D8EE988
+:04290400C42E8ED778
+:04290500C4508EC666
+:04290600C4718EB456
+:04290700C4928EA345
+:04290800C4B48E9134
+:04290900C4D58E8023
+:04290A00C4F78E6E12
+:04290B00C5188E5D00
+:04290C00C53A8E4BEF
+:04290D00C55B8E3ADE
+:04290E00C57D8E29CC
+:04290F00C59E8E18BB
+:04291000C5C08E07A9
+:04291100C5E18DF59A
+:04291200C6038DE487
+:04291300C6258DD375
+:04291400C6468DC264
+:04291500C6688DB152
+:04291600C6898DA041
+:04291700C6AB8D8F2F
+:04291800C6CD8D7F1C
+:04291900C6EF8D6E0A
+:04291A00C7108D5DF8
+:04291B00C7328D4CE6
+:04291C00C7548D3BD4
+:04291D00C7768D2BC1
+:04291E00C7988D1AAF
+:04291F00C7B98D0A9D
+:04292000C7DB8CF98C
+:04292100C7FD8CE979
+:04292200C81F8CD866
+:04292300C8418CC853
+:04292400C8638CB741
+:04292500C8858CA72E
+:04292600C8A78C971B
+:04292700C8C98C8609
+:04292800C8EB8C76F6
+:04292900C90D8C66E2
+:04292A00C92F8C56CF
+:04292B00C9518C46BC
+:04292C00C9738C35AA
+:04292D00C9958C2597
+:04292E00C9B78C1584
+:04292F00C9DA8C0570
+:04293000C9FC8BF55E
+:04293100CA1E8BE649
+:04293200CA408BD636
+:04293300CA628BC623
+:04293400CA858BB60F
+:04293500CAA78BA6FC
+:04293600CAC98B97E8
+:04293700CAEB8B87D5
+:04293800CB0E8B77C0
+:04293900CB308B68AC
+:04293A00CB528B5899
+:04293B00CB758B4984
+:04293C00CB978B3971
+:04293D00CBBA8B2A5C
+:04293E00CBDC8B1B48
+:04293F00CBFE8B0B35
+:04294000CC218AFC20
+:04294100CC438AED0C
+:04294200CC668ADEF7
+:04294300CC888ACEE4
+:04294400CCAB8ABFCF
+:04294500CCCD8AB0BB
+:04294600CCF08AA1A6
+:04294700CD138A9290
+:04294800CD358A837C
+:04294900CD588A7467
+:04294A00CD7A8A6553
+:04294B00CD9D8A563E
+:04294C00CDC08A4828
+:04294D00CDE28A3914
+:04294E00CE058A2AFE
+:04294F00CE288A1BE9
+:04295000CE4A8A0DD4
+:04295100CE6D89FEC0
+:04295200CE9089EFAB
+:04295300CEB389E195
+:04295400CED689D280
+:04295500CEF889C46B
+:04295600CF1B89B654
+:04295700CF3E89A73F
+:04295800CF61899929
+:04295900CF84898B13
+:04295A00CFA7897CFE
+:04295B00CFCA896EE8
+:04295C00CFED8960D2
+:04295D00D00F8952BC
+:04295E00D0328944A6
+:04295F00D055893690
+:04296000D07889287A
+:04296100D09B891A64
+:04296200D0BE890C4E
+:04296300D0E188FE39
+:04296400D10588F021
+:04296500D12888E20B
+:04296600D14B88D4F5
+:04296700D16E88C7DE
+:04296800D19188B9C8
+:04296900D1B488ABB2
+:04296A00D1D7889E9B
+:04296B00D1FA889085
+:04296C00D21E88836C
+:04296D00D241887556
+:04296E00D26488683F
+:04296F00D287885A29
+:04297000D2AA884D12
+:04297100D2CE883FFB
+:04297200D2F18832E4
+:04297300D3148825CC
+:04297400D3388818B4
+:04297500D35B880B9D
+:04297600D37E87FD88
+:04297700D3A287F070
+:04297800D3C587E359
+:04297900D3E887D642
+:04297A00D40C87C929
+:04297B00D42F87BC12
+:04297C00D45387AFFA
+:04297D00D47687A3E2
+:04297E00D49A8796CA
+:04297F00D4BD8789B3
+:04298000D4E0877C9C
+:04298100D504877082
+:04298200D52787636B
+:04298300D54B875653
+:04298400D56F874A3A
+:04298500D592873D23
+:04298600D5B687310A
+:04298700D5D98724F3
+:04298800D5FD8718DA
+:04298900D620870CC1
+:04298A00D64486FFAA
+:04298B00D66886F391
+:04298C00D68B86E779
+:04298D00D6AF86DB60
+:04298E00D6D386CF47
+:04298F00D6F686C230
+:04299000D71A86B616
+:04299100D73E86AAFD
+:04299200D762869EE4
+:04299300D7858692CC
+:04299400D7A98687B2
+:04299500D7CD867B99
+:04299600D7F1866F80
+:04299700D815866366
+:04299800D83886574E
+:04299900D85C864C34
+:04299A00D88086401B
+:04299B00D8A4863402
+:04299C00D8C88629E8
+:04299D00D8EC861DCF
+:04299E00D9108612B4
+:04299F00D93486069B
+:0429A000D95785FB83
+:0429A100D97B85F069
+:0429A200D99F85E450
+:0429A300D9C385D936
+:0429A400D9E785CE1C
+:0429A500DA0B85C301
+:0429A600DA2F85B7E8
+:0429A700DA5385ACCE
+:0429A800DA7785A1B4
+:0429A900DA9B85969A
+:0429AA00DABF858B80
+:0429AB00DAE4858065
+:0429AC00DB0885754A
+:0429AD00DB2C856A30
+:0429AE00DB50856015
+:0429AF00DB748555FB
+:0429B000DB98854AE1
+:0429B100DBBC853FC7
+:0429B200DBE08535AC
+:0429B300DC05852A90
+:0429B400DC29852075
+:0429B500DC4D85155B
+:0429B600DC71850B40
+:0429B700DC95850026
+:0429B800DCBA84F60B
+:0429B900DCDE84EBF1
+:0429BA00DD0284E1D5
+:0429BB00DD2684D7BA
+:0429BC00DD4B84CC9F
+:0429BD00DD6F84C284
+:0429BE00DD9384B869
+:0429BF00DDB884AE4D
+:0429C000DDDC84A432
+:0429C100DE00849A16
+:0429C200DE258490FA
+:0429C300DE498486DF
+:0429C400DE6D847CC4
+:0429C500DE928472A8
+:0429C600DEB684688D
+:0429C700DEDA845F71
+:0429C800DEFF845555
+:0429C900DF23844B39
+:0429CA00DF4884411D
+:0429CB00DF6C843801
+:0429CC00DF91842EE5
+:0429CD00DFB58425C9
+:0429CE00DFDA841BAD
+:0429CF00DFFE841291
+:0429D000E023840874
+:0429D100E04783FF59
+:0429D200E06C83F63C
+:0429D300E09083EC21
+:0429D400E0B583E304
+:0429D500E0D983DAE8
+:0429D600E0FE83D1CB
+:0429D700E12283C8AE
+:0429D800E14783BF91
+:0429D900E16C83B674
+:0429DA00E19083AD58
+:0429DB00E1B583A43B
+:0429DC00E1DA839B1E
+:0429DD00E1FE839202
+:0429DE00E2238389E4
+:0429DF00E2478380C8
+:0429E000E26C8378AA
+:0429E100E291836F8D
+:0429E200E2B6836670
+:0429E300E2DA835E53
+:0429E400E2FF835536
+:0429E500E324834D17
+:0429E600E3488344FB
+:0429E700E36D833CDD
+:0429E800E3928333C0
+:0429E900E3B7832BA2
+:0429EA00E3DB832385
+:0429EB00E400831A67
+:0429EC00E425831249
+:0429ED00E44A830A2B
+:0429EE00E46F83020D
+:0429EF00E49382FAF1
+:0429F000E4B882F2D3
+:0429F100E4DD82EAB5
+:0429F200E50282E296
+:0429F300E52782DA78
+:0429F400E54C82D25A
+:0429F500E57082CA3D
+:0429F600E59582C21F
+:0429F700E5BA82BA01
+:0429F800E5DF82B3E2
+:0429F900E60482ABC3
+:0429FA00E62982A3A5
+:0429FB00E64E829C86
+:0429FC00E673829468
+:0429FD00E698828D49
+:0429FE00E6BD82852B
+:0429FF00E6E2827E0C
+:042A0000E7078277EB
+:042A0100E72C826FCD
+:042A0200E7518268AE
+:042A0300E77682618F
+:042A0400E79B825A70
+:042A0500E7C0825252
+:042A0600E7E5824B33
+:042A0700E80A824413
+:042A0800E82F823DF4
+:042A0900E8548236D5
+:042A0A00E879822FB6
+:042A0B00E89E822897
+:042A0C00E8C3822178
+:042A0D00E8E8821B58
+:042A0E00E90D821438
+:042A0F00E932820D19
+:042A1000E9578206FA
+:042A1100E97C8200DA
+:042A1200E9A181F9BC
+:042A1300E9C781F39B
+:042A1400E9EC81EC7C
+:042A1500EA1181E65B
+:042A1600EA3681DF3C
+:042A1700EA5B81D91C
+:042A1800EA8081D2FD
+:042A1900EAA581CCDD
+:042A1A00EACB81C6BC
+:042A1B00EAF081C09C
+:042A1C00EB1581B97C
+:042A1D00EB3A81B35C
+:042A1E00EB5F81AD3C
+:042A1F00EB8581A71B
+:042A2000EBAA81A1FB
+:042A2100EBCF819BDB
+:042A2200EBF48195BB
+:042A2300EC1A818F99
+:042A2400EC3F818979
+:042A2500EC64818458
+:042A2600EC89817E38
+:042A2700ECAF817817
+:042A2800ECD48173F6
+:042A2900ECF9816DD6
+:042A2A00ED1E8167B5
+:042A2B00ED44816293
+:042A2C00ED69815C73
+:042A2D00ED8E815752
+:042A2E00EDB4815131
+:042A2F00EDD9814C10
+:042A3000EDFE8147EF
+:042A3100EE248141CD
+:042A3200EE49813CAC
+:042A3300EE6E81378B
+:042A3400EE94813269
+:042A3500EEB9812D48
+:042A3600EEDE812827
+:042A3700EF04812304
+:042A3800EF29811EE3
+:042A3900EF4E8119C2
+:042A3A00EF748114A0
+:042A3B00EF99810F7F
+:042A3C00EFBE810A5E
+:042A3D00EFE481053C
+:042A3E00F009810119
+:042A3F00F02F80FCF8
+:042A4000F05480F7D7
+:042A4100F07A80F3B4
+:042A4200F09F80EE93
+:042A4300F0C480EA71
+:042A4400F0EA80E54F
+:042A4500F10F80E12C
+:042A4600F13580DD09
+:042A4700F15A80D8E8
+:042A4800F18080D4C5
+:042A4900F1A580D0A3
+:042A4A00F1CA80CB82
+:042A4B00F1F080C75F
+:042A4C00F21580C33C
+:042A4D00F23B80BF19
+:042A4E00F26080BBF7
+:042A4F00F28680B7D4
+:042A5000F2AB80B3B2
+:042A5100F2D180AF8F
+:042A5200F2F680AB6D
+:042A5300F31C80A848
+:042A5400F34180A426
+:042A5500F36780A003
+:042A5600F38C809CE1
+:042A5700F3B28099BD
+:042A5800F3D780959B
+:042A5900F3FD809277
+:042A5A00F422808E54
+:042A5B00F448808B30
+:042A5C00F46E80870D
+:042A5D00F4938084EA
+:042A5E00F4B98080C7
+:042A5F00F4DE807DA4
+:042A6000F504807A7F
+:042A6100F52980775C
+:042A6200F54F807339
+:042A6300F574807016
+:042A6400F59A806DF2
+:042A6500F5C0806ACE
+:042A6600F5E58067AB
+:042A6700F60B806486
+:042A6800F630806163
+:042A6900F656805E3F
+:042A6A00F67C805C1A
+:042A6B00F6A18059F7
+:042A6C00F6C78056D3
+:042A6D00F6EC8053B0
+:042A6E00F71280518A
+:042A6F00F738804E66
+:042A7000F75D804C42
+:042A7100F78380491E
+:042A7200F7A88047FA
+:042A7300F7CE8044D6
+:042A7400F7F48042B1
+:042A7500F819803F8D
+:042A7600F83F803D68
+:042A7700F864803B44
+:042A7800F88A80391F
+:042A7900F8B08036FB
+:042A7A00F8D58034D7
+:042A7B00F8FB8032B2
+:042A7C00F92180308C
+:042A7D00F946802E68
+:042A7E00F96C802C43
+:042A7F00F992802A1E
+:042A8000F9B78028FA
+:042A8100F9DD8027D4
+:042A8200FA038025AE
+:042A8300FA2880238A
+:042A8400FA4E802165
+:042A8500FA7480203F
+:042A8600FA99801E1B
+:042A8700FABF801DF5
+:042A8800FAE5801BD0
+:042A8900FB0A801AAA
+:042A8A00FB30801885
+:042A8B00FB5680175F
+:042A8C00FB7B80153B
+:042A8D00FBA1801415
+:042A8E00FBC78013EF
+:042A8F00FBEC8012CA
+:042A9000FC128010A4
+:042A9100FC38800F7E
+:042A9200FC5D800E59
+:042A9300FC83800D33
+:042A9400FCA9800C0D
+:042A9500FCCE800BE8
+:042A9600FCF4800AC2
+:042A9700FD1A80099B
+:042A9800FD3F800975
+:042A9900FD6580084F
+:042A9A00FD8B800729
+:042A9B00FDB0800604
+:042A9C00FDD68006DD
+:042A9D00FDFC8005B7
+:042A9E00FE22800490
+:042A9F00FE4780046A
+:042AA000FE6D800344
+:042AA100FE9380031D
+:042AA200FEB88003F7
+:042AA300FEDE8002D1
+:042AA400FF048002A9
+:042AA500FF29800283
+:042AA600FF4F80015D
+:042AA700FF75800136
+:042AA800FF9A800110
+:042AA900FFC08001E9
+:042AAA00FFE68001C2
+:042AAB00000C80019A
+:042AAC000031800174
+:042AAD00005780014D
+:042AAE00007D800126
+:042AAF0000A2800100
+:042AB00000C88002D8
+:042AB10000EE8002B1
+:042AB200011380028A
+:042AB3000139800362
+:042AB400015F80033B
+:042AB5000185800314
+:042AB60001AA8004ED
+:042AB70001D08004C6
+:042AB80001F680059E
+:042AB900021B800577
+:042ABA00024180064F
+:042ABB000267800727
+:042ABC00028C800800
+:042ABD0002B28008D9
+:042ABE0002D88009B1
+:042ABF0002FD800A8A
+:042AC0000323800B61
+:042AC1000349800C39
+:042AC200036E800D12
+:042AC3000394800EEA
+:042AC40003BA800FC2
+:042AC50003E080109A
+:042AC6000405801172
+:042AC700042B80124A
+:042AC8000451801421
+:042AC90004768015FA
+:042ACA00049C8016D2
+:042ACB0004C28018A9
+:042ACC0004E7801982
+:042ACD00050D801B58
+:042ACE000533801C30
+:042ACF000558801E08
+:042AD000057E801FE0
+:042AD10005A48021B7
+:042AD20005C980238F
+:042AD30005EF802467
+:042AD400061580263D
+:042AD500063A802815
+:042AD6000660802AEC
+:042AD7000686802CC3
+:042AD80006AB802E9B
+:042AD90006D1803072
+:042ADA0006F680324A
+:042ADB00071C803420
+:042ADC0007428036F7
+:042ADD0007678038CF
+:042ADE00078D803AA6
+:042ADF0007B3803C7D
+:042AE00007D8803F54
+:042AE10007FE80412B
+:042AE2000823804302
+:042AE30008498046D8
+:042AE400086F8048AF
+:042AE5000894804B86
+:042AE60008BA804D5D
+:042AE70008E0805033
+:042AE8000905805309
+:042AE900092B8055E0
+:042AEA0009508058B7
+:042AEB000976805B8D
+:042AEC00099C805E63
+:042AED0009C180603B
+:042AEE0009E7806311
+:042AEF000A0C8066E7
+:042AF0000A328069BD
+:042AF1000A57806C94
+:042AF2000A7D806F6A
+:042AF3000AA3807240
+:042AF4000AC8807616
+:042AF5000AEE8079EC
+:042AF6000B13807CC2
+:042AF7000B39807F98
+:042AF8000B5E80836E
+:042AF9000B84808644
+:042AFA000BA980891B
+:042AFB000BCF808DF0
+:042AFC000BF58090C6
+:042AFD000C1A80949B
+:042AFE000C40809771
+:042AFF000C65809B47
+:042B00000C8B809F1B
+:042B01000CB080A2F2
+:042B02000CD680A6C7
+:042B03000CFB80AA9D
+:042B04000D2180AE71
+:042B05000D4680B247
+:042B06000D6C80B61C
+:042B07000D9180BAF2
+:042B08000DB780BEC7
+:042B09000DDC80C29D
+:042B0A000E0280C671
+:042B0B000E2780CA47
+:042B0C000E4C80CE1D
+:042B0D000E7280D2F2
+:042B0E000E9780D7C7
+:042B0F000EBD80DB9C
+:042B10000EE280DF72
+:042B11000F0880E445
+:042B12000F2D80E81B
+:042B13000F5380EDEF
+:042B14000F7880F1C5
+:042B15000F9D80F69A
+:042B16000FC380FB6E
+:042B17000FE880FF44
+:042B1800100E810416
+:042B190010338109EB
+:042B1A001058810DC1
+:042B1B00107E811295
+:042B1C0010A381176A
+:042B1D0010C9811C3E
+:042B1E0010EE812113
+:042B1F0011138126E7
+:042B20001139812BBB
+:042B2100115E813090
+:042B22001183813565
+:042B230011A9813A39
+:042B240011CE81400D
+:042B250011F38145E2
+:042B26001219814AB5
+:042B2700123E815089
+:042B2800126381555E
+:042B29001289815A32
+:042B2A0012AE816006
+:042B2B0012D38165DB
+:042B2C0012F8816BAF
+:042B2D00131E817181
+:042B2E001343817656
+:042B2F001368817C2A
+:042B3000138E8182FD
+:042B310013B38188D1
+:042B320013D8818DA6
+:042B330013FD81937A
+:042B3400142381994C
+:042B35001448819F20
+:042B3600146D81A5F4
+:042B3700149281ABC8
+:042B380014B781B19C
+:042B390014DD81B76F
+:042B3A00150281BD42
+:042B3B00152781C415
+:042B3C00154C81CAE9
+:042B3D00157181D0BD
+:042B3E00159681D790
+:042B3F0015BC81DD63
+:042B400015E181E337
+:042B4100160681EA09
+:042B4200162B81F0DD
+:042B4300165081F7B0
+:042B4400167581FE83
+:042B4500169A820456
+:042B460016BF820B29
+:042B470016E58212FB
+:042B4800170A8218CE
+:042B4900172F821FA1
+:042B4A001754822674
+:042B4B001779822D47
+:042B4C00179E82341A
+:042B4D0017C3823BED
+:042B4E0017E88242C0
+:042B4F00180D824992
+:042B50001832825065
+:042B51001857825738
+:042B5200187C825E0B
+:042B530018A18266DD
+:042B540018C6826DB0
+:042B550018EB827483
+:042B56001910827C54
+:042B57001935828327
+:042B5800195A828AFA
+:042B5900197F8292CC
+:042B5A0019A482999F
+:042B5B0019C982A171
+:042B5C0019EE82A943
+:042B5D001A1382B015
+:042B5E001A3782B8E8
+:042B5F001A5C82C0BA
+:042B60001A8182C78D
+:042B61001AA682CF5F
+:042B62001ACB82D731
+:042B63001AF082DF03
+:042B64001B1582E7D4
+:042B65001B3982EFA7
+:042B66001B5E82F779
+:042B67001B8382FF4B
+:042B68001BA883071C
+:042B69001BCD830FEE
+:042B6A001BF28318BF
+:042B6B001C16832091
+:042B6C001C3B832863
+:042B6D001C60833134
+:042B6E001C85833906
+:042B6F001CA98341D9
+:042B70001CCE834AAA
+:042B71001CF383527C
+:042B72001D18835B4C
+:042B73001D3C83631F
+:042B74001D61836CF0
+:042B75001D868375C1
+:042B76001DAA837D94
+:042B77001DCF838665
+:042B78001DF4838F36
+:042B79001E18839807
+:042B7A001E3D83A1D8
+:042B7B001E6283AAA9
+:042B7C001E8683B37B
+:042B7D001EAB83BC4C
+:042B7E001ECF83C51E
+:042B7F001EF483CEEF
+:042B80001F1883D7C0
+:042B81001F3D83E091
+:042B82001F6283E962
+:042B83001F8683F333
+:042B84001FAB83FC04
+:042B85001FCF8405D5
+:042B86001FF4840FA5
+:042B87002018841876
+:042B8800203D842246
+:042B89002061842B18
+:042B8A0020868435E8
+:042B8B0020AA843EBA
+:042B8C0020CF84488A
+:042B8D0020F384525B
+:042B8E002117845B2C
+:042B8F00213C8465FC
+:042B90002160846FCD
+:042B9100218584799D
+:042B920021A984836E
+:042B930021CD848D3F
+:042B940021F284970F
+:042B9500221684A1DF
+:042B9600223A84ABB0
+:042B9700225F84B580
+:042B9800228384BF51
+:042B990022A784C922
+:042B9A0022CC84D3F2
+:042B9B0022F084DEC2
+:042B9C00231484E892
+:042B9D00233884F263
+:042B9E00235D84FD32
+:042B9F002381850702
+:042BA00023A58512D2
+:042BA10023C9851CA3
+:042BA20023ED852773
+:042BA3002412853142
+:042BA4002436853C12
+:042BA500245A8547E2
+:042BA600247E8551B3
+:042BA70024A2855C83
+:042BA80024C6856753
+:042BA90024EA857223
+:042BAA00250E857DF2
+:042BAB0025328588C2
+:042BAC002557859391
+:042BAD00257B859E61
+:042BAE00259F85A931
+:042BAF0025C385B401
+:042BB00025E785BFD1
+:042BB100260B85CAA0
+:042BB200262F85D570
+:042BB300265385E13F
+:042BB400267785EC0F
+:042BB500269B85F7DF
+:042BB60026BE8603AE
+:042BB70026E2860E7E
+:042BB800270686194D
+:042BB900272A86251C
+:042BBA00274E8631EB
+:042BBB002772863CBB
+:042BBC00279686488A
+:042BBD0027BA86535A
+:042BBE0027DD865F2A
+:042BBF002801866BF8
+:042BC00028258677C7
+:042BC1002849868396
+:042BC200286D868E66
+:042BC3002890869A36
+:042BC40028B486A605
+:042BC50028D886B2D4
+:042BC60028FC86BEA3
+:042BC700291F86CB71
+:042BC800294386D740
+:042BC900296786E30F
+:042BCA00298A86EFDF
+:042BCB0029AE86FBAE
+:042BCC0029D287087B
+:042BCD0029F587144B
+:042BCE002A19872019
+:042BCF002A3C872DE8
+:042BD0002A608739B7
+:042BD1002A84874685
+:042BD2002AA7875255
+:042BD3002ACB875F23
+:042BD4002AEE876BF3
+:042BD5002B128778C0
+:042BD6002B3587858F
+:042BD7002B5987925D
+:042BD8002B7C879E2D
+:042BD9002BA087ABFB
+:042BDA002BC387B8CA
+:042BDB002BE687C599
+:042BDC002C0A87D266
+:042BDD002C2D87DF35
+:042BDE002C5187EC03
+:042BDF002C7487F9D2
+:042BE0002C978806A0
+:042BE1002CBB88136E
+:042BE2002CDE88203D
+:042BE3002D01882E0A
+:042BE4002D25883BD8
+:042BE5002D488848A7
+:042BE6002D6B885675
+:042BE7002D8E886344
+:042BE8002DB1887112
+:042BE9002DD5887EE0
+:042BEA002DF8888CAE
+:042BEB002E1B88997C
+:042BEC002E3E88A74A
+:042BED002E6188B419
+:042BEE002E8488C2E7
+:042BEF002EA888D0B4
+:042BF0002ECB88DD83
+:042BF1002EEE88EB51
+:042BF2002F1188F91E
+:042BF3002F348907EB
+:042BF4002F578915B9
+:042BF5002F7A892387
+:042BF6002F9D893155
+:042BF7002FC0893F23
+:042BF8002FE3894DF1
+:042BF9003006895BBE
+:042BFA00302989698C
+:042BFB00304C897859
+:042BFC00306F898627
+:042BFD0030918994F6
+:042BFE0030B489A2C4
+:042BFF0030D789B191
+:042C000030FA89BF5E
+:042C0100311D89CE2A
+:042C0200314089DCF8
+:042C0300316289EBC6
+:042C0400318589F994
+:042C050031A88A0860
+:042C060031CB8A162E
+:042C070031ED8A25FC
+:042C080032108A34C8
+:042C090032338A4395
+:042C0A0032558A5164
+:042C0B0032788A6031
+:042C0C00329B8A6FFE
+:042C0D0032BD8A7ECC
+:042C0E0032E08A8D99
+:042C0F0033038A9C65
+:042C100033258AAB33
+:042C110033488ABA00
+:042C1200336A8AC9CE
+:042C1300338D8AD89B
+:042C140033AF8AE868
+:042C150033D28AF735
+:042C160033F48B0602
+:042C170034178B16CD
+:042C180034398B259B
+:042C1900345B8B3469
+:042C1A00347E8B4435
+:042C1B0034A08B5303
+:042C1C0034C28B63D0
+:042C1D0034E58B729D
+:042C1E0035078B8269
+:042C1F0035298B9236
+:042C2000354C8BA103
+:042C2100356E8BB1D0
+:042C220035908BC19D
+:042C230035B28BD06B
+:042C240035D58BE037
+:042C250035F78BF004
+:042C260036198C00CF
+:042C2700363B8C109C
+:042C2800365D8C2069
+:042C2900367F8C3036
+:042C2A0036A28C4002
+:042C2B0036C48C50CF
+:042C2C0036E68C609C
+:042C2D0037088C7167
+:042C2E00372A8C8134
+:042C2F00374C8C9101
+:042C3000376E8CA1CE
+:042C310037908CB29A
+:042C320037B28CC267
+:042C330037D48CD333
+:042C340037F68CE300
+:042C350038178CF4CC
+:042C360038398D0498
+:042C3700385B8D1564
+:042C3800387D8D2531
+:042C3900389F8D36FD
+:042C3A0038C18D47C9
+:042C3B0038E28D5797
+:042C3C0039048D6862
+:042C3D0039268D792E
+:042C3E0039488D8AFA
+:042C3F0039698D9BC7
+:042C4000398B8DAC93
+:042C410039AD8DBD5F
+:042C420039CE8DCE2C
+:042C430039F08DDFF8
+:042C44003A118DF0C4
+:042C45003A338E018F
+:042C46003A558E125B
+:042C47003A768E2328
+:042C48003A988E34F4
+:042C49003AB98E46C0
+:042C4A003ADB8E578C
+:042C4B003AFC8E6859
+:042C4C003B1E8E7A23
+:042C4D003B3F8E8BF0
+:042C4E003B608E9DBC
+:042C4F003B828EAE88
+:042C50003BA38EC054
+:042C51003BC58ED120
+:042C52003BE68EE3EC
+:042C53003C078EF5B7
+:042C54003C288F0683
+:042C55003C4A8F184E
+:042C56003C6B8F2A1A
+:042C57003C8C8F3CE6
+:042C58003CAD8F4DB3
+:042C59003CCF8F5F7E
+:042C5A003CF08F714A
+:042C5B003D118F8315
+:042C5C003D328F95E1
+:042C5D003D538FA7AD
+:042C5E003D748FB979
+:042C5F003D958FCC44
+:042C60003DB68FDE10
+:042C61003DD78FF0DC
+:042C62003DF89002A7
+:042C63003E19901472
+:042C64003E3A90273D
+:042C65003E5B903909
+:042C66003E7C904BD5
+:042C67003E9D905EA0
+:042C68003EBE90706C
+:042C69003EDF908337
+:042C6A003EFF909504
+:042C6B003F2090A8CE
+:042C6C003F4190BB99
+:042C6D003F6290CD65
+:042C6E003F8390E030
+:042C6F003FA390F3FC
+:042C70003FC49105C7
+:042C71003FE5911892
+:042C72004006912B5C
+:042C73004027913E27
+:042C740040479151F3
+:042C750040689164BE
+:042C76004089917789
+:042C770040A9918A55
+:042C780040CA919D20
+:042C790040EA91B0EC
+:042C7A00410B91C3B6
+:042C7B00412B91D682
+:042C7C00414C91E94D
+:042C7D00416C91FD18
+:042C7E00418C9210E3
+:042C7F0041AD9223AE
+:042C800041CD923779
+:042C810041ED924A45
+:042C8200420E925D0F
+:042C8300422E9271DA
+:042C8400424E9284A6
+:042C8500426E929871
+:042C8600428F92AC3B
+:042C870042AF92BF07
+:042C880042CF92D3D2
+:042C890042EF92E79D
+:042C8A00430F92FA68
+:042C8B00432F930E32
+:042C8C00434F9322FD
+:042C8D0043709336C7
+:042C8E004390934A92
+:042C8F0043B0935E5D
+:042C900043D0937129
+:042C910043F09385F4
+:042C9200440F939ABE
+:042C9300442F93AE89
+:042C9400444F93C254
+:042C9500446F93D61F
+:042C9600448F93EAEA
+:042C970044AF93FEB5
+:042C980044CF94127F
+:042C990044EE94274A
+:042C9A00450E943B14
+:042C9B00452E944FDF
+:042C9C00454E9464A9
+:042C9D00456D947875
+:042C9E00458D948D3F
+:042C9F0045AD94A10A
+:042CA00045CC94B6D5
+:042CA10045EC94CAA0
+:042CA200460B94DF6A
+:042CA300462B94F434
+:042CA400464A9508FF
+:042CA500466A951DC9
+:042CA6004689953294
+:042CA70046A995475E
+:042CA80046C8955B2A
+:042CA90046E89570F4
+:042CAA0047079585BE
+:042CAB004726959A89
+:042CAC00474695AF53
+:042CAD00476595C41E
+:042CAE00478495D9E9
+:042CAF0047A395EEB4
+:042CB00047C396037D
+:042CB10047E2961848
+:042CB2004801962E11
+:042CB30048209643DC
+:042CB400483F9658A7
+:042CB500485E966D72
+:042CB600487D96833C
+:042CB700489D969806
+:042CB80048BC96AED0
+:042CB90048DB96C39B
+:042CBA0048FA96D866
+:042CBB00491996EE2F
+:042CBC0049379703FA
+:042CBD0049569719C4
+:042CBE004975972F8E
+:042CBF004994974459
+:042CC00049B3975A23
+:042CC10049D29770ED
+:042CC20049F19785B8
+:042CC3004A0F979B82
+:042CC4004A2E97B14C
+:042CC5004A4D97C716
+:042CC6004A6B97DDE1
+:042CC7004A8A97F3AB
+:042CC8004AA9980974
+:042CC9004AC7981F3F
+:042CCA004AE6983509
+:042CCB004B04984BD3
+:042CCC004B2398619D
+:042CCD004B41987768
+:042CCE004B60988D32
+:042CCF004B7E98A4FC
+:042CD0004B9D98BAC6
+:042CD1004BBB98D091
+:042CD2004BDA98E65B
+:042CD3004BF898FD25
+:042CD4004C169913EE
+:042CD5004C35992AB7
+:042CD6004C53994082
+:042CD7004C7199574C
+:042CD8004C8F996D17
+:042CD9004CAE9984E0
+:042CDA004CCC999AAB
+:042CDB004CEA99B175
+:042CDC004D0899C83E
+:042CDD004D2699DE09
+:042CDE004D4499F5D3
+:042CDF004D629A0C9C
+:042CE0004D809A2366
+:042CE1004D9E9A3931
+:042CE2004DBC9A50FB
+:042CE3004DDA9A67C5
+:042CE4004DF89A7E8F
+:042CE5004E169A9558
+:042CE6004E349AAC22
+:042CE7004E529AC3EC
+:042CE8004E6F9ADAB7
+:042CE9004E8D9AF181
+:042CEA004EAB9B0949
+:042CEB004EC99B2013
+:042CEC004EE69B37DE
+:042CED004F049B4EA7
+:042CEE004F229B6670
+:042CEF004F3F9B7D3B
+:042CF0004F5D9B9405
+:042CF1004F7A9BACCF
+:042CF2004F989BC399
+:042CF3004FB59BDA64
+:042CF4004FD39BF22D
+:042CF5004FF09C0AF6
+:042CF600500E9C21BF
+:042CF700502B9C3989
+:042CF80050499C5053
+:042CF90050669C681D
+:042CFA0050839C80E7
+:042CFB0050A09C97B2
+:042CFC0050BE9CAF7B
+:042CFD0050DB9CC745
+:042CFE0050F89CDF0F
+:042CFF0051159CF7D8
+:042D000051339D0F9F
+:042D010051509D266A
+:042D0200516D9D3E34
+:042D0300518A9D56FE
+:042D040051A79D6EC8
+:042D050051C49D8791
+:042D060051E19D9F5B
+:042D070051FE9DB725
+:042D0800521B9DCFEE
+:042D090052389DE7B8
+:042D0A0052559DFF82
+:042D0B0052719E184B
+:042D0C00528E9E3015
+:042D0D0052AB9E48DF
+:042D0E0052C89E61A8
+:042D0F0052E59E7972
+:042D100053019E913C
+:042D1100531E9EAA05
+:042D1200533B9EC2CF
+:042D130053579EDB99
+:042D140053749EF363
+:042D150053909F0C2C
+:042D160053AD9F25F5
+:042D170053C99F3DC0
+:042D180053E69F5689
+:042D190054029F6F52
+:042D1A00541F9F881B
+:042D1B00543B9FA0E6
+:042D1C0054589FB9AF
+:042D1D0054749FD279
+:042D1E0054909FEB43
+:042D1F0054ACA0040C
+:042D200054C9A01DD5
+:042D210054E5A0369F
+:042D22005501A04F68
+:042D2300551DA06832
+:042D24005539A081FC
+:042D25005556A09AC5
+:042D26005572A0B38F
+:042D2700558EA0CC59
+:042D280055AAA0E622
+:042D290055C6A0FFEC
+:042D2A0055E2A118B5
+:042D2B0055FEA1317F
+:042D2C00561AA14B47
+:042D2D005635A16412
+:042D2E005651A17EDB
+:042D2F00566DA197A5
+:042D30005689A1B06F
+:042D310056A5A1CA38
+:042D320056C0A1E402
+:042D330056DCA1FDCC
+:042D340056F8A21794
+:042D35005713A2305E
+:042D3600572FA24A27
+:042D3700574BA264F0
+:042D38005766A27DBB
+:042D39005782A29784
+:042D3A00579DA2B14E
+:042D3B0057B9A2CB17
+:042D3C0057D4A2E5E1
+:042D3D0057EFA2FEAC
+:042D3E00580BA31873
+:042D3F005826A3323D
+:042D40005841A34C07
+:042D4100585DA366D0
+:042D42005878A3809A
+:042D43005893A39A64
+:042D440058AEA3B52D
+:042D450058CAA3CFF6
+:042D460058E5A3E9C0
+:042D47005900A40388
+:042D4800591BA41D52
+:042D49005936A4381B
+:042D4A005951A452E5
+:042D4B00596CA46CAF
+:042D4C005987A48679
+:042D4D0059A2A4A142
+:042D4E0059BDA4BB0C
+:042D4F0059D8A4D6D5
+:042D500059F2A4F0A0
+:042D51005A0DA50B67
+:042D52005A28A52531
+:042D53005A43A540FA
+:042D54005A5DA55AC5
+:042D55005A78A5758E
+:042D56005A93A59057
+:042D57005AADA5AA22
+:042D58005AC8A5C5EB
+:042D59005AE3A5E0B4
+:042D5A005AFDA5FB7E
+:042D5B005B18A61546
+:042D5C005B32A63010
+:042D5D005B4DA64BD9
+:042D5E005B67A666A3
+:042D5F005B81A6816D
+:042D60005B9CA69C36
+:042D61005BB6A6B700
+:042D62005BD0A6D2CA
+:042D63005BEAA6ED94
+:042D64005C05A7085B
+:042D65005C1FA72325
+:042D66005C39A73EEF
+:042D67005C53A75AB8
+:042D68005C6DA77582
+:042D69005C87A7904C
+:042D6A005CA1A7AB16
+:042D6B005CBBA7C7DF
+:042D6C005CD5A7E2A9
+:042D6D005CEFA7FD73
+:042D6E005D09A8193A
+:042D6F005D23A83404
+:042D70005D3DA850CD
+:042D71005D57A86B97
+:042D72005D70A88761
+:042D73005D8AA8A22B
+:042D74005DA4A8BEF4
+:042D75005DBEA8D9BE
+:042D76005DD7A8F588
+:042D77005DF1A91051
+:042D78005E0AA92C1A
+:042D79005E24A948E3
+:042D7A005E3EA964AC
+:042D7B005E57A97F77
+:042D7C005E70A99B41
+:042D7D005E8AA9B70A
+:042D7E005EA3A9D3D4
+:042D7F005EBDA9EF9D
+:042D80005ED6AA0B66
+:042D81005EEFAA2730
+:042D82005F09AA43F8
+:042D83005F22AA5FC2
+:042D84005F3BAA7B8C
+:042D85005F54AA9756
+:042D86005F6DAAB320
+:042D87005F86AACFEA
+:042D88005F9FAAEBB4
+:042D89005FB9AB077C
+:042D8A005FD2AB2346
+:042D8B005FEBAB400F
+:042D8C006003AB5CD9
+:042D8D00601CAB78A3
+:042D8E006035AB956C
+:042D8F00604EABB136
+:042D90006067ABCD00
+:042D91006080ABEAC9
+:042D92006098AC0693
+:042D930060B1AC235C
+:042D940060CAAC3F26
+:042D950060E2AC5CF0
+:042D960060FBAC78BA
+:042D97006114AC9582
+:042D9800612CACB14D
+:042D99006145ACCE16
+:042D9A00615DACEBE0
+:042D9B006176AD07A9
+:042D9C00618EAD2473
+:042D9D0061A7AD413C
+:042D9E0061BFAD5E06
+:042D9F0061D7AD7AD1
+:042DA00061EFAD979B
+:042DA1006208ADB463
+:042DA2006220ADD12D
+:042DA3006238ADEEF7
+:042DA4006250AE0BC0
+:042DA5006268AE288A
+:042DA6006280AE4554
+:042DA7006299AE621D
+:042DA80062B1AE7FE7
+:042DA90062C9AE9CB1
+:042DAA0062E1AEB97B
+:042DAB0062F8AED646
+:042DAC006310AEF30F
+:042DAD006328AF11D7
+:042DAE006340AF2EA1
+:042DAF006358AF4B6B
+:042DB0006370AF6835
+:042DB1006387AF86FF
+:042DB200639FAFA3C9
+:042DB30063B7AFC093
+:042DB40063CEAFDE5D
+:042DB50063E6AFFB27
+:042DB60063FDB018F1
+:042DB7006415B036B9
+:042DB800642CB05384
+:042DB9006444B0714D
+:042DBA00645BB08E18
+:042DBB006473B0ACE1
+:042DBC00648AB0CAAB
+:042DBD0064A1B0E776
+:042DBE0064B9B1053E
+:042DBF0064D0B12308
+:042DC00064E7B140D3
+:042DC10064FEB15E9D
+:042DC2006515B17C66
+:042DC300652CB19A30
+:042DC4006543B1B7FB
+:042DC500655AB1D5C5
+:042DC6006571B1F38F
+:042DC7006588B21158
+:042DC800659FB22F22
+:042DC90065B6B24DEC
+:042DCA0065CDB26BB6
+:042DCB0065E4B28980
+:042DCC0065FBB2A74A
+:042DCD006612B2C513
+:042DCE006628B2E3DE
+:042DCF00663FB301A7
+:042DD0006656B31F71
+:042DD100666CB33D3C
+:042DD2006683B35C05
+:042DD3006699B37AD0
+:042DD40066B0B3989A
+:042DD50066C6B3B665
+:042DD60066DDB3D52E
+:042DD70066F3B3F3F9
+:042DD800670AB411C1
+:042DD9006720B4308B
+:042DDA006736B44E56
+:042DDB00674DB46C20
+:042DDC006763B48BEA
+:042DDD006779B4A9B5
+:042DDE00678FB4C87F
+:042DDF0067A5B4E64A
+:042DE00067BBB50513
+:042DE10067D2B523DD
+:042DE20067E8B542A7
+:042DE30067FEB56171
+:042DE4006813B57F3C
+:042DE5006829B59E06
+:042DE600683FB5BDD0
+:042DE7006855B5DB9B
+:042DE800686BB5FA65
+:042DE9006881B6192E
+:042DEA006897B638F8
+:042DEB0068ACB656C4
+:042DEC0068C2B6758E
+:042DED0068D8B69458
+:042DEE0068EDB6B323
+:042DEF006903B6D2EC
+:042DF0006918B6F1B7
+:042DF100692EB71080
+:042DF2006943B72F4B
+:042DF3006959B74E15
+:042DF400696EB76DE0
+:042DF5006983B78CAB
+:042DF6006999B7AB75
+:042DF70069AEB7CA40
+:042DF80069C3B7E90B
+:042DF90069D8B808D5
+:042DFA0069EEB8289E
+:042DFB006A03B84768
+:042DFC006A18B86633
+:042DFD006A2DB885FE
+:042DFE006A42B8A5C8
+:042DFF006A57B8C493
+:042E00006A6CB8E35D
+:042E01006A81B90326
+:042E02006A96B922F1
+:042E03006AABB941BC
+:042E04006ABFB96187
+:042E05006AD4B98052
+:042E06006AE9B9A01C
+:042E07006AFEB9BFE7
+:042E08006B12B9DFB1
+:042E09006B27B9FE7C
+:042E0A006B3CBA1E45
+:042E0B006B50BA3D11
+:042E0C006B65BA5DDB
+:042E0D006B79BA7DA6
+:042E0E006B8EBA9C71
+:042E0F006BA2BABC3C
+:042E10006BB6BADC07
+:042E11006BCBBAFBD2
+:042E12006BDFBB1B9C
+:042E13006BF3BB3B67
+:042E14006C08BB5B30
+:042E15006C1CBB7BFB
+:042E16006C30BB9BC6
+:042E17006C44BBBA92
+:042E18006C58BBDA5D
+:042E19006C6CBBFA28
+:042E1A006C80BC1AF2
+:042E1B006C94BC3ABD
+:042E1C006CA8BC5A88
+:042E1D006CBCBC7A53
+:042E1E006CD0BC9A1E
+:042E1F006CE4BCBAE9
+:042E20006CF8BCDAB4
+:042E21006D0BBCFA7F
+:042E22006D1FBD1B48
+:042E23006D33BD3B13
+:042E24006D46BD5BDF
+:042E25006D5ABD7BAA
+:042E26006D6DBD9B76
+:042E27006D81BDBC40
+:042E28006D95BDDC0B
+:042E29006DA8BDFCD7
+:042E2A006DBBBE1CA2
+:042E2B006DCFBE3D6C
+:042E2C006DE2BE5D38
+:042E2D006DF5BE7D04
+:042E2E006E09BE9ECD
+:042E2F006E1CBEBE99
+:042E30006E2FBEDF64
+:042E31006E42BEFF30
+:042E32006E55BF20FA
+:042E33006E69BF40C5
+:042E34006E7CBF6190
+:042E35006E8FBF815C
+:042E36006EA2BFA227
+:042E37006EB5BFC2F3
+:042E38006EC7BFE3BF
+:042E39006EDAC00588
+:042E3A006EEDC02554
+:042E3B006F00C0461E
+:042E3C006F13C067E9
+:042E3D006F25C087B6
+:042E3E006F38C0A881
+:042E3F006F4BC0C94C
+:042E40006F5DC0EA18
+:042E41006F70C10BE2
+:042E42006F82C12BAF
+:042E43006F95C14C7A
+:042E44006FA7C16D46
+:042E45006FBAC18E11
+:042E46006FCCC1AFDD
+:042E47006FDEC1D0A9
+:042E48006FF1C1F174
+:042E49007003C2123E
+:042E4A007015C2330A
+:042E4B007027C254D6
+:042E4C00703AC275A1
+:042E4D00704CC2966D
+:042E4E00705EC2B739
+:042E4F007070C2D805
+:042E50007082C2F9D1
+:042E51007094C31A9C
+:042E520070A6C33C67
+:042E530070B7C35D34
+:042E540070C9C37E00
+:042E550070DBC39FCC
+:042E560070EDC3C098
+:042E570070FFC3E263
+:042E58007110C4032E
+:042E59007122C424FA
+:042E5A007134C446C5
+:042E5B007145C46792
+:042E5C007157C4885E
+:042E5D007168C4AA2A
+:042E5E00717AC4CBF6
+:042E5F00718BC4EDC2
+:042E6000719CC50E8E
+:042E610071AEC52F5A
+:042E620071BFC55126
+:042E630071D0C572F3
+:042E640071E2C594BE
+:042E650071F3C5B68A
+:042E66007204C5D756
+:042E67007215C5F922
+:042E68007226C61AEE
+:042E69007237C63CBA
+:042E6A007248C65E86
+:042E6B007259C67F53
+:042E6C00726AC6A11F
+:042E6D00727BC6C3EB
+:042E6E00728CC6E4B8
+:042E6F00729CC70684
+:042E700072ADC72850
+:042E710072BEC74A1C
+:042E720072CFC76BE9
+:042E730072DFC78DB6
+:042E740072F0C7AF82
+:042E75007300C7D14E
+:042E76007311C7F31A
+:042E77007321C815E6
+:042E78007332C837B2
+:042E79007342C8597F
+:042E7A007353C87B4B
+:042E7B007363C89D18
+:042E7C007373C8BFE5
+:042E7D007384C8E1B1
+:042E7E007394C9037D
+:042E7F0073A4C9254A
+:042E800073B4C94717
+:042E810073C4C969E4
+:042E820073D4C98BB1
+:042E830073E4C9AD7E
+:042E840073F4C9CF4B
+:042E85007404C9F117
+:042E86007414CA14E2
+:042E87007424CA36AF
+:042E88007434CA587C
+:042E89007444CA7A49
+:042E8A007453CA9C17
+:042E8B007463CABFE3
+:042E8C007473CAE1B0
+:042E8D007482CB037D
+:042E8E007492CB2649
+:042E8F0074A1CB4817
+:042E900074B1CB6AE4
+:042E910074C0CB8DB1
+:042E920074D0CBAF7E
+:042E930074DFCBD14C
+:042E940074EFCBF418
+:042E950074FECC16E5
+:042E9600750DCC39B1
+:042E9700751CCC5B7F
+:042E9800752CCC7E4B
+:042E9900753BCCA019
+:042E9A00754ACCC3E6
+:042E9B007559CCE5B4
+:042E9C007568CD0880
+:042E9D007577CD2B4D
+:042E9E007586CD4D1B
+:042E9F007595CD70E8
+:042EA00075A4CD92B6
+:042EA10075B3CDB583
+:042EA20075C1CDD851
+:042EA30075D0CDFA1F
+:042EA40075DFCE1DEB
+:042EA50075EDCE40B9
+:042EA60075FCCE6386
+:042EA700760BCE8553
+:042EA8007619CEA821
+:042EA9007628CECBEE
+:042EAA007636CEEEBC
+:042EAB007645CF1188
+:042EAC007653CF3357
+:042EAD007661CF5625
+:042EAE007670CF79F2
+:042EAF00767ECF9CC0
+:042EB000768CCFBF8E
+:042EB100769ACFE25C
+:042EB20076A9D00528
+:042EB30076B7D028F6
+:042EB40076C5D04BC4
+:042EB50076D3D06E92
+:042EB60076E1D09160
+:042EB70076EFD0B42E
+:042EB80076FDD0D7FC
+:042EB900770AD0FACA
+:042EBA007718D11D97
+:042EBB007726D14065
+:042EBC007734D16333
+:042EBD007742D18601
+:042EBE00774FD1A9D0
+:042EBF00775DD1CD9D
+:042EC000776AD1F06C
+:042EC1007778D21339
+:042EC2007785D23608
+:042EC3007793D259D6
+:042EC40077A0D27CA5
+:042EC50077AED2A072
+:042EC60077BBD2C341
+:042EC70077C8D2E610
+:042EC80077D6D30ADC
+:042EC90077E3D32DAB
+:042ECA0077F0D3507A
+:042ECB0077FDD37349
+:042ECC00780AD39716
+:042ECD007817D3BAE5
+:042ECE007824D3DEB3
+:042ECF007831D40181
+:042ED000783ED42450
+:042ED100784BD4481E
+:042ED2007858D46BED
+:042ED3007865D48FBB
+:042ED4007872D4B28A
+:042ED500787ED4D659
+:042ED600788BD4F928
+:042ED7007898D51DF5
+:042ED80078A4D540C5
+:042ED90078B1D56493
+:042EDA0078BDD58763
+:042EDB0078CAD5AB31
+:042EDC0078D6D5CE01
+:042EDD0078E3D5F2CF
+:042EDE0078EFD6169D
+:042EDF0078FBD6396D
+:042EE0007908D65D3A
+:042EE1007914D68109
+:042EE2007920D6A4D9
+:042EE300792CD6C8A8
+:042EE4007938D6EC77
+:042EE5007945D70F45
+:042EE6007951D73314
+:042EE700795DD757E3
+:042EE8007969D77BB2
+:042EE9007974D79E83
+:042EEA007980D7C252
+:042EEB00798CD7E621
+:042EEC007998D80AEF
+:042EED0079A4D82DBF
+:042EEE0079AFD8518F
+:042EEF0079BBD8755E
+:042EF00079C7D8992D
+:042EF10079D2D8BDFD
+:042EF20079DED8E1CC
+:042EF30079E9D9059B
+:042EF40079F5D9296A
+:042EF5007A00D94C3A
+:042EF6007A0CD97009
+:042EF7007A17D994D9
+:042EF8007A22D9B8A9
+:042EF9007A2DD9DC79
+:042EFA007A39DA0047
+:042EFB007A44DA2417
+:042EFC007A4FDA48E7
+:042EFD007A5ADA6CB7
+:042EFE007A65DA9087
+:042EFF007A70DAB457
+:042F00007A7BDAD925
+:042F01007A86DAFDF5
+:042F02007A91DB21C4
+:042F03007A9CDB4594
+:042F04007AA7DB6964
+:042F05007AB1DB8D35
+:042F06007ABCDBB105
+:042F07007AC7DBD5D5
+:042F08007AD1DBFAA5
+:042F09007ADCDC1E74
+:042F0A007AE6DC4245
+:042F0B007AF1DC6615
+:042F0C007AFBDC8AE6
+:042F0D007B06DCAFB4
+:042F0E007B10DCD385
+:042F0F007B1BDCF755
+:042F10007B25DD1B25
+:042F11007B2FDD40F5
+:042F12007B39DD64C6
+:042F13007B44DD8896
+:042F14007B4EDDAC67
+:042F15007B58DDD137
+:042F16007B62DDF508
+:042F17007B6CDE19D8
+:042F18007B76DE3EA8
+:042F19007B80DE6279
+:042F1A007B8ADE8749
+:042F1B007B93DEAB1B
+:042F1C007B9DDECFEC
+:042F1D007BA7DEF4BC
+:042F1E007BB1DF188C
+:042F1F007BBADF3D5D
+:042F20007BC4DF612E
+:042F21007BCEDF86FE
+:042F22007BD7DFAAD0
+:042F23007BE1DFCEA1
+:042F24007BEADFF372
+:042F25007BF3E01743
+:042F26007BFDE03C13
+:042F27007C06E061E3
+:042F28007C0FE085B5
+:042F29007C19E0AA85
+:042F2A007C22E0CE57
+:042F2B007C2BE0F328
+:042F2C007C34E117F9
+:042F2D007C3DE13CCA
+:042F2E007C46E1609C
+:042F2F007C4FE1856D
+:042F30007C58E1AA3E
+:042F31007C61E1CE10
+:042F32007C6AE1F3E1
+:042F33007C73E218B1
+:042F34007C7CE23C83
+:042F35007C84E26155
+:042F36007C8DE28626
+:042F37007C96E2AAF8
+:042F38007C9EE2CFCA
+:042F39007CA7E2F49B
+:042F3A007CB0E3186C
+:042F3B007CB8E33D3E
+:042F3C007CC0E36210
+:042F3D007CC9E387E1
+:042F3E007CD1E3ABB4
+:042F3F007CDAE3D085
+:042F40007CE2E3F557
+:042F41007CEAE41A28
+:042F42007CF2E43FFA
+:042F43007CFAE463CD
+:042F44007D03E4889D
+:042F45007D0BE4AD6F
+:042F46007D13E4D241
+:042F47007D1BE4F713
+:042F48007D23E51BE5
+:042F49007D2AE540B8
+:042F4A007D32E5658A
+:042F4B007D3AE58A5C
+:042F4C007D42E5AF2E
+:042F4D007D4AE5D400
+:042F4E007D51E5F9D3
+:042F4F007D59E61EA4
+:042F50007D61E64376
+:042F51007D68E66849
+:042F52007D70E68C1C
+:042F53007D77E6B1EF
+:042F54007D7FE6D6C1
+:042F55007D86E6FB94
+:042F56007D8DE72066
+:042F57007D95E74538
+:042F58007D9CE76A0B
+:042F59007DA3E78FDE
+:042F5A007DAAE7B4B1
+:042F5B007DB1E7D984
+:042F5C007DB8E7FE57
+:042F5D007DC0E82328
+:042F5E007DC7E848FB
+:042F5F007DCEE86DCE
+:042F60007DD4E893A1
+:042F61007DDBE8B874
+:042F62007DE2E8DD47
+:042F63007DE9E90219
+:042F64007DF0E927EC
+:042F65007DF6E94CC0
+:042F66007DFDE97193
+:042F67007E04E99665
+:042F68007E0AE9BB39
+:042F69007E11E9E00C
+:042F6A007E17EA06DE
+:042F6B007E1EEA2BB1
+:042F6C007E24EA5085
+:042F6D007E2BEA7558
+:042F6E007E31EA9A2C
+:042F6F007E37EABF00
+:042F70007E3DEAE4D4
+:042F71007E44EB0AA5
+:042F72007E4AEB2F79
+:042F73007E50EB544D
+:042F74007E56EB7921
+:042F75007E5CEB9EF5
+:042F76007E62EBC4C8
+:042F77007E68EBE99C
+:042F78007E6EEC0E6F
+:042F79007E74EC3343
+:042F7A007E79EC5917
+:042F7B007E7FEC7EEB
+:042F7C007E85ECA3BF
+:042F7D007E8BECC893
+:042F7E007E90ECEE67
+:042F7F007E96ED133A
+:042F80007E9BED380F
+:042F81007EA1ED5EE2
+:042F82007EA6ED83B7
+:042F83007EACEDA88B
+:042F84007EB1EDCD60
+:042F85007EB6EDF334
+:042F86007EBCEE1807
+:042F87007EC1EE3DDC
+:042F88007EC6EE63B0
+:042F89007ECBEE8885
+:042F8A007ED1EEAD59
+:042F8B007ED6EED32D
+:042F8C007EDBEEF802
+:042F8D007EE0EF1ED5
+:042F8E007EE5EF43AA
+:042F8F007EE9EF6880
+:042F90007EEEEF8E54
+:042F91007EF3EFB329
+:042F92007EF8EFD8FE
+:042F93007EFDEFFED2
+:042F94007F01F023A6
+:042F95007F06F0497A
+:042F96007F0BF06E4F
+:042F97007F0FF09325
+:042F98007F14F0B9F9
+:042F99007F18F0DECF
+:042F9A007F1DF104A2
+:042F9B007F21F12978
+:042F9C007F25F14F4D
+:042F9D007F2AF17422
+:042F9E007F2EF19AF7
+:042F9F007F32F1BFCD
+:042FA0007F36F1E4A3
+:042FA1007F3AF20A77
+:042FA2007F3FF22F4C
+:042FA3007F43F25521
+:042FA4007F47F27AF7
+:042FA5007F4BF2A0CC
+:042FA6007F4FF2C5A2
+:042FA7007F52F2EB78
+:042FA8007F56F3104D
+:042FA9007F5AF33622
+:042FAA007F5EF35BF8
+:042FAB007F61F381CE
+:042FAC007F65F3A6A4
+:042FAD007F69F3CC79
+:042FAE007F6CF3F150
+:042FAF007F70F41724
+:042FB0007F73F43DFA
+:042FB1007F77F462D0
+:042FB2007F7AF488A6
+:042FB3007F7EF4AD7C
+:042FB4007F81F4D352
+:042FB5007F84F4F829
+:042FB6007F87F51EFE
+:042FB7007F8BF543D4
+:042FB8007F8EF569AA
+:042FB9007F91F58F80
+:042FBA007F94F5B457
+:042FBB007F97F5DA2D
+:042FBC007F9AF5FF04
+:042FBD007F9DF625D9
+:042FBE007FA0F64AB0
+:042FBF007FA2F67087
+:042FC0007FA5F6965D
+:042FC1007FA8F6BB34
+:042FC2007FABF6E10A
+:042FC3007FADF706E1
+:042FC4007FB0F72CB7
+:042FC5007FB3F7528D
+:042FC6007FB5F77765
+:042FC7007FB8F79D3B
+:042FC8007FBAF7C213
+:042FC9007FBCF7E8EA
+:042FCA007FBFF80EBF
+:042FCB007FC1F83397
+:042FCC007FC3F8596E
+:042FCD007FC6F87F44
+:042FCE007FC8F8A41C
+:042FCF007FCAF8CAF3
+:042FD0007FCCF8EFCB
+:042FD1007FCEF915A1
+:042FD2007FD0F93B78
+:042FD3007FD2F96050
+:042FD4007FD4F98627
+:042FD5007FD6F9ACFE
+:042FD6007FD8F9D1D6
+:042FD7007FDAF9F7AD
+:042FD8007FDBFA1D84
+:042FD9007FDDFA425C
+:042FDA007FDFFA6833
+:042FDB007FE0FA8E0B
+:042FDC007FE2FAB3E3
+:042FDD007FE3FAD9BB
+:042FDE007FE5FAFF92
+:042FDF007FE6FB246A
+:042FE0007FE8FB4A41
+:042FE1007FE9FB7019
+:042FE2007FEAFB95F2
+:042FE3007FECFBBBC9
+:042FE4007FEDFBE1A1
+:042FE5007FEEFC0679
+:042FE6007FEFFC2C51
+:042FE7007FF0FC5229
+:042FE8007FF2FC7701
+:042FE9007FF3FC9DD9
+:042FEA007FF4FCC3B1
+:042FEB007FF4FCE88B
+:042FEC007FF5FD0E62
+:042FED007FF6FD343A
+:042FEE007FF7FD5A12
+:042FEF007FF8FD7FEB
+:042FF0007FF8FDA5C4
+:042FF1007FF9FDCB9C
+:042FF2007FFAFDF075
+:042FF3007FFAFE164D
+:042FF4007FFBFE3C25
+:042FF5007FFBFE61FF
+:042FF6007FFCFE87D7
+:042FF7007FFCFEADB0
+:042FF8007FFDFED289
+:042FF9007FFDFEF862
+:042FFA007FFDFF1E3A
+:042FFB007FFDFF4413
+:042FFC007FFEFF69EC
+:042FFD007FFEFF8FC5
+:042FFE007FFEFFB59E
+:042FFF007FFEFFDA78
+:00000001FF
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_6.txt b/applications/lofar1/pft2/src/data/twiddle_16_6.txt
new file mode 100644
index 0000000000000000000000000000000000000000..65e8f52fa9b1df87d0f267e224617beeb06eda0e
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_6.txt
@@ -0,0 +1,12288 @@
+ 32767	     0
+ 32767	   -25
+ 32767	   -50
+ 32767	   -75
+ 32767	  -101
+ 32767	  -126
+ 32767	  -151
+ 32767	  -176
+ 32766	  -201
+ 32766	  -226
+ 32766	  -251
+ 32766	  -276
+ 32766	  -302
+ 32765	  -327
+ 32765	  -352
+ 32765	  -377
+ 32765	  -402
+ 32764	  -427
+ 32764	  -452
+ 32764	  -477
+ 32763	  -503
+ 32763	  -528
+ 32762	  -553
+ 32762	  -578
+ 32761	  -603
+ 32761	  -628
+ 32760	  -653
+ 32760	  -678
+ 32759	  -704
+ 32759	  -729
+ 32758	  -754
+ 32758	  -779
+ 32757	  -804
+ 32757	  -829
+ 32756	  -854
+ 32755	  -879
+ 32755	  -905
+ 32754	  -930
+ 32753	  -955
+ 32752	  -980
+ 32752	 -1005
+ 32751	 -1030
+ 32750	 -1055
+ 32749	 -1080
+ 32748	 -1106
+ 32747	 -1131
+ 32747	 -1156
+ 32746	 -1181
+ 32745	 -1206
+ 32744	 -1231
+ 32743	 -1256
+ 32742	 -1281
+ 32741	 -1306
+ 32740	 -1332
+ 32739	 -1357
+ 32738	 -1382
+ 32737	 -1407
+ 32736	 -1432
+ 32735	 -1457
+ 32733	 -1482
+ 32732	 -1507
+ 32731	 -1532
+ 32730	 -1558
+ 32729	 -1583
+ 32728	 -1608
+ 32726	 -1633
+ 32725	 -1658
+ 32724	 -1683
+ 32722	 -1708
+ 32721	 -1733
+ 32720	 -1758
+ 32718	 -1783
+ 32717	 -1809
+ 32716	 -1834
+ 32714	 -1859
+ 32713	 -1884
+ 32711	 -1909
+ 32710	 -1934
+ 32708	 -1959
+ 32707	 -1984
+ 32705	 -2009
+ 32704	 -2034
+ 32702	 -2059
+ 32701	 -2084
+ 32699	 -2110
+ 32697	 -2135
+ 32696	 -2160
+ 32694	 -2185
+ 32692	 -2210
+ 32691	 -2235
+ 32689	 -2260
+ 32687	 -2285
+ 32685	 -2310
+ 32684	 -2335
+ 32682	 -2360
+ 32680	 -2385
+ 32678	 -2410
+ 32676	 -2435
+ 32674	 -2461
+ 32673	 -2486
+ 32671	 -2511
+ 32669	 -2536
+ 32667	 -2561
+ 32665	 -2586
+ 32663	 -2611
+ 32661	 -2636
+ 32659	 -2661
+ 32657	 -2686
+ 32655	 -2711
+ 32653	 -2736
+ 32650	 -2761
+ 32648	 -2786
+ 32646	 -2811
+ 32644	 -2836
+ 32642	 -2861
+ 32640	 -2886
+ 32637	 -2911
+ 32635	 -2936
+ 32633	 -2961
+ 32631	 -2986
+ 32628	 -3011
+ 32626	 -3037
+ 32624	 -3062
+ 32621	 -3087
+ 32619	 -3112
+ 32617	 -3137
+ 32614	 -3162
+ 32612	 -3187
+ 32609	 -3212
+ 32607	 -3237
+ 32604	 -3262
+ 32602	 -3287
+ 32599	 -3312
+ 32597	 -3337
+ 32594	 -3362
+ 32592	 -3387
+ 32589	 -3412
+ 32586	 -3437
+ 32584	 -3462
+ 32581	 -3487
+ 32578	 -3512
+ 32576	 -3537
+ 32573	 -3562
+ 32570	 -3587
+ 32567	 -3612
+ 32565	 -3637
+ 32562	 -3661
+ 32559	 -3686
+ 32556	 -3711
+ 32553	 -3736
+ 32550	 -3761
+ 32547	 -3786
+ 32545	 -3811
+ 32542	 -3836
+ 32539	 -3861
+ 32536	 -3886
+ 32533	 -3911
+ 32530	 -3936
+ 32527	 -3961
+ 32524	 -3986
+ 32521	 -4011
+ 32517	 -4036
+ 32514	 -4061
+ 32511	 -4086
+ 32508	 -4111
+ 32505	 -4136
+ 32502	 -4161
+ 32499	 -4185
+ 32495	 -4210
+ 32492	 -4235
+ 32489	 -4260
+ 32486	 -4285
+ 32482	 -4310
+ 32479	 -4335
+ 32476	 -4360
+ 32472	 -4385
+ 32469	 -4410
+ 32466	 -4435
+ 32462	 -4459
+ 32459	 -4484
+ 32455	 -4509
+ 32452	 -4534
+ 32448	 -4559
+ 32445	 -4584
+ 32441	 -4609
+ 32438	 -4634
+ 32434	 -4659
+ 32431	 -4683
+ 32427	 -4708
+ 32423	 -4733
+ 32420	 -4758
+ 32416	 -4783
+ 32412	 -4808
+ 32409	 -4833
+ 32405	 -4857
+ 32401	 -4882
+ 32397	 -4907
+ 32394	 -4932
+ 32390	 -4957
+ 32386	 -4982
+ 32382	 -5007
+ 32378	 -5031
+ 32375	 -5056
+ 32371	 -5081
+ 32367	 -5106
+ 32363	 -5131
+ 32359	 -5156
+ 32355	 -5180
+ 32351	 -5205
+ 32347	 -5230
+ 32343	 -5255
+ 32339	 -5280
+ 32335	 -5304
+ 32331	 -5329
+ 32327	 -5354
+ 32323	 -5379
+ 32318	 -5404
+ 32314	 -5428
+ 32310	 -5453
+ 32306	 -5478
+ 32302	 -5503
+ 32297	 -5527
+ 32293	 -5552
+ 32289	 -5577
+ 32285	 -5602
+ 32280	 -5626
+ 32276	 -5651
+ 32272	 -5676
+ 32267	 -5701
+ 32263	 -5726
+ 32258	 -5750
+ 32254	 -5775
+ 32250	 -5800
+ 32245	 -5824
+ 32241	 -5849
+ 32236	 -5874
+ 32232	 -5899
+ 32227	 -5923
+ 32223	 -5948
+ 32218	 -5973
+ 32213	 -5997
+ 32209	 -6022
+ 32204	 -6047
+ 32200	 -6072
+ 32195	 -6096
+ 32190	 -6121
+ 32185	 -6146
+ 32181	 -6170
+ 32176	 -6195
+ 32171	 -6220
+ 32166	 -6244
+ 32162	 -6269
+ 32157	 -6294
+ 32152	 -6318
+ 32147	 -6343
+ 32142	 -6368
+ 32137	 -6392
+ 32132	 -6417
+ 32128	 -6442
+ 32123	 -6466
+ 32118	 -6491
+ 32113	 -6516
+ 32108	 -6540
+ 32103	 -6565
+ 32098	 -6589
+ 32093	 -6614
+ 32087	 -6639
+ 32082	 -6663
+ 32077	 -6688
+ 32072	 -6712
+ 32067	 -6737
+ 32062	 -6762
+ 32057	 -6786
+ 32051	 -6811
+ 32046	 -6835
+ 32041	 -6860
+ 32036	 -6885
+ 32030	 -6909
+ 32025	 -6934
+ 32020	 -6958
+ 32014	 -6983
+ 32009	 -7007
+ 32004	 -7032
+ 31998	 -7056
+ 31993	 -7081
+ 31987	 -7105
+ 31982	 -7130
+ 31976	 -7155
+ 31971	 -7179
+ 31965	 -7204
+ 31960	 -7228
+ 31954	 -7253
+ 31949	 -7277
+ 31943	 -7302
+ 31937	 -7326
+ 31932	 -7351
+ 31926	 -7375
+ 31921	 -7400
+ 31915	 -7424
+ 31909	 -7449
+ 31903	 -7473
+ 31898	 -7497
+ 31892	 -7522
+ 31886	 -7546
+ 31880	 -7571
+ 31875	 -7595
+ 31869	 -7620
+ 31863	 -7644
+ 31857	 -7669
+ 31851	 -7693
+ 31845	 -7717
+ 31839	 -7742
+ 31833	 -7766
+ 31827	 -7791
+ 31821	 -7815
+ 31815	 -7840
+ 31809	 -7864
+ 31803	 -7888
+ 31797	 -7913
+ 31791	 -7937
+ 31785	 -7961
+ 31779	 -7986
+ 31773	 -8010
+ 31767	 -8035
+ 31760	 -8059
+ 31754	 -8083
+ 31748	 -8108
+ 31742	 -8132
+ 31736	 -8156
+ 31729	 -8181
+ 31723	 -8205
+ 31717	 -8229
+ 31710	 -8254
+ 31704	 -8278
+ 31698	 -8302
+ 31691	 -8327
+ 31685	 -8351
+ 31679	 -8375
+ 31672	 -8400
+ 31666	 -8424
+ 31659	 -8448
+ 31653	 -8472
+ 31646	 -8497
+ 31640	 -8521
+ 31633	 -8545
+ 31627	 -8569
+ 31620	 -8594
+ 31613	 -8618
+ 31607	 -8642
+ 31600	 -8666
+ 31593	 -8691
+ 31587	 -8715
+ 31580	 -8739
+ 31573	 -8763
+ 31567	 -8788
+ 31560	 -8812
+ 31553	 -8836
+ 31546	 -8860
+ 31540	 -8884
+ 31533	 -8909
+ 31526	 -8933
+ 31519	 -8957
+ 31512	 -8981
+ 31505	 -9005
+ 31498	 -9029
+ 31491	 -9054
+ 31484	 -9078
+ 31477	 -9102
+ 31470	 -9126
+ 31463	 -9150
+ 31456	 -9174
+ 31449	 -9198
+ 31442	 -9222
+ 31435	 -9247
+ 31428	 -9271
+ 31421	 -9295
+ 31414	 -9319
+ 31407	 -9343
+ 31400	 -9367
+ 31392	 -9391
+ 31385	 -9415
+ 31378	 -9439
+ 31371	 -9463
+ 31363	 -9487
+ 31356	 -9511
+ 31349	 -9536
+ 31341	 -9560
+ 31334	 -9584
+ 31327	 -9608
+ 31319	 -9632
+ 31312	 -9656
+ 31305	 -9680
+ 31297	 -9704
+ 31290	 -9728
+ 31282	 -9752
+ 31275	 -9776
+ 31267	 -9800
+ 31260	 -9824
+ 31252	 -9848
+ 31245	 -9872
+ 31237	 -9896
+ 31229	 -9919
+ 31222	 -9943
+ 31214	 -9967
+ 31207	 -9991
+ 31199	-10015
+ 31191	-10039
+ 31183	-10063
+ 31176	-10087
+ 31168	-10111
+ 31160	-10135
+ 31152	-10159
+ 31145	-10183
+ 31137	-10206
+ 31129	-10230
+ 31121	-10254
+ 31113	-10278
+ 31105	-10302
+ 31097	-10326
+ 31090	-10350
+ 31082	-10373
+ 31074	-10397
+ 31066	-10421
+ 31058	-10445
+ 31050	-10469
+ 31042	-10493
+ 31033	-10516
+ 31025	-10540
+ 31017	-10564
+ 31009	-10588
+ 31001	-10612
+ 30993	-10635
+ 30985	-10659
+ 30977	-10683
+ 30968	-10707
+ 30960	-10730
+ 30952	-10754
+ 30944	-10778
+ 30935	-10802
+ 30927	-10825
+ 30919	-10849
+ 30910	-10873
+ 30902	-10896
+ 30894	-10920
+ 30885	-10944
+ 30877	-10968
+ 30869	-10991
+ 30860	-11015
+ 30852	-11039
+ 30843	-11062
+ 30835	-11086
+ 30826	-11109
+ 30818	-11133
+ 30809	-11157
+ 30801	-11180
+ 30792	-11204
+ 30783	-11228
+ 30775	-11251
+ 30766	-11275
+ 30757	-11298
+ 30749	-11322
+ 30740	-11346
+ 30731	-11369
+ 30723	-11393
+ 30714	-11416
+ 30705	-11440
+ 30696	-11463
+ 30688	-11487
+ 30679	-11510
+ 30670	-11534
+ 30661	-11558
+ 30652	-11581
+ 30643	-11605
+ 30634	-11628
+ 30625	-11652
+ 30616	-11675
+ 30607	-11698
+ 30598	-11722
+ 30589	-11745
+ 30580	-11769
+ 30571	-11792
+ 30562	-11816
+ 30553	-11839
+ 30544	-11863
+ 30535	-11886
+ 30526	-11909
+ 30517	-11933
+ 30508	-11956
+ 30499	-11980
+ 30489	-12003
+ 30480	-12026
+ 30471	-12050
+ 30462	-12073
+ 30452	-12097
+ 30443	-12120
+ 30434	-12143
+ 30424	-12167
+ 30415	-12190
+ 30406	-12213
+ 30396	-12237
+ 30387	-12260
+ 30378	-12283
+ 30368	-12306
+ 30359	-12330
+ 30349	-12353
+ 30340	-12376
+ 30330	-12400
+ 30321	-12423
+ 30311	-12446
+ 30302	-12469
+ 30292	-12493
+ 30282	-12516
+ 30273	-12539
+ 30263	-12562
+ 30254	-12585
+ 30244	-12609
+ 30234	-12632
+ 30225	-12655
+ 30215	-12678
+ 30205	-12701
+ 30195	-12725
+ 30186	-12748
+ 30176	-12771
+ 30166	-12794
+ 30156	-12817
+ 30146	-12840
+ 30136	-12863
+ 30127	-12886
+ 30117	-12910
+ 30107	-12933
+ 30097	-12956
+ 30087	-12979
+ 30077	-13002
+ 30067	-13025
+ 30057	-13048
+ 30047	-13071
+ 30037	-13094
+ 30027	-13117
+ 30017	-13140
+ 30007	-13163
+ 29997	-13186
+ 29986	-13209
+ 29976	-13232
+ 29966	-13255
+ 29956	-13278
+ 29946	-13301
+ 29936	-13324
+ 29925	-13347
+ 29915	-13370
+ 29905	-13393
+ 29895	-13416
+ 29884	-13439
+ 29874	-13462
+ 29864	-13485
+ 29853	-13507
+ 29843	-13530
+ 29833	-13553
+ 29822	-13576
+ 29812	-13599
+ 29801	-13622
+ 29791	-13645
+ 29780	-13668
+ 29770	-13690
+ 29759	-13713
+ 29749	-13736
+ 29738	-13759
+ 29728	-13782
+ 29717	-13804
+ 29707	-13827
+ 29696	-13850
+ 29685	-13873
+ 29675	-13896
+ 29664	-13918
+ 29653	-13941
+ 29643	-13964
+ 29632	-13987
+ 29621	-14009
+ 29610	-14032
+ 29600	-14055
+ 29589	-14077
+ 29578	-14100
+ 29567	-14123
+ 29556	-14145
+ 29545	-14168
+ 29535	-14191
+ 29524	-14213
+ 29513	-14236
+ 29502	-14259
+ 29491	-14281
+ 29480	-14304
+ 29469	-14327
+ 29458	-14349
+ 29447	-14372
+ 29436	-14394
+ 29425	-14417
+ 29414	-14439
+ 29403	-14462
+ 29392	-14485
+ 29381	-14507
+ 29369	-14530
+ 29358	-14552
+ 29347	-14575
+ 29336	-14597
+ 29325	-14620
+ 29313	-14642
+ 29302	-14665
+ 29291	-14687
+ 29280	-14710
+ 29268	-14732
+ 29257	-14754
+ 29246	-14777
+ 29234	-14799
+ 29223	-14822
+ 29212	-14844
+ 29200	-14866
+ 29189	-14889
+ 29177	-14911
+ 29166	-14934
+ 29155	-14956
+ 29143	-14978
+ 29132	-15001
+ 29120	-15023
+ 29109	-15045
+ 29097	-15068
+ 29085	-15090
+ 29074	-15112
+ 29062	-15135
+ 29051	-15157
+ 29039	-15179
+ 29027	-15201
+ 29016	-15224
+ 29004	-15246
+ 28992	-15268
+ 28981	-15290
+ 28969	-15313
+ 28957	-15335
+ 28945	-15357
+ 28933	-15379
+ 28922	-15401
+ 28910	-15424
+ 28898	-15446
+ 28886	-15468
+ 28874	-15490
+ 28862	-15512
+ 28851	-15534
+ 28839	-15556
+ 28827	-15579
+ 28815	-15601
+ 28803	-15623
+ 28791	-15645
+ 28779	-15667
+ 28767	-15689
+ 28755	-15711
+ 28743	-15733
+ 28731	-15755
+ 28718	-15777
+ 28706	-15799
+ 28694	-15821
+ 28682	-15843
+ 28670	-15865
+ 28658	-15887
+ 28646	-15909
+ 28633	-15931
+ 28621	-15953
+ 28609	-15975
+ 28597	-15997
+ 28584	-16019
+ 28572	-16041
+ 28560	-16063
+ 28547	-16085
+ 28535	-16107
+ 28523	-16128
+ 28510	-16150
+ 28498	-16172
+ 28485	-16194
+ 28473	-16216
+ 28461	-16238
+ 28448	-16260
+ 28436	-16281
+ 28423	-16303
+ 28411	-16325
+ 28398	-16347
+ 28386	-16368
+ 28373	-16391
+ 28360	-16413
+ 28348	-16435
+ 28335	-16456
+ 28323	-16478
+ 28310	-16500
+ 28297	-16522
+ 28285	-16543
+ 28272	-16565
+ 28259	-16587
+ 28246	-16608
+ 28234	-16630
+ 28221	-16652
+ 28208	-16673
+ 28195	-16695
+ 28183	-16717
+ 28170	-16738
+ 28157	-16760
+ 28144	-16781
+ 28131	-16803
+ 28118	-16825
+ 28105	-16846
+ 28092	-16868
+ 28079	-16889
+ 28067	-16911
+ 28054	-16932
+ 28041	-16954
+ 28028	-16975
+ 28014	-16997
+ 28001	-17018
+ 27988	-17040
+ 27975	-17061
+ 27962	-17083
+ 27949	-17104
+ 27936	-17125
+ 27923	-17147
+ 27910	-17168
+ 27897	-17190
+ 27883	-17211
+ 27870	-17232
+ 27857	-17254
+ 27844	-17275
+ 27830	-17297
+ 27817	-17318
+ 27804	-17339
+ 27791	-17361
+ 27777	-17382
+ 27764	-17403
+ 27750	-17424
+ 27737	-17446
+ 27724	-17467
+ 27710	-17488
+ 27697	-17509
+ 27683	-17531
+ 27670	-17552
+ 27657	-17573
+ 27643	-17594
+ 27630	-17616
+ 27616	-17637
+ 27603	-17658
+ 27589	-17679
+ 27575	-17700
+ 27562	-17721
+ 27548	-17743
+ 27535	-17764
+ 27521	-17785
+ 27507	-17806
+ 27494	-17827
+ 27480	-17848
+ 27466	-17869
+ 27453	-17890
+ 27439	-17911
+ 27425	-17932
+ 27411	-17953
+ 27398	-17974
+ 27384	-17995
+ 27370	-18016
+ 27356	-18037
+ 27342	-18058
+ 27328	-18079
+ 27315	-18100
+ 27301	-18121
+ 27287	-18142
+ 27273	-18163
+ 27259	-18184
+ 27245	-18205
+ 27231	-18226
+ 27217	-18247
+ 27203	-18267
+ 27189	-18288
+ 27175	-18309
+ 27161	-18330
+ 27147	-18351
+ 27133	-18372
+ 27119	-18392
+ 27105	-18413
+ 27090	-18434
+ 27076	-18455
+ 27062	-18476
+ 27048	-18496
+ 27034	-18517
+ 27019	-18538
+ 27005	-18558
+ 26991	-18579
+ 26977	-18600
+ 26962	-18621
+ 26948	-18641
+ 26934	-18662
+ 26920	-18683
+ 26905	-18703
+ 26891	-18724
+ 26877	-18744
+ 26862	-18765
+ 26848	-18786
+ 26833	-18806
+ 26819	-18827
+ 26804	-18847
+ 26790	-18868
+ 26776	-18888
+ 26761	-18909
+ 26747	-18930
+ 26732	-18950
+ 26717	-18971
+ 26703	-18991
+ 26688	-19011
+ 26674	-19032
+ 26659	-19052
+ 26644	-19073
+ 26630	-19093
+ 26615	-19114
+ 26601	-19134
+ 26586	-19154
+ 26571	-19175
+ 26556	-19195
+ 26542	-19216
+ 26527	-19236
+ 26512	-19256
+ 26497	-19277
+ 26483	-19297
+ 26468	-19317
+ 26453	-19338
+ 26438	-19358
+ 26423	-19378
+ 26408	-19398
+ 26394	-19419
+ 26379	-19439
+ 26364	-19459
+ 26349	-19479
+ 26334	-19499
+ 26319	-19520
+ 26304	-19540
+ 26289	-19560
+ 26274	-19580
+ 26259	-19600
+ 26244	-19620
+ 26229	-19641
+ 26214	-19661
+ 26199	-19681
+ 26184	-19701
+ 26168	-19721
+ 26153	-19741
+ 26138	-19761
+ 26123	-19781
+ 26108	-19801
+ 26093	-19821
+ 26077	-19841
+ 26062	-19861
+ 26047	-19881
+ 26032	-19901
+ 26016	-19921
+ 26001	-19941
+ 25986	-19961
+ 25970	-19981
+ 25955	-20001
+ 25940	-20021
+ 25924	-20041
+ 25909	-20060
+ 25894	-20080
+ 25878	-20100
+ 25863	-20120
+ 25847	-20140
+ 25832	-20160
+ 25816	-20179
+ 25801	-20199
+ 25786	-20219
+ 25770	-20239
+ 25754	-20259
+ 25739	-20278
+ 25723	-20298
+ 25708	-20318
+ 25692	-20338
+ 25677	-20357
+ 25661	-20377
+ 25645	-20397
+ 25630	-20416
+ 25614	-20436
+ 25598	-20456
+ 25583	-20475
+ 25567	-20495
+ 25551	-20514
+ 25535	-20534
+ 25520	-20554
+ 25504	-20573
+ 25488	-20593
+ 25472	-20612
+ 25457	-20632
+ 25441	-20651
+ 25425	-20671
+ 25409	-20690
+ 25393	-20710
+ 25377	-20729
+ 25361	-20749
+ 25345	-20768
+ 25329	-20788
+ 25314	-20807
+ 25298	-20826
+ 25282	-20846
+ 25266	-20865
+ 25250	-20885
+ 25234	-20904
+ 25217	-20923
+ 25201	-20943
+ 25185	-20962
+ 25169	-20981
+ 25153	-21000
+ 25137	-21020
+ 25121	-21039
+ 25105	-21058
+ 25089	-21078
+ 25072	-21097
+ 25056	-21116
+ 25040	-21135
+ 25024	-21154
+ 25008	-21174
+ 24991	-21193
+ 24975	-21212
+ 24959	-21231
+ 24943	-21250
+ 24926	-21269
+ 24910	-21288
+ 24894	-21308
+ 24877	-21327
+ 24861	-21346
+ 24845	-21365
+ 24828	-21384
+ 24812	-21403
+ 24795	-21422
+ 24779	-21441
+ 24762	-21460
+ 24746	-21479
+ 24729	-21498
+ 24713	-21517
+ 24696	-21536
+ 24680	-21555
+ 24663	-21574
+ 24647	-21593
+ 24630	-21611
+ 24614	-21630
+ 24597	-21649
+ 24580	-21668
+ 24564	-21687
+ 24547	-21706
+ 24531	-21725
+ 24514	-21743
+ 24497	-21762
+ 24480	-21781
+ 24464	-21800
+ 24447	-21818
+ 24430	-21837
+ 24414	-21856
+ 24397	-21875
+ 24380	-21893
+ 24363	-21912
+ 24346	-21931
+ 24330	-21949
+ 24313	-21968
+ 24296	-21987
+ 24279	-22005
+ 24262	-22024
+ 24245	-22043
+ 24228	-22061
+ 24211	-22080
+ 24194	-22098
+ 24177	-22117
+ 24161	-22135
+ 24144	-22154
+ 24127	-22172
+ 24110	-22191
+ 24092	-22209
+ 24075	-22228
+ 24058	-22246
+ 24041	-22265
+ 24024	-22283
+ 24007	-22302
+ 23990	-22320
+ 23973	-22338
+ 23956	-22357
+ 23939	-22375
+ 23921	-22393
+ 23904	-22412
+ 23887	-22430
+ 23870	-22448
+ 23853	-22467
+ 23835	-22485
+ 23818	-22503
+ 23801	-22522
+ 23784	-22540
+ 23766	-22558
+ 23749	-22576
+ 23732	-22594
+ 23714	-22613
+ 23697	-22631
+ 23680	-22649
+ 23662	-22667
+ 23645	-22685
+ 23627	-22703
+ 23610	-22722
+ 23593	-22740
+ 23575	-22758
+ 23558	-22776
+ 23540	-22794
+ 23523	-22812
+ 23505	-22830
+ 23488	-22848
+ 23470	-22866
+ 23453	-22884
+ 23435	-22902
+ 23418	-22920
+ 23400	-22938
+ 23382	-22956
+ 23365	-22974
+ 23347	-22992
+ 23329	-23010
+ 23312	-23027
+ 23294	-23045
+ 23276	-23063
+ 23259	-23081
+ 23241	-23099
+ 23223	-23117
+ 23206	-23134
+ 23188	-23152
+ 23170	-23170
+ 23152	-23188
+ 23134	-23206
+ 23117	-23223
+ 23099	-23241
+ 23081	-23259
+ 23063	-23276
+ 23045	-23294
+ 23027	-23312
+ 23010	-23329
+ 22992	-23347
+ 22974	-23365
+ 22956	-23382
+ 22938	-23400
+ 22920	-23418
+ 22902	-23435
+ 22884	-23453
+ 22866	-23470
+ 22848	-23488
+ 22830	-23505
+ 22812	-23523
+ 22794	-23540
+ 22776	-23558
+ 22758	-23575
+ 22740	-23593
+ 22722	-23610
+ 22703	-23627
+ 22685	-23645
+ 22667	-23662
+ 22649	-23680
+ 22631	-23697
+ 22613	-23714
+ 22594	-23732
+ 22576	-23749
+ 22558	-23766
+ 22540	-23784
+ 22522	-23801
+ 22503	-23818
+ 22485	-23835
+ 22467	-23853
+ 22448	-23870
+ 22430	-23887
+ 22412	-23904
+ 22393	-23921
+ 22375	-23939
+ 22357	-23956
+ 22338	-23973
+ 22320	-23990
+ 22302	-24007
+ 22283	-24024
+ 22265	-24041
+ 22246	-24058
+ 22228	-24075
+ 22209	-24092
+ 22191	-24110
+ 22172	-24127
+ 22154	-24144
+ 22135	-24161
+ 22117	-24177
+ 22098	-24194
+ 22080	-24211
+ 22061	-24228
+ 22043	-24245
+ 22024	-24262
+ 22005	-24279
+ 21987	-24296
+ 21968	-24313
+ 21949	-24330
+ 21931	-24346
+ 21912	-24363
+ 21893	-24380
+ 21875	-24397
+ 21856	-24414
+ 21837	-24430
+ 21818	-24447
+ 21800	-24464
+ 21781	-24480
+ 21762	-24497
+ 21743	-24514
+ 21725	-24531
+ 21706	-24547
+ 21687	-24564
+ 21668	-24580
+ 21649	-24597
+ 21630	-24614
+ 21611	-24630
+ 21593	-24647
+ 21574	-24663
+ 21555	-24680
+ 21536	-24696
+ 21517	-24713
+ 21498	-24729
+ 21479	-24746
+ 21460	-24762
+ 21441	-24779
+ 21422	-24795
+ 21403	-24812
+ 21384	-24828
+ 21365	-24845
+ 21346	-24861
+ 21327	-24877
+ 21308	-24894
+ 21288	-24910
+ 21269	-24926
+ 21250	-24943
+ 21231	-24959
+ 21212	-24975
+ 21193	-24991
+ 21174	-25008
+ 21154	-25024
+ 21135	-25040
+ 21116	-25056
+ 21097	-25072
+ 21078	-25089
+ 21058	-25105
+ 21039	-25121
+ 21020	-25137
+ 21000	-25153
+ 20981	-25169
+ 20962	-25185
+ 20943	-25201
+ 20923	-25217
+ 20904	-25234
+ 20885	-25250
+ 20865	-25266
+ 20846	-25282
+ 20826	-25298
+ 20807	-25314
+ 20788	-25329
+ 20768	-25345
+ 20749	-25361
+ 20729	-25377
+ 20710	-25393
+ 20690	-25409
+ 20671	-25425
+ 20651	-25441
+ 20632	-25457
+ 20612	-25472
+ 20593	-25488
+ 20573	-25504
+ 20554	-25520
+ 20534	-25535
+ 20514	-25551
+ 20495	-25567
+ 20475	-25583
+ 20456	-25598
+ 20436	-25614
+ 20416	-25630
+ 20397	-25645
+ 20377	-25661
+ 20357	-25677
+ 20338	-25692
+ 20318	-25708
+ 20298	-25723
+ 20278	-25739
+ 20259	-25754
+ 20239	-25770
+ 20219	-25786
+ 20199	-25801
+ 20179	-25816
+ 20160	-25832
+ 20140	-25847
+ 20120	-25863
+ 20100	-25878
+ 20080	-25894
+ 20060	-25909
+ 20041	-25924
+ 20021	-25940
+ 20001	-25955
+ 19981	-25970
+ 19961	-25986
+ 19941	-26001
+ 19921	-26016
+ 19901	-26032
+ 19881	-26047
+ 19861	-26062
+ 19841	-26077
+ 19821	-26093
+ 19801	-26108
+ 19781	-26123
+ 19761	-26138
+ 19741	-26153
+ 19721	-26168
+ 19701	-26184
+ 19681	-26199
+ 19661	-26214
+ 19641	-26229
+ 19620	-26244
+ 19600	-26259
+ 19580	-26274
+ 19560	-26289
+ 19540	-26304
+ 19520	-26319
+ 19499	-26334
+ 19479	-26349
+ 19459	-26364
+ 19439	-26379
+ 19419	-26394
+ 19398	-26408
+ 19378	-26423
+ 19358	-26438
+ 19338	-26453
+ 19317	-26468
+ 19297	-26483
+ 19277	-26497
+ 19256	-26512
+ 19236	-26527
+ 19216	-26542
+ 19195	-26556
+ 19175	-26571
+ 19154	-26586
+ 19134	-26601
+ 19114	-26615
+ 19093	-26630
+ 19073	-26644
+ 19052	-26659
+ 19032	-26674
+ 19011	-26688
+ 18991	-26703
+ 18971	-26717
+ 18950	-26732
+ 18930	-26747
+ 18909	-26761
+ 18888	-26776
+ 18868	-26790
+ 18847	-26804
+ 18827	-26819
+ 18806	-26833
+ 18786	-26848
+ 18765	-26862
+ 18744	-26877
+ 18724	-26891
+ 18703	-26905
+ 18683	-26920
+ 18662	-26934
+ 18641	-26948
+ 18621	-26962
+ 18600	-26977
+ 18579	-26991
+ 18558	-27005
+ 18538	-27019
+ 18517	-27034
+ 18496	-27048
+ 18476	-27062
+ 18455	-27076
+ 18434	-27090
+ 18413	-27105
+ 18392	-27119
+ 18372	-27133
+ 18351	-27147
+ 18330	-27161
+ 18309	-27175
+ 18288	-27189
+ 18267	-27203
+ 18247	-27217
+ 18226	-27231
+ 18205	-27245
+ 18184	-27259
+ 18163	-27273
+ 18142	-27287
+ 18121	-27301
+ 18100	-27315
+ 18079	-27328
+ 18058	-27342
+ 18037	-27356
+ 18016	-27370
+ 17995	-27384
+ 17974	-27398
+ 17953	-27411
+ 17932	-27425
+ 17911	-27439
+ 17890	-27453
+ 17869	-27466
+ 17848	-27480
+ 17827	-27494
+ 17806	-27507
+ 17785	-27521
+ 17764	-27535
+ 17743	-27548
+ 17721	-27562
+ 17700	-27575
+ 17679	-27589
+ 17658	-27603
+ 17637	-27616
+ 17616	-27630
+ 17594	-27643
+ 17573	-27657
+ 17552	-27670
+ 17531	-27683
+ 17509	-27697
+ 17488	-27710
+ 17467	-27724
+ 17446	-27737
+ 17424	-27750
+ 17403	-27764
+ 17382	-27777
+ 17361	-27791
+ 17339	-27804
+ 17318	-27817
+ 17297	-27830
+ 17275	-27844
+ 17254	-27857
+ 17232	-27870
+ 17211	-27883
+ 17190	-27897
+ 17168	-27910
+ 17147	-27923
+ 17125	-27936
+ 17104	-27949
+ 17083	-27962
+ 17061	-27975
+ 17040	-27988
+ 17018	-28001
+ 16997	-28014
+ 16975	-28028
+ 16954	-28041
+ 16932	-28054
+ 16911	-28067
+ 16889	-28079
+ 16868	-28092
+ 16846	-28105
+ 16825	-28118
+ 16803	-28131
+ 16781	-28144
+ 16760	-28157
+ 16738	-28170
+ 16717	-28183
+ 16695	-28195
+ 16673	-28208
+ 16652	-28221
+ 16630	-28234
+ 16608	-28246
+ 16587	-28259
+ 16565	-28272
+ 16543	-28285
+ 16522	-28297
+ 16500	-28310
+ 16478	-28323
+ 16456	-28335
+ 16435	-28348
+ 16413	-28360
+ 16391	-28373
+ 16368	-28386
+ 16347	-28398
+ 16325	-28411
+ 16303	-28423
+ 16281	-28436
+ 16260	-28448
+ 16238	-28461
+ 16216	-28473
+ 16194	-28485
+ 16172	-28498
+ 16150	-28510
+ 16128	-28523
+ 16107	-28535
+ 16085	-28547
+ 16063	-28560
+ 16041	-28572
+ 16019	-28584
+ 15997	-28597
+ 15975	-28609
+ 15953	-28621
+ 15931	-28633
+ 15909	-28646
+ 15887	-28658
+ 15865	-28670
+ 15843	-28682
+ 15821	-28694
+ 15799	-28706
+ 15777	-28718
+ 15755	-28731
+ 15733	-28743
+ 15711	-28755
+ 15689	-28767
+ 15667	-28779
+ 15645	-28791
+ 15623	-28803
+ 15601	-28815
+ 15579	-28827
+ 15556	-28839
+ 15534	-28851
+ 15512	-28862
+ 15490	-28874
+ 15468	-28886
+ 15446	-28898
+ 15424	-28910
+ 15401	-28922
+ 15379	-28933
+ 15357	-28945
+ 15335	-28957
+ 15313	-28969
+ 15290	-28981
+ 15268	-28992
+ 15246	-29004
+ 15224	-29016
+ 15201	-29027
+ 15179	-29039
+ 15157	-29051
+ 15135	-29062
+ 15112	-29074
+ 15090	-29085
+ 15068	-29097
+ 15045	-29109
+ 15023	-29120
+ 15001	-29132
+ 14978	-29143
+ 14956	-29155
+ 14934	-29166
+ 14911	-29177
+ 14889	-29189
+ 14866	-29200
+ 14844	-29212
+ 14822	-29223
+ 14799	-29234
+ 14777	-29246
+ 14754	-29257
+ 14732	-29268
+ 14710	-29280
+ 14687	-29291
+ 14665	-29302
+ 14642	-29313
+ 14620	-29325
+ 14597	-29336
+ 14575	-29347
+ 14552	-29358
+ 14530	-29369
+ 14507	-29381
+ 14485	-29392
+ 14462	-29403
+ 14439	-29414
+ 14417	-29425
+ 14394	-29436
+ 14372	-29447
+ 14349	-29458
+ 14327	-29469
+ 14304	-29480
+ 14281	-29491
+ 14259	-29502
+ 14236	-29513
+ 14213	-29524
+ 14191	-29535
+ 14168	-29545
+ 14145	-29556
+ 14123	-29567
+ 14100	-29578
+ 14077	-29589
+ 14055	-29600
+ 14032	-29610
+ 14009	-29621
+ 13987	-29632
+ 13964	-29643
+ 13941	-29653
+ 13918	-29664
+ 13896	-29675
+ 13873	-29685
+ 13850	-29696
+ 13827	-29707
+ 13804	-29717
+ 13782	-29728
+ 13759	-29738
+ 13736	-29749
+ 13713	-29759
+ 13690	-29770
+ 13668	-29780
+ 13645	-29791
+ 13622	-29801
+ 13599	-29812
+ 13576	-29822
+ 13553	-29833
+ 13530	-29843
+ 13507	-29853
+ 13485	-29864
+ 13462	-29874
+ 13439	-29884
+ 13416	-29895
+ 13393	-29905
+ 13370	-29915
+ 13347	-29925
+ 13324	-29936
+ 13301	-29946
+ 13278	-29956
+ 13255	-29966
+ 13232	-29976
+ 13209	-29986
+ 13186	-29997
+ 13163	-30007
+ 13140	-30017
+ 13117	-30027
+ 13094	-30037
+ 13071	-30047
+ 13048	-30057
+ 13025	-30067
+ 13002	-30077
+ 12979	-30087
+ 12956	-30097
+ 12933	-30107
+ 12910	-30117
+ 12886	-30127
+ 12863	-30136
+ 12840	-30146
+ 12817	-30156
+ 12794	-30166
+ 12771	-30176
+ 12748	-30186
+ 12725	-30195
+ 12701	-30205
+ 12678	-30215
+ 12655	-30225
+ 12632	-30234
+ 12609	-30244
+ 12585	-30254
+ 12562	-30263
+ 12539	-30273
+ 12516	-30282
+ 12493	-30292
+ 12469	-30302
+ 12446	-30311
+ 12423	-30321
+ 12400	-30330
+ 12376	-30340
+ 12353	-30349
+ 12330	-30359
+ 12306	-30368
+ 12283	-30378
+ 12260	-30387
+ 12237	-30396
+ 12213	-30406
+ 12190	-30415
+ 12167	-30424
+ 12143	-30434
+ 12120	-30443
+ 12097	-30452
+ 12073	-30462
+ 12050	-30471
+ 12026	-30480
+ 12003	-30489
+ 11980	-30499
+ 11956	-30508
+ 11933	-30517
+ 11909	-30526
+ 11886	-30535
+ 11863	-30544
+ 11839	-30553
+ 11816	-30562
+ 11792	-30571
+ 11769	-30580
+ 11745	-30589
+ 11722	-30598
+ 11698	-30607
+ 11675	-30616
+ 11652	-30625
+ 11628	-30634
+ 11605	-30643
+ 11581	-30652
+ 11558	-30661
+ 11534	-30670
+ 11510	-30679
+ 11487	-30688
+ 11463	-30696
+ 11440	-30705
+ 11416	-30714
+ 11393	-30723
+ 11369	-30731
+ 11346	-30740
+ 11322	-30749
+ 11298	-30757
+ 11275	-30766
+ 11251	-30775
+ 11228	-30783
+ 11204	-30792
+ 11180	-30801
+ 11157	-30809
+ 11133	-30818
+ 11109	-30826
+ 11086	-30835
+ 11062	-30843
+ 11039	-30852
+ 11015	-30860
+ 10991	-30869
+ 10968	-30877
+ 10944	-30885
+ 10920	-30894
+ 10896	-30902
+ 10873	-30910
+ 10849	-30919
+ 10825	-30927
+ 10802	-30935
+ 10778	-30944
+ 10754	-30952
+ 10730	-30960
+ 10707	-30968
+ 10683	-30977
+ 10659	-30985
+ 10635	-30993
+ 10612	-31001
+ 10588	-31009
+ 10564	-31017
+ 10540	-31025
+ 10516	-31033
+ 10493	-31042
+ 10469	-31050
+ 10445	-31058
+ 10421	-31066
+ 10397	-31074
+ 10373	-31082
+ 10350	-31090
+ 10326	-31097
+ 10302	-31105
+ 10278	-31113
+ 10254	-31121
+ 10230	-31129
+ 10206	-31137
+ 10183	-31145
+ 10159	-31152
+ 10135	-31160
+ 10111	-31168
+ 10087	-31176
+ 10063	-31183
+ 10039	-31191
+ 10015	-31199
+  9991	-31207
+  9967	-31214
+  9943	-31222
+  9919	-31229
+  9896	-31237
+  9872	-31245
+  9848	-31252
+  9824	-31260
+  9800	-31267
+  9776	-31275
+  9752	-31282
+  9728	-31290
+  9704	-31297
+  9680	-31305
+  9656	-31312
+  9632	-31319
+  9608	-31327
+  9584	-31334
+  9560	-31341
+  9536	-31349
+  9511	-31356
+  9487	-31363
+  9463	-31371
+  9439	-31378
+  9415	-31385
+  9391	-31392
+  9367	-31400
+  9343	-31407
+  9319	-31414
+  9295	-31421
+  9271	-31428
+  9247	-31435
+  9222	-31442
+  9198	-31449
+  9174	-31456
+  9150	-31463
+  9126	-31470
+  9102	-31477
+  9078	-31484
+  9054	-31491
+  9029	-31498
+  9005	-31505
+  8981	-31512
+  8957	-31519
+  8933	-31526
+  8909	-31533
+  8884	-31540
+  8860	-31546
+  8836	-31553
+  8812	-31560
+  8788	-31567
+  8763	-31573
+  8739	-31580
+  8715	-31587
+  8691	-31593
+  8666	-31600
+  8642	-31607
+  8618	-31613
+  8594	-31620
+  8569	-31627
+  8545	-31633
+  8521	-31640
+  8497	-31646
+  8472	-31653
+  8448	-31659
+  8424	-31666
+  8400	-31672
+  8375	-31679
+  8351	-31685
+  8327	-31691
+  8302	-31698
+  8278	-31704
+  8254	-31710
+  8229	-31717
+  8205	-31723
+  8181	-31729
+  8156	-31736
+  8132	-31742
+  8108	-31748
+  8083	-31754
+  8059	-31760
+  8035	-31767
+  8010	-31773
+  7986	-31779
+  7961	-31785
+  7937	-31791
+  7913	-31797
+  7888	-31803
+  7864	-31809
+  7840	-31815
+  7815	-31821
+  7791	-31827
+  7766	-31833
+  7742	-31839
+  7717	-31845
+  7693	-31851
+  7669	-31857
+  7644	-31863
+  7620	-31869
+  7595	-31875
+  7571	-31880
+  7546	-31886
+  7522	-31892
+  7497	-31898
+  7473	-31903
+  7449	-31909
+  7424	-31915
+  7400	-31921
+  7375	-31926
+  7351	-31932
+  7326	-31937
+  7302	-31943
+  7277	-31949
+  7253	-31954
+  7228	-31960
+  7204	-31965
+  7179	-31971
+  7155	-31976
+  7130	-31982
+  7105	-31987
+  7081	-31993
+  7056	-31998
+  7032	-32004
+  7007	-32009
+  6983	-32014
+  6958	-32020
+  6934	-32025
+  6909	-32030
+  6885	-32036
+  6860	-32041
+  6835	-32046
+  6811	-32051
+  6786	-32057
+  6762	-32062
+  6737	-32067
+  6712	-32072
+  6688	-32077
+  6663	-32082
+  6639	-32087
+  6614	-32093
+  6589	-32098
+  6565	-32103
+  6540	-32108
+  6516	-32113
+  6491	-32118
+  6466	-32123
+  6442	-32128
+  6417	-32132
+  6392	-32137
+  6368	-32142
+  6343	-32147
+  6318	-32152
+  6294	-32157
+  6269	-32162
+  6244	-32166
+  6220	-32171
+  6195	-32176
+  6170	-32181
+  6146	-32185
+  6121	-32190
+  6096	-32195
+  6072	-32200
+  6047	-32204
+  6022	-32209
+  5997	-32213
+  5973	-32218
+  5948	-32223
+  5923	-32227
+  5899	-32232
+  5874	-32236
+  5849	-32241
+  5824	-32245
+  5800	-32250
+  5775	-32254
+  5750	-32258
+  5726	-32263
+  5701	-32267
+  5676	-32272
+  5651	-32276
+  5626	-32280
+  5602	-32285
+  5577	-32289
+  5552	-32293
+  5527	-32297
+  5503	-32302
+  5478	-32306
+  5453	-32310
+  5428	-32314
+  5404	-32318
+  5379	-32323
+  5354	-32327
+  5329	-32331
+  5304	-32335
+  5280	-32339
+  5255	-32343
+  5230	-32347
+  5205	-32351
+  5180	-32355
+  5156	-32359
+  5131	-32363
+  5106	-32367
+  5081	-32371
+  5056	-32375
+  5031	-32378
+  5007	-32382
+  4982	-32386
+  4957	-32390
+  4932	-32394
+  4907	-32397
+  4882	-32401
+  4857	-32405
+  4833	-32409
+  4808	-32412
+  4783	-32416
+  4758	-32420
+  4733	-32423
+  4708	-32427
+  4683	-32431
+  4659	-32434
+  4634	-32438
+  4609	-32441
+  4584	-32445
+  4559	-32448
+  4534	-32452
+  4509	-32455
+  4484	-32459
+  4459	-32462
+  4435	-32466
+  4410	-32469
+  4385	-32472
+  4360	-32476
+  4335	-32479
+  4310	-32482
+  4285	-32486
+  4260	-32489
+  4235	-32492
+  4210	-32495
+  4185	-32499
+  4161	-32502
+  4136	-32505
+  4111	-32508
+  4086	-32511
+  4061	-32514
+  4036	-32517
+  4011	-32521
+  3986	-32524
+  3961	-32527
+  3936	-32530
+  3911	-32533
+  3886	-32536
+  3861	-32539
+  3836	-32542
+  3811	-32545
+  3786	-32547
+  3761	-32550
+  3736	-32553
+  3711	-32556
+  3686	-32559
+  3661	-32562
+  3637	-32565
+  3612	-32567
+  3587	-32570
+  3562	-32573
+  3537	-32576
+  3512	-32578
+  3487	-32581
+  3462	-32584
+  3437	-32586
+  3412	-32589
+  3387	-32592
+  3362	-32594
+  3337	-32597
+  3312	-32599
+  3287	-32602
+  3262	-32604
+  3237	-32607
+  3212	-32609
+  3187	-32612
+  3162	-32614
+  3137	-32617
+  3112	-32619
+  3087	-32621
+  3062	-32624
+  3037	-32626
+  3011	-32628
+  2986	-32631
+  2961	-32633
+  2936	-32635
+  2911	-32637
+  2886	-32640
+  2861	-32642
+  2836	-32644
+  2811	-32646
+  2786	-32648
+  2761	-32650
+  2736	-32653
+  2711	-32655
+  2686	-32657
+  2661	-32659
+  2636	-32661
+  2611	-32663
+  2586	-32665
+  2561	-32667
+  2536	-32669
+  2511	-32671
+  2486	-32673
+  2461	-32674
+  2435	-32676
+  2410	-32678
+  2385	-32680
+  2360	-32682
+  2335	-32684
+  2310	-32685
+  2285	-32687
+  2260	-32689
+  2235	-32691
+  2210	-32692
+  2185	-32694
+  2160	-32696
+  2135	-32697
+  2110	-32699
+  2084	-32701
+  2059	-32702
+  2034	-32704
+  2009	-32705
+  1984	-32707
+  1959	-32708
+  1934	-32710
+  1909	-32711
+  1884	-32713
+  1859	-32714
+  1834	-32716
+  1809	-32717
+  1783	-32718
+  1758	-32720
+  1733	-32721
+  1708	-32722
+  1683	-32724
+  1658	-32725
+  1633	-32726
+  1608	-32728
+  1583	-32729
+  1558	-32730
+  1532	-32731
+  1507	-32732
+  1482	-32733
+  1457	-32735
+  1432	-32736
+  1407	-32737
+  1382	-32738
+  1357	-32739
+  1332	-32740
+  1306	-32741
+  1281	-32742
+  1256	-32743
+  1231	-32744
+  1206	-32745
+  1181	-32746
+  1156	-32747
+  1131	-32747
+  1106	-32748
+  1080	-32749
+  1055	-32750
+  1030	-32751
+  1005	-32752
+   980	-32752
+   955	-32753
+   930	-32754
+   905	-32755
+   879	-32755
+   854	-32756
+   829	-32757
+   804	-32757
+   779	-32758
+   754	-32758
+   729	-32759
+   704	-32759
+   678	-32760
+   653	-32760
+   628	-32761
+   603	-32761
+   578	-32762
+   553	-32762
+   528	-32763
+   503	-32763
+   477	-32764
+   452	-32764
+   427	-32764
+   402	-32765
+   377	-32765
+   352	-32765
+   327	-32765
+   302	-32766
+   276	-32766
+   251	-32766
+   226	-32766
+   201	-32766
+   176	-32767
+   151	-32767
+   126	-32767
+   101	-32767
+    75	-32767
+    50	-32767
+    25	-32767
+     0	-32767
+   -25	-32767
+   -50	-32767
+   -75	-32767
+  -101	-32767
+  -126	-32767
+  -151	-32767
+  -176	-32767
+  -201	-32766
+  -226	-32766
+  -251	-32766
+  -276	-32766
+  -302	-32766
+  -327	-32765
+  -352	-32765
+  -377	-32765
+  -402	-32765
+  -427	-32764
+  -452	-32764
+  -477	-32764
+  -503	-32763
+  -528	-32763
+  -553	-32762
+  -578	-32762
+  -603	-32761
+  -628	-32761
+  -653	-32760
+  -678	-32760
+  -704	-32759
+  -729	-32759
+  -754	-32758
+  -779	-32758
+  -804	-32757
+  -829	-32757
+  -854	-32756
+  -879	-32755
+  -905	-32755
+  -930	-32754
+  -955	-32753
+  -980	-32752
+ -1005	-32752
+ -1030	-32751
+ -1055	-32750
+ -1080	-32749
+ -1106	-32748
+ -1131	-32747
+ -1156	-32747
+ -1181	-32746
+ -1206	-32745
+ -1231	-32744
+ -1256	-32743
+ -1281	-32742
+ -1306	-32741
+ -1332	-32740
+ -1357	-32739
+ -1382	-32738
+ -1407	-32737
+ -1432	-32736
+ -1457	-32735
+ -1482	-32733
+ -1507	-32732
+ -1532	-32731
+ -1558	-32730
+ -1583	-32729
+ -1608	-32728
+ -1633	-32726
+ -1658	-32725
+ -1683	-32724
+ -1708	-32722
+ -1733	-32721
+ -1758	-32720
+ -1783	-32718
+ -1809	-32717
+ -1834	-32716
+ -1859	-32714
+ -1884	-32713
+ -1909	-32711
+ -1934	-32710
+ -1959	-32708
+ -1984	-32707
+ -2009	-32705
+ -2034	-32704
+ -2059	-32702
+ -2084	-32701
+ -2110	-32699
+ -2135	-32697
+ -2160	-32696
+ -2185	-32694
+ -2210	-32692
+ -2235	-32691
+ -2260	-32689
+ -2285	-32687
+ -2310	-32685
+ -2335	-32684
+ -2360	-32682
+ -2385	-32680
+ -2410	-32678
+ -2435	-32676
+ -2461	-32674
+ -2486	-32673
+ -2511	-32671
+ -2536	-32669
+ -2561	-32667
+ -2586	-32665
+ -2611	-32663
+ -2636	-32661
+ -2661	-32659
+ -2686	-32657
+ -2711	-32655
+ -2736	-32653
+ -2761	-32650
+ -2786	-32648
+ -2811	-32646
+ -2836	-32644
+ -2861	-32642
+ -2886	-32640
+ -2911	-32637
+ -2936	-32635
+ -2961	-32633
+ -2986	-32631
+ -3011	-32628
+ -3037	-32626
+ -3062	-32624
+ -3087	-32621
+ -3112	-32619
+ -3137	-32617
+ -3162	-32614
+ -3187	-32612
+ -3212	-32609
+ -3237	-32607
+ -3262	-32604
+ -3287	-32602
+ -3312	-32599
+ -3337	-32597
+ -3362	-32594
+ -3387	-32592
+ -3412	-32589
+ -3437	-32586
+ -3462	-32584
+ -3487	-32581
+ -3512	-32578
+ -3537	-32576
+ -3562	-32573
+ -3587	-32570
+ -3612	-32567
+ -3637	-32565
+ -3661	-32562
+ -3686	-32559
+ -3711	-32556
+ -3736	-32553
+ -3761	-32550
+ -3786	-32547
+ -3811	-32545
+ -3836	-32542
+ -3861	-32539
+ -3886	-32536
+ -3911	-32533
+ -3936	-32530
+ -3961	-32527
+ -3986	-32524
+ -4011	-32521
+ -4036	-32517
+ -4061	-32514
+ -4086	-32511
+ -4111	-32508
+ -4136	-32505
+ -4161	-32502
+ -4185	-32499
+ -4210	-32495
+ -4235	-32492
+ -4260	-32489
+ -4285	-32486
+ -4310	-32482
+ -4335	-32479
+ -4360	-32476
+ -4385	-32472
+ -4410	-32469
+ -4435	-32466
+ -4459	-32462
+ -4484	-32459
+ -4509	-32455
+ -4534	-32452
+ -4559	-32448
+ -4584	-32445
+ -4609	-32441
+ -4634	-32438
+ -4659	-32434
+ -4683	-32431
+ -4708	-32427
+ -4733	-32423
+ -4758	-32420
+ -4783	-32416
+ -4808	-32412
+ -4833	-32409
+ -4857	-32405
+ -4882	-32401
+ -4907	-32397
+ -4932	-32394
+ -4957	-32390
+ -4982	-32386
+ -5007	-32382
+ -5031	-32378
+ -5056	-32375
+ -5081	-32371
+ -5106	-32367
+ -5131	-32363
+ -5156	-32359
+ -5180	-32355
+ -5205	-32351
+ -5230	-32347
+ -5255	-32343
+ -5280	-32339
+ -5304	-32335
+ -5329	-32331
+ -5354	-32327
+ -5379	-32323
+ -5404	-32318
+ -5428	-32314
+ -5453	-32310
+ -5478	-32306
+ -5503	-32302
+ -5527	-32297
+ -5552	-32293
+ -5577	-32289
+ -5602	-32285
+ -5626	-32280
+ -5651	-32276
+ -5676	-32272
+ -5701	-32267
+ -5726	-32263
+ -5750	-32258
+ -5775	-32254
+ -5800	-32250
+ -5824	-32245
+ -5849	-32241
+ -5874	-32236
+ -5899	-32232
+ -5923	-32227
+ -5948	-32223
+ -5973	-32218
+ -5997	-32213
+ -6022	-32209
+ -6047	-32204
+ -6072	-32200
+ -6096	-32195
+ -6121	-32190
+ -6146	-32185
+ -6170	-32181
+ -6195	-32176
+ -6220	-32171
+ -6244	-32166
+ -6269	-32162
+ -6294	-32157
+ -6318	-32152
+ -6343	-32147
+ -6368	-32142
+ -6392	-32137
+ -6417	-32132
+ -6442	-32128
+ -6466	-32123
+ -6491	-32118
+ -6516	-32113
+ -6540	-32108
+ -6565	-32103
+ -6589	-32098
+ -6614	-32093
+ -6639	-32087
+ -6663	-32082
+ -6688	-32077
+ -6712	-32072
+ -6737	-32067
+ -6762	-32062
+ -6786	-32057
+ -6811	-32051
+ -6835	-32046
+ -6860	-32041
+ -6885	-32036
+ -6909	-32030
+ -6934	-32025
+ -6958	-32020
+ -6983	-32014
+ -7007	-32009
+ -7032	-32004
+ -7056	-31998
+ -7081	-31993
+ -7105	-31987
+ -7130	-31982
+ -7155	-31976
+ -7179	-31971
+ -7204	-31965
+ -7228	-31960
+ -7253	-31954
+ -7277	-31949
+ -7302	-31943
+ -7326	-31937
+ -7351	-31932
+ -7375	-31926
+ -7400	-31921
+ -7424	-31915
+ -7449	-31909
+ -7473	-31903
+ -7497	-31898
+ -7522	-31892
+ -7546	-31886
+ -7571	-31880
+ -7595	-31875
+ -7620	-31869
+ -7644	-31863
+ -7669	-31857
+ -7693	-31851
+ -7717	-31845
+ -7742	-31839
+ -7766	-31833
+ -7791	-31827
+ -7815	-31821
+ -7840	-31815
+ -7864	-31809
+ -7888	-31803
+ -7913	-31797
+ -7937	-31791
+ -7961	-31785
+ -7986	-31779
+ -8010	-31773
+ -8035	-31767
+ -8059	-31760
+ -8083	-31754
+ -8108	-31748
+ -8132	-31742
+ -8156	-31736
+ -8181	-31729
+ -8205	-31723
+ -8229	-31717
+ -8254	-31710
+ -8278	-31704
+ -8302	-31698
+ -8327	-31691
+ -8351	-31685
+ -8375	-31679
+ -8400	-31672
+ -8424	-31666
+ -8448	-31659
+ -8472	-31653
+ -8497	-31646
+ -8521	-31640
+ -8545	-31633
+ -8569	-31627
+ -8594	-31620
+ -8618	-31613
+ -8642	-31607
+ -8666	-31600
+ -8691	-31593
+ -8715	-31587
+ -8739	-31580
+ -8763	-31573
+ -8788	-31567
+ -8812	-31560
+ -8836	-31553
+ -8860	-31546
+ -8884	-31540
+ -8909	-31533
+ -8933	-31526
+ -8957	-31519
+ -8981	-31512
+ -9005	-31505
+ -9029	-31498
+ -9054	-31491
+ -9078	-31484
+ -9102	-31477
+ -9126	-31470
+ -9150	-31463
+ -9174	-31456
+ -9198	-31449
+ -9222	-31442
+ -9247	-31435
+ -9271	-31428
+ -9295	-31421
+ -9319	-31414
+ -9343	-31407
+ -9367	-31400
+ -9391	-31392
+ -9415	-31385
+ -9439	-31378
+ -9463	-31371
+ -9487	-31363
+ -9511	-31356
+ -9536	-31349
+ -9560	-31341
+ -9584	-31334
+ -9608	-31327
+ -9632	-31319
+ -9656	-31312
+ -9680	-31305
+ -9704	-31297
+ -9728	-31290
+ -9752	-31282
+ -9776	-31275
+ -9800	-31267
+ -9824	-31260
+ -9848	-31252
+ -9872	-31245
+ -9896	-31237
+ -9919	-31229
+ -9943	-31222
+ -9967	-31214
+ -9991	-31207
+-10015	-31199
+-10039	-31191
+-10063	-31183
+-10087	-31176
+-10111	-31168
+-10135	-31160
+-10159	-31152
+-10183	-31145
+-10206	-31137
+-10230	-31129
+-10254	-31121
+-10278	-31113
+-10302	-31105
+-10326	-31097
+-10350	-31090
+-10373	-31082
+-10397	-31074
+-10421	-31066
+-10445	-31058
+-10469	-31050
+-10493	-31042
+-10516	-31033
+-10540	-31025
+-10564	-31017
+-10588	-31009
+-10612	-31001
+-10635	-30993
+-10659	-30985
+-10683	-30977
+-10707	-30968
+-10730	-30960
+-10754	-30952
+-10778	-30944
+-10802	-30935
+-10825	-30927
+-10849	-30919
+-10873	-30910
+-10896	-30902
+-10920	-30894
+-10944	-30885
+-10968	-30877
+-10991	-30869
+-11015	-30860
+-11039	-30852
+-11062	-30843
+-11086	-30835
+-11109	-30826
+-11133	-30818
+-11157	-30809
+-11180	-30801
+-11204	-30792
+-11228	-30783
+-11251	-30775
+-11275	-30766
+-11298	-30757
+-11322	-30749
+-11346	-30740
+-11369	-30731
+-11393	-30723
+-11416	-30714
+-11440	-30705
+-11463	-30696
+-11487	-30688
+-11510	-30679
+-11534	-30670
+-11558	-30661
+-11581	-30652
+-11605	-30643
+-11628	-30634
+-11652	-30625
+-11675	-30616
+-11698	-30607
+-11722	-30598
+-11745	-30589
+-11769	-30580
+-11792	-30571
+-11816	-30562
+-11839	-30553
+-11863	-30544
+-11886	-30535
+-11909	-30526
+-11933	-30517
+-11956	-30508
+-11980	-30499
+-12003	-30489
+-12026	-30480
+-12050	-30471
+-12073	-30462
+-12097	-30452
+-12120	-30443
+-12143	-30434
+-12167	-30424
+-12190	-30415
+-12213	-30406
+-12237	-30396
+-12260	-30387
+-12283	-30378
+-12306	-30368
+-12330	-30359
+-12353	-30349
+-12376	-30340
+-12400	-30330
+-12423	-30321
+-12446	-30311
+-12469	-30302
+-12493	-30292
+-12516	-30282
+-12539	-30273
+-12562	-30263
+-12585	-30254
+-12609	-30244
+-12632	-30234
+-12655	-30225
+-12678	-30215
+-12701	-30205
+-12725	-30195
+-12748	-30186
+-12771	-30176
+-12794	-30166
+-12817	-30156
+-12840	-30146
+-12863	-30136
+-12886	-30127
+-12910	-30117
+-12933	-30107
+-12956	-30097
+-12979	-30087
+-13002	-30077
+-13025	-30067
+-13048	-30057
+-13071	-30047
+-13094	-30037
+-13117	-30027
+-13140	-30017
+-13163	-30007
+-13186	-29997
+-13209	-29986
+-13232	-29976
+-13255	-29966
+-13278	-29956
+-13301	-29946
+-13324	-29936
+-13347	-29925
+-13370	-29915
+-13393	-29905
+-13416	-29895
+-13439	-29884
+-13462	-29874
+-13485	-29864
+-13507	-29853
+-13530	-29843
+-13553	-29833
+-13576	-29822
+-13599	-29812
+-13622	-29801
+-13645	-29791
+-13668	-29780
+-13690	-29770
+-13713	-29759
+-13736	-29749
+-13759	-29738
+-13782	-29728
+-13804	-29717
+-13827	-29707
+-13850	-29696
+-13873	-29685
+-13896	-29675
+-13918	-29664
+-13941	-29653
+-13964	-29643
+-13987	-29632
+-14009	-29621
+-14032	-29610
+-14055	-29600
+-14077	-29589
+-14100	-29578
+-14123	-29567
+-14145	-29556
+-14168	-29545
+-14191	-29535
+-14213	-29524
+-14236	-29513
+-14259	-29502
+-14281	-29491
+-14304	-29480
+-14327	-29469
+-14349	-29458
+-14372	-29447
+-14394	-29436
+-14417	-29425
+-14439	-29414
+-14462	-29403
+-14485	-29392
+-14507	-29381
+-14530	-29369
+-14552	-29358
+-14575	-29347
+-14597	-29336
+-14620	-29325
+-14642	-29313
+-14665	-29302
+-14687	-29291
+-14710	-29280
+-14732	-29268
+-14754	-29257
+-14777	-29246
+-14799	-29234
+-14822	-29223
+-14844	-29212
+-14866	-29200
+-14889	-29189
+-14911	-29177
+-14934	-29166
+-14956	-29155
+-14978	-29143
+-15001	-29132
+-15023	-29120
+-15045	-29109
+-15068	-29097
+-15090	-29085
+-15112	-29074
+-15135	-29062
+-15157	-29051
+-15179	-29039
+-15201	-29027
+-15224	-29016
+-15246	-29004
+-15268	-28992
+-15290	-28981
+-15313	-28969
+-15335	-28957
+-15357	-28945
+-15379	-28933
+-15401	-28922
+-15424	-28910
+-15446	-28898
+-15468	-28886
+-15490	-28874
+-15512	-28862
+-15534	-28851
+-15556	-28839
+-15579	-28827
+-15601	-28815
+-15623	-28803
+-15645	-28791
+-15667	-28779
+-15689	-28767
+-15711	-28755
+-15733	-28743
+-15755	-28731
+-15777	-28718
+-15799	-28706
+-15821	-28694
+-15843	-28682
+-15865	-28670
+-15887	-28658
+-15909	-28646
+-15931	-28633
+-15953	-28621
+-15975	-28609
+-15997	-28597
+-16019	-28584
+-16041	-28572
+-16063	-28560
+-16085	-28547
+-16107	-28535
+-16128	-28523
+-16150	-28510
+-16172	-28498
+-16194	-28485
+-16216	-28473
+-16238	-28461
+-16260	-28448
+-16281	-28436
+-16303	-28423
+-16325	-28411
+-16347	-28398
+-16368	-28386
+-16391	-28373
+-16413	-28360
+-16435	-28348
+-16456	-28335
+-16478	-28323
+-16500	-28310
+-16522	-28297
+-16543	-28285
+-16565	-28272
+-16587	-28259
+-16608	-28246
+-16630	-28234
+-16652	-28221
+-16673	-28208
+-16695	-28195
+-16717	-28183
+-16738	-28170
+-16760	-28157
+-16781	-28144
+-16803	-28131
+-16825	-28118
+-16846	-28105
+-16868	-28092
+-16889	-28079
+-16911	-28067
+-16932	-28054
+-16954	-28041
+-16975	-28028
+-16997	-28014
+-17018	-28001
+-17040	-27988
+-17061	-27975
+-17083	-27962
+-17104	-27949
+-17125	-27936
+-17147	-27923
+-17168	-27910
+-17190	-27897
+-17211	-27883
+-17232	-27870
+-17254	-27857
+-17275	-27844
+-17297	-27830
+-17318	-27817
+-17339	-27804
+-17361	-27791
+-17382	-27777
+-17403	-27764
+-17424	-27750
+-17446	-27737
+-17467	-27724
+-17488	-27710
+-17509	-27697
+-17531	-27683
+-17552	-27670
+-17573	-27657
+-17594	-27643
+-17616	-27630
+-17637	-27616
+-17658	-27603
+-17679	-27589
+-17700	-27575
+-17721	-27562
+-17743	-27548
+-17764	-27535
+-17785	-27521
+-17806	-27507
+-17827	-27494
+-17848	-27480
+-17869	-27466
+-17890	-27453
+-17911	-27439
+-17932	-27425
+-17953	-27411
+-17974	-27398
+-17995	-27384
+-18016	-27370
+-18037	-27356
+-18058	-27342
+-18079	-27328
+-18100	-27315
+-18121	-27301
+-18142	-27287
+-18163	-27273
+-18184	-27259
+-18205	-27245
+-18226	-27231
+-18247	-27217
+-18267	-27203
+-18288	-27189
+-18309	-27175
+-18330	-27161
+-18351	-27147
+-18372	-27133
+-18392	-27119
+-18413	-27105
+-18434	-27090
+-18455	-27076
+-18476	-27062
+-18496	-27048
+-18517	-27034
+-18538	-27019
+-18558	-27005
+-18579	-26991
+-18600	-26977
+-18621	-26962
+-18641	-26948
+-18662	-26934
+-18683	-26920
+-18703	-26905
+-18724	-26891
+-18744	-26877
+-18765	-26862
+-18786	-26848
+-18806	-26833
+-18827	-26819
+-18847	-26804
+-18868	-26790
+-18888	-26776
+-18909	-26761
+-18930	-26747
+-18950	-26732
+-18971	-26717
+-18991	-26703
+-19011	-26688
+-19032	-26674
+-19052	-26659
+-19073	-26644
+-19093	-26630
+-19114	-26615
+-19134	-26601
+-19154	-26586
+-19175	-26571
+-19195	-26556
+-19216	-26542
+-19236	-26527
+-19256	-26512
+-19277	-26497
+-19297	-26483
+-19317	-26468
+-19338	-26453
+-19358	-26438
+-19378	-26423
+-19398	-26408
+-19419	-26394
+-19439	-26379
+-19459	-26364
+-19479	-26349
+-19499	-26334
+-19520	-26319
+-19540	-26304
+-19560	-26289
+-19580	-26274
+-19600	-26259
+-19620	-26244
+-19641	-26229
+-19661	-26214
+-19681	-26199
+-19701	-26184
+-19721	-26168
+-19741	-26153
+-19761	-26138
+-19781	-26123
+-19801	-26108
+-19821	-26093
+-19841	-26077
+-19861	-26062
+-19881	-26047
+-19901	-26032
+-19921	-26016
+-19941	-26001
+-19961	-25986
+-19981	-25970
+-20001	-25955
+-20021	-25940
+-20041	-25924
+-20060	-25909
+-20080	-25894
+-20100	-25878
+-20120	-25863
+-20140	-25847
+-20160	-25832
+-20179	-25816
+-20199	-25801
+-20219	-25786
+-20239	-25770
+-20259	-25754
+-20278	-25739
+-20298	-25723
+-20318	-25708
+-20338	-25692
+-20357	-25677
+-20377	-25661
+-20397	-25645
+-20416	-25630
+-20436	-25614
+-20456	-25598
+-20475	-25583
+-20495	-25567
+-20514	-25551
+-20534	-25535
+-20554	-25520
+-20573	-25504
+-20593	-25488
+-20612	-25472
+-20632	-25457
+-20651	-25441
+-20671	-25425
+-20690	-25409
+-20710	-25393
+-20729	-25377
+-20749	-25361
+-20768	-25345
+-20788	-25329
+-20807	-25314
+-20826	-25298
+-20846	-25282
+-20865	-25266
+-20885	-25250
+-20904	-25234
+-20923	-25217
+-20943	-25201
+-20962	-25185
+-20981	-25169
+-21000	-25153
+-21020	-25137
+-21039	-25121
+-21058	-25105
+-21078	-25089
+-21097	-25072
+-21116	-25056
+-21135	-25040
+-21154	-25024
+-21174	-25008
+-21193	-24991
+-21212	-24975
+-21231	-24959
+-21250	-24943
+-21269	-24926
+-21288	-24910
+-21308	-24894
+-21327	-24877
+-21346	-24861
+-21365	-24845
+-21384	-24828
+-21403	-24812
+-21422	-24795
+-21441	-24779
+-21460	-24762
+-21479	-24746
+-21498	-24729
+-21517	-24713
+-21536	-24696
+-21555	-24680
+-21574	-24663
+-21593	-24647
+-21611	-24630
+-21630	-24614
+-21649	-24597
+-21668	-24580
+-21687	-24564
+-21706	-24547
+-21725	-24531
+-21743	-24514
+-21762	-24497
+-21781	-24480
+-21800	-24464
+-21818	-24447
+-21837	-24430
+-21856	-24414
+-21875	-24397
+-21893	-24380
+-21912	-24363
+-21931	-24346
+-21949	-24330
+-21968	-24313
+-21987	-24296
+-22005	-24279
+-22024	-24262
+-22043	-24245
+-22061	-24228
+-22080	-24211
+-22098	-24194
+-22117	-24177
+-22135	-24161
+-22154	-24144
+-22172	-24127
+-22191	-24110
+-22209	-24092
+-22228	-24075
+-22246	-24058
+-22265	-24041
+-22283	-24024
+-22302	-24007
+-22320	-23990
+-22338	-23973
+-22357	-23956
+-22375	-23939
+-22393	-23921
+-22412	-23904
+-22430	-23887
+-22448	-23870
+-22467	-23853
+-22485	-23835
+-22503	-23818
+-22522	-23801
+-22540	-23784
+-22558	-23766
+-22576	-23749
+-22594	-23732
+-22613	-23714
+-22631	-23697
+-22649	-23680
+-22667	-23662
+-22685	-23645
+-22703	-23627
+-22722	-23610
+-22740	-23593
+-22758	-23575
+-22776	-23558
+-22794	-23540
+-22812	-23523
+-22830	-23505
+-22848	-23488
+-22866	-23470
+-22884	-23453
+-22902	-23435
+-22920	-23418
+-22938	-23400
+-22956	-23382
+-22974	-23365
+-22992	-23347
+-23010	-23329
+-23027	-23312
+-23045	-23294
+-23063	-23276
+-23081	-23259
+-23099	-23241
+-23117	-23223
+-23134	-23206
+-23152	-23188
+-23170	-23170
+-23188	-23152
+-23206	-23134
+-23223	-23117
+-23241	-23099
+-23259	-23081
+-23276	-23063
+-23294	-23045
+-23312	-23027
+-23329	-23010
+-23347	-22992
+-23365	-22974
+-23382	-22956
+-23400	-22938
+-23418	-22920
+-23435	-22902
+-23453	-22884
+-23470	-22866
+-23488	-22848
+-23505	-22830
+-23523	-22812
+-23540	-22794
+-23558	-22776
+-23575	-22758
+-23593	-22740
+-23610	-22722
+-23627	-22703
+-23645	-22685
+-23662	-22667
+-23680	-22649
+-23697	-22631
+-23714	-22613
+-23732	-22594
+-23749	-22576
+-23766	-22558
+-23784	-22540
+-23801	-22522
+-23818	-22503
+-23835	-22485
+-23853	-22467
+-23870	-22448
+-23887	-22430
+-23904	-22412
+-23921	-22393
+-23939	-22375
+-23956	-22357
+-23973	-22338
+-23990	-22320
+-24007	-22302
+-24024	-22283
+-24041	-22265
+-24058	-22246
+-24075	-22228
+-24092	-22209
+-24110	-22191
+-24127	-22172
+-24144	-22154
+-24161	-22135
+-24177	-22117
+-24194	-22098
+-24211	-22080
+-24228	-22061
+-24245	-22043
+-24262	-22024
+-24279	-22005
+-24296	-21987
+-24313	-21968
+-24330	-21949
+-24346	-21931
+-24363	-21912
+-24380	-21893
+-24397	-21875
+-24414	-21856
+-24430	-21837
+-24447	-21818
+-24464	-21800
+-24480	-21781
+-24497	-21762
+-24514	-21743
+-24531	-21725
+-24547	-21706
+-24564	-21687
+-24580	-21668
+-24597	-21649
+-24614	-21630
+-24630	-21611
+-24647	-21593
+-24663	-21574
+-24680	-21555
+-24696	-21536
+-24713	-21517
+-24729	-21498
+-24746	-21479
+-24762	-21460
+-24779	-21441
+-24795	-21422
+-24812	-21403
+-24828	-21384
+-24845	-21365
+-24861	-21346
+-24877	-21327
+-24894	-21308
+-24910	-21288
+-24926	-21269
+-24943	-21250
+-24959	-21231
+-24975	-21212
+-24991	-21193
+-25008	-21174
+-25024	-21154
+-25040	-21135
+-25056	-21116
+-25072	-21097
+-25089	-21078
+-25105	-21058
+-25121	-21039
+-25137	-21020
+-25153	-21000
+-25169	-20981
+-25185	-20962
+-25201	-20943
+-25217	-20923
+-25234	-20904
+-25250	-20885
+-25266	-20865
+-25282	-20846
+-25298	-20826
+-25314	-20807
+-25329	-20788
+-25345	-20768
+-25361	-20749
+-25377	-20729
+-25393	-20710
+-25409	-20690
+-25425	-20671
+-25441	-20651
+-25457	-20632
+-25472	-20612
+-25488	-20593
+-25504	-20573
+-25520	-20554
+-25535	-20534
+-25551	-20514
+-25567	-20495
+-25583	-20475
+-25598	-20456
+-25614	-20436
+-25630	-20416
+-25645	-20397
+-25661	-20377
+-25677	-20357
+-25692	-20338
+-25708	-20318
+-25723	-20298
+-25739	-20278
+-25754	-20259
+-25770	-20239
+-25786	-20219
+-25801	-20199
+-25816	-20179
+-25832	-20160
+-25847	-20140
+-25863	-20120
+-25878	-20100
+-25894	-20080
+-25909	-20060
+-25924	-20041
+-25940	-20021
+-25955	-20001
+-25970	-19981
+-25986	-19961
+-26001	-19941
+-26016	-19921
+-26032	-19901
+-26047	-19881
+-26062	-19861
+-26077	-19841
+-26093	-19821
+-26108	-19801
+-26123	-19781
+-26138	-19761
+-26153	-19741
+-26168	-19721
+-26184	-19701
+-26199	-19681
+-26214	-19661
+-26229	-19641
+-26244	-19620
+-26259	-19600
+-26274	-19580
+-26289	-19560
+-26304	-19540
+-26319	-19520
+-26334	-19499
+-26349	-19479
+-26364	-19459
+-26379	-19439
+-26394	-19419
+-26408	-19398
+-26423	-19378
+-26438	-19358
+-26453	-19338
+-26468	-19317
+-26483	-19297
+-26497	-19277
+-26512	-19256
+-26527	-19236
+-26542	-19216
+-26556	-19195
+-26571	-19175
+-26586	-19154
+-26601	-19134
+-26615	-19114
+-26630	-19093
+-26644	-19073
+-26659	-19052
+-26674	-19032
+-26688	-19011
+-26703	-18991
+-26717	-18971
+-26732	-18950
+-26747	-18930
+-26761	-18909
+-26776	-18888
+-26790	-18868
+-26804	-18847
+-26819	-18827
+-26833	-18806
+-26848	-18786
+-26862	-18765
+-26877	-18744
+-26891	-18724
+-26905	-18703
+-26920	-18683
+-26934	-18662
+-26948	-18641
+-26962	-18621
+-26977	-18600
+-26991	-18579
+-27005	-18558
+-27019	-18538
+-27034	-18517
+-27048	-18496
+-27062	-18476
+-27076	-18455
+-27090	-18434
+-27105	-18413
+-27119	-18392
+-27133	-18372
+-27147	-18351
+-27161	-18330
+-27175	-18309
+-27189	-18288
+-27203	-18267
+-27217	-18247
+-27231	-18226
+-27245	-18205
+-27259	-18184
+-27273	-18163
+-27287	-18142
+-27301	-18121
+-27315	-18100
+-27328	-18079
+-27342	-18058
+-27356	-18037
+-27370	-18016
+-27384	-17995
+-27398	-17974
+-27411	-17953
+-27425	-17932
+-27439	-17911
+-27453	-17890
+-27466	-17869
+-27480	-17848
+-27494	-17827
+-27507	-17806
+-27521	-17785
+-27535	-17764
+-27548	-17743
+-27562	-17721
+-27575	-17700
+-27589	-17679
+-27603	-17658
+-27616	-17637
+-27630	-17616
+-27643	-17594
+-27657	-17573
+-27670	-17552
+-27683	-17531
+-27697	-17509
+-27710	-17488
+-27724	-17467
+-27737	-17446
+-27750	-17424
+-27764	-17403
+-27777	-17382
+-27791	-17361
+-27804	-17339
+-27817	-17318
+-27830	-17297
+-27844	-17275
+-27857	-17254
+-27870	-17232
+-27883	-17211
+-27897	-17190
+-27910	-17168
+-27923	-17147
+-27936	-17125
+-27949	-17104
+-27962	-17083
+-27975	-17061
+-27988	-17040
+-28001	-17018
+-28014	-16997
+-28028	-16975
+-28041	-16954
+-28054	-16932
+-28067	-16911
+-28079	-16889
+-28092	-16868
+-28105	-16846
+-28118	-16825
+-28131	-16803
+-28144	-16781
+-28157	-16760
+-28170	-16738
+-28183	-16717
+-28195	-16695
+-28208	-16673
+-28221	-16652
+-28234	-16630
+-28246	-16608
+-28259	-16587
+-28272	-16565
+-28285	-16543
+-28297	-16522
+-28310	-16500
+-28323	-16478
+-28335	-16456
+-28348	-16435
+-28360	-16413
+-28373	-16391
+-28386	-16368
+-28398	-16347
+-28411	-16325
+-28423	-16303
+-28436	-16281
+-28448	-16260
+-28461	-16238
+-28473	-16216
+-28485	-16194
+-28498	-16172
+-28510	-16150
+-28523	-16128
+-28535	-16107
+-28547	-16085
+-28560	-16063
+-28572	-16041
+-28584	-16019
+-28597	-15997
+-28609	-15975
+-28621	-15953
+-28633	-15931
+-28646	-15909
+-28658	-15887
+-28670	-15865
+-28682	-15843
+-28694	-15821
+-28706	-15799
+-28718	-15777
+-28731	-15755
+-28743	-15733
+-28755	-15711
+-28767	-15689
+-28779	-15667
+-28791	-15645
+-28803	-15623
+-28815	-15601
+-28827	-15579
+-28839	-15556
+-28851	-15534
+-28862	-15512
+-28874	-15490
+-28886	-15468
+-28898	-15446
+-28910	-15424
+-28922	-15401
+-28933	-15379
+-28945	-15357
+-28957	-15335
+-28969	-15313
+-28981	-15290
+-28992	-15268
+-29004	-15246
+-29016	-15224
+-29027	-15201
+-29039	-15179
+-29051	-15157
+-29062	-15135
+-29074	-15112
+-29085	-15090
+-29097	-15068
+-29109	-15045
+-29120	-15023
+-29132	-15001
+-29143	-14978
+-29155	-14956
+-29166	-14934
+-29177	-14911
+-29189	-14889
+-29200	-14866
+-29212	-14844
+-29223	-14822
+-29234	-14799
+-29246	-14777
+-29257	-14754
+-29268	-14732
+-29280	-14710
+-29291	-14687
+-29302	-14665
+-29313	-14642
+-29325	-14620
+-29336	-14597
+-29347	-14575
+-29358	-14552
+-29369	-14530
+-29381	-14507
+-29392	-14485
+-29403	-14462
+-29414	-14439
+-29425	-14417
+-29436	-14394
+-29447	-14372
+-29458	-14349
+-29469	-14327
+-29480	-14304
+-29491	-14281
+-29502	-14259
+-29513	-14236
+-29524	-14213
+-29535	-14191
+-29545	-14168
+-29556	-14145
+-29567	-14123
+-29578	-14100
+-29589	-14077
+-29600	-14055
+-29610	-14032
+-29621	-14009
+-29632	-13987
+-29643	-13964
+-29653	-13941
+-29664	-13918
+-29675	-13896
+-29685	-13873
+-29696	-13850
+-29707	-13827
+-29717	-13804
+-29728	-13782
+-29738	-13759
+-29749	-13736
+-29759	-13713
+-29770	-13690
+-29780	-13668
+-29791	-13645
+-29801	-13622
+-29812	-13599
+-29822	-13576
+-29833	-13553
+-29843	-13530
+-29853	-13507
+-29864	-13485
+-29874	-13462
+-29884	-13439
+-29895	-13416
+-29905	-13393
+-29915	-13370
+-29925	-13347
+-29936	-13324
+-29946	-13301
+-29956	-13278
+-29966	-13255
+-29976	-13232
+-29986	-13209
+-29997	-13186
+-30007	-13163
+-30017	-13140
+-30027	-13117
+-30037	-13094
+-30047	-13071
+-30057	-13048
+-30067	-13025
+-30077	-13002
+-30087	-12979
+-30097	-12956
+-30107	-12933
+-30117	-12910
+-30127	-12886
+-30136	-12863
+-30146	-12840
+-30156	-12817
+-30166	-12794
+-30176	-12771
+-30186	-12748
+-30195	-12725
+-30205	-12701
+-30215	-12678
+-30225	-12655
+-30234	-12632
+-30244	-12609
+-30254	-12585
+-30263	-12562
+-30273	-12539
+-30282	-12516
+-30292	-12493
+-30302	-12469
+-30311	-12446
+-30321	-12423
+-30330	-12400
+-30340	-12376
+-30349	-12353
+-30359	-12330
+-30368	-12306
+-30378	-12283
+-30387	-12260
+-30396	-12237
+-30406	-12213
+-30415	-12190
+-30424	-12167
+-30434	-12143
+-30443	-12120
+-30452	-12097
+-30462	-12073
+-30471	-12050
+-30480	-12026
+-30489	-12003
+-30499	-11980
+-30508	-11956
+-30517	-11933
+-30526	-11909
+-30535	-11886
+-30544	-11863
+-30553	-11839
+-30562	-11816
+-30571	-11792
+-30580	-11769
+-30589	-11745
+-30598	-11722
+-30607	-11698
+-30616	-11675
+-30625	-11652
+-30634	-11628
+-30643	-11605
+-30652	-11581
+-30661	-11558
+-30670	-11534
+-30679	-11510
+-30688	-11487
+-30696	-11463
+-30705	-11440
+-30714	-11416
+-30723	-11393
+-30731	-11369
+-30740	-11346
+-30749	-11322
+-30757	-11298
+-30766	-11275
+-30775	-11251
+-30783	-11228
+-30792	-11204
+-30801	-11180
+-30809	-11157
+-30818	-11133
+-30826	-11109
+-30835	-11086
+-30843	-11062
+-30852	-11039
+-30860	-11015
+-30869	-10991
+-30877	-10968
+-30885	-10944
+-30894	-10920
+-30902	-10896
+-30910	-10873
+-30919	-10849
+-30927	-10825
+-30935	-10802
+-30944	-10778
+-30952	-10754
+-30960	-10730
+-30968	-10707
+-30977	-10683
+-30985	-10659
+-30993	-10635
+-31001	-10612
+-31009	-10588
+-31017	-10564
+-31025	-10540
+-31033	-10516
+-31042	-10493
+-31050	-10469
+-31058	-10445
+-31066	-10421
+-31074	-10397
+-31082	-10373
+-31090	-10350
+-31097	-10326
+-31105	-10302
+-31113	-10278
+-31121	-10254
+-31129	-10230
+-31137	-10206
+-31145	-10183
+-31152	-10159
+-31160	-10135
+-31168	-10111
+-31176	-10087
+-31183	-10063
+-31191	-10039
+-31199	-10015
+-31207	 -9991
+-31214	 -9967
+-31222	 -9943
+-31229	 -9919
+-31237	 -9896
+-31245	 -9872
+-31252	 -9848
+-31260	 -9824
+-31267	 -9800
+-31275	 -9776
+-31282	 -9752
+-31290	 -9728
+-31297	 -9704
+-31305	 -9680
+-31312	 -9656
+-31319	 -9632
+-31327	 -9608
+-31334	 -9584
+-31341	 -9560
+-31349	 -9536
+-31356	 -9511
+-31363	 -9487
+-31371	 -9463
+-31378	 -9439
+-31385	 -9415
+-31392	 -9391
+-31400	 -9367
+-31407	 -9343
+-31414	 -9319
+-31421	 -9295
+-31428	 -9271
+-31435	 -9247
+-31442	 -9222
+-31449	 -9198
+-31456	 -9174
+-31463	 -9150
+-31470	 -9126
+-31477	 -9102
+-31484	 -9078
+-31491	 -9054
+-31498	 -9029
+-31505	 -9005
+-31512	 -8981
+-31519	 -8957
+-31526	 -8933
+-31533	 -8909
+-31540	 -8884
+-31546	 -8860
+-31553	 -8836
+-31560	 -8812
+-31567	 -8788
+-31573	 -8763
+-31580	 -8739
+-31587	 -8715
+-31593	 -8691
+-31600	 -8666
+-31607	 -8642
+-31613	 -8618
+-31620	 -8594
+-31627	 -8569
+-31633	 -8545
+-31640	 -8521
+-31646	 -8497
+-31653	 -8472
+-31659	 -8448
+-31666	 -8424
+-31672	 -8400
+-31679	 -8375
+-31685	 -8351
+-31691	 -8327
+-31698	 -8302
+-31704	 -8278
+-31710	 -8254
+-31717	 -8229
+-31723	 -8205
+-31729	 -8181
+-31736	 -8156
+-31742	 -8132
+-31748	 -8108
+-31754	 -8083
+-31760	 -8059
+-31767	 -8035
+-31773	 -8010
+-31779	 -7986
+-31785	 -7961
+-31791	 -7937
+-31797	 -7913
+-31803	 -7888
+-31809	 -7864
+-31815	 -7840
+-31821	 -7815
+-31827	 -7791
+-31833	 -7766
+-31839	 -7742
+-31845	 -7717
+-31851	 -7693
+-31857	 -7669
+-31863	 -7644
+-31869	 -7620
+-31875	 -7595
+-31880	 -7571
+-31886	 -7546
+-31892	 -7522
+-31898	 -7497
+-31903	 -7473
+-31909	 -7449
+-31915	 -7424
+-31921	 -7400
+-31926	 -7375
+-31932	 -7351
+-31937	 -7326
+-31943	 -7302
+-31949	 -7277
+-31954	 -7253
+-31960	 -7228
+-31965	 -7204
+-31971	 -7179
+-31976	 -7155
+-31982	 -7130
+-31987	 -7105
+-31993	 -7081
+-31998	 -7056
+-32004	 -7032
+-32009	 -7007
+-32014	 -6983
+-32020	 -6958
+-32025	 -6934
+-32030	 -6909
+-32036	 -6885
+-32041	 -6860
+-32046	 -6835
+-32051	 -6811
+-32057	 -6786
+-32062	 -6762
+-32067	 -6737
+-32072	 -6712
+-32077	 -6688
+-32082	 -6663
+-32087	 -6639
+-32093	 -6614
+-32098	 -6589
+-32103	 -6565
+-32108	 -6540
+-32113	 -6516
+-32118	 -6491
+-32123	 -6466
+-32128	 -6442
+-32132	 -6417
+-32137	 -6392
+-32142	 -6368
+-32147	 -6343
+-32152	 -6318
+-32157	 -6294
+-32162	 -6269
+-32166	 -6244
+-32171	 -6220
+-32176	 -6195
+-32181	 -6170
+-32185	 -6146
+-32190	 -6121
+-32195	 -6096
+-32200	 -6072
+-32204	 -6047
+-32209	 -6022
+-32213	 -5997
+-32218	 -5973
+-32223	 -5948
+-32227	 -5923
+-32232	 -5899
+-32236	 -5874
+-32241	 -5849
+-32245	 -5824
+-32250	 -5800
+-32254	 -5775
+-32258	 -5750
+-32263	 -5726
+-32267	 -5701
+-32272	 -5676
+-32276	 -5651
+-32280	 -5626
+-32285	 -5602
+-32289	 -5577
+-32293	 -5552
+-32297	 -5527
+-32302	 -5503
+-32306	 -5478
+-32310	 -5453
+-32314	 -5428
+-32318	 -5404
+-32323	 -5379
+-32327	 -5354
+-32331	 -5329
+-32335	 -5304
+-32339	 -5280
+-32343	 -5255
+-32347	 -5230
+-32351	 -5205
+-32355	 -5180
+-32359	 -5156
+-32363	 -5131
+-32367	 -5106
+-32371	 -5081
+-32375	 -5056
+-32378	 -5031
+-32382	 -5007
+-32386	 -4982
+-32390	 -4957
+-32394	 -4932
+-32397	 -4907
+-32401	 -4882
+-32405	 -4857
+-32409	 -4833
+-32412	 -4808
+-32416	 -4783
+-32420	 -4758
+-32423	 -4733
+-32427	 -4708
+-32431	 -4683
+-32434	 -4659
+-32438	 -4634
+-32441	 -4609
+-32445	 -4584
+-32448	 -4559
+-32452	 -4534
+-32455	 -4509
+-32459	 -4484
+-32462	 -4459
+-32466	 -4435
+-32469	 -4410
+-32472	 -4385
+-32476	 -4360
+-32479	 -4335
+-32482	 -4310
+-32486	 -4285
+-32489	 -4260
+-32492	 -4235
+-32495	 -4210
+-32499	 -4185
+-32502	 -4161
+-32505	 -4136
+-32508	 -4111
+-32511	 -4086
+-32514	 -4061
+-32517	 -4036
+-32521	 -4011
+-32524	 -3986
+-32527	 -3961
+-32530	 -3936
+-32533	 -3911
+-32536	 -3886
+-32539	 -3861
+-32542	 -3836
+-32545	 -3811
+-32547	 -3786
+-32550	 -3761
+-32553	 -3736
+-32556	 -3711
+-32559	 -3686
+-32562	 -3661
+-32565	 -3637
+-32567	 -3612
+-32570	 -3587
+-32573	 -3562
+-32576	 -3537
+-32578	 -3512
+-32581	 -3487
+-32584	 -3462
+-32586	 -3437
+-32589	 -3412
+-32592	 -3387
+-32594	 -3362
+-32597	 -3337
+-32599	 -3312
+-32602	 -3287
+-32604	 -3262
+-32607	 -3237
+-32609	 -3212
+-32612	 -3187
+-32614	 -3162
+-32617	 -3137
+-32619	 -3112
+-32621	 -3087
+-32624	 -3062
+-32626	 -3037
+-32628	 -3011
+-32631	 -2986
+-32633	 -2961
+-32635	 -2936
+-32637	 -2911
+-32640	 -2886
+-32642	 -2861
+-32644	 -2836
+-32646	 -2811
+-32648	 -2786
+-32650	 -2761
+-32653	 -2736
+-32655	 -2711
+-32657	 -2686
+-32659	 -2661
+-32661	 -2636
+-32663	 -2611
+-32665	 -2586
+-32667	 -2561
+-32669	 -2536
+-32671	 -2511
+-32673	 -2486
+-32674	 -2461
+-32676	 -2435
+-32678	 -2410
+-32680	 -2385
+-32682	 -2360
+-32684	 -2335
+-32685	 -2310
+-32687	 -2285
+-32689	 -2260
+-32691	 -2235
+-32692	 -2210
+-32694	 -2185
+-32696	 -2160
+-32697	 -2135
+-32699	 -2110
+-32701	 -2084
+-32702	 -2059
+-32704	 -2034
+-32705	 -2009
+-32707	 -1984
+-32708	 -1959
+-32710	 -1934
+-32711	 -1909
+-32713	 -1884
+-32714	 -1859
+-32716	 -1834
+-32717	 -1809
+-32718	 -1783
+-32720	 -1758
+-32721	 -1733
+-32722	 -1708
+-32724	 -1683
+-32725	 -1658
+-32726	 -1633
+-32728	 -1608
+-32729	 -1583
+-32730	 -1558
+-32731	 -1532
+-32732	 -1507
+-32733	 -1482
+-32735	 -1457
+-32736	 -1432
+-32737	 -1407
+-32738	 -1382
+-32739	 -1357
+-32740	 -1332
+-32741	 -1306
+-32742	 -1281
+-32743	 -1256
+-32744	 -1231
+-32745	 -1206
+-32746	 -1181
+-32747	 -1156
+-32747	 -1131
+-32748	 -1106
+-32749	 -1080
+-32750	 -1055
+-32751	 -1030
+-32752	 -1005
+-32752	  -980
+-32753	  -955
+-32754	  -930
+-32755	  -905
+-32755	  -879
+-32756	  -854
+-32757	  -829
+-32757	  -804
+-32758	  -779
+-32758	  -754
+-32759	  -729
+-32759	  -704
+-32760	  -678
+-32760	  -653
+-32761	  -628
+-32761	  -603
+-32762	  -578
+-32762	  -553
+-32763	  -528
+-32763	  -503
+-32764	  -477
+-32764	  -452
+-32764	  -427
+-32765	  -402
+-32765	  -377
+-32765	  -352
+-32765	  -327
+-32766	  -302
+-32766	  -276
+-32766	  -251
+-32766	  -226
+-32766	  -201
+-32767	  -176
+-32767	  -151
+-32767	  -126
+-32767	  -101
+-32767	   -75
+-32767	   -50
+-32767	   -25
+ 32767	     0
+ 32767	   -13
+ 32767	   -25
+ 32767	   -38
+ 32767	   -50
+ 32767	   -63
+ 32767	   -75
+ 32767	   -88
+ 32767	  -101
+ 32767	  -113
+ 32767	  -126
+ 32767	  -138
+ 32767	  -151
+ 32767	  -163
+ 32767	  -176
+ 32766	  -188
+ 32766	  -201
+ 32766	  -214
+ 32766	  -226
+ 32766	  -239
+ 32766	  -251
+ 32766	  -264
+ 32766	  -276
+ 32766	  -289
+ 32766	  -302
+ 32765	  -314
+ 32765	  -327
+ 32765	  -339
+ 32765	  -352
+ 32765	  -364
+ 32765	  -377
+ 32765	  -390
+ 32765	  -402
+ 32764	  -415
+ 32764	  -427
+ 32764	  -440
+ 32764	  -452
+ 32764	  -465
+ 32764	  -477
+ 32763	  -490
+ 32763	  -503
+ 32763	  -515
+ 32763	  -528
+ 32763	  -540
+ 32762	  -553
+ 32762	  -565
+ 32762	  -578
+ 32762	  -591
+ 32761	  -603
+ 32761	  -616
+ 32761	  -628
+ 32761	  -641
+ 32760	  -653
+ 32760	  -666
+ 32760	  -678
+ 32760	  -691
+ 32759	  -704
+ 32759	  -716
+ 32759	  -729
+ 32759	  -741
+ 32758	  -754
+ 32758	  -766
+ 32758	  -779
+ 32757	  -792
+ 32757	  -804
+ 32757	  -817
+ 32757	  -829
+ 32756	  -842
+ 32756	  -854
+ 32756	  -867
+ 32755	  -879
+ 32755	  -892
+ 32755	  -905
+ 32754	  -917
+ 32754	  -930
+ 32753	  -942
+ 32753	  -955
+ 32753	  -967
+ 32752	  -980
+ 32752	  -993
+ 32752	 -1005
+ 32751	 -1018
+ 32751	 -1030
+ 32750	 -1043
+ 32750	 -1055
+ 32750	 -1068
+ 32749	 -1080
+ 32749	 -1093
+ 32748	 -1106
+ 32748	 -1118
+ 32747	 -1131
+ 32747	 -1143
+ 32747	 -1156
+ 32746	 -1168
+ 32746	 -1181
+ 32745	 -1193
+ 32745	 -1206
+ 32744	 -1219
+ 32744	 -1231
+ 32743	 -1244
+ 32743	 -1256
+ 32742	 -1269
+ 32742	 -1281
+ 32741	 -1294
+ 32741	 -1306
+ 32740	 -1319
+ 32740	 -1332
+ 32739	 -1344
+ 32739	 -1357
+ 32738	 -1369
+ 32738	 -1382
+ 32737	 -1394
+ 32737	 -1407
+ 32736	 -1419
+ 32736	 -1432
+ 32735	 -1445
+ 32735	 -1457
+ 32734	 -1470
+ 32733	 -1482
+ 32733	 -1495
+ 32732	 -1507
+ 32732	 -1520
+ 32731	 -1532
+ 32731	 -1545
+ 32730	 -1558
+ 32729	 -1570
+ 32729	 -1583
+ 32728	 -1595
+ 32728	 -1608
+ 32727	 -1620
+ 32726	 -1633
+ 32726	 -1645
+ 32725	 -1658
+ 32724	 -1671
+ 32724	 -1683
+ 32723	 -1696
+ 32722	 -1708
+ 32722	 -1721
+ 32721	 -1733
+ 32720	 -1746
+ 32720	 -1758
+ 32719	 -1771
+ 32718	 -1783
+ 32718	 -1796
+ 32717	 -1809
+ 32716	 -1821
+ 32716	 -1834
+ 32715	 -1846
+ 32714	 -1859
+ 32714	 -1871
+ 32713	 -1884
+ 32712	 -1896
+ 32711	 -1909
+ 32711	 -1921
+ 32710	 -1934
+ 32709	 -1947
+ 32708	 -1959
+ 32708	 -1972
+ 32707	 -1984
+ 32706	 -1997
+ 32705	 -2009
+ 32705	 -2022
+ 32704	 -2034
+ 32703	 -2047
+ 32702	 -2059
+ 32701	 -2072
+ 32701	 -2084
+ 32700	 -2097
+ 32699	 -2110
+ 32698	 -2122
+ 32697	 -2135
+ 32697	 -2147
+ 32696	 -2160
+ 32695	 -2172
+ 32694	 -2185
+ 32693	 -2197
+ 32692	 -2210
+ 32692	 -2222
+ 32691	 -2235
+ 32690	 -2247
+ 32689	 -2260
+ 32688	 -2273
+ 32687	 -2285
+ 32686	 -2298
+ 32685	 -2310
+ 32685	 -2323
+ 32684	 -2335
+ 32683	 -2348
+ 32682	 -2360
+ 32681	 -2373
+ 32680	 -2385
+ 32679	 -2398
+ 32678	 -2410
+ 32677	 -2423
+ 32676	 -2435
+ 32675	 -2448
+ 32674	 -2461
+ 32674	 -2473
+ 32673	 -2486
+ 32672	 -2498
+ 32671	 -2511
+ 32670	 -2523
+ 32669	 -2536
+ 32668	 -2548
+ 32667	 -2561
+ 32666	 -2573
+ 32665	 -2586
+ 32664	 -2598
+ 32663	 -2611
+ 32662	 -2623
+ 32661	 -2636
+ 32660	 -2648
+ 32659	 -2661
+ 32658	 -2673
+ 32657	 -2686
+ 32656	 -2699
+ 32655	 -2711
+ 32654	 -2724
+ 32653	 -2736
+ 32652	 -2749
+ 32650	 -2761
+ 32649	 -2774
+ 32648	 -2786
+ 32647	 -2799
+ 32646	 -2811
+ 32645	 -2824
+ 32644	 -2836
+ 32643	 -2849
+ 32642	 -2861
+ 32641	 -2874
+ 32640	 -2886
+ 32639	 -2899
+ 32637	 -2911
+ 32636	 -2924
+ 32635	 -2936
+ 32634	 -2949
+ 32633	 -2961
+ 32632	 -2974
+ 32631	 -2986
+ 32629	 -2999
+ 32628	 -3011
+ 32627	 -3024
+ 32626	 -3037
+ 32625	 -3049
+ 32624	 -3062
+ 32622	 -3074
+ 32621	 -3087
+ 32620	 -3099
+ 32619	 -3112
+ 32618	 -3124
+ 32617	 -3137
+ 32615	 -3149
+ 32614	 -3162
+ 32613	 -3174
+ 32612	 -3187
+ 32610	 -3199
+ 32609	 -3212
+ 32608	 -3224
+ 32607	 -3237
+ 32606	 -3249
+ 32604	 -3262
+ 32603	 -3274
+ 32602	 -3287
+ 32600	 -3299
+ 32599	 -3312
+ 32598	 -3324
+ 32597	 -3337
+ 32595	 -3349
+ 32594	 -3362
+ 32593	 -3374
+ 32592	 -3387
+ 32590	 -3399
+ 32589	 -3412
+ 32588	 -3424
+ 32586	 -3437
+ 32585	 -3449
+ 32584	 -3462
+ 32582	 -3474
+ 32581	 -3487
+ 32580	 -3499
+ 32578	 -3512
+ 32577	 -3524
+ 32576	 -3537
+ 32574	 -3549
+ 32573	 -3562
+ 32571	 -3574
+ 32570	 -3587
+ 32569	 -3599
+ 32567	 -3612
+ 32566	 -3624
+ 32565	 -3637
+ 32563	 -3649
+ 32562	 -3661
+ 32560	 -3674
+ 32559	 -3686
+ 32558	 -3699
+ 32556	 -3711
+ 32555	 -3724
+ 32553	 -3736
+ 32552	 -3749
+ 32550	 -3761
+ 32549	 -3774
+ 32547	 -3786
+ 32546	 -3799
+ 32545	 -3811
+ 32543	 -3824
+ 32542	 -3836
+ 32540	 -3849
+ 32539	 -3861
+ 32537	 -3874
+ 32536	 -3886
+ 32534	 -3899
+ 32533	 -3911
+ 32531	 -3924
+ 32530	 -3936
+ 32528	 -3949
+ 32527	 -3961
+ 32525	 -3973
+ 32524	 -3986
+ 32522	 -3998
+ 32521	 -4011
+ 32519	 -4023
+ 32517	 -4036
+ 32516	 -4048
+ 32514	 -4061
+ 32513	 -4073
+ 32511	 -4086
+ 32510	 -4098
+ 32508	 -4111
+ 32507	 -4123
+ 32505	 -4136
+ 32503	 -4148
+ 32502	 -4161
+ 32500	 -4173
+ 32499	 -4185
+ 32497	 -4198
+ 32495	 -4210
+ 32494	 -4223
+ 32492	 -4235
+ 32490	 -4248
+ 32489	 -4260
+ 32487	 -4273
+ 32486	 -4285
+ 32484	 -4298
+ 32482	 -4310
+ 32481	 -4322
+ 32479	 -4335
+ 32477	 -4347
+ 32476	 -4360
+ 32474	 -4372
+ 32472	 -4385
+ 32471	 -4397
+ 32469	 -4410
+ 32467	 -4422
+ 32466	 -4435
+ 32464	 -4447
+ 32462	 -4459
+ 32460	 -4472
+ 32459	 -4484
+ 32457	 -4497
+ 32455	 -4509
+ 32454	 -4522
+ 32452	 -4534
+ 32450	 -4547
+ 32448	 -4559
+ 32447	 -4571
+ 32445	 -4584
+ 32443	 -4596
+ 32441	 -4609
+ 32439	 -4621
+ 32438	 -4634
+ 32436	 -4646
+ 32434	 -4659
+ 32432	 -4671
+ 32431	 -4683
+ 32429	 -4696
+ 32427	 -4708
+ 32425	 -4721
+ 32423	 -4733
+ 32422	 -4746
+ 32420	 -4758
+ 32418	 -4770
+ 32416	 -4783
+ 32414	 -4795
+ 32412	 -4808
+ 32411	 -4820
+ 32409	 -4833
+ 32407	 -4845
+ 32405	 -4857
+ 32403	 -4870
+ 32401	 -4882
+ 32399	 -4895
+ 32397	 -4907
+ 32396	 -4920
+ 32394	 -4932
+ 32392	 -4944
+ 32390	 -4957
+ 32388	 -4969
+ 32386	 -4982
+ 32384	 -4994
+ 32382	 -5007
+ 32380	 -5019
+ 32378	 -5031
+ 32376	 -5044
+ 32375	 -5056
+ 32373	 -5069
+ 32371	 -5081
+ 32369	 -5093
+ 32367	 -5106
+ 32365	 -5118
+ 32363	 -5131
+ 32361	 -5143
+ 32359	 -5156
+ 32357	 -5168
+ 32355	 -5180
+ 32353	 -5193
+ 32351	 -5205
+ 32349	 -5218
+ 32347	 -5230
+ 32345	 -5242
+ 32343	 -5255
+ 32341	 -5267
+ 32339	 -5280
+ 32337	 -5292
+ 32335	 -5304
+ 32333	 -5317
+ 32331	 -5329
+ 32329	 -5342
+ 32327	 -5354
+ 32325	 -5366
+ 32323	 -5379
+ 32320	 -5391
+ 32318	 -5404
+ 32316	 -5416
+ 32314	 -5428
+ 32312	 -5441
+ 32310	 -5453
+ 32308	 -5466
+ 32306	 -5478
+ 32304	 -5490
+ 32302	 -5503
+ 32300	 -5515
+ 32297	 -5527
+ 32295	 -5540
+ 32293	 -5552
+ 32291	 -5565
+ 32289	 -5577
+ 32287	 -5589
+ 32285	 -5602
+ 32282	 -5614
+ 32280	 -5626
+ 32278	 -5639
+ 32276	 -5651
+ 32274	 -5664
+ 32272	 -5676
+ 32269	 -5688
+ 32267	 -5701
+ 32265	 -5713
+ 32263	 -5726
+ 32261	 -5738
+ 32258	 -5750
+ 32256	 -5763
+ 32254	 -5775
+ 32252	 -5787
+ 32250	 -5800
+ 32247	 -5812
+ 32245	 -5824
+ 32243	 -5837
+ 32241	 -5849
+ 32238	 -5862
+ 32236	 -5874
+ 32234	 -5886
+ 32232	 -5899
+ 32229	 -5911
+ 32227	 -5923
+ 32225	 -5936
+ 32223	 -5948
+ 32220	 -5960
+ 32218	 -5973
+ 32216	 -5985
+ 32213	 -5997
+ 32211	 -6010
+ 32209	 -6022
+ 32207	 -6035
+ 32204	 -6047
+ 32202	 -6059
+ 32200	 -6072
+ 32197	 -6084
+ 32195	 -6096
+ 32193	 -6109
+ 32190	 -6121
+ 32188	 -6133
+ 32185	 -6146
+ 32183	 -6158
+ 32181	 -6170
+ 32178	 -6183
+ 32176	 -6195
+ 32174	 -6207
+ 32171	 -6220
+ 32169	 -6232
+ 32166	 -6244
+ 32164	 -6257
+ 32162	 -6269
+ 32159	 -6281
+ 32157	 -6294
+ 32154	 -6306
+ 32152	 -6318
+ 32150	 -6331
+ 32147	 -6343
+ 32145	 -6355
+ 32142	 -6368
+ 32140	 -6380
+ 32137	 -6392
+ 32135	 -6405
+ 32132	 -6417
+ 32130	 -6429
+ 32128	 -6442
+ 32125	 -6454
+ 32123	 -6466
+ 32120	 -6479
+ 32118	 -6491
+ 32115	 -6503
+ 32113	 -6516
+ 32110	 -6528
+ 32108	 -6540
+ 32105	 -6552
+ 32103	 -6565
+ 32100	 -6577
+ 32098	 -6589
+ 32095	 -6602
+ 32093	 -6614
+ 32090	 -6626
+ 32087	 -6639
+ 32085	 -6651
+ 32082	 -6663
+ 32080	 -6676
+ 32077	 -6688
+ 32075	 -6700
+ 32072	 -6712
+ 32070	 -6725
+ 32067	 -6737
+ 32064	 -6749
+ 32062	 -6762
+ 32059	 -6774
+ 32057	 -6786
+ 32054	 -6799
+ 32051	 -6811
+ 32049	 -6823
+ 32046	 -6835
+ 32043	 -6848
+ 32041	 -6860
+ 32038	 -6872
+ 32036	 -6885
+ 32033	 -6897
+ 32030	 -6909
+ 32028	 -6921
+ 32025	 -6934
+ 32022	 -6946
+ 32020	 -6958
+ 32017	 -6970
+ 32014	 -6983
+ 32012	 -6995
+ 32009	 -7007
+ 32006	 -7020
+ 32004	 -7032
+ 32001	 -7044
+ 31998	 -7056
+ 31995	 -7069
+ 31993	 -7081
+ 31990	 -7093
+ 31987	 -7105
+ 31985	 -7118
+ 31982	 -7130
+ 31979	 -7142
+ 31976	 -7155
+ 31974	 -7167
+ 31971	 -7179
+ 31968	 -7191
+ 31965	 -7204
+ 31963	 -7216
+ 31960	 -7228
+ 31957	 -7240
+ 31954	 -7253
+ 31951	 -7265
+ 31949	 -7277
+ 31946	 -7289
+ 31943	 -7302
+ 31940	 -7314
+ 31937	 -7326
+ 31935	 -7338
+ 31932	 -7351
+ 31929	 -7363
+ 31926	 -7375
+ 31923	 -7387
+ 31921	 -7400
+ 31918	 -7412
+ 31915	 -7424
+ 31912	 -7436
+ 31909	 -7449
+ 31906	 -7461
+ 31903	 -7473
+ 31901	 -7485
+ 31898	 -7497
+ 31895	 -7510
+ 31892	 -7522
+ 31889	 -7534
+ 31886	 -7546
+ 31883	 -7559
+ 31880	 -7571
+ 31877	 -7583
+ 31875	 -7595
+ 31872	 -7608
+ 31869	 -7620
+ 31866	 -7632
+ 31863	 -7644
+ 31860	 -7656
+ 31857	 -7669
+ 31854	 -7681
+ 31851	 -7693
+ 31848	 -7705
+ 31845	 -7717
+ 31842	 -7730
+ 31839	 -7742
+ 31836	 -7754
+ 31833	 -7766
+ 31830	 -7779
+ 31827	 -7791
+ 31824	 -7803
+ 31821	 -7815
+ 31818	 -7827
+ 31815	 -7840
+ 31812	 -7852
+ 31809	 -7864
+ 31806	 -7876
+ 31803	 -7888
+ 31800	 -7901
+ 31797	 -7913
+ 31794	 -7925
+ 31791	 -7937
+ 31788	 -7949
+ 31785	 -7961
+ 31782	 -7974
+ 31779	 -7986
+ 31776	 -7998
+ 31773	 -8010
+ 31770	 -8022
+ 31767	 -8035
+ 31764	 -8047
+ 31760	 -8059
+ 31757	 -8071
+ 31754	 -8083
+ 31751	 -8095
+ 31748	 -8108
+ 31745	 -8120
+ 31742	 -8132
+ 31739	 -8144
+ 31736	 -8156
+ 31732	 -8169
+ 31729	 -8181
+ 31726	 -8193
+ 31723	 -8205
+ 31720	 -8217
+ 31717	 -8229
+ 31714	 -8242
+ 31710	 -8254
+ 31707	 -8266
+ 31704	 -8278
+ 31701	 -8290
+ 31698	 -8302
+ 31695	 -8314
+ 31691	 -8327
+ 31688	 -8339
+ 31685	 -8351
+ 31682	 -8363
+ 31679	 -8375
+ 31675	 -8387
+ 31672	 -8400
+ 31669	 -8412
+ 31666	 -8424
+ 31662	 -8436
+ 31659	 -8448
+ 31656	 -8460
+ 31653	 -8472
+ 31649	 -8485
+ 31646	 -8497
+ 31643	 -8509
+ 31640	 -8521
+ 31636	 -8533
+ 31633	 -8545
+ 31630	 -8557
+ 31627	 -8569
+ 31623	 -8582
+ 31620	 -8594
+ 31617	 -8606
+ 31613	 -8618
+ 31610	 -8630
+ 31607	 -8642
+ 31603	 -8654
+ 31600	 -8666
+ 31597	 -8679
+ 31593	 -8691
+ 31590	 -8703
+ 31587	 -8715
+ 31583	 -8727
+ 31580	 -8739
+ 31577	 -8751
+ 31573	 -8763
+ 31570	 -8775
+ 31567	 -8788
+ 31563	 -8800
+ 31560	 -8812
+ 31557	 -8824
+ 31553	 -8836
+ 31550	 -8848
+ 31546	 -8860
+ 31543	 -8872
+ 31540	 -8884
+ 31536	 -8896
+ 31533	 -8909
+ 31529	 -8921
+ 31526	 -8933
+ 31522	 -8945
+ 31519	 -8957
+ 31516	 -8969
+ 31512	 -8981
+ 31509	 -8993
+ 31505	 -9005
+ 31502	 -9017
+ 31498	 -9029
+ 31495	 -9041
+ 31491	 -9054
+ 31488	 -9066
+ 31484	 -9078
+ 31481	 -9090
+ 31477	 -9102
+ 31474	 -9114
+ 31470	 -9126
+ 31467	 -9138
+ 31463	 -9150
+ 31460	 -9162
+ 31456	 -9174
+ 31453	 -9186
+ 31449	 -9198
+ 31446	 -9210
+ 31442	 -9222
+ 31439	 -9235
+ 31435	 -9247
+ 31432	 -9259
+ 31428	 -9271
+ 31425	 -9283
+ 31421	 -9295
+ 31417	 -9307
+ 31414	 -9319
+ 31410	 -9331
+ 31407	 -9343
+ 31403	 -9355
+ 31400	 -9367
+ 31396	 -9379
+ 31392	 -9391
+ 31389	 -9403
+ 31385	 -9415
+ 31382	 -9427
+ 31378	 -9439
+ 31374	 -9451
+ 31371	 -9463
+ 31367	 -9475
+ 31363	 -9487
+ 31360	 -9499
+ 31356	 -9511
+ 31352	 -9523
+ 31349	 -9536
+ 31345	 -9548
+ 31341	 -9560
+ 31338	 -9572
+ 31334	 -9584
+ 31330	 -9596
+ 31327	 -9608
+ 31323	 -9620
+ 31319	 -9632
+ 31316	 -9644
+ 31312	 -9656
+ 31308	 -9668
+ 31305	 -9680
+ 31301	 -9692
+ 31297	 -9704
+ 31293	 -9716
+ 31290	 -9728
+ 31286	 -9740
+ 31282	 -9752
+ 31278	 -9764
+ 31275	 -9776
+ 31271	 -9788
+ 31267	 -9800
+ 31263	 -9812
+ 31260	 -9824
+ 31256	 -9836
+ 31252	 -9848
+ 31248	 -9860
+ 31245	 -9872
+ 31241	 -9884
+ 31237	 -9896
+ 31233	 -9908
+ 31229	 -9919
+ 31226	 -9931
+ 31222	 -9943
+ 31218	 -9955
+ 31214	 -9967
+ 31210	 -9979
+ 31207	 -9991
+ 31203	-10003
+ 31199	-10015
+ 31195	-10027
+ 31191	-10039
+ 31187	-10051
+ 31183	-10063
+ 31180	-10075
+ 31176	-10087
+ 31172	-10099
+ 31168	-10111
+ 31164	-10123
+ 31160	-10135
+ 31156	-10147
+ 31152	-10159
+ 31149	-10171
+ 31145	-10183
+ 31141	-10195
+ 31137	-10206
+ 31133	-10218
+ 31129	-10230
+ 31125	-10242
+ 31121	-10254
+ 31117	-10266
+ 31113	-10278
+ 31109	-10290
+ 31105	-10302
+ 31101	-10314
+ 31097	-10326
+ 31093	-10338
+ 31090	-10350
+ 31086	-10362
+ 31082	-10373
+ 31078	-10385
+ 31074	-10397
+ 31070	-10409
+ 31066	-10421
+ 31062	-10433
+ 31058	-10445
+ 31054	-10457
+ 31050	-10469
+ 31046	-10481
+ 31042	-10493
+ 31038	-10505
+ 31033	-10516
+ 31029	-10528
+ 31025	-10540
+ 31021	-10552
+ 31017	-10564
+ 31013	-10576
+ 31009	-10588
+ 31005	-10600
+ 31001	-10612
+ 30997	-10623
+ 30993	-10635
+ 30989	-10647
+ 30985	-10659
+ 30981	-10671
+ 30977	-10683
+ 30972	-10695
+ 30968	-10707
+ 30964	-10719
+ 30960	-10730
+ 30956	-10742
+ 30952	-10754
+ 30948	-10766
+ 30944	-10778
+ 30940	-10790
+ 30935	-10802
+ 30931	-10813
+ 30927	-10825
+ 30923	-10837
+ 30919	-10849
+ 30915	-10861
+ 30910	-10873
+ 30906	-10885
+ 30902	-10896
+ 30898	-10908
+ 30894	-10920
+ 30890	-10932
+ 30885	-10944
+ 30881	-10956
+ 30877	-10968
+ 30873	-10979
+ 30869	-10991
+ 30864	-11003
+ 30860	-11015
+ 30856	-11027
+ 30852	-11039
+ 30847	-11050
+ 30843	-11062
+ 30839	-11074
+ 30835	-11086
+ 30830	-11098
+ 30826	-11109
+ 30822	-11121
+ 30818	-11133
+ 30813	-11145
+ 30809	-11157
+ 30805	-11169
+ 30801	-11180
+ 30796	-11192
+ 30792	-11204
+ 30788	-11216
+ 30783	-11228
+ 30779	-11239
+ 30775	-11251
+ 30770	-11263
+ 30766	-11275
+ 30762	-11287
+ 30757	-11298
+ 30753	-11310
+ 30749	-11322
+ 30744	-11334
+ 30740	-11346
+ 30736	-11357
+ 30731	-11369
+ 30727	-11381
+ 30723	-11393
+ 30718	-11405
+ 30714	-11416
+ 30709	-11428
+ 30705	-11440
+ 30701	-11452
+ 30696	-11463
+ 30692	-11475
+ 30688	-11487
+ 30683	-11499
+ 30679	-11510
+ 30674	-11522
+ 30670	-11534
+ 30665	-11546
+ 30661	-11558
+ 30657	-11569
+ 30652	-11581
+ 30648	-11593
+ 30643	-11605
+ 30639	-11616
+ 30634	-11628
+ 30630	-11640
+ 30625	-11652
+ 30621	-11663
+ 30616	-11675
+ 30612	-11687
+ 30607	-11698
+ 30603	-11710
+ 30598	-11722
+ 30594	-11734
+ 30589	-11745
+ 30585	-11757
+ 30580	-11769
+ 30576	-11781
+ 30571	-11792
+ 30567	-11804
+ 30562	-11816
+ 30558	-11827
+ 30553	-11839
+ 30549	-11851
+ 30544	-11863
+ 30540	-11874
+ 30535	-11886
+ 30531	-11898
+ 30526	-11909
+ 30521	-11921
+ 30517	-11933
+ 30512	-11945
+ 30508	-11956
+ 30503	-11968
+ 30499	-11980
+ 30494	-11991
+ 30489	-12003
+ 30485	-12015
+ 30480	-12026
+ 30475	-12038
+ 30471	-12050
+ 30466	-12062
+ 30462	-12073
+ 30457	-12085
+ 30452	-12097
+ 30448	-12108
+ 30443	-12120
+ 30438	-12132
+ 30434	-12143
+ 30429	-12155
+ 30424	-12167
+ 30420	-12178
+ 30415	-12190
+ 30410	-12202
+ 30406	-12213
+ 30401	-12225
+ 30396	-12237
+ 30392	-12248
+ 30387	-12260
+ 30382	-12272
+ 30378	-12283
+ 30373	-12295
+ 30368	-12306
+ 30363	-12318
+ 30359	-12330
+ 30354	-12341
+ 30349	-12353
+ 30344	-12365
+ 30340	-12376
+ 30335	-12388
+ 30330	-12400
+ 30325	-12411
+ 30321	-12423
+ 30316	-12434
+ 30311	-12446
+ 30306	-12458
+ 30302	-12469
+ 30297	-12481
+ 30292	-12493
+ 30287	-12504
+ 30282	-12516
+ 30278	-12527
+ 30273	-12539
+ 30268	-12551
+ 30263	-12562
+ 30258	-12574
+ 30254	-12585
+ 30249	-12597
+ 30244	-12609
+ 30239	-12620
+ 30234	-12632
+ 30229	-12643
+ 30225	-12655
+ 30220	-12667
+ 30215	-12678
+ 30210	-12690
+ 30205	-12701
+ 30200	-12713
+ 30195	-12725
+ 30190	-12736
+ 30186	-12748
+ 30181	-12759
+ 30176	-12771
+ 30171	-12782
+ 30166	-12794
+ 30161	-12806
+ 30156	-12817
+ 30151	-12829
+ 30146	-12840
+ 30141	-12852
+ 30136	-12863
+ 30132	-12875
+ 30127	-12886
+ 30122	-12898
+ 30117	-12910
+ 30112	-12921
+ 30107	-12933
+ 30102	-12944
+ 30097	-12956
+ 30092	-12967
+ 30087	-12979
+ 30082	-12990
+ 30077	-13002
+ 30072	-13013
+ 30067	-13025
+ 30062	-13036
+ 30057	-13048
+ 30052	-13060
+ 30047	-13071
+ 30042	-13083
+ 30037	-13094
+ 30032	-13106
+ 30027	-13117
+ 30022	-13129
+ 30017	-13140
+ 30012	-13152
+ 30007	-13163
+ 30002	-13175
+ 29997	-13186
+ 29992	-13198
+ 29986	-13209
+ 29981	-13221
+ 29976	-13232
+ 29971	-13244
+ 29966	-13255
+ 29961	-13267
+ 29956	-13278
+ 29951	-13290
+ 29946	-13301
+ 29941	-13313
+ 29936	-13324
+ 29930	-13336
+ 29925	-13347
+ 29920	-13359
+ 29915	-13370
+ 29910	-13381
+ 29905	-13393
+ 29900	-13404
+ 29895	-13416
+ 29889	-13427
+ 29884	-13439
+ 29879	-13450
+ 29874	-13462
+ 29869	-13473
+ 29864	-13485
+ 29858	-13496
+ 29853	-13507
+ 29848	-13519
+ 29843	-13530
+ 29838	-13542
+ 29833	-13553
+ 29827	-13565
+ 29822	-13576
+ 29817	-13588
+ 29812	-13599
+ 29806	-13610
+ 29801	-13622
+ 29796	-13633
+ 29791	-13645
+ 29786	-13656
+ 29780	-13668
+ 29775	-13679
+ 29770	-13690
+ 29765	-13702
+ 29759	-13713
+ 29754	-13725
+ 29749	-13736
+ 29744	-13747
+ 29738	-13759
+ 29733	-13770
+ 29728	-13782
+ 29722	-13793
+ 29717	-13804
+ 29712	-13816
+ 29707	-13827
+ 29701	-13839
+ 29696	-13850
+ 29691	-13861
+ 29685	-13873
+ 29680	-13884
+ 29675	-13896
+ 29669	-13907
+ 29664	-13918
+ 29659	-13930
+ 29653	-13941
+ 29648	-13952
+ 29643	-13964
+ 29637	-13975
+ 29632	-13987
+ 29626	-13998
+ 29621	-14009
+ 29616	-14021
+ 29610	-14032
+ 29605	-14043
+ 29600	-14055
+ 29594	-14066
+ 29589	-14077
+ 29583	-14089
+ 29578	-14100
+ 29573	-14111
+ 29567	-14123
+ 29562	-14134
+ 29556	-14145
+ 29551	-14157
+ 29545	-14168
+ 29540	-14179
+ 29535	-14191
+ 29529	-14202
+ 29524	-14213
+ 29518	-14225
+ 29513	-14236
+ 29507	-14247
+ 29502	-14259
+ 29496	-14270
+ 29491	-14281
+ 29485	-14293
+ 29480	-14304
+ 29474	-14315
+ 29469	-14327
+ 29463	-14338
+ 29458	-14349
+ 29452	-14360
+ 29447	-14372
+ 29441	-14383
+ 29436	-14394
+ 29430	-14406
+ 29425	-14417
+ 29419	-14428
+ 29414	-14439
+ 29408	-14451
+ 29403	-14462
+ 29397	-14473
+ 29392	-14485
+ 29386	-14496
+ 29381	-14507
+ 29375	-14518
+ 29369	-14530
+ 29364	-14541
+ 29358	-14552
+ 29353	-14563
+ 29347	-14575
+ 29341	-14586
+ 29336	-14597
+ 29330	-14608
+ 29325	-14620
+ 29319	-14631
+ 29313	-14642
+ 29308	-14653
+ 29302	-14665
+ 29297	-14676
+ 29291	-14687
+ 29285	-14698
+ 29280	-14710
+ 29274	-14721
+ 29268	-14732
+ 29263	-14743
+ 29257	-14754
+ 29251	-14766
+ 29246	-14777
+ 29240	-14788
+ 29234	-14799
+ 29229	-14810
+ 29223	-14822
+ 29217	-14833
+ 29212	-14844
+ 29206	-14855
+ 29200	-14866
+ 29195	-14878
+ 29189	-14889
+ 29183	-14900
+ 29177	-14911
+ 29172	-14922
+ 29166	-14934
+ 29160	-14945
+ 29155	-14956
+ 29149	-14967
+ 29143	-14978
+ 29137	-14990
+ 29132	-15001
+ 29126	-15012
+ 29120	-15023
+ 29114	-15034
+ 29109	-15045
+ 29103	-15057
+ 29097	-15068
+ 29091	-15079
+ 29085	-15090
+ 29080	-15101
+ 29074	-15112
+ 29068	-15123
+ 29062	-15135
+ 29056	-15146
+ 29051	-15157
+ 29045	-15168
+ 29039	-15179
+ 29033	-15190
+ 29027	-15201
+ 29021	-15213
+ 29016	-15224
+ 29010	-15235
+ 29004	-15246
+ 28998	-15257
+ 28992	-15268
+ 28986	-15279
+ 28981	-15290
+ 28975	-15302
+ 28969	-15313
+ 28963	-15324
+ 28957	-15335
+ 28951	-15346
+ 28945	-15357
+ 28939	-15368
+ 28933	-15379
+ 28928	-15390
+ 28922	-15401
+ 28916	-15413
+ 28910	-15424
+ 28904	-15435
+ 28898	-15446
+ 28892	-15457
+ 28886	-15468
+ 28880	-15479
+ 28874	-15490
+ 28868	-15501
+ 28862	-15512
+ 28856	-15523
+ 28851	-15534
+ 28845	-15545
+ 28839	-15556
+ 28833	-15568
+ 28827	-15579
+ 28821	-15590
+ 28815	-15601
+ 28809	-15612
+ 28803	-15623
+ 28797	-15634
+ 28791	-15645
+ 28785	-15656
+ 28779	-15667
+ 28773	-15678
+ 28767	-15689
+ 28761	-15700
+ 28755	-15711
+ 28749	-15722
+ 28743	-15733
+ 28737	-15744
+ 28731	-15755
+ 28724	-15766
+ 28718	-15777
+ 28712	-15788
+ 28706	-15799
+ 28700	-15810
+ 28694	-15821
+ 28688	-15832
+ 28682	-15843
+ 28676	-15854
+ 28670	-15865
+ 28664	-15876
+ 28658	-15887
+ 28652	-15898
+ 28646	-15909
+ 28639	-15920
+ 28633	-15931
+ 28627	-15942
+ 28621	-15953
+ 28615	-15964
+ 28609	-15975
+ 28603	-15986
+ 28597	-15997
+ 28590	-16008
+ 28584	-16019
+ 28578	-16030
+ 28572	-16041
+ 28566	-16052
+ 28560	-16063
+ 28554	-16074
+ 28547	-16085
+ 28541	-16096
+ 28535	-16107
+ 28529	-16117
+ 28523	-16128
+ 28516	-16139
+ 28510	-16150
+ 28504	-16161
+ 28498	-16172
+ 28492	-16183
+ 28485	-16194
+ 28479	-16205
+ 28473	-16216
+ 28467	-16227
+ 28461	-16238
+ 28454	-16249
+ 28448	-16260
+ 28442	-16270
+ 28436	-16281
+ 28429	-16292
+ 28423	-16303
+ 28417	-16314
+ 28411	-16325
+ 28404	-16336
+ 28398	-16347
+ 28392	-16358
+ 28386	-16368
+ 28379	-16379
+ 28373	-16391
+ 28367	-16402
+ 28360	-16413
+ 28354	-16424
+ 28348	-16435
+ 28342	-16446
+ 28335	-16456
+ 28329	-16467
+ 28323	-16478
+ 28316	-16489
+ 28310	-16500
+ 28304	-16511
+ 28297	-16522
+ 28291	-16532
+ 28285	-16543
+ 28278	-16554
+ 28272	-16565
+ 28266	-16576
+ 28259	-16587
+ 28253	-16598
+ 28246	-16608
+ 28240	-16619
+ 28234	-16630
+ 28227	-16641
+ 28221	-16652
+ 28215	-16663
+ 28208	-16673
+ 28202	-16684
+ 28195	-16695
+ 28189	-16706
+ 28183	-16717
+ 28176	-16727
+ 28170	-16738
+ 28163	-16749
+ 28157	-16760
+ 28150	-16771
+ 28144	-16781
+ 28138	-16792
+ 28131	-16803
+ 28125	-16814
+ 28118	-16825
+ 28112	-16835
+ 28105	-16846
+ 28099	-16857
+ 28092	-16868
+ 28086	-16878
+ 28079	-16889
+ 28073	-16900
+ 28067	-16911
+ 28060	-16921
+ 28054	-16932
+ 28047	-16943
+ 28041	-16954
+ 28034	-16964
+ 28028	-16975
+ 28021	-16986
+ 28014	-16997
+ 28008	-17007
+ 28001	-17018
+ 27995	-17029
+ 27988	-17040
+ 27982	-17050
+ 27975	-17061
+ 27969	-17072
+ 27962	-17083
+ 27956	-17093
+ 27949	-17104
+ 27943	-17115
+ 27936	-17125
+ 27929	-17136
+ 27923	-17147
+ 27916	-17158
+ 27910	-17168
+ 27903	-17179
+ 27897	-17190
+ 27890	-17200
+ 27883	-17211
+ 27877	-17222
+ 27870	-17232
+ 27863	-17243
+ 27857	-17254
+ 27850	-17265
+ 27844	-17275
+ 27837	-17286
+ 27830	-17297
+ 27824	-17307
+ 27817	-17318
+ 27810	-17329
+ 27804	-17339
+ 27797	-17350
+ 27791	-17361
+ 27784	-17371
+ 27777	-17382
+ 27771	-17393
+ 27764	-17403
+ 27757	-17414
+ 27750	-17424
+ 27744	-17435
+ 27737	-17446
+ 27730	-17456
+ 27724	-17467
+ 27717	-17478
+ 27710	-17488
+ 27704	-17499
+ 27697	-17509
+ 27690	-17520
+ 27683	-17531
+ 27677	-17541
+ 27670	-17552
+ 27663	-17563
+ 27657	-17573
+ 27650	-17584
+ 27643	-17594
+ 27636	-17605
+ 27630	-17616
+ 27623	-17626
+ 27616	-17637
+ 27609	-17647
+ 27603	-17658
+ 27596	-17669
+ 27589	-17679
+ 27582	-17690
+ 27575	-17700
+ 27569	-17711
+ 27562	-17721
+ 27555	-17732
+ 27548	-17743
+ 27541	-17753
+ 27535	-17764
+ 27528	-17774
+ 27521	-17785
+ 27514	-17795
+ 27507	-17806
+ 27500	-17816
+ 27494	-17827
+ 27487	-17838
+ 27480	-17848
+ 27473	-17859
+ 27466	-17869
+ 27459	-17880
+ 27453	-17890
+ 27446	-17901
+ 27439	-17911
+ 27432	-17922
+ 27425	-17932
+ 27418	-17943
+ 27411	-17953
+ 27404	-17964
+ 27398	-17974
+ 27391	-17985
+ 27384	-17995
+ 27377	-18006
+ 27370	-18016
+ 27363	-18027
+ 27356	-18037
+ 27349	-18048
+ 27342	-18058
+ 27335	-18069
+ 27328	-18079
+ 27321	-18090
+ 27315	-18100
+ 27308	-18111
+ 27301	-18121
+ 27294	-18132
+ 27287	-18142
+ 27280	-18153
+ 27273	-18163
+ 27266	-18173
+ 27259	-18184
+ 27252	-18194
+ 27245	-18205
+ 27238	-18215
+ 27231	-18226
+ 27224	-18236
+ 27217	-18247
+ 27210	-18257
+ 27203	-18267
+ 27196	-18278
+ 27189	-18288
+ 27182	-18299
+ 27175	-18309
+ 27168	-18320
+ 27161	-18330
+ 27154	-18340
+ 27147	-18351
+ 27140	-18361
+ 27133	-18372
+ 27126	-18382
+ 27119	-18392
+ 27112	-18403
+ 27105	-18413
+ 27097	-18424
+ 27090	-18434
+ 27083	-18444
+ 27076	-18455
+ 27069	-18465
+ 27062	-18476
+ 27055	-18486
+ 27048	-18496
+ 27041	-18507
+ 27034	-18517
+ 27027	-18527
+ 27019	-18538
+ 27012	-18548
+ 27005	-18558
+ 26998	-18569
+ 26991	-18579
+ 26984	-18590
+ 26977	-18600
+ 26970	-18610
+ 26962	-18621
+ 26955	-18631
+ 26948	-18641
+ 26941	-18652
+ 26934	-18662
+ 26927	-18672
+ 26920	-18683
+ 26912	-18693
+ 26905	-18703
+ 26898	-18714
+ 26891	-18724
+ 26884	-18734
+ 26877	-18744
+ 26869	-18755
+ 26862	-18765
+ 26855	-18775
+ 26848	-18786
+ 26841	-18796
+ 26833	-18806
+ 26826	-18817
+ 26819	-18827
+ 26812	-18837
+ 26804	-18847
+ 26797	-18858
+ 26790	-18868
+ 26783	-18878
+ 26776	-18888
+ 26768	-18899
+ 26761	-18909
+ 26754	-18919
+ 26747	-18930
+ 26739	-18940
+ 26732	-18950
+ 26725	-18960
+ 26717	-18971
+ 26710	-18981
+ 26703	-18991
+ 26696	-19001
+ 26688	-19011
+ 26681	-19022
+ 26674	-19032
+ 26666	-19042
+ 26659	-19052
+ 26652	-19063
+ 26644	-19073
+ 26637	-19083
+ 26630	-19093
+ 26623	-19103
+ 26615	-19114
+ 26608	-19124
+ 26601	-19134
+ 26593	-19144
+ 26586	-19154
+ 26579	-19165
+ 26571	-19175
+ 26564	-19185
+ 26556	-19195
+ 26549	-19205
+ 26542	-19216
+ 26534	-19226
+ 26527	-19236
+ 26520	-19246
+ 26512	-19256
+ 26505	-19266
+ 26497	-19277
+ 26490	-19287
+ 26483	-19297
+ 26475	-19307
+ 26468	-19317
+ 26460	-19327
+ 26453	-19338
+ 26446	-19348
+ 26438	-19358
+ 26431	-19368
+ 26423	-19378
+ 26416	-19388
+ 26408	-19398
+ 26401	-19408
+ 26394	-19419
+ 26386	-19429
+ 26379	-19439
+ 26371	-19449
+ 26364	-19459
+ 26356	-19469
+ 26349	-19479
+ 26341	-19489
+ 26334	-19499
+ 26326	-19510
+ 26319	-19520
+ 26311	-19530
+ 26304	-19540
+ 26296	-19550
+ 26289	-19560
+ 26281	-19570
+ 26274	-19580
+ 26266	-19590
+ 26259	-19600
+ 26251	-19610
+ 26244	-19620
+ 26236	-19631
+ 26229	-19641
+ 26221	-19651
+ 26214	-19661
+ 26206	-19671
+ 26199	-19681
+ 26191	-19691
+ 26184	-19701
+ 26176	-19711
+ 26168	-19721
+ 26161	-19731
+ 26153	-19741
+ 26146	-19751
+ 26138	-19761
+ 26131	-19771
+ 26123	-19781
+ 26115	-19791
+ 26108	-19801
+ 26100	-19811
+ 26093	-19821
+ 26085	-19831
+ 26077	-19841
+ 26070	-19851
+ 26062	-19861
+ 26055	-19871
+ 26047	-19881
+ 26039	-19891
+ 26032	-19901
+ 26024	-19911
+ 26016	-19921
+ 26009	-19931
+ 26001	-19941
+ 25993	-19951
+ 25986	-19961
+ 25978	-19971
+ 25970	-19981
+ 25963	-19991
+ 25955	-20001
+ 25947	-20011
+ 25940	-20021
+ 25932	-20031
+ 25924	-20041
+ 25917	-20051
+ 25909	-20060
+ 25901	-20070
+ 25894	-20080
+ 25886	-20090
+ 25878	-20100
+ 25871	-20110
+ 25863	-20120
+ 25855	-20130
+ 25847	-20140
+ 25840	-20150
+ 25832	-20160
+ 25824	-20170
+ 25816	-20179
+ 25809	-20189
+ 25801	-20199
+ 25793	-20209
+ 25786	-20219
+ 25778	-20229
+ 25770	-20239
+ 25762	-20249
+ 25754	-20259
+ 25747	-20268
+ 25739	-20278
+ 25731	-20288
+ 25723	-20298
+ 25716	-20308
+ 25708	-20318
+ 25700	-20328
+ 25692	-20338
+ 25684	-20347
+ 25677	-20357
+ 25669	-20367
+ 25661	-20377
+ 25653	-20387
+ 25645	-20397
+ 25638	-20406
+ 25630	-20416
+ 25622	-20426
+ 25614	-20436
+ 25606	-20446
+ 25598	-20456
+ 25590	-20465
+ 25583	-20475
+ 25575	-20485
+ 25567	-20495
+ 25559	-20505
+ 25551	-20514
+ 25543	-20524
+ 25535	-20534
+ 25528	-20544
+ 25520	-20554
+ 25512	-20563
+ 25504	-20573
+ 25496	-20583
+ 25488	-20593
+ 25480	-20602
+ 25472	-20612
+ 25464	-20622
+ 25457	-20632
+ 25449	-20641
+ 25441	-20651
+ 25433	-20661
+ 25425	-20671
+ 25417	-20680
+ 25409	-20690
+ 25401	-20700
+ 25393	-20710
+ 25385	-20719
+ 25377	-20729
+ 25369	-20739
+ 25361	-20749
+ 25353	-20758
+ 25345	-20768
+ 25337	-20778
+ 25329	-20788
+ 25321	-20797
+ 25314	-20807
+ 25306	-20817
+ 25298	-20826
+ 25290	-20836
+ 25282	-20846
+ 25274	-20855
+ 25266	-20865
+ 25258	-20875
+ 25250	-20885
+ 25242	-20894
+ 25234	-20904
+ 25226	-20914
+ 25217	-20923
+ 25209	-20933
+ 25201	-20943
+ 25193	-20952
+ 25185	-20962
+ 25177	-20972
+ 25169	-20981
+ 25161	-20991
+ 25153	-21000
+ 25145	-21010
+ 25137	-21020
+ 25129	-21029
+ 25121	-21039
+ 25113	-21049
+ 25105	-21058
+ 25097	-21068
+ 25089	-21078
+ 25081	-21087
+ 25072	-21097
+ 25064	-21106
+ 25056	-21116
+ 25048	-21126
+ 25040	-21135
+ 25032	-21145
+ 25024	-21154
+ 25016	-21164
+ 25008	-21174
+ 25000	-21183
+ 24991	-21193
+ 24983	-21202
+ 24975	-21212
+ 24967	-21222
+ 24959	-21231
+ 24951	-21241
+ 24943	-21250
+ 24934	-21260
+ 24926	-21269
+ 24918	-21279
+ 24910	-21288
+ 24902	-21298
+ 24894	-21308
+ 24885	-21317
+ 24877	-21327
+ 24869	-21336
+ 24861	-21346
+ 24853	-21355
+ 24845	-21365
+ 24836	-21374
+ 24828	-21384
+ 24820	-21393
+ 24812	-21403
+ 24803	-21412
+ 24795	-21422
+ 24787	-21431
+ 24779	-21441
+ 24771	-21450
+ 24762	-21460
+ 24754	-21469
+ 24746	-21479
+ 24738	-21488
+ 24729	-21498
+ 24721	-21507
+ 24713	-21517
+ 24705	-21526
+ 24696	-21536
+ 24688	-21545
+ 24680	-21555
+ 24672	-21564
+ 24663	-21574
+ 24655	-21583
+ 24647	-21593
+ 24639	-21602
+ 24630	-21611
+ 24622	-21621
+ 24614	-21630
+ 24605	-21640
+ 24597	-21649
+ 24589	-21659
+ 24580	-21668
+ 24572	-21677
+ 24564	-21687
+ 24556	-21696
+ 24547	-21706
+ 24539	-21715
+ 24531	-21725
+ 24522	-21734
+ 24514	-21743
+ 24506	-21753
+ 24497	-21762
+ 24489	-21772
+ 24480	-21781
+ 24472	-21790
+ 24464	-21800
+ 24455	-21809
+ 24447	-21818
+ 24439	-21828
+ 24430	-21837
+ 24422	-21847
+ 24414	-21856
+ 24405	-21865
+ 24397	-21875
+ 24388	-21884
+ 24380	-21893
+ 24372	-21903
+ 24363	-21912
+ 24355	-21921
+ 24346	-21931
+ 24338	-21940
+ 24330	-21949
+ 24321	-21959
+ 24313	-21968
+ 24304	-21977
+ 24296	-21987
+ 24287	-21996
+ 24279	-22005
+ 24271	-22015
+ 24262	-22024
+ 24254	-22033
+ 24245	-22043
+ 24237	-22052
+ 24228	-22061
+ 24220	-22070
+ 24211	-22080
+ 24203	-22089
+ 24194	-22098
+ 24186	-22108
+ 24177	-22117
+ 24169	-22126
+ 24161	-22135
+ 24152	-22145
+ 24144	-22154
+ 24135	-22163
+ 24127	-22172
+ 24118	-22182
+ 24110	-22191
+ 24101	-22200
+ 24092	-22209
+ 24084	-22219
+ 24075	-22228
+ 24067	-22237
+ 24058	-22246
+ 24050	-22256
+ 24041	-22265
+ 24033	-22274
+ 24024	-22283
+ 24016	-22292
+ 24007	-22302
+ 23999	-22311
+ 23990	-22320
+ 23981	-22329
+ 23973	-22338
+ 23964	-22348
+ 23956	-22357
+ 23947	-22366
+ 23939	-22375
+ 23930	-22384
+ 23921	-22393
+ 23913	-22403
+ 23904	-22412
+ 23896	-22421
+ 23887	-22430
+ 23878	-22439
+ 23870	-22448
+ 23861	-22458
+ 23853	-22467
+ 23844	-22476
+ 23835	-22485
+ 23827	-22494
+ 23818	-22503
+ 23810	-22512
+ 23801	-22522
+ 23792	-22531
+ 23784	-22540
+ 23775	-22549
+ 23766	-22558
+ 23758	-22567
+ 23749	-22576
+ 23740	-22585
+ 23732	-22594
+ 23723	-22604
+ 23714	-22613
+ 23706	-22622
+ 23697	-22631
+ 23688	-22640
+ 23680	-22649
+ 23671	-22658
+ 23662	-22667
+ 23654	-22676
+ 23645	-22685
+ 23636	-22694
+ 23627	-22703
+ 23619	-22713
+ 23610	-22722
+ 23601	-22731
+ 23593	-22740
+ 23584	-22749
+ 23575	-22758
+ 23566	-22767
+ 23558	-22776
+ 23549	-22785
+ 23540	-22794
+ 23531	-22803
+ 23523	-22812
+ 23514	-22821
+ 23505	-22830
+ 23496	-22839
+ 23488	-22848
+ 23479	-22857
+ 23470	-22866
+ 23461	-22875
+ 23453	-22884
+ 23444	-22893
+ 23435	-22902
+ 23426	-22911
+ 23418	-22920
+ 23409	-22929
+ 23400	-22938
+ 23391	-22947
+ 23382	-22956
+ 23374	-22965
+ 23365	-22974
+ 23356	-22983
+ 23347	-22992
+ 23338	-23001
+ 23329	-23010
+ 23321	-23019
+ 23312	-23027
+ 23303	-23036
+ 23294	-23045
+ 23285	-23054
+ 23276	-23063
+ 23268	-23072
+ 23259	-23081
+ 23250	-23090
+ 23241	-23099
+ 23232	-23108
+ 23223	-23117
+ 23214	-23126
+ 23206	-23134
+ 23197	-23143
+ 23188	-23152
+ 23179	-23161
+ 23170	-23170
+ 23161	-23179
+ 23152	-23188
+ 23143	-23197
+ 23134	-23206
+ 23126	-23214
+ 23117	-23223
+ 23108	-23232
+ 23099	-23241
+ 23090	-23250
+ 23081	-23259
+ 23072	-23268
+ 23063	-23276
+ 23054	-23285
+ 23045	-23294
+ 23036	-23303
+ 23027	-23312
+ 23019	-23321
+ 23010	-23329
+ 23001	-23338
+ 22992	-23347
+ 22983	-23356
+ 22974	-23365
+ 22965	-23374
+ 22956	-23382
+ 22947	-23391
+ 22938	-23400
+ 22929	-23409
+ 22920	-23418
+ 22911	-23426
+ 22902	-23435
+ 22893	-23444
+ 22884	-23453
+ 22875	-23461
+ 22866	-23470
+ 22857	-23479
+ 22848	-23488
+ 22839	-23496
+ 22830	-23505
+ 22821	-23514
+ 22812	-23523
+ 22803	-23531
+ 22794	-23540
+ 22785	-23549
+ 22776	-23558
+ 22767	-23566
+ 22758	-23575
+ 22749	-23584
+ 22740	-23593
+ 22731	-23601
+ 22722	-23610
+ 22713	-23619
+ 22703	-23627
+ 22694	-23636
+ 22685	-23645
+ 22676	-23654
+ 22667	-23662
+ 22658	-23671
+ 22649	-23680
+ 22640	-23688
+ 22631	-23697
+ 22622	-23706
+ 22613	-23714
+ 22604	-23723
+ 22594	-23732
+ 22585	-23740
+ 22576	-23749
+ 22567	-23758
+ 22558	-23766
+ 22549	-23775
+ 22540	-23784
+ 22531	-23792
+ 22522	-23801
+ 22512	-23810
+ 22503	-23818
+ 22494	-23827
+ 22485	-23835
+ 22476	-23844
+ 22467	-23853
+ 22458	-23861
+ 22448	-23870
+ 22439	-23878
+ 22430	-23887
+ 22421	-23896
+ 22412	-23904
+ 22403	-23913
+ 22393	-23921
+ 22384	-23930
+ 22375	-23939
+ 22366	-23947
+ 22357	-23956
+ 22348	-23964
+ 22338	-23973
+ 22329	-23981
+ 22320	-23990
+ 22311	-23999
+ 22302	-24007
+ 22292	-24016
+ 22283	-24024
+ 22274	-24033
+ 22265	-24041
+ 22256	-24050
+ 22246	-24058
+ 22237	-24067
+ 22228	-24075
+ 22219	-24084
+ 22209	-24092
+ 22200	-24101
+ 22191	-24110
+ 22182	-24118
+ 22172	-24127
+ 22163	-24135
+ 22154	-24144
+ 22145	-24152
+ 22135	-24161
+ 22126	-24169
+ 22117	-24177
+ 22108	-24186
+ 22098	-24194
+ 22089	-24203
+ 22080	-24211
+ 22070	-24220
+ 22061	-24228
+ 22052	-24237
+ 22043	-24245
+ 22033	-24254
+ 22024	-24262
+ 22015	-24271
+ 22005	-24279
+ 21996	-24287
+ 21987	-24296
+ 21977	-24304
+ 21968	-24313
+ 21959	-24321
+ 21949	-24330
+ 21940	-24338
+ 21931	-24346
+ 21921	-24355
+ 21912	-24363
+ 21903	-24372
+ 21893	-24380
+ 21884	-24388
+ 21875	-24397
+ 21865	-24405
+ 21856	-24414
+ 21847	-24422
+ 21837	-24430
+ 21828	-24439
+ 21818	-24447
+ 21809	-24455
+ 21800	-24464
+ 21790	-24472
+ 21781	-24480
+ 21772	-24489
+ 21762	-24497
+ 21753	-24506
+ 21743	-24514
+ 21734	-24522
+ 21725	-24531
+ 21715	-24539
+ 21706	-24547
+ 21696	-24556
+ 21687	-24564
+ 21677	-24572
+ 21668	-24580
+ 21659	-24589
+ 21649	-24597
+ 21640	-24605
+ 21630	-24614
+ 21621	-24622
+ 21611	-24630
+ 21602	-24639
+ 21593	-24647
+ 21583	-24655
+ 21574	-24663
+ 21564	-24672
+ 21555	-24680
+ 21545	-24688
+ 21536	-24696
+ 21526	-24705
+ 21517	-24713
+ 21507	-24721
+ 21498	-24729
+ 21488	-24738
+ 21479	-24746
+ 21469	-24754
+ 21460	-24762
+ 21450	-24771
+ 21441	-24779
+ 21431	-24787
+ 21422	-24795
+ 21412	-24803
+ 21403	-24812
+ 21393	-24820
+ 21384	-24828
+ 21374	-24836
+ 21365	-24845
+ 21355	-24853
+ 21346	-24861
+ 21336	-24869
+ 21327	-24877
+ 21317	-24885
+ 21308	-24894
+ 21298	-24902
+ 21288	-24910
+ 21279	-24918
+ 21269	-24926
+ 21260	-24934
+ 21250	-24943
+ 21241	-24951
+ 21231	-24959
+ 21222	-24967
+ 21212	-24975
+ 21202	-24983
+ 21193	-24991
+ 21183	-25000
+ 21174	-25008
+ 21164	-25016
+ 21154	-25024
+ 21145	-25032
+ 21135	-25040
+ 21126	-25048
+ 21116	-25056
+ 21106	-25064
+ 21097	-25072
+ 21087	-25081
+ 21078	-25089
+ 21068	-25097
+ 21058	-25105
+ 21049	-25113
+ 21039	-25121
+ 21029	-25129
+ 21020	-25137
+ 21010	-25145
+ 21000	-25153
+ 20991	-25161
+ 20981	-25169
+ 20972	-25177
+ 20962	-25185
+ 20952	-25193
+ 20943	-25201
+ 20933	-25209
+ 20923	-25217
+ 20914	-25226
+ 20904	-25234
+ 20894	-25242
+ 20885	-25250
+ 20875	-25258
+ 20865	-25266
+ 20855	-25274
+ 20846	-25282
+ 20836	-25290
+ 20826	-25298
+ 20817	-25306
+ 20807	-25314
+ 20797	-25321
+ 20788	-25329
+ 20778	-25337
+ 20768	-25345
+ 20758	-25353
+ 20749	-25361
+ 20739	-25369
+ 20729	-25377
+ 20719	-25385
+ 20710	-25393
+ 20700	-25401
+ 20690	-25409
+ 20680	-25417
+ 20671	-25425
+ 20661	-25433
+ 20651	-25441
+ 20641	-25449
+ 20632	-25457
+ 20622	-25464
+ 20612	-25472
+ 20602	-25480
+ 20593	-25488
+ 20583	-25496
+ 20573	-25504
+ 20563	-25512
+ 20554	-25520
+ 20544	-25528
+ 20534	-25535
+ 20524	-25543
+ 20514	-25551
+ 20505	-25559
+ 20495	-25567
+ 20485	-25575
+ 20475	-25583
+ 20465	-25590
+ 20456	-25598
+ 20446	-25606
+ 20436	-25614
+ 20426	-25622
+ 20416	-25630
+ 20406	-25638
+ 20397	-25645
+ 20387	-25653
+ 20377	-25661
+ 20367	-25669
+ 20357	-25677
+ 20347	-25684
+ 20338	-25692
+ 20328	-25700
+ 20318	-25708
+ 20308	-25716
+ 20298	-25723
+ 20288	-25731
+ 20278	-25739
+ 20268	-25747
+ 20259	-25754
+ 20249	-25762
+ 20239	-25770
+ 20229	-25778
+ 20219	-25786
+ 20209	-25793
+ 20199	-25801
+ 20189	-25809
+ 20179	-25816
+ 20170	-25824
+ 20160	-25832
+ 20150	-25840
+ 20140	-25847
+ 20130	-25855
+ 20120	-25863
+ 20110	-25871
+ 20100	-25878
+ 20090	-25886
+ 20080	-25894
+ 20070	-25901
+ 20060	-25909
+ 20051	-25917
+ 20041	-25924
+ 20031	-25932
+ 20021	-25940
+ 20011	-25947
+ 20001	-25955
+ 19991	-25963
+ 19981	-25970
+ 19971	-25978
+ 19961	-25986
+ 19951	-25993
+ 19941	-26001
+ 19931	-26009
+ 19921	-26016
+ 19911	-26024
+ 19901	-26032
+ 19891	-26039
+ 19881	-26047
+ 19871	-26055
+ 19861	-26062
+ 19851	-26070
+ 19841	-26077
+ 19831	-26085
+ 19821	-26093
+ 19811	-26100
+ 19801	-26108
+ 19791	-26115
+ 19781	-26123
+ 19771	-26131
+ 19761	-26138
+ 19751	-26146
+ 19741	-26153
+ 19731	-26161
+ 19721	-26168
+ 19711	-26176
+ 19701	-26184
+ 19691	-26191
+ 19681	-26199
+ 19671	-26206
+ 19661	-26214
+ 19651	-26221
+ 19641	-26229
+ 19631	-26236
+ 19620	-26244
+ 19610	-26251
+ 19600	-26259
+ 19590	-26266
+ 19580	-26274
+ 19570	-26281
+ 19560	-26289
+ 19550	-26296
+ 19540	-26304
+ 19530	-26311
+ 19520	-26319
+ 19510	-26326
+ 19499	-26334
+ 19489	-26341
+ 19479	-26349
+ 19469	-26356
+ 19459	-26364
+ 19449	-26371
+ 19439	-26379
+ 19429	-26386
+ 19419	-26394
+ 19408	-26401
+ 19398	-26408
+ 19388	-26416
+ 19378	-26423
+ 19368	-26431
+ 19358	-26438
+ 19348	-26446
+ 19338	-26453
+ 19327	-26460
+ 19317	-26468
+ 19307	-26475
+ 19297	-26483
+ 19287	-26490
+ 19277	-26497
+ 19266	-26505
+ 19256	-26512
+ 19246	-26520
+ 19236	-26527
+ 19226	-26534
+ 19216	-26542
+ 19205	-26549
+ 19195	-26556
+ 19185	-26564
+ 19175	-26571
+ 19165	-26579
+ 19154	-26586
+ 19144	-26593
+ 19134	-26601
+ 19124	-26608
+ 19114	-26615
+ 19103	-26623
+ 19093	-26630
+ 19083	-26637
+ 19073	-26644
+ 19063	-26652
+ 19052	-26659
+ 19042	-26666
+ 19032	-26674
+ 19022	-26681
+ 19011	-26688
+ 19001	-26696
+ 18991	-26703
+ 18981	-26710
+ 18971	-26717
+ 18960	-26725
+ 18950	-26732
+ 18940	-26739
+ 18930	-26747
+ 18919	-26754
+ 18909	-26761
+ 18899	-26768
+ 18888	-26776
+ 18878	-26783
+ 18868	-26790
+ 18858	-26797
+ 18847	-26804
+ 18837	-26812
+ 18827	-26819
+ 18817	-26826
+ 18806	-26833
+ 18796	-26841
+ 18786	-26848
+ 18775	-26855
+ 18765	-26862
+ 18755	-26869
+ 18744	-26877
+ 18734	-26884
+ 18724	-26891
+ 18714	-26898
+ 18703	-26905
+ 18693	-26912
+ 18683	-26920
+ 18672	-26927
+ 18662	-26934
+ 18652	-26941
+ 18641	-26948
+ 18631	-26955
+ 18621	-26962
+ 18610	-26970
+ 18600	-26977
+ 18590	-26984
+ 18579	-26991
+ 18569	-26998
+ 18558	-27005
+ 18548	-27012
+ 18538	-27019
+ 18527	-27027
+ 18517	-27034
+ 18507	-27041
+ 18496	-27048
+ 18486	-27055
+ 18476	-27062
+ 18465	-27069
+ 18455	-27076
+ 18444	-27083
+ 18434	-27090
+ 18424	-27097
+ 18413	-27105
+ 18403	-27112
+ 18392	-27119
+ 18382	-27126
+ 18372	-27133
+ 18361	-27140
+ 18351	-27147
+ 18340	-27154
+ 18330	-27161
+ 18320	-27168
+ 18309	-27175
+ 18299	-27182
+ 18288	-27189
+ 18278	-27196
+ 18267	-27203
+ 18257	-27210
+ 18247	-27217
+ 18236	-27224
+ 18226	-27231
+ 18215	-27238
+ 18205	-27245
+ 18194	-27252
+ 18184	-27259
+ 18173	-27266
+ 18163	-27273
+ 18153	-27280
+ 18142	-27287
+ 18132	-27294
+ 18121	-27301
+ 18111	-27308
+ 18100	-27315
+ 18090	-27321
+ 18079	-27328
+ 18069	-27335
+ 18058	-27342
+ 18048	-27349
+ 18037	-27356
+ 18027	-27363
+ 18016	-27370
+ 18006	-27377
+ 17995	-27384
+ 17985	-27391
+ 17974	-27398
+ 17964	-27404
+ 17953	-27411
+ 17943	-27418
+ 17932	-27425
+ 17922	-27432
+ 17911	-27439
+ 17901	-27446
+ 17890	-27453
+ 17880	-27459
+ 17869	-27466
+ 17859	-27473
+ 17848	-27480
+ 17838	-27487
+ 17827	-27494
+ 17816	-27500
+ 17806	-27507
+ 17795	-27514
+ 17785	-27521
+ 17774	-27528
+ 17764	-27535
+ 17753	-27541
+ 17743	-27548
+ 17732	-27555
+ 17721	-27562
+ 17711	-27569
+ 17700	-27575
+ 17690	-27582
+ 17679	-27589
+ 17669	-27596
+ 17658	-27603
+ 17647	-27609
+ 17637	-27616
+ 17626	-27623
+ 17616	-27630
+ 17605	-27636
+ 17594	-27643
+ 17584	-27650
+ 17573	-27657
+ 17563	-27663
+ 17552	-27670
+ 17541	-27677
+ 17531	-27683
+ 17520	-27690
+ 17509	-27697
+ 17499	-27704
+ 17488	-27710
+ 17478	-27717
+ 17467	-27724
+ 17456	-27730
+ 17446	-27737
+ 17435	-27744
+ 17424	-27750
+ 17414	-27757
+ 17403	-27764
+ 17393	-27771
+ 17382	-27777
+ 17371	-27784
+ 17361	-27791
+ 17350	-27797
+ 17339	-27804
+ 17329	-27810
+ 17318	-27817
+ 17307	-27824
+ 17297	-27830
+ 17286	-27837
+ 17275	-27844
+ 17265	-27850
+ 17254	-27857
+ 17243	-27863
+ 17232	-27870
+ 17222	-27877
+ 17211	-27883
+ 17200	-27890
+ 17190	-27897
+ 17179	-27903
+ 17168	-27910
+ 17158	-27916
+ 17147	-27923
+ 17136	-27929
+ 17125	-27936
+ 17115	-27943
+ 17104	-27949
+ 17093	-27956
+ 17083	-27962
+ 17072	-27969
+ 17061	-27975
+ 17050	-27982
+ 17040	-27988
+ 17029	-27995
+ 17018	-28001
+ 17007	-28008
+ 16997	-28014
+ 16986	-28021
+ 16975	-28028
+ 16964	-28034
+ 16954	-28041
+ 16943	-28047
+ 16932	-28054
+ 16921	-28060
+ 16911	-28067
+ 16900	-28073
+ 16889	-28079
+ 16878	-28086
+ 16868	-28092
+ 16857	-28099
+ 16846	-28105
+ 16835	-28112
+ 16825	-28118
+ 16814	-28125
+ 16803	-28131
+ 16792	-28138
+ 16781	-28144
+ 16771	-28150
+ 16760	-28157
+ 16749	-28163
+ 16738	-28170
+ 16727	-28176
+ 16717	-28183
+ 16706	-28189
+ 16695	-28195
+ 16684	-28202
+ 16673	-28208
+ 16663	-28215
+ 16652	-28221
+ 16641	-28227
+ 16630	-28234
+ 16619	-28240
+ 16608	-28246
+ 16598	-28253
+ 16587	-28259
+ 16576	-28266
+ 16565	-28272
+ 16554	-28278
+ 16543	-28285
+ 16532	-28291
+ 16522	-28297
+ 16511	-28304
+ 16500	-28310
+ 16489	-28316
+ 16478	-28323
+ 16467	-28329
+ 16456	-28335
+ 16446	-28342
+ 16435	-28348
+ 16424	-28354
+ 16413	-28360
+ 16402	-28367
+ 16391	-28373
+ 16379	-28379
+ 16368	-28386
+ 16358	-28392
+ 16347	-28398
+ 16336	-28404
+ 16325	-28411
+ 16314	-28417
+ 16303	-28423
+ 16292	-28429
+ 16281	-28436
+ 16270	-28442
+ 16260	-28448
+ 16249	-28454
+ 16238	-28461
+ 16227	-28467
+ 16216	-28473
+ 16205	-28479
+ 16194	-28485
+ 16183	-28492
+ 16172	-28498
+ 16161	-28504
+ 16150	-28510
+ 16139	-28516
+ 16128	-28523
+ 16117	-28529
+ 16107	-28535
+ 16096	-28541
+ 16085	-28547
+ 16074	-28554
+ 16063	-28560
+ 16052	-28566
+ 16041	-28572
+ 16030	-28578
+ 16019	-28584
+ 16008	-28590
+ 15997	-28597
+ 15986	-28603
+ 15975	-28609
+ 15964	-28615
+ 15953	-28621
+ 15942	-28627
+ 15931	-28633
+ 15920	-28639
+ 15909	-28646
+ 15898	-28652
+ 15887	-28658
+ 15876	-28664
+ 15865	-28670
+ 15854	-28676
+ 15843	-28682
+ 15832	-28688
+ 15821	-28694
+ 15810	-28700
+ 15799	-28706
+ 15788	-28712
+ 15777	-28718
+ 15766	-28724
+ 15755	-28731
+ 15744	-28737
+ 15733	-28743
+ 15722	-28749
+ 15711	-28755
+ 15700	-28761
+ 15689	-28767
+ 15678	-28773
+ 15667	-28779
+ 15656	-28785
+ 15645	-28791
+ 15634	-28797
+ 15623	-28803
+ 15612	-28809
+ 15601	-28815
+ 15590	-28821
+ 15579	-28827
+ 15568	-28833
+ 15556	-28839
+ 15545	-28845
+ 15534	-28851
+ 15523	-28856
+ 15512	-28862
+ 15501	-28868
+ 15490	-28874
+ 15479	-28880
+ 15468	-28886
+ 15457	-28892
+ 15446	-28898
+ 15435	-28904
+ 15424	-28910
+ 15413	-28916
+ 15401	-28922
+ 15390	-28928
+ 15379	-28933
+ 15368	-28939
+ 15357	-28945
+ 15346	-28951
+ 15335	-28957
+ 15324	-28963
+ 15313	-28969
+ 15302	-28975
+ 15290	-28981
+ 15279	-28986
+ 15268	-28992
+ 15257	-28998
+ 15246	-29004
+ 15235	-29010
+ 15224	-29016
+ 15213	-29021
+ 15201	-29027
+ 15190	-29033
+ 15179	-29039
+ 15168	-29045
+ 15157	-29051
+ 15146	-29056
+ 15135	-29062
+ 15123	-29068
+ 15112	-29074
+ 15101	-29080
+ 15090	-29085
+ 15079	-29091
+ 15068	-29097
+ 15057	-29103
+ 15045	-29109
+ 15034	-29114
+ 15023	-29120
+ 15012	-29126
+ 15001	-29132
+ 14990	-29137
+ 14978	-29143
+ 14967	-29149
+ 14956	-29155
+ 14945	-29160
+ 14934	-29166
+ 14922	-29172
+ 14911	-29177
+ 14900	-29183
+ 14889	-29189
+ 14878	-29195
+ 14866	-29200
+ 14855	-29206
+ 14844	-29212
+ 14833	-29217
+ 14822	-29223
+ 14810	-29229
+ 14799	-29234
+ 14788	-29240
+ 14777	-29246
+ 14766	-29251
+ 14754	-29257
+ 14743	-29263
+ 14732	-29268
+ 14721	-29274
+ 14710	-29280
+ 14698	-29285
+ 14687	-29291
+ 14676	-29297
+ 14665	-29302
+ 14653	-29308
+ 14642	-29313
+ 14631	-29319
+ 14620	-29325
+ 14608	-29330
+ 14597	-29336
+ 14586	-29341
+ 14575	-29347
+ 14563	-29353
+ 14552	-29358
+ 14541	-29364
+ 14530	-29369
+ 14518	-29375
+ 14507	-29381
+ 14496	-29386
+ 14485	-29392
+ 14473	-29397
+ 14462	-29403
+ 14451	-29408
+ 14439	-29414
+ 14428	-29419
+ 14417	-29425
+ 14406	-29430
+ 14394	-29436
+ 14383	-29441
+ 14372	-29447
+ 14360	-29452
+ 14349	-29458
+ 14338	-29463
+ 14327	-29469
+ 14315	-29474
+ 14304	-29480
+ 14293	-29485
+ 14281	-29491
+ 14270	-29496
+ 14259	-29502
+ 14247	-29507
+ 14236	-29513
+ 14225	-29518
+ 14213	-29524
+ 14202	-29529
+ 14191	-29535
+ 14179	-29540
+ 14168	-29545
+ 14157	-29551
+ 14145	-29556
+ 14134	-29562
+ 14123	-29567
+ 14111	-29573
+ 14100	-29578
+ 14089	-29583
+ 14077	-29589
+ 14066	-29594
+ 14055	-29600
+ 14043	-29605
+ 14032	-29610
+ 14021	-29616
+ 14009	-29621
+ 13998	-29626
+ 13987	-29632
+ 13975	-29637
+ 13964	-29643
+ 13952	-29648
+ 13941	-29653
+ 13930	-29659
+ 13918	-29664
+ 13907	-29669
+ 13896	-29675
+ 13884	-29680
+ 13873	-29685
+ 13861	-29691
+ 13850	-29696
+ 13839	-29701
+ 13827	-29707
+ 13816	-29712
+ 13804	-29717
+ 13793	-29722
+ 13782	-29728
+ 13770	-29733
+ 13759	-29738
+ 13747	-29744
+ 13736	-29749
+ 13725	-29754
+ 13713	-29759
+ 13702	-29765
+ 13690	-29770
+ 13679	-29775
+ 13668	-29780
+ 13656	-29786
+ 13645	-29791
+ 13633	-29796
+ 13622	-29801
+ 13610	-29806
+ 13599	-29812
+ 13588	-29817
+ 13576	-29822
+ 13565	-29827
+ 13553	-29833
+ 13542	-29838
+ 13530	-29843
+ 13519	-29848
+ 13507	-29853
+ 13496	-29858
+ 13485	-29864
+ 13473	-29869
+ 13462	-29874
+ 13450	-29879
+ 13439	-29884
+ 13427	-29889
+ 13416	-29895
+ 13404	-29900
+ 13393	-29905
+ 13381	-29910
+ 13370	-29915
+ 13359	-29920
+ 13347	-29925
+ 13336	-29930
+ 13324	-29936
+ 13313	-29941
+ 13301	-29946
+ 13290	-29951
+ 13278	-29956
+ 13267	-29961
+ 13255	-29966
+ 13244	-29971
+ 13232	-29976
+ 13221	-29981
+ 13209	-29986
+ 13198	-29992
+ 13186	-29997
+ 13175	-30002
+ 13163	-30007
+ 13152	-30012
+ 13140	-30017
+ 13129	-30022
+ 13117	-30027
+ 13106	-30032
+ 13094	-30037
+ 13083	-30042
+ 13071	-30047
+ 13060	-30052
+ 13048	-30057
+ 13036	-30062
+ 13025	-30067
+ 13013	-30072
+ 13002	-30077
+ 12990	-30082
+ 12979	-30087
+ 12967	-30092
+ 12956	-30097
+ 12944	-30102
+ 12933	-30107
+ 12921	-30112
+ 12910	-30117
+ 12898	-30122
+ 12886	-30127
+ 12875	-30132
+ 12863	-30136
+ 12852	-30141
+ 12840	-30146
+ 12829	-30151
+ 12817	-30156
+ 12806	-30161
+ 12794	-30166
+ 12782	-30171
+ 12771	-30176
+ 12759	-30181
+ 12748	-30186
+ 12736	-30190
+ 12725	-30195
+ 12713	-30200
+ 12701	-30205
+ 12690	-30210
+ 12678	-30215
+ 12667	-30220
+ 12655	-30225
+ 12643	-30229
+ 12632	-30234
+ 12620	-30239
+ 12609	-30244
+ 12597	-30249
+ 12585	-30254
+ 12574	-30258
+ 12562	-30263
+ 12551	-30268
+ 12539	-30273
+ 12527	-30278
+ 12516	-30282
+ 12504	-30287
+ 12493	-30292
+ 12481	-30297
+ 12469	-30302
+ 12458	-30306
+ 12446	-30311
+ 12434	-30316
+ 12423	-30321
+ 12411	-30325
+ 12400	-30330
+ 12388	-30335
+ 12376	-30340
+ 12365	-30344
+ 12353	-30349
+ 12341	-30354
+ 12330	-30359
+ 12318	-30363
+ 12306	-30368
+ 12295	-30373
+ 12283	-30378
+ 12272	-30382
+ 12260	-30387
+ 12248	-30392
+ 12237	-30396
+ 12225	-30401
+ 12213	-30406
+ 12202	-30410
+ 12190	-30415
+ 12178	-30420
+ 12167	-30424
+ 12155	-30429
+ 12143	-30434
+ 12132	-30438
+ 12120	-30443
+ 12108	-30448
+ 12097	-30452
+ 12085	-30457
+ 12073	-30462
+ 12062	-30466
+ 12050	-30471
+ 12038	-30475
+ 12026	-30480
+ 12015	-30485
+ 12003	-30489
+ 11991	-30494
+ 11980	-30499
+ 11968	-30503
+ 11956	-30508
+ 11945	-30512
+ 11933	-30517
+ 11921	-30521
+ 11909	-30526
+ 11898	-30531
+ 11886	-30535
+ 11874	-30540
+ 11863	-30544
+ 11851	-30549
+ 11839	-30553
+ 11827	-30558
+ 11816	-30562
+ 11804	-30567
+ 11792	-30571
+ 11781	-30576
+ 11769	-30580
+ 11757	-30585
+ 11745	-30589
+ 11734	-30594
+ 11722	-30598
+ 11710	-30603
+ 11698	-30607
+ 11687	-30612
+ 11675	-30616
+ 11663	-30621
+ 11652	-30625
+ 11640	-30630
+ 11628	-30634
+ 11616	-30639
+ 11605	-30643
+ 11593	-30648
+ 11581	-30652
+ 11569	-30657
+ 11558	-30661
+ 11546	-30665
+ 11534	-30670
+ 11522	-30674
+ 11510	-30679
+ 11499	-30683
+ 11487	-30688
+ 11475	-30692
+ 11463	-30696
+ 11452	-30701
+ 11440	-30705
+ 11428	-30709
+ 11416	-30714
+ 11405	-30718
+ 11393	-30723
+ 11381	-30727
+ 11369	-30731
+ 11357	-30736
+ 11346	-30740
+ 11334	-30744
+ 11322	-30749
+ 11310	-30753
+ 11298	-30757
+ 11287	-30762
+ 11275	-30766
+ 11263	-30770
+ 11251	-30775
+ 11239	-30779
+ 11228	-30783
+ 11216	-30788
+ 11204	-30792
+ 11192	-30796
+ 11180	-30801
+ 11169	-30805
+ 11157	-30809
+ 11145	-30813
+ 11133	-30818
+ 11121	-30822
+ 11109	-30826
+ 11098	-30830
+ 11086	-30835
+ 11074	-30839
+ 11062	-30843
+ 11050	-30847
+ 11039	-30852
+ 11027	-30856
+ 11015	-30860
+ 11003	-30864
+ 10991	-30869
+ 10979	-30873
+ 10968	-30877
+ 10956	-30881
+ 10944	-30885
+ 10932	-30890
+ 10920	-30894
+ 10908	-30898
+ 10896	-30902
+ 10885	-30906
+ 10873	-30910
+ 10861	-30915
+ 10849	-30919
+ 10837	-30923
+ 10825	-30927
+ 10813	-30931
+ 10802	-30935
+ 10790	-30940
+ 10778	-30944
+ 10766	-30948
+ 10754	-30952
+ 10742	-30956
+ 10730	-30960
+ 10719	-30964
+ 10707	-30968
+ 10695	-30972
+ 10683	-30977
+ 10671	-30981
+ 10659	-30985
+ 10647	-30989
+ 10635	-30993
+ 10623	-30997
+ 10612	-31001
+ 10600	-31005
+ 10588	-31009
+ 10576	-31013
+ 10564	-31017
+ 10552	-31021
+ 10540	-31025
+ 10528	-31029
+ 10516	-31033
+ 10505	-31038
+ 10493	-31042
+ 10481	-31046
+ 10469	-31050
+ 10457	-31054
+ 10445	-31058
+ 10433	-31062
+ 10421	-31066
+ 10409	-31070
+ 10397	-31074
+ 10385	-31078
+ 10373	-31082
+ 10362	-31086
+ 10350	-31090
+ 10338	-31093
+ 10326	-31097
+ 10314	-31101
+ 10302	-31105
+ 10290	-31109
+ 10278	-31113
+ 10266	-31117
+ 10254	-31121
+ 10242	-31125
+ 10230	-31129
+ 10218	-31133
+ 10206	-31137
+ 10195	-31141
+ 10183	-31145
+ 10171	-31149
+ 10159	-31152
+ 10147	-31156
+ 10135	-31160
+ 10123	-31164
+ 10111	-31168
+ 10099	-31172
+ 10087	-31176
+ 10075	-31180
+ 10063	-31183
+ 10051	-31187
+ 10039	-31191
+ 10027	-31195
+ 10015	-31199
+ 10003	-31203
+  9991	-31207
+  9979	-31210
+  9967	-31214
+  9955	-31218
+  9943	-31222
+  9931	-31226
+  9919	-31229
+  9908	-31233
+  9896	-31237
+  9884	-31241
+  9872	-31245
+  9860	-31248
+  9848	-31252
+  9836	-31256
+  9824	-31260
+  9812	-31263
+  9800	-31267
+  9788	-31271
+  9776	-31275
+  9764	-31278
+  9752	-31282
+  9740	-31286
+  9728	-31290
+  9716	-31293
+  9704	-31297
+  9692	-31301
+  9680	-31305
+  9668	-31308
+  9656	-31312
+  9644	-31316
+  9632	-31319
+  9620	-31323
+  9608	-31327
+  9596	-31330
+  9584	-31334
+  9572	-31338
+  9560	-31341
+  9548	-31345
+  9536	-31349
+  9523	-31352
+  9511	-31356
+  9499	-31360
+  9487	-31363
+  9475	-31367
+  9463	-31371
+  9451	-31374
+  9439	-31378
+  9427	-31382
+  9415	-31385
+  9403	-31389
+  9391	-31392
+  9379	-31396
+  9367	-31400
+  9355	-31403
+  9343	-31407
+  9331	-31410
+  9319	-31414
+  9307	-31417
+  9295	-31421
+  9283	-31425
+  9271	-31428
+  9259	-31432
+  9247	-31435
+  9235	-31439
+  9222	-31442
+  9210	-31446
+  9198	-31449
+  9186	-31453
+  9174	-31456
+  9162	-31460
+  9150	-31463
+  9138	-31467
+  9126	-31470
+  9114	-31474
+  9102	-31477
+  9090	-31481
+  9078	-31484
+  9066	-31488
+  9054	-31491
+  9041	-31495
+  9029	-31498
+  9017	-31502
+  9005	-31505
+  8993	-31509
+  8981	-31512
+  8969	-31516
+  8957	-31519
+  8945	-31522
+  8933	-31526
+  8921	-31529
+  8909	-31533
+  8896	-31536
+  8884	-31540
+  8872	-31543
+  8860	-31546
+  8848	-31550
+  8836	-31553
+  8824	-31557
+  8812	-31560
+  8800	-31563
+  8788	-31567
+  8775	-31570
+  8763	-31573
+  8751	-31577
+  8739	-31580
+  8727	-31583
+  8715	-31587
+  8703	-31590
+  8691	-31593
+  8679	-31597
+  8666	-31600
+  8654	-31603
+  8642	-31607
+  8630	-31610
+  8618	-31613
+  8606	-31617
+  8594	-31620
+  8582	-31623
+  8569	-31627
+  8557	-31630
+  8545	-31633
+  8533	-31636
+  8521	-31640
+  8509	-31643
+  8497	-31646
+  8485	-31649
+  8472	-31653
+  8460	-31656
+  8448	-31659
+  8436	-31662
+  8424	-31666
+  8412	-31669
+  8400	-31672
+  8387	-31675
+  8375	-31679
+  8363	-31682
+  8351	-31685
+  8339	-31688
+  8327	-31691
+  8314	-31695
+  8302	-31698
+  8290	-31701
+  8278	-31704
+  8266	-31707
+  8254	-31710
+  8242	-31714
+  8229	-31717
+  8217	-31720
+  8205	-31723
+  8193	-31726
+  8181	-31729
+  8169	-31732
+  8156	-31736
+  8144	-31739
+  8132	-31742
+  8120	-31745
+  8108	-31748
+  8095	-31751
+  8083	-31754
+  8071	-31757
+  8059	-31760
+  8047	-31764
+  8035	-31767
+  8022	-31770
+  8010	-31773
+  7998	-31776
+  7986	-31779
+  7974	-31782
+  7961	-31785
+  7949	-31788
+  7937	-31791
+  7925	-31794
+  7913	-31797
+  7901	-31800
+  7888	-31803
+  7876	-31806
+  7864	-31809
+  7852	-31812
+  7840	-31815
+  7827	-31818
+  7815	-31821
+  7803	-31824
+  7791	-31827
+  7779	-31830
+  7766	-31833
+  7754	-31836
+  7742	-31839
+  7730	-31842
+  7717	-31845
+  7705	-31848
+  7693	-31851
+  7681	-31854
+  7669	-31857
+  7656	-31860
+  7644	-31863
+  7632	-31866
+  7620	-31869
+  7608	-31872
+  7595	-31875
+  7583	-31877
+  7571	-31880
+  7559	-31883
+  7546	-31886
+  7534	-31889
+  7522	-31892
+  7510	-31895
+  7497	-31898
+  7485	-31901
+  7473	-31903
+  7461	-31906
+  7449	-31909
+  7436	-31912
+  7424	-31915
+  7412	-31918
+  7400	-31921
+  7387	-31923
+  7375	-31926
+  7363	-31929
+  7351	-31932
+  7338	-31935
+  7326	-31937
+  7314	-31940
+  7302	-31943
+  7289	-31946
+  7277	-31949
+  7265	-31951
+  7253	-31954
+  7240	-31957
+  7228	-31960
+  7216	-31963
+  7204	-31965
+  7191	-31968
+  7179	-31971
+  7167	-31974
+  7155	-31976
+  7142	-31979
+  7130	-31982
+  7118	-31985
+  7105	-31987
+  7093	-31990
+  7081	-31993
+  7069	-31995
+  7056	-31998
+  7044	-32001
+  7032	-32004
+  7020	-32006
+  7007	-32009
+  6995	-32012
+  6983	-32014
+  6970	-32017
+  6958	-32020
+  6946	-32022
+  6934	-32025
+  6921	-32028
+  6909	-32030
+  6897	-32033
+  6885	-32036
+  6872	-32038
+  6860	-32041
+  6848	-32043
+  6835	-32046
+  6823	-32049
+  6811	-32051
+  6799	-32054
+  6786	-32057
+  6774	-32059
+  6762	-32062
+  6749	-32064
+  6737	-32067
+  6725	-32070
+  6712	-32072
+  6700	-32075
+  6688	-32077
+  6676	-32080
+  6663	-32082
+  6651	-32085
+  6639	-32087
+  6626	-32090
+  6614	-32093
+  6602	-32095
+  6589	-32098
+  6577	-32100
+  6565	-32103
+  6552	-32105
+  6540	-32108
+  6528	-32110
+  6516	-32113
+  6503	-32115
+  6491	-32118
+  6479	-32120
+  6466	-32123
+  6454	-32125
+  6442	-32128
+  6429	-32130
+  6417	-32132
+  6405	-32135
+  6392	-32137
+  6380	-32140
+  6368	-32142
+  6355	-32145
+  6343	-32147
+  6331	-32150
+  6318	-32152
+  6306	-32154
+  6294	-32157
+  6281	-32159
+  6269	-32162
+  6257	-32164
+  6244	-32166
+  6232	-32169
+  6220	-32171
+  6207	-32174
+  6195	-32176
+  6183	-32178
+  6170	-32181
+  6158	-32183
+  6146	-32185
+  6133	-32188
+  6121	-32190
+  6109	-32193
+  6096	-32195
+  6084	-32197
+  6072	-32200
+  6059	-32202
+  6047	-32204
+  6035	-32207
+  6022	-32209
+  6010	-32211
+  5997	-32213
+  5985	-32216
+  5973	-32218
+  5960	-32220
+  5948	-32223
+  5936	-32225
+  5923	-32227
+  5911	-32229
+  5899	-32232
+  5886	-32234
+  5874	-32236
+  5862	-32238
+  5849	-32241
+  5837	-32243
+  5824	-32245
+  5812	-32247
+  5800	-32250
+  5787	-32252
+  5775	-32254
+  5763	-32256
+  5750	-32258
+  5738	-32261
+  5726	-32263
+  5713	-32265
+  5701	-32267
+  5688	-32269
+  5676	-32272
+  5664	-32274
+  5651	-32276
+  5639	-32278
+  5626	-32280
+  5614	-32282
+  5602	-32285
+  5589	-32287
+  5577	-32289
+  5565	-32291
+  5552	-32293
+  5540	-32295
+  5527	-32297
+  5515	-32300
+  5503	-32302
+  5490	-32304
+  5478	-32306
+  5466	-32308
+  5453	-32310
+  5441	-32312
+  5428	-32314
+  5416	-32316
+  5404	-32318
+  5391	-32320
+  5379	-32323
+  5366	-32325
+  5354	-32327
+  5342	-32329
+  5329	-32331
+  5317	-32333
+  5304	-32335
+  5292	-32337
+  5280	-32339
+  5267	-32341
+  5255	-32343
+  5242	-32345
+  5230	-32347
+  5218	-32349
+  5205	-32351
+  5193	-32353
+  5180	-32355
+  5168	-32357
+  5156	-32359
+  5143	-32361
+  5131	-32363
+  5118	-32365
+  5106	-32367
+  5093	-32369
+  5081	-32371
+  5069	-32373
+  5056	-32375
+  5044	-32376
+  5031	-32378
+  5019	-32380
+  5007	-32382
+  4994	-32384
+  4982	-32386
+  4969	-32388
+  4957	-32390
+  4944	-32392
+  4932	-32394
+  4920	-32396
+  4907	-32397
+  4895	-32399
+  4882	-32401
+  4870	-32403
+  4857	-32405
+  4845	-32407
+  4833	-32409
+  4820	-32411
+  4808	-32412
+  4795	-32414
+  4783	-32416
+  4770	-32418
+  4758	-32420
+  4746	-32422
+  4733	-32423
+  4721	-32425
+  4708	-32427
+  4696	-32429
+  4683	-32431
+  4671	-32432
+  4659	-32434
+  4646	-32436
+  4634	-32438
+  4621	-32439
+  4609	-32441
+  4596	-32443
+  4584	-32445
+  4571	-32447
+  4559	-32448
+  4547	-32450
+  4534	-32452
+  4522	-32454
+  4509	-32455
+  4497	-32457
+  4484	-32459
+  4472	-32460
+  4459	-32462
+  4447	-32464
+  4435	-32466
+  4422	-32467
+  4410	-32469
+  4397	-32471
+  4385	-32472
+  4372	-32474
+  4360	-32476
+  4347	-32477
+  4335	-32479
+  4322	-32481
+  4310	-32482
+  4298	-32484
+  4285	-32486
+  4273	-32487
+  4260	-32489
+  4248	-32490
+  4235	-32492
+  4223	-32494
+  4210	-32495
+  4198	-32497
+  4185	-32499
+  4173	-32500
+  4161	-32502
+  4148	-32503
+  4136	-32505
+  4123	-32507
+  4111	-32508
+  4098	-32510
+  4086	-32511
+  4073	-32513
+  4061	-32514
+  4048	-32516
+  4036	-32517
+  4023	-32519
+  4011	-32521
+  3998	-32522
+  3986	-32524
+  3973	-32525
+  3961	-32527
+  3949	-32528
+  3936	-32530
+  3924	-32531
+  3911	-32533
+  3899	-32534
+  3886	-32536
+  3874	-32537
+  3861	-32539
+  3849	-32540
+  3836	-32542
+  3824	-32543
+  3811	-32545
+  3799	-32546
+  3786	-32547
+  3774	-32549
+  3761	-32550
+  3749	-32552
+  3736	-32553
+  3724	-32555
+  3711	-32556
+  3699	-32558
+  3686	-32559
+  3674	-32560
+  3661	-32562
+  3649	-32563
+  3637	-32565
+  3624	-32566
+  3612	-32567
+  3599	-32569
+  3587	-32570
+  3574	-32571
+  3562	-32573
+  3549	-32574
+  3537	-32576
+  3524	-32577
+  3512	-32578
+  3499	-32580
+  3487	-32581
+  3474	-32582
+  3462	-32584
+  3449	-32585
+  3437	-32586
+  3424	-32588
+  3412	-32589
+  3399	-32590
+  3387	-32592
+  3374	-32593
+  3362	-32594
+  3349	-32595
+  3337	-32597
+  3324	-32598
+  3312	-32599
+  3299	-32600
+  3287	-32602
+  3274	-32603
+  3262	-32604
+  3249	-32606
+  3237	-32607
+  3224	-32608
+  3212	-32609
+  3199	-32610
+  3187	-32612
+  3174	-32613
+  3162	-32614
+  3149	-32615
+  3137	-32617
+  3124	-32618
+  3112	-32619
+  3099	-32620
+  3087	-32621
+  3074	-32622
+  3062	-32624
+  3049	-32625
+  3037	-32626
+  3024	-32627
+  3011	-32628
+  2999	-32629
+  2986	-32631
+  2974	-32632
+  2961	-32633
+  2949	-32634
+  2936	-32635
+  2924	-32636
+  2911	-32637
+  2899	-32639
+  2886	-32640
+  2874	-32641
+  2861	-32642
+  2849	-32643
+  2836	-32644
+  2824	-32645
+  2811	-32646
+  2799	-32647
+  2786	-32648
+  2774	-32649
+  2761	-32650
+  2749	-32652
+  2736	-32653
+  2724	-32654
+  2711	-32655
+  2699	-32656
+  2686	-32657
+  2673	-32658
+  2661	-32659
+  2648	-32660
+  2636	-32661
+  2623	-32662
+  2611	-32663
+  2598	-32664
+  2586	-32665
+  2573	-32666
+  2561	-32667
+  2548	-32668
+  2536	-32669
+  2523	-32670
+  2511	-32671
+  2498	-32672
+  2486	-32673
+  2473	-32674
+  2461	-32674
+  2448	-32675
+  2435	-32676
+  2423	-32677
+  2410	-32678
+  2398	-32679
+  2385	-32680
+  2373	-32681
+  2360	-32682
+  2348	-32683
+  2335	-32684
+  2323	-32685
+  2310	-32685
+  2298	-32686
+  2285	-32687
+  2273	-32688
+  2260	-32689
+  2247	-32690
+  2235	-32691
+  2222	-32692
+  2210	-32692
+  2197	-32693
+  2185	-32694
+  2172	-32695
+  2160	-32696
+  2147	-32697
+  2135	-32697
+  2122	-32698
+  2110	-32699
+  2097	-32700
+  2084	-32701
+  2072	-32701
+  2059	-32702
+  2047	-32703
+  2034	-32704
+  2022	-32705
+  2009	-32705
+  1997	-32706
+  1984	-32707
+  1972	-32708
+  1959	-32708
+  1947	-32709
+  1934	-32710
+  1921	-32711
+  1909	-32711
+  1896	-32712
+  1884	-32713
+  1871	-32714
+  1859	-32714
+  1846	-32715
+  1834	-32716
+  1821	-32716
+  1809	-32717
+  1796	-32718
+  1783	-32718
+  1771	-32719
+  1758	-32720
+  1746	-32720
+  1733	-32721
+  1721	-32722
+  1708	-32722
+  1696	-32723
+  1683	-32724
+  1671	-32724
+  1658	-32725
+  1645	-32726
+  1633	-32726
+  1620	-32727
+  1608	-32728
+  1595	-32728
+  1583	-32729
+  1570	-32729
+  1558	-32730
+  1545	-32731
+  1532	-32731
+  1520	-32732
+  1507	-32732
+  1495	-32733
+  1482	-32733
+  1470	-32734
+  1457	-32735
+  1445	-32735
+  1432	-32736
+  1419	-32736
+  1407	-32737
+  1394	-32737
+  1382	-32738
+  1369	-32738
+  1357	-32739
+  1344	-32739
+  1332	-32740
+  1319	-32740
+  1306	-32741
+  1294	-32741
+  1281	-32742
+  1269	-32742
+  1256	-32743
+  1244	-32743
+  1231	-32744
+  1219	-32744
+  1206	-32745
+  1193	-32745
+  1181	-32746
+  1168	-32746
+  1156	-32747
+  1143	-32747
+  1131	-32747
+  1118	-32748
+  1106	-32748
+  1093	-32749
+  1080	-32749
+  1068	-32750
+  1055	-32750
+  1043	-32750
+  1030	-32751
+  1018	-32751
+  1005	-32752
+   993	-32752
+   980	-32752
+   967	-32753
+   955	-32753
+   942	-32753
+   930	-32754
+   917	-32754
+   905	-32755
+   892	-32755
+   879	-32755
+   867	-32756
+   854	-32756
+   842	-32756
+   829	-32757
+   817	-32757
+   804	-32757
+   792	-32757
+   779	-32758
+   766	-32758
+   754	-32758
+   741	-32759
+   729	-32759
+   716	-32759
+   704	-32759
+   691	-32760
+   678	-32760
+   666	-32760
+   653	-32760
+   641	-32761
+   628	-32761
+   616	-32761
+   603	-32761
+   591	-32762
+   578	-32762
+   565	-32762
+   553	-32762
+   540	-32763
+   528	-32763
+   515	-32763
+   503	-32763
+   490	-32763
+   477	-32764
+   465	-32764
+   452	-32764
+   440	-32764
+   427	-32764
+   415	-32764
+   402	-32765
+   390	-32765
+   377	-32765
+   364	-32765
+   352	-32765
+   339	-32765
+   327	-32765
+   314	-32765
+   302	-32766
+   289	-32766
+   276	-32766
+   264	-32766
+   251	-32766
+   239	-32766
+   226	-32766
+   214	-32766
+   201	-32766
+   188	-32766
+   176	-32767
+   163	-32767
+   151	-32767
+   138	-32767
+   126	-32767
+   113	-32767
+   101	-32767
+    88	-32767
+    75	-32767
+    63	-32767
+    50	-32767
+    38	-32767
+    25	-32767
+    13	-32767
+ 32767	     0
+ 32767	   -38
+ 32767	   -75
+ 32767	  -113
+ 32767	  -151
+ 32766	  -188
+ 32766	  -226
+ 32766	  -264
+ 32766	  -302
+ 32765	  -339
+ 32765	  -377
+ 32764	  -415
+ 32764	  -452
+ 32763	  -490
+ 32763	  -528
+ 32762	  -565
+ 32761	  -603
+ 32761	  -641
+ 32760	  -678
+ 32759	  -716
+ 32758	  -754
+ 32757	  -792
+ 32757	  -829
+ 32756	  -867
+ 32755	  -905
+ 32753	  -942
+ 32752	  -980
+ 32751	 -1018
+ 32750	 -1055
+ 32749	 -1093
+ 32747	 -1131
+ 32746	 -1168
+ 32745	 -1206
+ 32743	 -1244
+ 32742	 -1281
+ 32740	 -1319
+ 32739	 -1357
+ 32737	 -1394
+ 32736	 -1432
+ 32734	 -1470
+ 32732	 -1507
+ 32731	 -1545
+ 32729	 -1583
+ 32727	 -1620
+ 32725	 -1658
+ 32723	 -1696
+ 32721	 -1733
+ 32719	 -1771
+ 32717	 -1809
+ 32715	 -1846
+ 32713	 -1884
+ 32711	 -1921
+ 32708	 -1959
+ 32706	 -1997
+ 32704	 -2034
+ 32701	 -2072
+ 32699	 -2110
+ 32697	 -2147
+ 32694	 -2185
+ 32692	 -2222
+ 32689	 -2260
+ 32686	 -2298
+ 32684	 -2335
+ 32681	 -2373
+ 32678	 -2410
+ 32675	 -2448
+ 32673	 -2486
+ 32670	 -2523
+ 32667	 -2561
+ 32664	 -2598
+ 32661	 -2636
+ 32658	 -2673
+ 32655	 -2711
+ 32652	 -2749
+ 32648	 -2786
+ 32645	 -2824
+ 32642	 -2861
+ 32639	 -2899
+ 32635	 -2936
+ 32632	 -2974
+ 32628	 -3011
+ 32625	 -3049
+ 32621	 -3087
+ 32618	 -3124
+ 32614	 -3162
+ 32610	 -3199
+ 32607	 -3237
+ 32603	 -3274
+ 32599	 -3312
+ 32595	 -3349
+ 32592	 -3387
+ 32588	 -3424
+ 32584	 -3462
+ 32580	 -3499
+ 32576	 -3537
+ 32571	 -3574
+ 32567	 -3612
+ 32563	 -3649
+ 32559	 -3686
+ 32555	 -3724
+ 32550	 -3761
+ 32546	 -3799
+ 32542	 -3836
+ 32537	 -3874
+ 32533	 -3911
+ 32528	 -3949
+ 32524	 -3986
+ 32519	 -4023
+ 32514	 -4061
+ 32510	 -4098
+ 32505	 -4136
+ 32500	 -4173
+ 32495	 -4210
+ 32490	 -4248
+ 32486	 -4285
+ 32481	 -4322
+ 32476	 -4360
+ 32471	 -4397
+ 32466	 -4435
+ 32460	 -4472
+ 32455	 -4509
+ 32450	 -4547
+ 32445	 -4584
+ 32439	 -4621
+ 32434	 -4659
+ 32429	 -4696
+ 32423	 -4733
+ 32418	 -4770
+ 32412	 -4808
+ 32407	 -4845
+ 32401	 -4882
+ 32396	 -4920
+ 32390	 -4957
+ 32384	 -4994
+ 32378	 -5031
+ 32373	 -5069
+ 32367	 -5106
+ 32361	 -5143
+ 32355	 -5180
+ 32349	 -5218
+ 32343	 -5255
+ 32337	 -5292
+ 32331	 -5329
+ 32325	 -5366
+ 32318	 -5404
+ 32312	 -5441
+ 32306	 -5478
+ 32300	 -5515
+ 32293	 -5552
+ 32287	 -5589
+ 32280	 -5626
+ 32274	 -5664
+ 32267	 -5701
+ 32261	 -5738
+ 32254	 -5775
+ 32247	 -5812
+ 32241	 -5849
+ 32234	 -5886
+ 32227	 -5923
+ 32220	 -5960
+ 32213	 -5997
+ 32207	 -6035
+ 32200	 -6072
+ 32193	 -6109
+ 32185	 -6146
+ 32178	 -6183
+ 32171	 -6220
+ 32164	 -6257
+ 32157	 -6294
+ 32150	 -6331
+ 32142	 -6368
+ 32135	 -6405
+ 32128	 -6442
+ 32120	 -6479
+ 32113	 -6516
+ 32105	 -6552
+ 32098	 -6589
+ 32090	 -6626
+ 32082	 -6663
+ 32075	 -6700
+ 32067	 -6737
+ 32059	 -6774
+ 32051	 -6811
+ 32043	 -6848
+ 32036	 -6885
+ 32028	 -6921
+ 32020	 -6958
+ 32012	 -6995
+ 32004	 -7032
+ 31995	 -7069
+ 31987	 -7105
+ 31979	 -7142
+ 31971	 -7179
+ 31963	 -7216
+ 31954	 -7253
+ 31946	 -7289
+ 31937	 -7326
+ 31929	 -7363
+ 31921	 -7400
+ 31912	 -7436
+ 31903	 -7473
+ 31895	 -7510
+ 31886	 -7546
+ 31877	 -7583
+ 31869	 -7620
+ 31860	 -7656
+ 31851	 -7693
+ 31842	 -7730
+ 31833	 -7766
+ 31824	 -7803
+ 31815	 -7840
+ 31806	 -7876
+ 31797	 -7913
+ 31788	 -7949
+ 31779	 -7986
+ 31770	 -8022
+ 31760	 -8059
+ 31751	 -8095
+ 31742	 -8132
+ 31732	 -8169
+ 31723	 -8205
+ 31714	 -8242
+ 31704	 -8278
+ 31695	 -8314
+ 31685	 -8351
+ 31675	 -8387
+ 31666	 -8424
+ 31656	 -8460
+ 31646	 -8497
+ 31636	 -8533
+ 31627	 -8569
+ 31617	 -8606
+ 31607	 -8642
+ 31597	 -8679
+ 31587	 -8715
+ 31577	 -8751
+ 31567	 -8788
+ 31557	 -8824
+ 31546	 -8860
+ 31536	 -8896
+ 31526	 -8933
+ 31516	 -8969
+ 31505	 -9005
+ 31495	 -9041
+ 31484	 -9078
+ 31474	 -9114
+ 31463	 -9150
+ 31453	 -9186
+ 31442	 -9222
+ 31432	 -9259
+ 31421	 -9295
+ 31410	 -9331
+ 31400	 -9367
+ 31389	 -9403
+ 31378	 -9439
+ 31367	 -9475
+ 31356	 -9511
+ 31345	 -9548
+ 31334	 -9584
+ 31323	 -9620
+ 31312	 -9656
+ 31301	 -9692
+ 31290	 -9728
+ 31278	 -9764
+ 31267	 -9800
+ 31256	 -9836
+ 31245	 -9872
+ 31233	 -9908
+ 31222	 -9943
+ 31210	 -9979
+ 31199	-10015
+ 31187	-10051
+ 31176	-10087
+ 31164	-10123
+ 31152	-10159
+ 31141	-10195
+ 31129	-10230
+ 31117	-10266
+ 31105	-10302
+ 31093	-10338
+ 31082	-10373
+ 31070	-10409
+ 31058	-10445
+ 31046	-10481
+ 31033	-10516
+ 31021	-10552
+ 31009	-10588
+ 30997	-10623
+ 30985	-10659
+ 30972	-10695
+ 30960	-10730
+ 30948	-10766
+ 30935	-10802
+ 30923	-10837
+ 30910	-10873
+ 30898	-10908
+ 30885	-10944
+ 30873	-10979
+ 30860	-11015
+ 30847	-11050
+ 30835	-11086
+ 30822	-11121
+ 30809	-11157
+ 30796	-11192
+ 30783	-11228
+ 30770	-11263
+ 30757	-11298
+ 30744	-11334
+ 30731	-11369
+ 30718	-11405
+ 30705	-11440
+ 30692	-11475
+ 30679	-11510
+ 30665	-11546
+ 30652	-11581
+ 30639	-11616
+ 30625	-11652
+ 30612	-11687
+ 30598	-11722
+ 30585	-11757
+ 30571	-11792
+ 30558	-11827
+ 30544	-11863
+ 30531	-11898
+ 30517	-11933
+ 30503	-11968
+ 30489	-12003
+ 30475	-12038
+ 30462	-12073
+ 30448	-12108
+ 30434	-12143
+ 30420	-12178
+ 30406	-12213
+ 30392	-12248
+ 30378	-12283
+ 30363	-12318
+ 30349	-12353
+ 30335	-12388
+ 30321	-12423
+ 30306	-12458
+ 30292	-12493
+ 30278	-12527
+ 30263	-12562
+ 30249	-12597
+ 30234	-12632
+ 30220	-12667
+ 30205	-12701
+ 30190	-12736
+ 30176	-12771
+ 30161	-12806
+ 30146	-12840
+ 30132	-12875
+ 30117	-12910
+ 30102	-12944
+ 30087	-12979
+ 30072	-13013
+ 30057	-13048
+ 30042	-13083
+ 30027	-13117
+ 30012	-13152
+ 29997	-13186
+ 29981	-13221
+ 29966	-13255
+ 29951	-13290
+ 29936	-13324
+ 29920	-13359
+ 29905	-13393
+ 29889	-13427
+ 29874	-13462
+ 29858	-13496
+ 29843	-13530
+ 29827	-13565
+ 29812	-13599
+ 29796	-13633
+ 29780	-13668
+ 29765	-13702
+ 29749	-13736
+ 29733	-13770
+ 29717	-13804
+ 29701	-13839
+ 29685	-13873
+ 29669	-13907
+ 29653	-13941
+ 29637	-13975
+ 29621	-14009
+ 29605	-14043
+ 29589	-14077
+ 29573	-14111
+ 29556	-14145
+ 29540	-14179
+ 29524	-14213
+ 29507	-14247
+ 29491	-14281
+ 29474	-14315
+ 29458	-14349
+ 29441	-14383
+ 29425	-14417
+ 29408	-14451
+ 29392	-14485
+ 29375	-14518
+ 29358	-14552
+ 29341	-14586
+ 29325	-14620
+ 29308	-14653
+ 29291	-14687
+ 29274	-14721
+ 29257	-14754
+ 29240	-14788
+ 29223	-14822
+ 29206	-14855
+ 29189	-14889
+ 29172	-14922
+ 29155	-14956
+ 29137	-14990
+ 29120	-15023
+ 29103	-15057
+ 29085	-15090
+ 29068	-15123
+ 29051	-15157
+ 29033	-15190
+ 29016	-15224
+ 28998	-15257
+ 28981	-15290
+ 28963	-15324
+ 28945	-15357
+ 28928	-15390
+ 28910	-15424
+ 28892	-15457
+ 28874	-15490
+ 28856	-15523
+ 28839	-15556
+ 28821	-15590
+ 28803	-15623
+ 28785	-15656
+ 28767	-15689
+ 28749	-15722
+ 28731	-15755
+ 28712	-15788
+ 28694	-15821
+ 28676	-15854
+ 28658	-15887
+ 28639	-15920
+ 28621	-15953
+ 28603	-15986
+ 28584	-16019
+ 28566	-16052
+ 28547	-16085
+ 28529	-16117
+ 28510	-16150
+ 28492	-16183
+ 28473	-16216
+ 28454	-16249
+ 28436	-16281
+ 28417	-16314
+ 28398	-16347
+ 28379	-16379
+ 28360	-16413
+ 28342	-16446
+ 28323	-16478
+ 28304	-16511
+ 28285	-16543
+ 28266	-16576
+ 28246	-16608
+ 28227	-16641
+ 28208	-16673
+ 28189	-16706
+ 28170	-16738
+ 28150	-16771
+ 28131	-16803
+ 28112	-16835
+ 28092	-16868
+ 28073	-16900
+ 28054	-16932
+ 28034	-16964
+ 28014	-16997
+ 27995	-17029
+ 27975	-17061
+ 27956	-17093
+ 27936	-17125
+ 27916	-17158
+ 27897	-17190
+ 27877	-17222
+ 27857	-17254
+ 27837	-17286
+ 27817	-17318
+ 27797	-17350
+ 27777	-17382
+ 27757	-17414
+ 27737	-17446
+ 27717	-17478
+ 27697	-17509
+ 27677	-17541
+ 27657	-17573
+ 27636	-17605
+ 27616	-17637
+ 27596	-17669
+ 27575	-17700
+ 27555	-17732
+ 27535	-17764
+ 27514	-17795
+ 27494	-17827
+ 27473	-17859
+ 27453	-17890
+ 27432	-17922
+ 27411	-17953
+ 27391	-17985
+ 27370	-18016
+ 27349	-18048
+ 27328	-18079
+ 27308	-18111
+ 27287	-18142
+ 27266	-18173
+ 27245	-18205
+ 27224	-18236
+ 27203	-18267
+ 27182	-18299
+ 27161	-18330
+ 27140	-18361
+ 27119	-18392
+ 27097	-18424
+ 27076	-18455
+ 27055	-18486
+ 27034	-18517
+ 27012	-18548
+ 26991	-18579
+ 26970	-18610
+ 26948	-18641
+ 26927	-18672
+ 26905	-18703
+ 26884	-18734
+ 26862	-18765
+ 26841	-18796
+ 26819	-18827
+ 26797	-18858
+ 26776	-18888
+ 26754	-18919
+ 26732	-18950
+ 26710	-18981
+ 26688	-19011
+ 26666	-19042
+ 26644	-19073
+ 26623	-19103
+ 26601	-19134
+ 26579	-19165
+ 26556	-19195
+ 26534	-19226
+ 26512	-19256
+ 26490	-19287
+ 26468	-19317
+ 26446	-19348
+ 26423	-19378
+ 26401	-19408
+ 26379	-19439
+ 26356	-19469
+ 26334	-19499
+ 26311	-19530
+ 26289	-19560
+ 26266	-19590
+ 26244	-19620
+ 26221	-19651
+ 26199	-19681
+ 26176	-19711
+ 26153	-19741
+ 26131	-19771
+ 26108	-19801
+ 26085	-19831
+ 26062	-19861
+ 26039	-19891
+ 26016	-19921
+ 25993	-19951
+ 25970	-19981
+ 25947	-20011
+ 25924	-20041
+ 25901	-20070
+ 25878	-20100
+ 25855	-20130
+ 25832	-20160
+ 25809	-20189
+ 25786	-20219
+ 25762	-20249
+ 25739	-20278
+ 25716	-20308
+ 25692	-20338
+ 25669	-20367
+ 25645	-20397
+ 25622	-20426
+ 25598	-20456
+ 25575	-20485
+ 25551	-20514
+ 25528	-20544
+ 25504	-20573
+ 25480	-20602
+ 25457	-20632
+ 25433	-20661
+ 25409	-20690
+ 25385	-20719
+ 25361	-20749
+ 25337	-20778
+ 25314	-20807
+ 25290	-20836
+ 25266	-20865
+ 25242	-20894
+ 25217	-20923
+ 25193	-20952
+ 25169	-20981
+ 25145	-21010
+ 25121	-21039
+ 25097	-21068
+ 25072	-21097
+ 25048	-21126
+ 25024	-21154
+ 25000	-21183
+ 24975	-21212
+ 24951	-21241
+ 24926	-21269
+ 24902	-21298
+ 24877	-21327
+ 24853	-21355
+ 24828	-21384
+ 24803	-21412
+ 24779	-21441
+ 24754	-21469
+ 24729	-21498
+ 24705	-21526
+ 24680	-21555
+ 24655	-21583
+ 24630	-21611
+ 24605	-21640
+ 24580	-21668
+ 24556	-21696
+ 24531	-21725
+ 24506	-21753
+ 24480	-21781
+ 24455	-21809
+ 24430	-21837
+ 24405	-21865
+ 24380	-21893
+ 24355	-21921
+ 24330	-21949
+ 24304	-21977
+ 24279	-22005
+ 24254	-22033
+ 24228	-22061
+ 24203	-22089
+ 24177	-22117
+ 24152	-22145
+ 24127	-22172
+ 24101	-22200
+ 24075	-22228
+ 24050	-22256
+ 24024	-22283
+ 23999	-22311
+ 23973	-22338
+ 23947	-22366
+ 23921	-22393
+ 23896	-22421
+ 23870	-22448
+ 23844	-22476
+ 23818	-22503
+ 23792	-22531
+ 23766	-22558
+ 23740	-22585
+ 23714	-22613
+ 23688	-22640
+ 23662	-22667
+ 23636	-22694
+ 23610	-22722
+ 23584	-22749
+ 23558	-22776
+ 23531	-22803
+ 23505	-22830
+ 23479	-22857
+ 23453	-22884
+ 23426	-22911
+ 23400	-22938
+ 23374	-22965
+ 23347	-22992
+ 23321	-23019
+ 23294	-23045
+ 23268	-23072
+ 23241	-23099
+ 23214	-23126
+ 23188	-23152
+ 23161	-23179
+ 23134	-23206
+ 23108	-23232
+ 23081	-23259
+ 23054	-23285
+ 23027	-23312
+ 23001	-23338
+ 22974	-23365
+ 22947	-23391
+ 22920	-23418
+ 22893	-23444
+ 22866	-23470
+ 22839	-23496
+ 22812	-23523
+ 22785	-23549
+ 22758	-23575
+ 22731	-23601
+ 22703	-23627
+ 22676	-23654
+ 22649	-23680
+ 22622	-23706
+ 22594	-23732
+ 22567	-23758
+ 22540	-23784
+ 22512	-23810
+ 22485	-23835
+ 22458	-23861
+ 22430	-23887
+ 22403	-23913
+ 22375	-23939
+ 22348	-23964
+ 22320	-23990
+ 22292	-24016
+ 22265	-24041
+ 22237	-24067
+ 22209	-24092
+ 22182	-24118
+ 22154	-24144
+ 22126	-24169
+ 22098	-24194
+ 22070	-24220
+ 22043	-24245
+ 22015	-24271
+ 21987	-24296
+ 21959	-24321
+ 21931	-24346
+ 21903	-24372
+ 21875	-24397
+ 21847	-24422
+ 21818	-24447
+ 21790	-24472
+ 21762	-24497
+ 21734	-24522
+ 21706	-24547
+ 21677	-24572
+ 21649	-24597
+ 21621	-24622
+ 21593	-24647
+ 21564	-24672
+ 21536	-24696
+ 21507	-24721
+ 21479	-24746
+ 21450	-24771
+ 21422	-24795
+ 21393	-24820
+ 21365	-24845
+ 21336	-24869
+ 21308	-24894
+ 21279	-24918
+ 21250	-24943
+ 21222	-24967
+ 21193	-24991
+ 21164	-25016
+ 21135	-25040
+ 21106	-25064
+ 21078	-25089
+ 21049	-25113
+ 21020	-25137
+ 20991	-25161
+ 20962	-25185
+ 20933	-25209
+ 20904	-25234
+ 20875	-25258
+ 20846	-25282
+ 20817	-25306
+ 20788	-25329
+ 20758	-25353
+ 20729	-25377
+ 20700	-25401
+ 20671	-25425
+ 20641	-25449
+ 20612	-25472
+ 20583	-25496
+ 20554	-25520
+ 20524	-25543
+ 20495	-25567
+ 20465	-25590
+ 20436	-25614
+ 20406	-25638
+ 20377	-25661
+ 20347	-25684
+ 20318	-25708
+ 20288	-25731
+ 20259	-25754
+ 20229	-25778
+ 20199	-25801
+ 20170	-25824
+ 20140	-25847
+ 20110	-25871
+ 20080	-25894
+ 20051	-25917
+ 20021	-25940
+ 19991	-25963
+ 19961	-25986
+ 19931	-26009
+ 19901	-26032
+ 19871	-26055
+ 19841	-26077
+ 19811	-26100
+ 19781	-26123
+ 19751	-26146
+ 19721	-26168
+ 19691	-26191
+ 19661	-26214
+ 19631	-26236
+ 19600	-26259
+ 19570	-26281
+ 19540	-26304
+ 19510	-26326
+ 19479	-26349
+ 19449	-26371
+ 19419	-26394
+ 19388	-26416
+ 19358	-26438
+ 19327	-26460
+ 19297	-26483
+ 19266	-26505
+ 19236	-26527
+ 19205	-26549
+ 19175	-26571
+ 19144	-26593
+ 19114	-26615
+ 19083	-26637
+ 19052	-26659
+ 19022	-26681
+ 18991	-26703
+ 18960	-26725
+ 18930	-26747
+ 18899	-26768
+ 18868	-26790
+ 18837	-26812
+ 18806	-26833
+ 18775	-26855
+ 18744	-26877
+ 18714	-26898
+ 18683	-26920
+ 18652	-26941
+ 18621	-26962
+ 18590	-26984
+ 18558	-27005
+ 18527	-27027
+ 18496	-27048
+ 18465	-27069
+ 18434	-27090
+ 18403	-27112
+ 18372	-27133
+ 18340	-27154
+ 18309	-27175
+ 18278	-27196
+ 18247	-27217
+ 18215	-27238
+ 18184	-27259
+ 18153	-27280
+ 18121	-27301
+ 18090	-27321
+ 18058	-27342
+ 18027	-27363
+ 17995	-27384
+ 17964	-27404
+ 17932	-27425
+ 17901	-27446
+ 17869	-27466
+ 17838	-27487
+ 17806	-27507
+ 17774	-27528
+ 17743	-27548
+ 17711	-27569
+ 17679	-27589
+ 17647	-27609
+ 17616	-27630
+ 17584	-27650
+ 17552	-27670
+ 17520	-27690
+ 17488	-27710
+ 17456	-27730
+ 17424	-27750
+ 17393	-27771
+ 17361	-27791
+ 17329	-27810
+ 17297	-27830
+ 17265	-27850
+ 17232	-27870
+ 17200	-27890
+ 17168	-27910
+ 17136	-27929
+ 17104	-27949
+ 17072	-27969
+ 17040	-27988
+ 17007	-28008
+ 16975	-28028
+ 16943	-28047
+ 16911	-28067
+ 16878	-28086
+ 16846	-28105
+ 16814	-28125
+ 16781	-28144
+ 16749	-28163
+ 16717	-28183
+ 16684	-28202
+ 16652	-28221
+ 16619	-28240
+ 16587	-28259
+ 16554	-28278
+ 16522	-28297
+ 16489	-28316
+ 16456	-28335
+ 16424	-28354
+ 16391	-28373
+ 16358	-28392
+ 16325	-28411
+ 16292	-28429
+ 16260	-28448
+ 16227	-28467
+ 16194	-28485
+ 16161	-28504
+ 16128	-28523
+ 16096	-28541
+ 16063	-28560
+ 16030	-28578
+ 15997	-28597
+ 15964	-28615
+ 15931	-28633
+ 15898	-28652
+ 15865	-28670
+ 15832	-28688
+ 15799	-28706
+ 15766	-28724
+ 15733	-28743
+ 15700	-28761
+ 15667	-28779
+ 15634	-28797
+ 15601	-28815
+ 15568	-28833
+ 15534	-28851
+ 15501	-28868
+ 15468	-28886
+ 15435	-28904
+ 15401	-28922
+ 15368	-28939
+ 15335	-28957
+ 15302	-28975
+ 15268	-28992
+ 15235	-29010
+ 15201	-29027
+ 15168	-29045
+ 15135	-29062
+ 15101	-29080
+ 15068	-29097
+ 15034	-29114
+ 15001	-29132
+ 14967	-29149
+ 14934	-29166
+ 14900	-29183
+ 14866	-29200
+ 14833	-29217
+ 14799	-29234
+ 14766	-29251
+ 14732	-29268
+ 14698	-29285
+ 14665	-29302
+ 14631	-29319
+ 14597	-29336
+ 14563	-29353
+ 14530	-29369
+ 14496	-29386
+ 14462	-29403
+ 14428	-29419
+ 14394	-29436
+ 14360	-29452
+ 14327	-29469
+ 14293	-29485
+ 14259	-29502
+ 14225	-29518
+ 14191	-29535
+ 14157	-29551
+ 14123	-29567
+ 14089	-29583
+ 14055	-29600
+ 14021	-29616
+ 13987	-29632
+ 13952	-29648
+ 13918	-29664
+ 13884	-29680
+ 13850	-29696
+ 13816	-29712
+ 13782	-29728
+ 13747	-29744
+ 13713	-29759
+ 13679	-29775
+ 13645	-29791
+ 13610	-29806
+ 13576	-29822
+ 13542	-29838
+ 13507	-29853
+ 13473	-29869
+ 13439	-29884
+ 13404	-29900
+ 13370	-29915
+ 13336	-29930
+ 13301	-29946
+ 13267	-29961
+ 13232	-29976
+ 13198	-29992
+ 13163	-30007
+ 13129	-30022
+ 13094	-30037
+ 13060	-30052
+ 13025	-30067
+ 12990	-30082
+ 12956	-30097
+ 12921	-30112
+ 12886	-30127
+ 12852	-30141
+ 12817	-30156
+ 12782	-30171
+ 12748	-30186
+ 12713	-30200
+ 12678	-30215
+ 12643	-30229
+ 12609	-30244
+ 12574	-30258
+ 12539	-30273
+ 12504	-30287
+ 12469	-30302
+ 12434	-30316
+ 12400	-30330
+ 12365	-30344
+ 12330	-30359
+ 12295	-30373
+ 12260	-30387
+ 12225	-30401
+ 12190	-30415
+ 12155	-30429
+ 12120	-30443
+ 12085	-30457
+ 12050	-30471
+ 12015	-30485
+ 11980	-30499
+ 11945	-30512
+ 11909	-30526
+ 11874	-30540
+ 11839	-30553
+ 11804	-30567
+ 11769	-30580
+ 11734	-30594
+ 11698	-30607
+ 11663	-30621
+ 11628	-30634
+ 11593	-30648
+ 11558	-30661
+ 11522	-30674
+ 11487	-30688
+ 11452	-30701
+ 11416	-30714
+ 11381	-30727
+ 11346	-30740
+ 11310	-30753
+ 11275	-30766
+ 11239	-30779
+ 11204	-30792
+ 11169	-30805
+ 11133	-30818
+ 11098	-30830
+ 11062	-30843
+ 11027	-30856
+ 10991	-30869
+ 10956	-30881
+ 10920	-30894
+ 10885	-30906
+ 10849	-30919
+ 10813	-30931
+ 10778	-30944
+ 10742	-30956
+ 10707	-30968
+ 10671	-30981
+ 10635	-30993
+ 10600	-31005
+ 10564	-31017
+ 10528	-31029
+ 10493	-31042
+ 10457	-31054
+ 10421	-31066
+ 10385	-31078
+ 10350	-31090
+ 10314	-31101
+ 10278	-31113
+ 10242	-31125
+ 10206	-31137
+ 10171	-31149
+ 10135	-31160
+ 10099	-31172
+ 10063	-31183
+ 10027	-31195
+  9991	-31207
+  9955	-31218
+  9919	-31229
+  9884	-31241
+  9848	-31252
+  9812	-31263
+  9776	-31275
+  9740	-31286
+  9704	-31297
+  9668	-31308
+  9632	-31319
+  9596	-31330
+  9560	-31341
+  9523	-31352
+  9487	-31363
+  9451	-31374
+  9415	-31385
+  9379	-31396
+  9343	-31407
+  9307	-31417
+  9271	-31428
+  9235	-31439
+  9198	-31449
+  9162	-31460
+  9126	-31470
+  9090	-31481
+  9054	-31491
+  9017	-31502
+  8981	-31512
+  8945	-31522
+  8909	-31533
+  8872	-31543
+  8836	-31553
+  8800	-31563
+  8763	-31573
+  8727	-31583
+  8691	-31593
+  8654	-31603
+  8618	-31613
+  8582	-31623
+  8545	-31633
+  8509	-31643
+  8472	-31653
+  8436	-31662
+  8400	-31672
+  8363	-31682
+  8327	-31691
+  8290	-31701
+  8254	-31710
+  8217	-31720
+  8181	-31729
+  8144	-31739
+  8108	-31748
+  8071	-31757
+  8035	-31767
+  7998	-31776
+  7961	-31785
+  7925	-31794
+  7888	-31803
+  7852	-31812
+  7815	-31821
+  7779	-31830
+  7742	-31839
+  7705	-31848
+  7669	-31857
+  7632	-31866
+  7595	-31875
+  7559	-31883
+  7522	-31892
+  7485	-31901
+  7449	-31909
+  7412	-31918
+  7375	-31926
+  7338	-31935
+  7302	-31943
+  7265	-31951
+  7228	-31960
+  7191	-31968
+  7155	-31976
+  7118	-31985
+  7081	-31993
+  7044	-32001
+  7007	-32009
+  6970	-32017
+  6934	-32025
+  6897	-32033
+  6860	-32041
+  6823	-32049
+  6786	-32057
+  6749	-32064
+  6712	-32072
+  6676	-32080
+  6639	-32087
+  6602	-32095
+  6565	-32103
+  6528	-32110
+  6491	-32118
+  6454	-32125
+  6417	-32132
+  6380	-32140
+  6343	-32147
+  6306	-32154
+  6269	-32162
+  6232	-32169
+  6195	-32176
+  6158	-32183
+  6121	-32190
+  6084	-32197
+  6047	-32204
+  6010	-32211
+  5973	-32218
+  5936	-32225
+  5899	-32232
+  5862	-32238
+  5824	-32245
+  5787	-32252
+  5750	-32258
+  5713	-32265
+  5676	-32272
+  5639	-32278
+  5602	-32285
+  5565	-32291
+  5527	-32297
+  5490	-32304
+  5453	-32310
+  5416	-32316
+  5379	-32323
+  5342	-32329
+  5304	-32335
+  5267	-32341
+  5230	-32347
+  5193	-32353
+  5156	-32359
+  5118	-32365
+  5081	-32371
+  5044	-32376
+  5007	-32382
+  4969	-32388
+  4932	-32394
+  4895	-32399
+  4857	-32405
+  4820	-32411
+  4783	-32416
+  4746	-32422
+  4708	-32427
+  4671	-32432
+  4634	-32438
+  4596	-32443
+  4559	-32448
+  4522	-32454
+  4484	-32459
+  4447	-32464
+  4410	-32469
+  4372	-32474
+  4335	-32479
+  4298	-32484
+  4260	-32489
+  4223	-32494
+  4185	-32499
+  4148	-32503
+  4111	-32508
+  4073	-32513
+  4036	-32517
+  3998	-32522
+  3961	-32527
+  3924	-32531
+  3886	-32536
+  3849	-32540
+  3811	-32545
+  3774	-32549
+  3736	-32553
+  3699	-32558
+  3661	-32562
+  3624	-32566
+  3587	-32570
+  3549	-32574
+  3512	-32578
+  3474	-32582
+  3437	-32586
+  3399	-32590
+  3362	-32594
+  3324	-32598
+  3287	-32602
+  3249	-32606
+  3212	-32609
+  3174	-32613
+  3137	-32617
+  3099	-32620
+  3062	-32624
+  3024	-32627
+  2986	-32631
+  2949	-32634
+  2911	-32637
+  2874	-32641
+  2836	-32644
+  2799	-32647
+  2761	-32650
+  2724	-32654
+  2686	-32657
+  2648	-32660
+  2611	-32663
+  2573	-32666
+  2536	-32669
+  2498	-32672
+  2461	-32674
+  2423	-32677
+  2385	-32680
+  2348	-32683
+  2310	-32685
+  2273	-32688
+  2235	-32691
+  2197	-32693
+  2160	-32696
+  2122	-32698
+  2084	-32701
+  2047	-32703
+  2009	-32705
+  1972	-32708
+  1934	-32710
+  1896	-32712
+  1859	-32714
+  1821	-32716
+  1783	-32718
+  1746	-32720
+  1708	-32722
+  1671	-32724
+  1633	-32726
+  1595	-32728
+  1558	-32730
+  1520	-32732
+  1482	-32733
+  1445	-32735
+  1407	-32737
+  1369	-32738
+  1332	-32740
+  1294	-32741
+  1256	-32743
+  1219	-32744
+  1181	-32746
+  1143	-32747
+  1106	-32748
+  1068	-32750
+  1030	-32751
+   993	-32752
+   955	-32753
+   917	-32754
+   879	-32755
+   842	-32756
+   804	-32757
+   766	-32758
+   729	-32759
+   691	-32760
+   653	-32760
+   616	-32761
+   578	-32762
+   540	-32763
+   503	-32763
+   465	-32764
+   427	-32764
+   390	-32765
+   352	-32765
+   314	-32765
+   276	-32766
+   239	-32766
+   201	-32766
+   163	-32767
+   126	-32767
+    88	-32767
+    50	-32767
+    13	-32767
+   -25	-32767
+   -63	-32767
+  -101	-32767
+  -138	-32767
+  -176	-32767
+  -214	-32766
+  -251	-32766
+  -289	-32766
+  -327	-32765
+  -364	-32765
+  -402	-32765
+  -440	-32764
+  -477	-32764
+  -515	-32763
+  -553	-32762
+  -591	-32762
+  -628	-32761
+  -666	-32760
+  -704	-32759
+  -741	-32759
+  -779	-32758
+  -817	-32757
+  -854	-32756
+  -892	-32755
+  -930	-32754
+  -967	-32753
+ -1005	-32752
+ -1043	-32750
+ -1080	-32749
+ -1118	-32748
+ -1156	-32747
+ -1193	-32745
+ -1231	-32744
+ -1269	-32742
+ -1306	-32741
+ -1344	-32739
+ -1382	-32738
+ -1419	-32736
+ -1457	-32735
+ -1495	-32733
+ -1532	-32731
+ -1570	-32729
+ -1608	-32728
+ -1645	-32726
+ -1683	-32724
+ -1721	-32722
+ -1758	-32720
+ -1796	-32718
+ -1834	-32716
+ -1871	-32714
+ -1909	-32711
+ -1947	-32709
+ -1984	-32707
+ -2022	-32705
+ -2059	-32702
+ -2097	-32700
+ -2135	-32697
+ -2172	-32695
+ -2210	-32692
+ -2247	-32690
+ -2285	-32687
+ -2323	-32685
+ -2360	-32682
+ -2398	-32679
+ -2435	-32676
+ -2473	-32674
+ -2511	-32671
+ -2548	-32668
+ -2586	-32665
+ -2623	-32662
+ -2661	-32659
+ -2699	-32656
+ -2736	-32653
+ -2774	-32649
+ -2811	-32646
+ -2849	-32643
+ -2886	-32640
+ -2924	-32636
+ -2961	-32633
+ -2999	-32629
+ -3037	-32626
+ -3074	-32622
+ -3112	-32619
+ -3149	-32615
+ -3187	-32612
+ -3224	-32608
+ -3262	-32604
+ -3299	-32600
+ -3337	-32597
+ -3374	-32593
+ -3412	-32589
+ -3449	-32585
+ -3487	-32581
+ -3524	-32577
+ -3562	-32573
+ -3599	-32569
+ -3637	-32565
+ -3674	-32560
+ -3711	-32556
+ -3749	-32552
+ -3786	-32547
+ -3824	-32543
+ -3861	-32539
+ -3899	-32534
+ -3936	-32530
+ -3973	-32525
+ -4011	-32521
+ -4048	-32516
+ -4086	-32511
+ -4123	-32507
+ -4161	-32502
+ -4198	-32497
+ -4235	-32492
+ -4273	-32487
+ -4310	-32482
+ -4347	-32477
+ -4385	-32472
+ -4422	-32467
+ -4459	-32462
+ -4497	-32457
+ -4534	-32452
+ -4571	-32447
+ -4609	-32441
+ -4646	-32436
+ -4683	-32431
+ -4721	-32425
+ -4758	-32420
+ -4795	-32414
+ -4833	-32409
+ -4870	-32403
+ -4907	-32397
+ -4944	-32392
+ -4982	-32386
+ -5019	-32380
+ -5056	-32375
+ -5093	-32369
+ -5131	-32363
+ -5168	-32357
+ -5205	-32351
+ -5242	-32345
+ -5280	-32339
+ -5317	-32333
+ -5354	-32327
+ -5391	-32320
+ -5428	-32314
+ -5466	-32308
+ -5503	-32302
+ -5540	-32295
+ -5577	-32289
+ -5614	-32282
+ -5651	-32276
+ -5688	-32269
+ -5726	-32263
+ -5763	-32256
+ -5800	-32250
+ -5837	-32243
+ -5874	-32236
+ -5911	-32229
+ -5948	-32223
+ -5985	-32216
+ -6022	-32209
+ -6059	-32202
+ -6096	-32195
+ -6133	-32188
+ -6170	-32181
+ -6207	-32174
+ -6244	-32166
+ -6281	-32159
+ -6318	-32152
+ -6355	-32145
+ -6392	-32137
+ -6429	-32130
+ -6466	-32123
+ -6503	-32115
+ -6540	-32108
+ -6577	-32100
+ -6614	-32093
+ -6651	-32085
+ -6688	-32077
+ -6725	-32070
+ -6762	-32062
+ -6799	-32054
+ -6835	-32046
+ -6872	-32038
+ -6909	-32030
+ -6946	-32022
+ -6983	-32014
+ -7020	-32006
+ -7056	-31998
+ -7093	-31990
+ -7130	-31982
+ -7167	-31974
+ -7204	-31965
+ -7240	-31957
+ -7277	-31949
+ -7314	-31940
+ -7351	-31932
+ -7387	-31923
+ -7424	-31915
+ -7461	-31906
+ -7497	-31898
+ -7534	-31889
+ -7571	-31880
+ -7608	-31872
+ -7644	-31863
+ -7681	-31854
+ -7717	-31845
+ -7754	-31836
+ -7791	-31827
+ -7827	-31818
+ -7864	-31809
+ -7901	-31800
+ -7937	-31791
+ -7974	-31782
+ -8010	-31773
+ -8047	-31764
+ -8083	-31754
+ -8120	-31745
+ -8156	-31736
+ -8193	-31726
+ -8229	-31717
+ -8266	-31707
+ -8302	-31698
+ -8339	-31688
+ -8375	-31679
+ -8412	-31669
+ -8448	-31659
+ -8485	-31649
+ -8521	-31640
+ -8557	-31630
+ -8594	-31620
+ -8630	-31610
+ -8666	-31600
+ -8703	-31590
+ -8739	-31580
+ -8775	-31570
+ -8812	-31560
+ -8848	-31550
+ -8884	-31540
+ -8921	-31529
+ -8957	-31519
+ -8993	-31509
+ -9029	-31498
+ -9066	-31488
+ -9102	-31477
+ -9138	-31467
+ -9174	-31456
+ -9210	-31446
+ -9247	-31435
+ -9283	-31425
+ -9319	-31414
+ -9355	-31403
+ -9391	-31392
+ -9427	-31382
+ -9463	-31371
+ -9499	-31360
+ -9536	-31349
+ -9572	-31338
+ -9608	-31327
+ -9644	-31316
+ -9680	-31305
+ -9716	-31293
+ -9752	-31282
+ -9788	-31271
+ -9824	-31260
+ -9860	-31248
+ -9896	-31237
+ -9931	-31226
+ -9967	-31214
+-10003	-31203
+-10039	-31191
+-10075	-31180
+-10111	-31168
+-10147	-31156
+-10183	-31145
+-10218	-31133
+-10254	-31121
+-10290	-31109
+-10326	-31097
+-10362	-31086
+-10397	-31074
+-10433	-31062
+-10469	-31050
+-10505	-31038
+-10540	-31025
+-10576	-31013
+-10612	-31001
+-10647	-30989
+-10683	-30977
+-10719	-30964
+-10754	-30952
+-10790	-30940
+-10825	-30927
+-10861	-30915
+-10896	-30902
+-10932	-30890
+-10968	-30877
+-11003	-30864
+-11039	-30852
+-11074	-30839
+-11109	-30826
+-11145	-30813
+-11180	-30801
+-11216	-30788
+-11251	-30775
+-11287	-30762
+-11322	-30749
+-11357	-30736
+-11393	-30723
+-11428	-30709
+-11463	-30696
+-11499	-30683
+-11534	-30670
+-11569	-30657
+-11605	-30643
+-11640	-30630
+-11675	-30616
+-11710	-30603
+-11745	-30589
+-11781	-30576
+-11816	-30562
+-11851	-30549
+-11886	-30535
+-11921	-30521
+-11956	-30508
+-11991	-30494
+-12026	-30480
+-12062	-30466
+-12097	-30452
+-12132	-30438
+-12167	-30424
+-12202	-30410
+-12237	-30396
+-12272	-30382
+-12306	-30368
+-12341	-30354
+-12376	-30340
+-12411	-30325
+-12446	-30311
+-12481	-30297
+-12516	-30282
+-12551	-30268
+-12585	-30254
+-12620	-30239
+-12655	-30225
+-12690	-30210
+-12725	-30195
+-12759	-30181
+-12794	-30166
+-12829	-30151
+-12863	-30136
+-12898	-30122
+-12933	-30107
+-12967	-30092
+-13002	-30077
+-13036	-30062
+-13071	-30047
+-13106	-30032
+-13140	-30017
+-13175	-30002
+-13209	-29986
+-13244	-29971
+-13278	-29956
+-13313	-29941
+-13347	-29925
+-13381	-29910
+-13416	-29895
+-13450	-29879
+-13485	-29864
+-13519	-29848
+-13553	-29833
+-13588	-29817
+-13622	-29801
+-13656	-29786
+-13690	-29770
+-13725	-29754
+-13759	-29738
+-13793	-29722
+-13827	-29707
+-13861	-29691
+-13896	-29675
+-13930	-29659
+-13964	-29643
+-13998	-29626
+-14032	-29610
+-14066	-29594
+-14100	-29578
+-14134	-29562
+-14168	-29545
+-14202	-29529
+-14236	-29513
+-14270	-29496
+-14304	-29480
+-14338	-29463
+-14372	-29447
+-14406	-29430
+-14439	-29414
+-14473	-29397
+-14507	-29381
+-14541	-29364
+-14575	-29347
+-14608	-29330
+-14642	-29313
+-14676	-29297
+-14710	-29280
+-14743	-29263
+-14777	-29246
+-14810	-29229
+-14844	-29212
+-14878	-29195
+-14911	-29177
+-14945	-29160
+-14978	-29143
+-15012	-29126
+-15045	-29109
+-15079	-29091
+-15112	-29074
+-15146	-29056
+-15179	-29039
+-15213	-29021
+-15246	-29004
+-15279	-28986
+-15313	-28969
+-15346	-28951
+-15379	-28933
+-15413	-28916
+-15446	-28898
+-15479	-28880
+-15512	-28862
+-15545	-28845
+-15579	-28827
+-15612	-28809
+-15645	-28791
+-15678	-28773
+-15711	-28755
+-15744	-28737
+-15777	-28718
+-15810	-28700
+-15843	-28682
+-15876	-28664
+-15909	-28646
+-15942	-28627
+-15975	-28609
+-16008	-28590
+-16041	-28572
+-16074	-28554
+-16107	-28535
+-16139	-28516
+-16172	-28498
+-16205	-28479
+-16238	-28461
+-16270	-28442
+-16303	-28423
+-16336	-28404
+-16368	-28386
+-16402	-28367
+-16435	-28348
+-16467	-28329
+-16500	-28310
+-16532	-28291
+-16565	-28272
+-16598	-28253
+-16630	-28234
+-16663	-28215
+-16695	-28195
+-16727	-28176
+-16760	-28157
+-16792	-28138
+-16825	-28118
+-16857	-28099
+-16889	-28079
+-16921	-28060
+-16954	-28041
+-16986	-28021
+-17018	-28001
+-17050	-27982
+-17083	-27962
+-17115	-27943
+-17147	-27923
+-17179	-27903
+-17211	-27883
+-17243	-27863
+-17275	-27844
+-17307	-27824
+-17339	-27804
+-17371	-27784
+-17403	-27764
+-17435	-27744
+-17467	-27724
+-17499	-27704
+-17531	-27683
+-17563	-27663
+-17594	-27643
+-17626	-27623
+-17658	-27603
+-17690	-27582
+-17721	-27562
+-17753	-27541
+-17785	-27521
+-17816	-27500
+-17848	-27480
+-17880	-27459
+-17911	-27439
+-17943	-27418
+-17974	-27398
+-18006	-27377
+-18037	-27356
+-18069	-27335
+-18100	-27315
+-18132	-27294
+-18163	-27273
+-18194	-27252
+-18226	-27231
+-18257	-27210
+-18288	-27189
+-18320	-27168
+-18351	-27147
+-18382	-27126
+-18413	-27105
+-18444	-27083
+-18476	-27062
+-18507	-27041
+-18538	-27019
+-18569	-26998
+-18600	-26977
+-18631	-26955
+-18662	-26934
+-18693	-26912
+-18724	-26891
+-18755	-26869
+-18786	-26848
+-18817	-26826
+-18847	-26804
+-18878	-26783
+-18909	-26761
+-18940	-26739
+-18971	-26717
+-19001	-26696
+-19032	-26674
+-19063	-26652
+-19093	-26630
+-19124	-26608
+-19154	-26586
+-19185	-26564
+-19216	-26542
+-19246	-26520
+-19277	-26497
+-19307	-26475
+-19338	-26453
+-19368	-26431
+-19398	-26408
+-19429	-26386
+-19459	-26364
+-19489	-26341
+-19520	-26319
+-19550	-26296
+-19580	-26274
+-19610	-26251
+-19641	-26229
+-19671	-26206
+-19701	-26184
+-19731	-26161
+-19761	-26138
+-19791	-26115
+-19821	-26093
+-19851	-26070
+-19881	-26047
+-19911	-26024
+-19941	-26001
+-19971	-25978
+-20001	-25955
+-20031	-25932
+-20060	-25909
+-20090	-25886
+-20120	-25863
+-20150	-25840
+-20179	-25816
+-20209	-25793
+-20239	-25770
+-20268	-25747
+-20298	-25723
+-20328	-25700
+-20357	-25677
+-20387	-25653
+-20416	-25630
+-20446	-25606
+-20475	-25583
+-20505	-25559
+-20534	-25535
+-20563	-25512
+-20593	-25488
+-20622	-25464
+-20651	-25441
+-20680	-25417
+-20710	-25393
+-20739	-25369
+-20768	-25345
+-20797	-25321
+-20826	-25298
+-20855	-25274
+-20885	-25250
+-20914	-25226
+-20943	-25201
+-20972	-25177
+-21000	-25153
+-21029	-25129
+-21058	-25105
+-21087	-25081
+-21116	-25056
+-21145	-25032
+-21174	-25008
+-21202	-24983
+-21231	-24959
+-21260	-24934
+-21288	-24910
+-21317	-24885
+-21346	-24861
+-21374	-24836
+-21403	-24812
+-21431	-24787
+-21460	-24762
+-21488	-24738
+-21517	-24713
+-21545	-24688
+-21574	-24663
+-21602	-24639
+-21630	-24614
+-21659	-24589
+-21687	-24564
+-21715	-24539
+-21743	-24514
+-21772	-24489
+-21800	-24464
+-21828	-24439
+-21856	-24414
+-21884	-24388
+-21912	-24363
+-21940	-24338
+-21968	-24313
+-21996	-24287
+-22024	-24262
+-22052	-24237
+-22080	-24211
+-22108	-24186
+-22135	-24161
+-22163	-24135
+-22191	-24110
+-22219	-24084
+-22246	-24058
+-22274	-24033
+-22302	-24007
+-22329	-23981
+-22357	-23956
+-22384	-23930
+-22412	-23904
+-22439	-23878
+-22467	-23853
+-22494	-23827
+-22522	-23801
+-22549	-23775
+-22576	-23749
+-22604	-23723
+-22631	-23697
+-22658	-23671
+-22685	-23645
+-22713	-23619
+-22740	-23593
+-22767	-23566
+-22794	-23540
+-22821	-23514
+-22848	-23488
+-22875	-23461
+-22902	-23435
+-22929	-23409
+-22956	-23382
+-22983	-23356
+-23010	-23329
+-23036	-23303
+-23063	-23276
+-23090	-23250
+-23117	-23223
+-23143	-23197
+-23170	-23170
+-23197	-23143
+-23223	-23117
+-23250	-23090
+-23276	-23063
+-23303	-23036
+-23329	-23010
+-23356	-22983
+-23382	-22956
+-23409	-22929
+-23435	-22902
+-23461	-22875
+-23488	-22848
+-23514	-22821
+-23540	-22794
+-23566	-22767
+-23593	-22740
+-23619	-22713
+-23645	-22685
+-23671	-22658
+-23697	-22631
+-23723	-22604
+-23749	-22576
+-23775	-22549
+-23801	-22522
+-23827	-22494
+-23853	-22467
+-23878	-22439
+-23904	-22412
+-23930	-22384
+-23956	-22357
+-23981	-22329
+-24007	-22302
+-24033	-22274
+-24058	-22246
+-24084	-22219
+-24110	-22191
+-24135	-22163
+-24161	-22135
+-24186	-22108
+-24211	-22080
+-24237	-22052
+-24262	-22024
+-24287	-21996
+-24313	-21968
+-24338	-21940
+-24363	-21912
+-24388	-21884
+-24414	-21856
+-24439	-21828
+-24464	-21800
+-24489	-21772
+-24514	-21743
+-24539	-21715
+-24564	-21687
+-24589	-21659
+-24614	-21630
+-24639	-21602
+-24663	-21574
+-24688	-21545
+-24713	-21517
+-24738	-21488
+-24762	-21460
+-24787	-21431
+-24812	-21403
+-24836	-21374
+-24861	-21346
+-24885	-21317
+-24910	-21288
+-24934	-21260
+-24959	-21231
+-24983	-21202
+-25008	-21174
+-25032	-21145
+-25056	-21116
+-25081	-21087
+-25105	-21058
+-25129	-21029
+-25153	-21000
+-25177	-20972
+-25201	-20943
+-25226	-20914
+-25250	-20885
+-25274	-20855
+-25298	-20826
+-25321	-20797
+-25345	-20768
+-25369	-20739
+-25393	-20710
+-25417	-20680
+-25441	-20651
+-25464	-20622
+-25488	-20593
+-25512	-20563
+-25535	-20534
+-25559	-20505
+-25583	-20475
+-25606	-20446
+-25630	-20416
+-25653	-20387
+-25677	-20357
+-25700	-20328
+-25723	-20298
+-25747	-20268
+-25770	-20239
+-25793	-20209
+-25816	-20179
+-25840	-20150
+-25863	-20120
+-25886	-20090
+-25909	-20060
+-25932	-20031
+-25955	-20001
+-25978	-19971
+-26001	-19941
+-26024	-19911
+-26047	-19881
+-26070	-19851
+-26093	-19821
+-26115	-19791
+-26138	-19761
+-26161	-19731
+-26184	-19701
+-26206	-19671
+-26229	-19641
+-26251	-19610
+-26274	-19580
+-26296	-19550
+-26319	-19520
+-26341	-19489
+-26364	-19459
+-26386	-19429
+-26408	-19398
+-26431	-19368
+-26453	-19338
+-26475	-19307
+-26497	-19277
+-26520	-19246
+-26542	-19216
+-26564	-19185
+-26586	-19154
+-26608	-19124
+-26630	-19093
+-26652	-19063
+-26674	-19032
+-26696	-19001
+-26717	-18971
+-26739	-18940
+-26761	-18909
+-26783	-18878
+-26804	-18847
+-26826	-18817
+-26848	-18786
+-26869	-18755
+-26891	-18724
+-26912	-18693
+-26934	-18662
+-26955	-18631
+-26977	-18600
+-26998	-18569
+-27019	-18538
+-27041	-18507
+-27062	-18476
+-27083	-18444
+-27105	-18413
+-27126	-18382
+-27147	-18351
+-27168	-18320
+-27189	-18288
+-27210	-18257
+-27231	-18226
+-27252	-18194
+-27273	-18163
+-27294	-18132
+-27315	-18100
+-27335	-18069
+-27356	-18037
+-27377	-18006
+-27398	-17974
+-27418	-17943
+-27439	-17911
+-27459	-17880
+-27480	-17848
+-27500	-17816
+-27521	-17785
+-27541	-17753
+-27562	-17721
+-27582	-17690
+-27603	-17658
+-27623	-17626
+-27643	-17594
+-27663	-17563
+-27683	-17531
+-27704	-17499
+-27724	-17467
+-27744	-17435
+-27764	-17403
+-27784	-17371
+-27804	-17339
+-27824	-17307
+-27844	-17275
+-27863	-17243
+-27883	-17211
+-27903	-17179
+-27923	-17147
+-27943	-17115
+-27962	-17083
+-27982	-17050
+-28001	-17018
+-28021	-16986
+-28041	-16954
+-28060	-16921
+-28079	-16889
+-28099	-16857
+-28118	-16825
+-28138	-16792
+-28157	-16760
+-28176	-16727
+-28195	-16695
+-28215	-16663
+-28234	-16630
+-28253	-16598
+-28272	-16565
+-28291	-16532
+-28310	-16500
+-28329	-16467
+-28348	-16435
+-28367	-16402
+-28386	-16368
+-28404	-16336
+-28423	-16303
+-28442	-16270
+-28461	-16238
+-28479	-16205
+-28498	-16172
+-28516	-16139
+-28535	-16107
+-28554	-16074
+-28572	-16041
+-28590	-16008
+-28609	-15975
+-28627	-15942
+-28646	-15909
+-28664	-15876
+-28682	-15843
+-28700	-15810
+-28718	-15777
+-28737	-15744
+-28755	-15711
+-28773	-15678
+-28791	-15645
+-28809	-15612
+-28827	-15579
+-28845	-15545
+-28862	-15512
+-28880	-15479
+-28898	-15446
+-28916	-15413
+-28933	-15379
+-28951	-15346
+-28969	-15313
+-28986	-15279
+-29004	-15246
+-29021	-15213
+-29039	-15179
+-29056	-15146
+-29074	-15112
+-29091	-15079
+-29109	-15045
+-29126	-15012
+-29143	-14978
+-29160	-14945
+-29177	-14911
+-29195	-14878
+-29212	-14844
+-29229	-14810
+-29246	-14777
+-29263	-14743
+-29280	-14710
+-29297	-14676
+-29313	-14642
+-29330	-14608
+-29347	-14575
+-29364	-14541
+-29381	-14507
+-29397	-14473
+-29414	-14439
+-29430	-14406
+-29447	-14372
+-29463	-14338
+-29480	-14304
+-29496	-14270
+-29513	-14236
+-29529	-14202
+-29545	-14168
+-29562	-14134
+-29578	-14100
+-29594	-14066
+-29610	-14032
+-29626	-13998
+-29643	-13964
+-29659	-13930
+-29675	-13896
+-29691	-13861
+-29707	-13827
+-29722	-13793
+-29738	-13759
+-29754	-13725
+-29770	-13690
+-29786	-13656
+-29801	-13622
+-29817	-13588
+-29833	-13553
+-29848	-13519
+-29864	-13485
+-29879	-13450
+-29895	-13416
+-29910	-13381
+-29925	-13347
+-29941	-13313
+-29956	-13278
+-29971	-13244
+-29986	-13209
+-30002	-13175
+-30017	-13140
+-30032	-13106
+-30047	-13071
+-30062	-13036
+-30077	-13002
+-30092	-12967
+-30107	-12933
+-30122	-12898
+-30136	-12863
+-30151	-12829
+-30166	-12794
+-30181	-12759
+-30195	-12725
+-30210	-12690
+-30225	-12655
+-30239	-12620
+-30254	-12585
+-30268	-12551
+-30282	-12516
+-30297	-12481
+-30311	-12446
+-30325	-12411
+-30340	-12376
+-30354	-12341
+-30368	-12306
+-30382	-12272
+-30396	-12237
+-30410	-12202
+-30424	-12167
+-30438	-12132
+-30452	-12097
+-30466	-12062
+-30480	-12026
+-30494	-11991
+-30508	-11956
+-30521	-11921
+-30535	-11886
+-30549	-11851
+-30562	-11816
+-30576	-11781
+-30589	-11745
+-30603	-11710
+-30616	-11675
+-30630	-11640
+-30643	-11605
+-30657	-11569
+-30670	-11534
+-30683	-11499
+-30696	-11463
+-30709	-11428
+-30723	-11393
+-30736	-11357
+-30749	-11322
+-30762	-11287
+-30775	-11251
+-30788	-11216
+-30801	-11180
+-30813	-11145
+-30826	-11109
+-30839	-11074
+-30852	-11039
+-30864	-11003
+-30877	-10968
+-30890	-10932
+-30902	-10896
+-30915	-10861
+-30927	-10825
+-30940	-10790
+-30952	-10754
+-30964	-10719
+-30977	-10683
+-30989	-10647
+-31001	-10612
+-31013	-10576
+-31025	-10540
+-31038	-10505
+-31050	-10469
+-31062	-10433
+-31074	-10397
+-31086	-10362
+-31097	-10326
+-31109	-10290
+-31121	-10254
+-31133	-10218
+-31145	-10183
+-31156	-10147
+-31168	-10111
+-31180	-10075
+-31191	-10039
+-31203	-10003
+-31214	 -9967
+-31226	 -9931
+-31237	 -9896
+-31248	 -9860
+-31260	 -9824
+-31271	 -9788
+-31282	 -9752
+-31293	 -9716
+-31305	 -9680
+-31316	 -9644
+-31327	 -9608
+-31338	 -9572
+-31349	 -9536
+-31360	 -9499
+-31371	 -9463
+-31382	 -9427
+-31392	 -9391
+-31403	 -9355
+-31414	 -9319
+-31425	 -9283
+-31435	 -9247
+-31446	 -9210
+-31456	 -9174
+-31467	 -9138
+-31477	 -9102
+-31488	 -9066
+-31498	 -9029
+-31509	 -8993
+-31519	 -8957
+-31529	 -8921
+-31540	 -8884
+-31550	 -8848
+-31560	 -8812
+-31570	 -8775
+-31580	 -8739
+-31590	 -8703
+-31600	 -8666
+-31610	 -8630
+-31620	 -8594
+-31630	 -8557
+-31640	 -8521
+-31649	 -8485
+-31659	 -8448
+-31669	 -8412
+-31679	 -8375
+-31688	 -8339
+-31698	 -8302
+-31707	 -8266
+-31717	 -8229
+-31726	 -8193
+-31736	 -8156
+-31745	 -8120
+-31754	 -8083
+-31764	 -8047
+-31773	 -8010
+-31782	 -7974
+-31791	 -7937
+-31800	 -7901
+-31809	 -7864
+-31818	 -7827
+-31827	 -7791
+-31836	 -7754
+-31845	 -7717
+-31854	 -7681
+-31863	 -7644
+-31872	 -7608
+-31880	 -7571
+-31889	 -7534
+-31898	 -7497
+-31906	 -7461
+-31915	 -7424
+-31923	 -7387
+-31932	 -7351
+-31940	 -7314
+-31949	 -7277
+-31957	 -7240
+-31965	 -7204
+-31974	 -7167
+-31982	 -7130
+-31990	 -7093
+-31998	 -7056
+-32006	 -7020
+-32014	 -6983
+-32022	 -6946
+-32030	 -6909
+-32038	 -6872
+-32046	 -6835
+-32054	 -6799
+-32062	 -6762
+-32070	 -6725
+-32077	 -6688
+-32085	 -6651
+-32093	 -6614
+-32100	 -6577
+-32108	 -6540
+-32115	 -6503
+-32123	 -6466
+-32130	 -6429
+-32137	 -6392
+-32145	 -6355
+-32152	 -6318
+-32159	 -6281
+-32166	 -6244
+-32174	 -6207
+-32181	 -6170
+-32188	 -6133
+-32195	 -6096
+-32202	 -6059
+-32209	 -6022
+-32216	 -5985
+-32223	 -5948
+-32229	 -5911
+-32236	 -5874
+-32243	 -5837
+-32250	 -5800
+-32256	 -5763
+-32263	 -5726
+-32269	 -5688
+-32276	 -5651
+-32282	 -5614
+-32289	 -5577
+-32295	 -5540
+-32302	 -5503
+-32308	 -5466
+-32314	 -5428
+-32320	 -5391
+-32327	 -5354
+-32333	 -5317
+-32339	 -5280
+-32345	 -5242
+-32351	 -5205
+-32357	 -5168
+-32363	 -5131
+-32369	 -5093
+-32375	 -5056
+-32380	 -5019
+-32386	 -4982
+-32392	 -4944
+-32397	 -4907
+-32403	 -4870
+-32409	 -4833
+-32414	 -4795
+-32420	 -4758
+-32425	 -4721
+-32431	 -4683
+-32436	 -4646
+-32441	 -4609
+-32447	 -4571
+-32452	 -4534
+-32457	 -4497
+-32462	 -4459
+-32467	 -4422
+-32472	 -4385
+-32477	 -4347
+-32482	 -4310
+-32487	 -4273
+-32492	 -4235
+-32497	 -4198
+-32502	 -4161
+-32507	 -4123
+-32511	 -4086
+-32516	 -4048
+-32521	 -4011
+-32525	 -3973
+-32530	 -3936
+-32534	 -3899
+-32539	 -3861
+-32543	 -3824
+-32547	 -3786
+-32552	 -3749
+-32556	 -3711
+-32560	 -3674
+-32565	 -3637
+-32569	 -3599
+-32573	 -3562
+-32577	 -3524
+-32581	 -3487
+-32585	 -3449
+-32589	 -3412
+-32593	 -3374
+-32597	 -3337
+-32600	 -3299
+-32604	 -3262
+-32608	 -3224
+-32612	 -3187
+-32615	 -3149
+-32619	 -3112
+-32622	 -3074
+-32626	 -3037
+-32629	 -2999
+-32633	 -2961
+-32636	 -2924
+-32640	 -2886
+-32643	 -2849
+-32646	 -2811
+-32649	 -2774
+-32653	 -2736
+-32656	 -2699
+-32659	 -2661
+-32662	 -2623
+-32665	 -2586
+-32668	 -2548
+-32671	 -2511
+-32674	 -2473
+-32676	 -2435
+-32679	 -2398
+-32682	 -2360
+-32685	 -2323
+-32687	 -2285
+-32690	 -2247
+-32692	 -2210
+-32695	 -2172
+-32697	 -2135
+-32700	 -2097
+-32702	 -2059
+-32705	 -2022
+-32707	 -1984
+-32709	 -1947
+-32711	 -1909
+-32714	 -1871
+-32716	 -1834
+-32718	 -1796
+-32720	 -1758
+-32722	 -1721
+-32724	 -1683
+-32726	 -1645
+-32728	 -1608
+-32729	 -1570
+-32731	 -1532
+-32733	 -1495
+-32735	 -1457
+-32736	 -1419
+-32738	 -1382
+-32739	 -1344
+-32741	 -1306
+-32742	 -1269
+-32744	 -1231
+-32745	 -1193
+-32747	 -1156
+-32748	 -1118
+-32749	 -1080
+-32750	 -1043
+-32752	 -1005
+-32753	  -967
+-32754	  -930
+-32755	  -892
+-32756	  -854
+-32757	  -817
+-32758	  -779
+-32759	  -741
+-32759	  -704
+-32760	  -666
+-32761	  -628
+-32762	  -591
+-32762	  -553
+-32763	  -515
+-32764	  -477
+-32764	  -440
+-32765	  -402
+-32765	  -364
+-32765	  -327
+-32766	  -289
+-32766	  -251
+-32766	  -214
+-32767	  -176
+-32767	  -138
+-32767	  -101
+-32767	   -63
+-32767	   -25
+-32767	    13
+-32767	    50
+-32767	    88
+-32767	   126
+-32767	   163
+-32766	   201
+-32766	   239
+-32766	   276
+-32765	   314
+-32765	   352
+-32765	   390
+-32764	   427
+-32764	   465
+-32763	   503
+-32763	   540
+-32762	   578
+-32761	   616
+-32760	   653
+-32760	   691
+-32759	   729
+-32758	   766
+-32757	   804
+-32756	   842
+-32755	   879
+-32754	   917
+-32753	   955
+-32752	   993
+-32751	  1030
+-32750	  1068
+-32748	  1106
+-32747	  1143
+-32746	  1181
+-32744	  1219
+-32743	  1256
+-32741	  1294
+-32740	  1332
+-32738	  1369
+-32737	  1407
+-32735	  1445
+-32733	  1482
+-32732	  1520
+-32730	  1558
+-32728	  1595
+-32726	  1633
+-32724	  1671
+-32722	  1708
+-32720	  1746
+-32718	  1783
+-32716	  1821
+-32714	  1859
+-32712	  1896
+-32710	  1934
+-32708	  1972
+-32705	  2009
+-32703	  2047
+-32701	  2084
+-32698	  2122
+-32696	  2160
+-32693	  2197
+-32691	  2235
+-32688	  2273
+-32685	  2310
+-32683	  2348
+-32680	  2385
+-32677	  2423
+-32674	  2461
+-32672	  2498
+-32669	  2536
+-32666	  2573
+-32663	  2611
+-32660	  2648
+-32657	  2686
+-32654	  2724
+-32650	  2761
+-32647	  2799
+-32644	  2836
+-32641	  2874
+-32637	  2911
+-32634	  2949
+-32631	  2986
+-32627	  3024
+-32624	  3062
+-32620	  3099
+-32617	  3137
+-32613	  3174
+-32609	  3212
+-32606	  3249
+-32602	  3287
+-32598	  3324
+-32594	  3362
+-32590	  3399
+-32586	  3437
+-32582	  3474
+-32578	  3512
+-32574	  3549
+-32570	  3587
+-32566	  3624
+-32562	  3661
+-32558	  3699
+-32553	  3736
+-32549	  3774
+-32545	  3811
+-32540	  3849
+-32536	  3886
+-32531	  3924
+-32527	  3961
+-32522	  3998
+-32517	  4036
+-32513	  4073
+-32508	  4111
+-32503	  4148
+-32499	  4185
+-32494	  4223
+-32489	  4260
+-32484	  4298
+-32479	  4335
+-32474	  4372
+-32469	  4410
+-32464	  4447
+-32459	  4484
+-32454	  4522
+-32448	  4559
+-32443	  4596
+-32438	  4634
+-32432	  4671
+-32427	  4708
+-32422	  4746
+-32416	  4783
+-32411	  4820
+-32405	  4857
+-32399	  4895
+-32394	  4932
+-32388	  4969
+-32382	  5007
+-32376	  5044
+-32371	  5081
+-32365	  5118
+-32359	  5156
+-32353	  5193
+-32347	  5230
+-32341	  5267
+-32335	  5304
+-32329	  5342
+-32323	  5379
+-32316	  5416
+-32310	  5453
+-32304	  5490
+-32297	  5527
+-32291	  5565
+-32285	  5602
+-32278	  5639
+-32272	  5676
+-32265	  5713
+-32258	  5750
+-32252	  5787
+-32245	  5824
+-32238	  5862
+-32232	  5899
+-32225	  5936
+-32218	  5973
+-32211	  6010
+-32204	  6047
+-32197	  6084
+-32190	  6121
+-32183	  6158
+-32176	  6195
+-32169	  6232
+-32162	  6269
+-32154	  6306
+-32147	  6343
+-32140	  6380
+-32132	  6417
+-32125	  6454
+-32118	  6491
+-32110	  6528
+-32103	  6565
+-32095	  6602
+-32087	  6639
+-32080	  6676
+-32072	  6712
+-32064	  6749
+-32057	  6786
+-32049	  6823
+-32041	  6860
+-32033	  6897
+-32025	  6934
+-32017	  6970
+-32009	  7007
+-32001	  7044
+-31993	  7081
+-31985	  7118
+-31976	  7155
+-31968	  7191
+-31960	  7228
+-31951	  7265
+-31943	  7302
+-31935	  7338
+-31926	  7375
+-31918	  7412
+-31909	  7449
+-31901	  7485
+-31892	  7522
+-31883	  7559
+-31875	  7595
+-31866	  7632
+-31857	  7669
+-31848	  7705
+-31839	  7742
+-31830	  7779
+-31821	  7815
+-31812	  7852
+-31803	  7888
+-31794	  7925
+-31785	  7961
+-31776	  7998
+-31767	  8035
+-31757	  8071
+-31748	  8108
+-31739	  8144
+-31729	  8181
+-31720	  8217
+-31710	  8254
+-31701	  8290
+-31691	  8327
+-31682	  8363
+-31672	  8400
+-31662	  8436
+-31653	  8472
+-31643	  8509
+-31633	  8545
+-31623	  8582
+-31613	  8618
+-31603	  8654
+-31593	  8691
+-31583	  8727
+-31573	  8763
+-31563	  8800
+-31553	  8836
+-31543	  8872
+-31533	  8909
+-31522	  8945
+-31512	  8981
+-31502	  9017
+-31491	  9054
+-31481	  9090
+-31470	  9126
+-31460	  9162
+-31449	  9198
+-31439	  9235
+-31428	  9271
+-31417	  9307
+-31407	  9343
+-31396	  9379
+-31385	  9415
+-31374	  9451
+-31363	  9487
+-31352	  9523
+-31341	  9560
+-31330	  9596
+-31319	  9632
+-31308	  9668
+-31297	  9704
+-31286	  9740
+-31275	  9776
+-31263	  9812
+-31252	  9848
+-31241	  9884
+-31229	  9919
+-31218	  9955
+-31207	  9991
+-31195	 10027
+-31183	 10063
+-31172	 10099
+-31160	 10135
+-31149	 10171
+-31137	 10206
+-31125	 10242
+-31113	 10278
+-31101	 10314
+-31090	 10350
+-31078	 10385
+-31066	 10421
+-31054	 10457
+-31042	 10493
+-31029	 10528
+-31017	 10564
+-31005	 10600
+-30993	 10635
+-30981	 10671
+-30968	 10707
+-30956	 10742
+-30944	 10778
+-30931	 10813
+-30919	 10849
+-30906	 10885
+-30894	 10920
+-30881	 10956
+-30869	 10991
+-30856	 11027
+-30843	 11062
+-30830	 11098
+-30818	 11133
+-30805	 11169
+-30792	 11204
+-30779	 11239
+-30766	 11275
+-30753	 11310
+-30740	 11346
+-30727	 11381
+-30714	 11416
+-30701	 11452
+-30688	 11487
+-30674	 11522
+-30661	 11558
+-30648	 11593
+-30634	 11628
+-30621	 11663
+-30607	 11698
+-30594	 11734
+-30580	 11769
+-30567	 11804
+-30553	 11839
+-30540	 11874
+-30526	 11909
+-30512	 11945
+-30499	 11980
+-30485	 12015
+-30471	 12050
+-30457	 12085
+-30443	 12120
+-30429	 12155
+-30415	 12190
+-30401	 12225
+-30387	 12260
+-30373	 12295
+-30359	 12330
+-30344	 12365
+-30330	 12400
+-30316	 12434
+-30302	 12469
+-30287	 12504
+-30273	 12539
+-30258	 12574
+-30244	 12609
+-30229	 12643
+-30215	 12678
+-30200	 12713
+-30186	 12748
+-30171	 12782
+-30156	 12817
+-30141	 12852
+-30127	 12886
+-30112	 12921
+-30097	 12956
+-30082	 12990
+-30067	 13025
+-30052	 13060
+-30037	 13094
+-30022	 13129
+-30007	 13163
+-29992	 13198
+-29976	 13232
+-29961	 13267
+-29946	 13301
+-29930	 13336
+-29915	 13370
+-29900	 13404
+-29884	 13439
+-29869	 13473
+-29853	 13507
+-29838	 13542
+-29822	 13576
+-29806	 13610
+-29791	 13645
+-29775	 13679
+-29759	 13713
+-29744	 13747
+-29728	 13782
+-29712	 13816
+-29696	 13850
+-29680	 13884
+-29664	 13918
+-29648	 13952
+-29632	 13987
+-29616	 14021
+-29600	 14055
+-29583	 14089
+-29567	 14123
+-29551	 14157
+-29535	 14191
+-29518	 14225
+-29502	 14259
+-29485	 14293
+-29469	 14327
+-29452	 14360
+-29436	 14394
+-29419	 14428
+-29403	 14462
+-29386	 14496
+-29369	 14530
+-29353	 14563
+-29336	 14597
+-29319	 14631
+-29302	 14665
+-29285	 14698
+-29268	 14732
+-29251	 14766
+-29234	 14799
+-29217	 14833
+-29200	 14866
+-29183	 14900
+-29166	 14934
+-29149	 14967
+-29132	 15001
+-29114	 15034
+-29097	 15068
+-29080	 15101
+-29062	 15135
+-29045	 15168
+-29027	 15201
+-29010	 15235
+-28992	 15268
+-28975	 15302
+-28957	 15335
+-28939	 15368
+-28922	 15401
+-28904	 15435
+-28886	 15468
+-28868	 15501
+-28851	 15534
+-28833	 15568
+-28815	 15601
+-28797	 15634
+-28779	 15667
+-28761	 15700
+-28743	 15733
+-28724	 15766
+-28706	 15799
+-28688	 15832
+-28670	 15865
+-28652	 15898
+-28633	 15931
+-28615	 15964
+-28597	 15997
+-28578	 16030
+-28560	 16063
+-28541	 16096
+-28523	 16128
+-28504	 16161
+-28485	 16194
+-28467	 16227
+-28448	 16260
+-28429	 16292
+-28411	 16325
+-28392	 16358
+-28373	 16391
+-28354	 16424
+-28335	 16456
+-28316	 16489
+-28297	 16522
+-28278	 16554
+-28259	 16587
+-28240	 16619
+-28221	 16652
+-28202	 16684
+-28183	 16717
+-28163	 16749
+-28144	 16781
+-28125	 16814
+-28105	 16846
+-28086	 16878
+-28067	 16911
+-28047	 16943
+-28028	 16975
+-28008	 17007
+-27988	 17040
+-27969	 17072
+-27949	 17104
+-27929	 17136
+-27910	 17168
+-27890	 17200
+-27870	 17232
+-27850	 17265
+-27830	 17297
+-27810	 17329
+-27791	 17361
+-27771	 17393
+-27750	 17424
+-27730	 17456
+-27710	 17488
+-27690	 17520
+-27670	 17552
+-27650	 17584
+-27630	 17616
+-27609	 17647
+-27589	 17679
+-27569	 17711
+-27548	 17743
+-27528	 17774
+-27507	 17806
+-27487	 17838
+-27466	 17869
+-27446	 17901
+-27425	 17932
+-27404	 17964
+-27384	 17995
+-27363	 18027
+-27342	 18058
+-27321	 18090
+-27301	 18121
+-27280	 18153
+-27259	 18184
+-27238	 18215
+-27217	 18247
+-27196	 18278
+-27175	 18309
+-27154	 18340
+-27133	 18372
+-27112	 18403
+-27090	 18434
+-27069	 18465
+-27048	 18496
+-27027	 18527
+-27005	 18558
+-26984	 18590
+-26962	 18621
+-26941	 18652
+-26920	 18683
+-26898	 18714
+-26877	 18744
+-26855	 18775
+-26833	 18806
+-26812	 18837
+-26790	 18868
+-26768	 18899
+-26747	 18930
+-26725	 18960
+-26703	 18991
+-26681	 19022
+-26659	 19052
+-26637	 19083
+-26615	 19114
+-26593	 19144
+-26571	 19175
+-26549	 19205
+-26527	 19236
+-26505	 19266
+-26483	 19297
+-26460	 19327
+-26438	 19358
+-26416	 19388
+-26394	 19419
+-26371	 19449
+-26349	 19479
+-26326	 19510
+-26304	 19540
+-26281	 19570
+-26259	 19600
+-26236	 19631
+-26214	 19661
+-26191	 19691
+-26168	 19721
+-26146	 19751
+-26123	 19781
+-26100	 19811
+-26077	 19841
+-26055	 19871
+-26032	 19901
+-26009	 19931
+-25986	 19961
+-25963	 19991
+-25940	 20021
+-25917	 20051
+-25894	 20080
+-25871	 20110
+-25847	 20140
+-25824	 20170
+-25801	 20199
+-25778	 20229
+-25754	 20259
+-25731	 20288
+-25708	 20318
+-25684	 20347
+-25661	 20377
+-25638	 20406
+-25614	 20436
+-25590	 20465
+-25567	 20495
+-25543	 20524
+-25520	 20554
+-25496	 20583
+-25472	 20612
+-25449	 20641
+-25425	 20671
+-25401	 20700
+-25377	 20729
+-25353	 20758
+-25329	 20788
+-25306	 20817
+-25282	 20846
+-25258	 20875
+-25234	 20904
+-25209	 20933
+-25185	 20962
+-25161	 20991
+-25137	 21020
+-25113	 21049
+-25089	 21078
+-25064	 21106
+-25040	 21135
+-25016	 21164
+-24991	 21193
+-24967	 21222
+-24943	 21250
+-24918	 21279
+-24894	 21308
+-24869	 21336
+-24845	 21365
+-24820	 21393
+-24795	 21422
+-24771	 21450
+-24746	 21479
+-24721	 21507
+-24696	 21536
+-24672	 21564
+-24647	 21593
+-24622	 21621
+-24597	 21649
+-24572	 21677
+-24547	 21706
+-24522	 21734
+-24497	 21762
+-24472	 21790
+-24447	 21818
+-24422	 21847
+-24397	 21875
+-24372	 21903
+-24346	 21931
+-24321	 21959
+-24296	 21987
+-24271	 22015
+-24245	 22043
+-24220	 22070
+-24194	 22098
+-24169	 22126
+-24144	 22154
+-24118	 22182
+-24092	 22209
+-24067	 22237
+-24041	 22265
+-24016	 22292
+-23990	 22320
+-23964	 22348
+-23939	 22375
+-23913	 22403
+-23887	 22430
+-23861	 22458
+-23835	 22485
+-23810	 22512
+-23784	 22540
+-23758	 22567
+-23732	 22594
+-23706	 22622
+-23680	 22649
+-23654	 22676
+-23627	 22703
+-23601	 22731
+-23575	 22758
+-23549	 22785
+-23523	 22812
+-23496	 22839
+-23470	 22866
+-23444	 22893
+-23418	 22920
+-23391	 22947
+-23365	 22974
+-23338	 23001
+-23312	 23027
+-23285	 23054
+-23259	 23081
+-23232	 23108
+-23206	 23134
+-23179	 23161
+-23152	 23188
+-23126	 23214
+-23099	 23241
+-23072	 23268
+-23045	 23294
+-23019	 23321
+-22992	 23347
+-22965	 23374
+-22938	 23400
+-22911	 23426
+-22884	 23453
+-22857	 23479
+-22830	 23505
+-22803	 23531
+-22776	 23558
+-22749	 23584
+-22722	 23610
+-22694	 23636
+-22667	 23662
+-22640	 23688
+-22613	 23714
+-22585	 23740
+-22558	 23766
+-22531	 23792
+-22503	 23818
+-22476	 23844
+-22448	 23870
+-22421	 23896
+-22393	 23921
+-22366	 23947
+-22338	 23973
+-22311	 23999
+-22283	 24024
+-22256	 24050
+-22228	 24075
+-22200	 24101
+-22172	 24127
+-22145	 24152
+-22117	 24177
+-22089	 24203
+-22061	 24228
+-22033	 24254
+-22005	 24279
+-21977	 24304
+-21949	 24330
+-21921	 24355
+-21893	 24380
+-21865	 24405
+-21837	 24430
+-21809	 24455
+-21781	 24480
+-21753	 24506
+-21725	 24531
+-21696	 24556
+-21668	 24580
+-21640	 24605
+-21611	 24630
+-21583	 24655
+-21555	 24680
+-21526	 24705
+-21498	 24729
+-21469	 24754
+-21441	 24779
+-21412	 24803
+-21384	 24828
+-21355	 24853
+-21327	 24877
+-21298	 24902
+-21269	 24926
+-21241	 24951
+-21212	 24975
+-21183	 25000
+-21154	 25024
+-21126	 25048
+-21097	 25072
+-21068	 25097
+-21039	 25121
+-21010	 25145
+-20981	 25169
+-20952	 25193
+-20923	 25217
+-20894	 25242
+-20865	 25266
+-20836	 25290
+-20807	 25314
+-20778	 25337
+-20749	 25361
+-20719	 25385
+-20690	 25409
+-20661	 25433
+-20632	 25457
+-20602	 25480
+-20573	 25504
+-20544	 25528
+-20514	 25551
+-20485	 25575
+-20456	 25598
+-20426	 25622
+-20397	 25645
+-20367	 25669
+-20338	 25692
+-20308	 25716
+-20278	 25739
+-20249	 25762
+-20219	 25786
+-20189	 25809
+-20160	 25832
+-20130	 25855
+-20100	 25878
+-20070	 25901
+-20041	 25924
+-20011	 25947
+-19981	 25970
+-19951	 25993
+-19921	 26016
+-19891	 26039
+-19861	 26062
+-19831	 26085
+-19801	 26108
+-19771	 26131
+-19741	 26153
+-19711	 26176
+-19681	 26199
+-19651	 26221
+-19620	 26244
+-19590	 26266
+-19560	 26289
+-19530	 26311
+-19499	 26334
+-19469	 26356
+-19439	 26379
+-19408	 26401
+-19378	 26423
+-19348	 26446
+-19317	 26468
+-19287	 26490
+-19256	 26512
+-19226	 26534
+-19195	 26556
+-19165	 26579
+-19134	 26601
+-19103	 26623
+-19073	 26644
+-19042	 26666
+-19011	 26688
+-18981	 26710
+-18950	 26732
+-18919	 26754
+-18888	 26776
+-18858	 26797
+-18827	 26819
+-18796	 26841
+-18765	 26862
+-18734	 26884
+-18703	 26905
+-18672	 26927
+-18641	 26948
+-18610	 26970
+-18579	 26991
+-18548	 27012
+-18517	 27034
+-18486	 27055
+-18455	 27076
+-18424	 27097
+-18392	 27119
+-18361	 27140
+-18330	 27161
+-18299	 27182
+-18267	 27203
+-18236	 27224
+-18205	 27245
+-18173	 27266
+-18142	 27287
+-18111	 27308
+-18079	 27328
+-18048	 27349
+-18016	 27370
+-17985	 27391
+-17953	 27411
+-17922	 27432
+-17890	 27453
+-17859	 27473
+-17827	 27494
+-17795	 27514
+-17764	 27535
+-17732	 27555
+-17700	 27575
+-17669	 27596
+-17637	 27616
+-17605	 27636
+-17573	 27657
+-17541	 27677
+-17509	 27697
+-17478	 27717
+-17446	 27737
+-17414	 27757
+-17382	 27777
+-17350	 27797
+-17318	 27817
+-17286	 27837
+-17254	 27857
+-17222	 27877
+-17190	 27897
+-17158	 27916
+-17125	 27936
+-17093	 27956
+-17061	 27975
+-17029	 27995
+-16997	 28014
+-16964	 28034
+-16932	 28054
+-16900	 28073
+-16868	 28092
+-16835	 28112
+-16803	 28131
+-16771	 28150
+-16738	 28170
+-16706	 28189
+-16673	 28208
+-16641	 28227
+-16608	 28246
+-16576	 28266
+-16543	 28285
+-16511	 28304
+-16478	 28323
+-16446	 28342
+-16413	 28360
+-16379	 28379
+-16347	 28398
+-16314	 28417
+-16281	 28436
+-16249	 28454
+-16216	 28473
+-16183	 28492
+-16150	 28510
+-16117	 28529
+-16085	 28547
+-16052	 28566
+-16019	 28584
+-15986	 28603
+-15953	 28621
+-15920	 28639
+-15887	 28658
+-15854	 28676
+-15821	 28694
+-15788	 28712
+-15755	 28731
+-15722	 28749
+-15689	 28767
+-15656	 28785
+-15623	 28803
+-15590	 28821
+-15556	 28839
+-15523	 28856
+-15490	 28874
+-15457	 28892
+-15424	 28910
+-15390	 28928
+-15357	 28945
+-15324	 28963
+-15290	 28981
+-15257	 28998
+-15224	 29016
+-15190	 29033
+-15157	 29051
+-15123	 29068
+-15090	 29085
+-15057	 29103
+-15023	 29120
+-14990	 29137
+-14956	 29155
+-14922	 29172
+-14889	 29189
+-14855	 29206
+-14822	 29223
+-14788	 29240
+-14754	 29257
+-14721	 29274
+-14687	 29291
+-14653	 29308
+-14620	 29325
+-14586	 29341
+-14552	 29358
+-14518	 29375
+-14485	 29392
+-14451	 29408
+-14417	 29425
+-14383	 29441
+-14349	 29458
+-14315	 29474
+-14281	 29491
+-14247	 29507
+-14213	 29524
+-14179	 29540
+-14145	 29556
+-14111	 29573
+-14077	 29589
+-14043	 29605
+-14009	 29621
+-13975	 29637
+-13941	 29653
+-13907	 29669
+-13873	 29685
+-13839	 29701
+-13804	 29717
+-13770	 29733
+-13736	 29749
+-13702	 29765
+-13668	 29780
+-13633	 29796
+-13599	 29812
+-13565	 29827
+-13530	 29843
+-13496	 29858
+-13462	 29874
+-13427	 29889
+-13393	 29905
+-13359	 29920
+-13324	 29936
+-13290	 29951
+-13255	 29966
+-13221	 29981
+-13186	 29997
+-13152	 30012
+-13117	 30027
+-13083	 30042
+-13048	 30057
+-13013	 30072
+-12979	 30087
+-12944	 30102
+-12910	 30117
+-12875	 30132
+-12840	 30146
+-12806	 30161
+-12771	 30176
+-12736	 30190
+-12701	 30205
+-12667	 30220
+-12632	 30234
+-12597	 30249
+-12562	 30263
+-12527	 30278
+-12493	 30292
+-12458	 30306
+-12423	 30321
+-12388	 30335
+-12353	 30349
+-12318	 30363
+-12283	 30378
+-12248	 30392
+-12213	 30406
+-12178	 30420
+-12143	 30434
+-12108	 30448
+-12073	 30462
+-12038	 30475
+-12003	 30489
+-11968	 30503
+-11933	 30517
+-11898	 30531
+-11863	 30544
+-11827	 30558
+-11792	 30571
+-11757	 30585
+-11722	 30598
+-11687	 30612
+-11652	 30625
+-11616	 30639
+-11581	 30652
+-11546	 30665
+-11510	 30679
+-11475	 30692
+-11440	 30705
+-11405	 30718
+-11369	 30731
+-11334	 30744
+-11298	 30757
+-11263	 30770
+-11228	 30783
+-11192	 30796
+-11157	 30809
+-11121	 30822
+-11086	 30835
+-11050	 30847
+-11015	 30860
+-10979	 30873
+-10944	 30885
+-10908	 30898
+-10873	 30910
+-10837	 30923
+-10802	 30935
+-10766	 30948
+-10730	 30960
+-10695	 30972
+-10659	 30985
+-10623	 30997
+-10588	 31009
+-10552	 31021
+-10516	 31033
+-10481	 31046
+-10445	 31058
+-10409	 31070
+-10373	 31082
+-10338	 31093
+-10302	 31105
+-10266	 31117
+-10230	 31129
+-10195	 31141
+-10159	 31152
+-10123	 31164
+-10087	 31176
+-10051	 31187
+-10015	 31199
+ -9979	 31210
+ -9943	 31222
+ -9908	 31233
+ -9872	 31245
+ -9836	 31256
+ -9800	 31267
+ -9764	 31278
+ -9728	 31290
+ -9692	 31301
+ -9656	 31312
+ -9620	 31323
+ -9584	 31334
+ -9548	 31345
+ -9511	 31356
+ -9475	 31367
+ -9439	 31378
+ -9403	 31389
+ -9367	 31400
+ -9331	 31410
+ -9295	 31421
+ -9259	 31432
+ -9222	 31442
+ -9186	 31453
+ -9150	 31463
+ -9114	 31474
+ -9078	 31484
+ -9041	 31495
+ -9005	 31505
+ -8969	 31516
+ -8933	 31526
+ -8896	 31536
+ -8860	 31546
+ -8824	 31557
+ -8788	 31567
+ -8751	 31577
+ -8715	 31587
+ -8679	 31597
+ -8642	 31607
+ -8606	 31617
+ -8569	 31627
+ -8533	 31636
+ -8497	 31646
+ -8460	 31656
+ -8424	 31666
+ -8387	 31675
+ -8351	 31685
+ -8314	 31695
+ -8278	 31704
+ -8242	 31714
+ -8205	 31723
+ -8169	 31732
+ -8132	 31742
+ -8095	 31751
+ -8059	 31760
+ -8022	 31770
+ -7986	 31779
+ -7949	 31788
+ -7913	 31797
+ -7876	 31806
+ -7840	 31815
+ -7803	 31824
+ -7766	 31833
+ -7730	 31842
+ -7693	 31851
+ -7656	 31860
+ -7620	 31869
+ -7583	 31877
+ -7546	 31886
+ -7510	 31895
+ -7473	 31903
+ -7436	 31912
+ -7400	 31921
+ -7363	 31929
+ -7326	 31937
+ -7289	 31946
+ -7253	 31954
+ -7216	 31963
+ -7179	 31971
+ -7142	 31979
+ -7105	 31987
+ -7069	 31995
+ -7032	 32004
+ -6995	 32012
+ -6958	 32020
+ -6921	 32028
+ -6885	 32036
+ -6848	 32043
+ -6811	 32051
+ -6774	 32059
+ -6737	 32067
+ -6700	 32075
+ -6663	 32082
+ -6626	 32090
+ -6589	 32098
+ -6552	 32105
+ -6516	 32113
+ -6479	 32120
+ -6442	 32128
+ -6405	 32135
+ -6368	 32142
+ -6331	 32150
+ -6294	 32157
+ -6257	 32164
+ -6220	 32171
+ -6183	 32178
+ -6146	 32185
+ -6109	 32193
+ -6072	 32200
+ -6035	 32207
+ -5997	 32213
+ -5960	 32220
+ -5923	 32227
+ -5886	 32234
+ -5849	 32241
+ -5812	 32247
+ -5775	 32254
+ -5738	 32261
+ -5701	 32267
+ -5664	 32274
+ -5626	 32280
+ -5589	 32287
+ -5552	 32293
+ -5515	 32300
+ -5478	 32306
+ -5441	 32312
+ -5404	 32318
+ -5366	 32325
+ -5329	 32331
+ -5292	 32337
+ -5255	 32343
+ -5218	 32349
+ -5180	 32355
+ -5143	 32361
+ -5106	 32367
+ -5069	 32373
+ -5031	 32378
+ -4994	 32384
+ -4957	 32390
+ -4920	 32396
+ -4882	 32401
+ -4845	 32407
+ -4808	 32412
+ -4770	 32418
+ -4733	 32423
+ -4696	 32429
+ -4659	 32434
+ -4621	 32439
+ -4584	 32445
+ -4547	 32450
+ -4509	 32455
+ -4472	 32460
+ -4435	 32466
+ -4397	 32471
+ -4360	 32476
+ -4322	 32481
+ -4285	 32486
+ -4248	 32490
+ -4210	 32495
+ -4173	 32500
+ -4136	 32505
+ -4098	 32510
+ -4061	 32514
+ -4023	 32519
+ -3986	 32524
+ -3949	 32528
+ -3911	 32533
+ -3874	 32537
+ -3836	 32542
+ -3799	 32546
+ -3761	 32550
+ -3724	 32555
+ -3686	 32559
+ -3649	 32563
+ -3612	 32567
+ -3574	 32571
+ -3537	 32576
+ -3499	 32580
+ -3462	 32584
+ -3424	 32588
+ -3387	 32592
+ -3349	 32595
+ -3312	 32599
+ -3274	 32603
+ -3237	 32607
+ -3199	 32610
+ -3162	 32614
+ -3124	 32618
+ -3087	 32621
+ -3049	 32625
+ -3011	 32628
+ -2974	 32632
+ -2936	 32635
+ -2899	 32639
+ -2861	 32642
+ -2824	 32645
+ -2786	 32648
+ -2749	 32652
+ -2711	 32655
+ -2673	 32658
+ -2636	 32661
+ -2598	 32664
+ -2561	 32667
+ -2523	 32670
+ -2486	 32673
+ -2448	 32675
+ -2410	 32678
+ -2373	 32681
+ -2335	 32684
+ -2298	 32686
+ -2260	 32689
+ -2222	 32692
+ -2185	 32694
+ -2147	 32697
+ -2110	 32699
+ -2072	 32701
+ -2034	 32704
+ -1997	 32706
+ -1959	 32708
+ -1921	 32711
+ -1884	 32713
+ -1846	 32715
+ -1809	 32717
+ -1771	 32719
+ -1733	 32721
+ -1696	 32723
+ -1658	 32725
+ -1620	 32727
+ -1583	 32729
+ -1545	 32731
+ -1507	 32732
+ -1470	 32734
+ -1432	 32736
+ -1394	 32737
+ -1357	 32739
+ -1319	 32740
+ -1281	 32742
+ -1244	 32743
+ -1206	 32745
+ -1168	 32746
+ -1131	 32747
+ -1093	 32749
+ -1055	 32750
+ -1018	 32751
+  -980	 32752
+  -942	 32753
+  -905	 32755
+  -867	 32756
+  -829	 32757
+  -792	 32757
+  -754	 32758
+  -716	 32759
+  -678	 32760
+  -641	 32761
+  -603	 32761
+  -565	 32762
+  -528	 32763
+  -490	 32763
+  -452	 32764
+  -415	 32764
+  -377	 32765
+  -339	 32765
+  -302	 32766
+  -264	 32766
+  -226	 32766
+  -188	 32766
+  -151	 32767
+  -113	 32767
+   -75	 32767
+   -38	 32767
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_7.bin b/applications/lofar1/pft2/src/data/twiddle_16_7.bin
new file mode 100644
index 0000000000000000000000000000000000000000..49721149f4af61229394b138c1c51cdcc27afe9c
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_7.bin
@@ -0,0 +1,49152 @@
+00000000000000000111111111111111
+11111111111110100111111111111111
+11111111111100110111111111111111
+11111111111011010111111111111111
+11111111111001110111111111111111
+11111111111000010111111111111111
+11111111110110100111111111111111
+11111111110101000111111111111111
+11111111110011100111111111111111
+11111111110001110111111111111111
+11111111110000010111111111111111
+11111111101110110111111111111111
+11111111101101010111111111111111
+11111111101011100111111111111111
+11111111101010000111111111111111
+11111111101000100111111111111111
+11111111100110110111111111111111
+11111111100101010111111111111111
+11111111100011110111111111111111
+11111111100010010111111111111111
+11111111100000100111111111111111
+11111111011111000111111111111111
+11111111011101100111111111111111
+11111111011011110111111111111111
+11111111011010010111111111111111
+11111111011000110111111111111111
+11111111010111010111111111111111
+11111111010101100111111111111111
+11111111010100000111111111111111
+11111111010010100111111111111110
+11111111010001000111111111111110
+11111111001111010111111111111110
+11111111001101110111111111111110
+11111111001100010111111111111110
+11111111001010100111111111111110
+11111111001001000111111111111110
+11111111000111100111111111111110
+11111111000110000111111111111110
+11111111000100010111111111111110
+11111111000010110111111111111110
+11111111000001010111111111111110
+11111110111111100111111111111110
+11111110111110000111111111111110
+11111110111100100111111111111110
+11111110111011000111111111111110
+11111110111001010111111111111110
+11111110110111110111111111111110
+11111110110110010111111111111110
+11111110110100100111111111111110
+11111110110011000111111111111110
+11111110110001100111111111111101
+11111110110000000111111111111101
+11111110101110010111111111111101
+11111110101100110111111111111101
+11111110101011010111111111111101
+11111110101001100111111111111101
+11111110101000000111111111111101
+11111110100110100111111111111101
+11111110100101000111111111111101
+11111110100011010111111111111101
+11111110100001110111111111111101
+11111110100000010111111111111101
+11111110011110100111111111111101
+11111110011101000111111111111101
+11111110011011100111111111111101
+11111110011010000111111111111100
+11111110011000010111111111111100
+11111110010110110111111111111100
+11111110010101010111111111111100
+11111110010011100111111111111100
+11111110010010000111111111111100
+11111110010000100111111111111100
+11111110001111000111111111111100
+11111110001101010111111111111100
+11111110001011110111111111111100
+11111110001010010111111111111100
+11111110001000110111111111111100
+11111110000111000111111111111011
+11111110000101100111111111111011
+11111110000100000111111111111011
+11111110000010010111111111111011
+11111110000000110111111111111011
+11111101111111010111111111111011
+11111101111101110111111111111011
+11111101111100000111111111111011
+11111101111010100111111111111011
+11111101111001000111111111111011
+11111101110111010111111111111010
+11111101110101110111111111111010
+11111101110100010111111111111010
+11111101110010110111111111111010
+11111101110001000111111111111010
+11111101101111100111111111111010
+11111101101110000111111111111010
+11111101101100010111111111111010
+11111101101010110111111111111010
+11111101101001010111111111111001
+11111101100111110111111111111001
+11111101100110000111111111111001
+11111101100100100111111111111001
+11111101100011000111111111111001
+11111101100001010111111111111001
+11111101011111110111111111111001
+11111101011110010111111111111001
+11111101011100110111111111111000
+11111101011011000111111111111000
+11111101011001100111111111111000
+11111101011000000111111111111000
+11111101010110100111111111111000
+11111101010100110111111111111000
+11111101010011010111111111111000
+11111101010001110111111111111000
+11111101010000000111111111110111
+11111101001110100111111111110111
+11111101001101000111111111110111
+11111101001011100111111111110111
+11111101001001110111111111110111
+11111101001000010111111111110111
+11111101000110110111111111110111
+11111101000101000111111111110110
+11111101000011100111111111110110
+11111101000010000111111111110110
+11111101000000100111111111110110
+11111100111110110111111111110110
+11111100111101010111111111110110
+11111100111011110111111111110110
+11111100111010000111111111110101
+11111100111000100111111111110101
+11111100110111000111111111110101
+11111100110101100111111111110101
+11111100110011110111111111110101
+11111100110010010111111111110101
+11111100110000110111111111110101
+11111100101111000111111111110100
+11111100101101100111111111110100
+11111100101100000111111111110100
+11111100101010100111111111110100
+11111100101000110111111111110100
+11111100100111010111111111110100
+11111100100101110111111111110011
+11111100100100010111111111110011
+11111100100010100111111111110011
+11111100100001000111111111110011
+11111100011111100111111111110011
+11111100011101110111111111110011
+11111100011100010111111111110010
+11111100011010110111111111110010
+11111100011001010111111111110010
+11111100010111100111111111110010
+11111100010110000111111111110010
+11111100010100100111111111110001
+11111100010010110111111111110001
+11111100010001010111111111110001
+11111100001111110111111111110001
+11111100001110010111111111110001
+11111100001100100111111111110001
+11111100001011000111111111110000
+11111100001001100111111111110000
+11111100000111110111111111110000
+11111100000110010111111111110000
+11111100000100110111111111110000
+11111100000011010111111111101111
+11111100000001100111111111101111
+11111100000000000111111111101111
+11111011111110100111111111101111
+11111011111101000111111111101111
+11111011111011010111111111101110
+11111011111001110111111111101110
+11111011111000010111111111101110
+11111011110110100111111111101110
+11111011110101000111111111101110
+11111011110011100111111111101101
+11111011110010000111111111101101
+11111011110000010111111111101101
+11111011101110110111111111101101
+11111011101101010111111111101101
+11111011101011100111111111101100
+11111011101010000111111111101100
+11111011101000100111111111101100
+11111011100111000111111111101100
+11111011100101010111111111101011
+11111011100011110111111111101011
+11111011100010010111111111101011
+11111011100000100111111111101011
+11111011011111000111111111101011
+11111011011101100111111111101010
+11111011011100000111111111101010
+11111011011010010111111111101010
+11111011011000110111111111101010
+11111011010111010111111111101001
+11111011010101110111111111101001
+11111011010100000111111111101001
+11111011010010100111111111101001
+11111011010001000111111111101001
+11111011001111010111111111101000
+11111011001101110111111111101000
+11111011001100010111111111101000
+11111011001010110111111111101000
+11111011001001000111111111100111
+11111011000111100111111111100111
+11111011000110000111111111100111
+11111011000100010111111111100111
+11111011000010110111111111100110
+11111011000001010111111111100110
+11111010111111110111111111100110
+11111010111110000111111111100110
+11111010111100100111111111100101
+11111010111011000111111111100101
+11111010111001100111111111100101
+11111010110111110111111111100101
+11111010110110010111111111100100
+11111010110100110111111111100100
+11111010110011000111111111100100
+11111010110001100111111111100100
+11111010110000000111111111100011
+11111010101110100111111111100011
+11111010101100110111111111100011
+11111010101011010111111111100011
+11111010101001110111111111100010
+11111010101000000111111111100010
+11111010100110100111111111100010
+11111010100101000111111111100010
+11111010100011100111111111100001
+11111010100001110111111111100001
+11111010100000010111111111100001
+11111010011110110111111111100001
+11111010011101010111111111100000
+11111010011011100111111111100000
+11111010011010000111111111100000
+11111010011000100111111111011111
+11111010010110110111111111011111
+11111010010101010111111111011111
+11111010010011110111111111011111
+11111010010010010111111111011110
+11111010010000100111111111011110
+11111010001111000111111111011110
+11111010001101100111111111011101
+11111010001011110111111111011101
+11111010001010010111111111011101
+11111010001000110111111111011101
+11111010000111010111111111011100
+11111010000101100111111111011100
+11111010000100000111111111011100
+11111010000010100111111111011011
+11111010000001000111111111011011
+11111001111111010111111111011011
+11111001111101110111111111011011
+11111001111100010111111111011010
+11111001111010100111111111011010
+11111001111001000111111111011010
+11111001110111100111111111011001
+11111001110110000111111111011001
+11111001110100010111111111011001
+11111001110010110111111111011000
+11111001110001010111111111011000
+11111001101111110111111111011000
+11111001101110000111111111011000
+11111001101100100111111111010111
+11111001101011000111111111010111
+11111001101001010111111111010111
+11111001100111110111111111010110
+11111001100110010111111111010110
+11111001100100110111111111010110
+11111001100011000111111111010101
+11111001100001100111111111010101
+11111001100000000111111111010101
+11111001011110010111111111010100
+11111001011100110111111111010100
+11111001011011010111111111010100
+11111001011001110111111111010011
+11111001011000000111111111010011
+11111001010110100111111111010011
+11111001010101000111111111010010
+11111001010011100111111111010010
+11111001010001110111111111010010
+11111001010000010111111111010001
+11111001001110110111111111010001
+11111001001101000111111111010001
+11111001001011100111111111010000
+11111001001010000111111111010000
+11111001001000100111111111010000
+11111001000110110111111111001111
+11111001000101010111111111001111
+11111001000011110111111111001111
+11111001000010010111111111001110
+11111001000000100111111111001110
+11111000111111000111111111001110
+11111000111101100111111111001101
+11111000111011110111111111001101
+11111000111010010111111111001101
+11111000111000110111111111001100
+11111000110111010111111111001100
+11111000110101100111111111001100
+11111000110100000111111111001011
+11111000110010100111111111001011
+11111000110001000111111111001011
+11111000101111010111111111001010
+11111000101101110111111111001010
+11111000101100010111111111001010
+11111000101010100111111111001001
+11111000101001000111111111001001
+11111000100111100111111111001000
+11111000100110000111111111001000
+11111000100100010111111111001000
+11111000100010110111111111000111
+11111000100001010111111111000111
+11111000011111110111111111000111
+11111000011110000111111111000110
+11111000011100100111111111000110
+11111000011011000111111111000110
+11111000011001010111111111000101
+11111000010111110111111111000101
+11111000010110010111111111000100
+11111000010100110111111111000100
+11111000010011000111111111000100
+11111000010001100111111111000011
+11111000010000000111111111000011
+11111000001110100111111111000010
+11111000001100110111111111000010
+11111000001011010111111111000010
+11111000001001110111111111000001
+11111000001000000111111111000001
+11111000000110100111111111000001
+11111000000101000111111111000000
+11111000000011100111111111000000
+11111000000001110111111110111111
+11111000000000010111111110111111
+11110111111110110111111110111111
+11110111111101010111111110111110
+11110111111011100111111110111110
+11110111111010000111111110111101
+11110111111000100111111110111101
+11110111110111000111111110111101
+11110111110101010111111110111100
+11110111110011110111111110111100
+11110111110010010111111110111011
+11110111110000100111111110111011
+11110111101111000111111110111011
+11110111101101100111111110111010
+11110111101100000111111110111010
+11110111101010010111111110111001
+11110111101000110111111110111001
+11110111100111010111111110111001
+11110111100101110111111110111000
+11110111100100000111111110111000
+11110111100010100111111110110111
+11110111100001000111111110110111
+11110111011111010111111110110110
+11110111011101110111111110110110
+11110111011100010111111110110110
+11110111011010110111111110110101
+11110111011001000111111110110101
+11110111010111100111111110110100
+11110111010110000111111110110100
+11110111010100100111111110110100
+11110111010010110111111110110011
+11110111010001010111111110110011
+11110111001111110111111110110010
+11110111001110010111111110110010
+11110111001100100111111110110001
+11110111001011000111111110110001
+11110111001001100111111110110001
+11110111000111110111111110110000
+11110111000110010111111110110000
+11110111000100110111111110101111
+11110111000011010111111110101111
+11110111000001100111111110101110
+11110111000000000111111110101110
+11110110111110100111111110101101
+11110110111101000111111110101101
+11110110111011010111111110101101
+11110110111001110111111110101100
+11110110111000010111111110101100
+11110110110110110111111110101011
+11110110110101000111111110101011
+11110110110011100111111110101010
+11110110110010000111111110101010
+11110110110000010111111110101001
+11110110101110110111111110101001
+11110110101101010111111110101001
+11110110101011110111111110101000
+11110110101010000111111110101000
+11110110101000100111111110100111
+11110110100111000111111110100111
+11110110100101100111111110100110
+11110110100011110111111110100110
+11110110100010010111111110100101
+11110110100000110111111110100101
+11110110011111010111111110100100
+11110110011101100111111110100100
+11110110011100000111111110100011
+11110110011010100111111110100011
+11110110011000110111111110100010
+11110110010111010111111110100010
+11110110010101110111111110100010
+11110110010100010111111110100001
+11110110010010100111111110100001
+11110110010001000111111110100000
+11110110001111100111111110100000
+11110110001110000111111110011111
+11110110001100010111111110011111
+11110110001010110111111110011110
+11110110001001010111111110011110
+11110110000111110111111110011101
+11110110000110000111111110011101
+11110110000100100111111110011100
+11110110000011000111111110011100
+11110110000001010111111110011011
+11110101111111110111111110011011
+11110101111110010111111110011010
+11110101111100110111111110011010
+11110101111011000111111110011001
+11110101111001100111111110011001
+11110101111000000111111110011000
+11110101110110100111111110011000
+11110101110100110111111110010111
+11110101110011010111111110010111
+11110101110001110111111110010110
+11110101110000010111111110010110
+11110101101110100111111110010101
+11110101101101000111111110010101
+11110101101011100111111110010100
+11110101101010000111111110010100
+11110101101000010111111110010011
+11110101100110110111111110010011
+11110101100101010111111110010010
+11110101100011110111111110010010
+11110101100010000111111110010001
+11110101100000100111111110010001
+11110101011111000111111110010000
+11110101011101010111111110010000
+11110101011011110111111110001111
+11110101011010010111111110001111
+11110101011000110111111110001110
+11110101010111000111111110001110
+11110101010101100111111110001101
+11110101010100000111111110001101
+11110101010010100111111110001100
+11110101010000110111111110001100
+11110101001111010111111110001011
+11110101001101110111111110001010
+11110101001100010111111110001010
+11110101001010100111111110001001
+11110101001001000111111110001001
+11110101000111100111111110001000
+11110101000110000111111110001000
+11110101000100010111111110000111
+11110101000010110111111110000111
+11110101000001010111111110000110
+11110100111111110111111110000110
+11110100111110000111111110000101
+11110100111100100111111110000101
+11110100111011000111111110000100
+11110100111001010111111110000011
+11110100110111110111111110000011
+11110100110110010111111110000010
+11110100110100110111111110000010
+11110100110011000111111110000001
+11110100110001100111111110000001
+11110100110000000111111110000000
+11110100101110100111111110000000
+11110100101100110111111101111111
+11110100101011010111111101111111
+11110100101001110111111101111110
+11110100101000010111111101111101
+11110100100110100111111101111101
+11110100100101000111111101111100
+11110100100011100111111101111100
+11110100100010000111111101111011
+11110100100000010111111101111011
+11110100011110110111111101111010
+11110100011101010111111101111001
+11110100011011110111111101111001
+11110100011010000111111101111000
+11110100011000100111111101111000
+11110100010111000111111101110111
+11110100010101100111111101110111
+11110100010011110111111101110110
+11110100010010010111111101110101
+11110100010000110111111101110101
+11110100001111010111111101110100
+11110100001101100111111101110100
+11110100001100000111111101110011
+11110100001010100111111101110011
+11110100001000110111111101110010
+11110100000111010111111101110001
+11110100000101110111111101110001
+11110100000100010111111101110000
+11110100000010100111111101110000
+11110100000001000111111101101111
+11110011111111100111111101101110
+11110011111110000111111101101110
+11110011111100010111111101101101
+11110011111010110111111101101101
+11110011111001010111111101101100
+11110011110111110111111101101100
+11110011110110000111111101101011
+11110011110100100111111101101010
+11110011110011000111111101101010
+11110011110001100111111101101001
+11110011101111110111111101101001
+11110011101110010111111101101000
+11110011101100110111111101100111
+11110011101011010111111101100111
+11110011101001100111111101100110
+11110011101000000111111101100110
+11110011100110100111111101100101
+11110011100101000111111101100100
+11110011100011010111111101100100
+11110011100001110111111101100011
+11110011100000010111111101100010
+11110011011110110111111101100010
+11110011011101000111111101100001
+11110011011011100111111101100001
+11110011011010000111111101100000
+11110011011000100111111101011111
+11110011010110110111111101011111
+11110011010101010111111101011110
+11110011010011110111111101011110
+11110011010010010111111101011101
+11110011010000100111111101011100
+11110011001111000111111101011100
+11110011001101100111111101011011
+11110011001100000111111101011010
+11110011001010010111111101011010
+11110011001000110111111101011001
+11110011000111010111111101011000
+11110011000101110111111101011000
+11110011000100000111111101010111
+11110011000010100111111101010111
+11110011000001000111111101010110
+11110010111111100111111101010101
+11110010111101110111111101010101
+11110010111100010111111101010100
+11110010111010110111111101010011
+11110010111001010111111101010011
+11110010110111100111111101010010
+11110010110110000111111101010001
+11110010110100100111111101010001
+11110010110011000111111101010000
+11110010110001010111111101010000
+11110010101111110111111101001111
+11110010101110010111111101001110
+11110010101100110111111101001110
+11110010101011000111111101001101
+11110010101001100111111101001100
+11110010101000000111111101001100
+11110010100110100111111101001011
+11110010100100110111111101001010
+11110010100011010111111101001010
+11110010100001110111111101001001
+11110010100000010111111101001000
+11110010011110100111111101001000
+11110010011101000111111101000111
+11110010011011100111111101000110
+11110010011010000111111101000110
+11110010011000010111111101000101
+11110010010110110111111101000100
+11110010010101010111111101000100
+11110010010011110111111101000011
+11110010010010000111111101000010
+11110010010000100111111101000010
+11110010001111000111111101000001
+11110010001101100111111101000000
+11110010001011110111111101000000
+11110010001010010111111100111111
+11110010001000110111111100111110
+11110010000111010111111100111110
+11110010000101100111111100111101
+11110010000100000111111100111100
+11110010000010100111111100111011
+11110010000001000111111100111011
+11110001111111010111111100111010
+11110001111101110111111100111001
+11110001111100010111111100111001
+11110001111010110111111100111000
+11110001111001000111111100110111
+11110001110111100111111100110111
+11110001110110000111111100110110
+11110001110100100111111100110101
+11110001110010110111111100110101
+11110001110001010111111100110100
+11110001101111110111111100110011
+11110001101110010111111100110010
+11110001101100110111111100110010
+11110001101011000111111100110001
+11110001101001100111111100110000
+11110001101000000111111100110000
+11110001100110100111111100101111
+11110001100100110111111100101110
+11110001100011010111111100101110
+11110001100001110111111100101101
+11110001100000010111111100101100
+11110001011110100111111100101011
+11110001011101000111111100101011
+11110001011011100111111100101010
+11110001011010000111111100101001
+11110001011000010111111100101001
+11110001010110110111111100101000
+11110001010101010111111100100111
+11110001010011110111111100100110
+11110001010010000111111100100110
+11110001010000100111111100100101
+11110001001111000111111100100100
+11110001001101100111111100100011
+11110001001011110111111100100011
+11110001001010010111111100100010
+11110001001000110111111100100001
+11110001000111010111111100100001
+11110001000101100111111100100000
+11110001000100000111111100011111
+11110001000010100111111100011110
+11110001000001000111111100011110
+11110000111111100111111100011101
+11110000111101110111111100011100
+11110000111100010111111100011011
+11110000111010110111111100011011
+11110000111001010111111100011010
+11110000110111100111111100011001
+11110000110110000111111100011000
+11110000110100100111111100011000
+11110000110011000111111100010111
+11110000110001010111111100010110
+11110000101111110111111100010101
+11110000101110010111111100010101
+11110000101100110111111100010100
+11110000101011000111111100010011
+11110000101001100111111100010010
+11110000101000000111111100010010
+11110000100110100111111100010001
+11110000100100110111111100010000
+11110000100011010111111100001111
+11110000100001110111111100001111
+11110000100000010111111100001110
+11110000011110110111111100001101
+11110000011101000111111100001100
+11110000011011100111111100001100
+11110000011010000111111100001011
+11110000011000100111111100001010
+11110000010110110111111100001001
+11110000010101010111111100001001
+11110000010011110111111100001000
+11110000010010010111111100000111
+11110000010000100111111100000110
+11110000001111000111111100000101
+11110000001101100111111100000101
+11110000001100000111111100000100
+11110000001010010111111100000011
+11110000001000110111111100000010
+11110000000111010111111100000010
+11110000000101110111111100000001
+11110000000100010111111100000000
+11110000000010100111111011111111
+11110000000001000111111011111110
+11101111111111100111111011111110
+11101111111110000111111011111101
+11101111111100010111111011111100
+11101111111010110111111011111011
+11101111111001010111111011111011
+11101111110111110111111011111010
+11101111110110000111111011111001
+11101111110100100111111011111000
+11101111110011000111111011110111
+11101111110001100111111011110111
+11101111101111110111111011110110
+11101111101110010111111011110101
+11101111101100110111111011110100
+11101111101011010111111011110011
+11101111101001110111111011110011
+11101111101000000111111011110010
+11101111100110100111111011110001
+11101111100101000111111011110000
+11101111100011100111111011101111
+11101111100001110111111011101111
+11101111100000010111111011101110
+11101111011110110111111011101101
+11101111011101010111111011101100
+11101111011011100111111011101011
+11101111011010000111111011101010
+11101111011000100111111011101010
+11101111010111000111111011101001
+11101111010101100111111011101000
+11101111010011110111111011100111
+11101111010010010111111011100110
+11101111010000110111111011100110
+11101111001111010111111011100101
+11101111001101100111111011100100
+11101111001100000111111011100011
+11101111001010100111111011100010
+11101111001001000111111011100001
+11101111000111100111111011100001
+11101111000101110111111011100000
+11101111000100010111111011011111
+11101111000010110111111011011110
+11101111000001010111111011011101
+11101110111111100111111011011100
+11101110111110000111111011011100
+11101110111100100111111011011011
+11101110111011000111111011011010
+11101110111001010111111011011001
+11101110110111110111111011011000
+11101110110110010111111011010111
+11101110110100110111111011010111
+11101110110011010111111011010110
+11101110110001100111111011010101
+11101110110000000111111011010100
+11101110101110100111111011010011
+11101110101101000111111011010010
+11101110101011010111111011010010
+11101110101001110111111011010001
+11101110101000010111111011010000
+11101110100110110111111011001111
+11101110100101010111111011001110
+11101110100011100111111011001101
+11101110100010000111111011001100
+11101110100000100111111011001100
+11101110011111000111111011001011
+11101110011101010111111011001010
+11101110011011110111111011001001
+11101110011010010111111011001000
+11101110011000110111111011000111
+11101110010111010111111011000110
+11101110010101100111111011000110
+11101110010100000111111011000101
+11101110010010100111111011000100
+11101110010001000111111011000011
+11101110001111010111111011000010
+11101110001101110111111011000001
+11101110001100010111111011000000
+11101110001010110111111010111111
+11101110001001010111111010111111
+11101110000111100111111010111110
+11101110000110000111111010111101
+11101110000100100111111010111100
+11101110000011000111111010111011
+11101110000001010111111010111010
+11101101111111110111111010111001
+11101101111110010111111010111000
+11101101111100110111111010110111
+11101101111011010111111010110111
+11101101111001100111111010110110
+11101101111000000111111010110101
+11101101110110100111111010110100
+11101101110101000111111010110011
+11101101110011010111111010110010
+11101101110001110111111010110001
+11101101110000010111111010110000
+11101101101110110111111010101111
+11101101101101010111111010101111
+11101101101011100111111010101110
+11101101101010000111111010101101
+11101101101000100111111010101100
+11101101100111000111111010101011
+11101101100101010111111010101010
+11101101100011110111111010101001
+11101101100010010111111010101000
+11101101100000110111111010100111
+11101101011111010111111010100110
+11101101011101100111111010100110
+11101101011100000111111010100101
+11101101011010100111111010100100
+11101101011001000111111010100011
+11101101010111100111111010100010
+11101101010101110111111010100001
+11101101010100010111111010100000
+11101101010010110111111010011111
+11101101010001010111111010011110
+11101101001111100111111010011101
+11101101001110000111111010011100
+11101101001100100111111010011011
+11101101001011000111111010011011
+11101101001001100111111010011010
+11101101000111110111111010011001
+11101101000110010111111010011000
+11101101000100110111111010010111
+11101101000011010111111010010110
+11101101000001110111111010010101
+11101101000000000111111010010100
+11101100111110100111111010010011
+11101100111101000111111010010010
+11101100111011100111111010010001
+11101100111001110111111010010000
+11101100111000010111111010001111
+11101100110110110111111010001110
+11101100110101010111111010001101
+11101100110011110111111010001101
+11101100110010000111111010001100
+11101100110000100111111010001011
+11101100101111000111111010001010
+11101100101101100111111010001001
+11101100101100000111111010001000
+11101100101010010111111010000111
+11101100101000110111111010000110
+11101100100111010111111010000101
+11101100100101110111111010000100
+11101100100100000111111010000011
+11101100100010100111111010000010
+11101100100001000111111010000001
+11101100011111100111111010000000
+11101100011110000111111001111111
+11101100011100010111111001111110
+11101100011010110111111001111101
+11101100011001010111111001111100
+11101100010111110111111001111011
+11101100010110010111111001111010
+11101100010100100111111001111001
+11101100010011000111111001111000
+11101100010001100111111001110111
+11101100010000000111111001110111
+11101100001110100111111001110110
+11101100001100110111111001110101
+11101100001011010111111001110100
+11101100001001110111111001110011
+11101100001000010111111001110010
+11101100000110110111111001110001
+11101100000101000111111001110000
+11101100000011100111111001101111
+11101100000010000111111001101110
+11101100000000100111111001101101
+11101011111111000111111001101100
+11101011111101010111111001101011
+11101011111011110111111001101010
+11101011111010010111111001101001
+11101011111000110111111001101000
+11101011110111000111111001100111
+11101011110101100111111001100110
+11101011110100000111111001100101
+11101011110010100111111001100100
+11101011110001000111111001100011
+11101011101111010111111001100010
+11101011101101110111111001100001
+11101011101100010111111001100000
+11101011101010110111111001011111
+11101011101001010111111001011110
+11101011100111100111111001011101
+11101011100110000111111001011100
+11101011100100100111111001011011
+11101011100011000111111001011010
+11101011100001100111111001011001
+11101011011111110111111001011000
+11101011011110010111111001010111
+11101011011100110111111001010110
+11101011011011010111111001010101
+11101011011001110111111001010100
+11101011011000000111111001010011
+11101011010110100111111001010010
+11101011010101000111111001010001
+11101011010011100111111001010000
+11101011010010000111111001001111
+11101011010000010111111001001110
+11101011001110110111111001001101
+11101011001101010111111001001100
+11101011001011110111111001001011
+11101011001010010111111001001010
+11101011001000100111111001001001
+11101011000111000111111001001000
+11101011000101100111111001000111
+11101011000100000111111001000110
+11101011000010100111111001000101
+11101011000000110111111001000100
+11101010111111010111111001000011
+11101010111101110111111001000001
+11101010111100010111111001000000
+11101010111010110111111000111111
+11101010111001000111111000111110
+11101010110111100111111000111101
+11101010110110000111111000111100
+11101010110100100111111000111011
+11101010110011000111111000111010
+11101010110001010111111000111001
+11101010101111110111111000111000
+11101010101110010111111000110111
+11101010101100110111111000110110
+11101010101011010111111000110101
+11101010101001100111111000110100
+11101010101000000111111000110011
+11101010100110100111111000110010
+11101010100101000111111000110001
+11101010100011100111111000110000
+11101010100010000111111000101111
+11101010100000010111111000101110
+11101010011110110111111000101101
+11101010011101010111111000101100
+11101010011011110111111000101010
+11101010011010010111111000101001
+11101010011000100111111000101000
+11101010010111000111111000100111
+11101010010101100111111000100110
+11101010010100000111111000100101
+11101010010010100111111000100100
+11101010010000110111111000100011
+11101010001111010111111000100010
+11101010001101110111111000100001
+11101010001100010111111000100000
+11101010001010110111111000011111
+11101010001001000111111000011110
+11101010000111100111111000011101
+11101010000110000111111000011100
+11101010000100100111111000011010
+11101010000011000111111000011001
+11101010000001100111111000011000
+11101001111111110111111000010111
+11101001111110010111111000010110
+11101001111100110111111000010101
+11101001111011010111111000010100
+11101001111001110111111000010011
+11101001111000000111111000010010
+11101001110110100111111000010001
+11101001110101000111111000010000
+11101001110011100111111000001111
+11101001110010000111111000001101
+11101001110000010111111000001100
+11101001101110110111111000001011
+11101001101101010111111000001010
+11101001101011110111111000001001
+11101001101010010111111000001000
+11101001101000100111111000000111
+11101001100111000111111000000110
+11101001100101100111111000000101
+11101001100100000111111000000100
+11101001100010100111111000000010
+11101001100001000111111000000001
+11101001011111010111111000000000
+11101001011101110111110111111111
+11101001011100010111110111111110
+11101001011010110111110111111101
+11101001011001010111110111111100
+11101001010111100111110111111011
+11101001010110000111110111111010
+11101001010100100111110111111001
+11101001010011000111110111110111
+11101001010001100111110111110110
+11101001010000000111110111110101
+11101001001110010111110111110100
+11101001001100110111110111110011
+11101001001011010111110111110010
+11101001001001110111110111110001
+11101001001000010111110111110000
+11101001000110100111110111101110
+11101001000101000111110111101101
+11101001000011100111110111101100
+11101001000010000111110111101011
+11101001000000100111110111101010
+11101000111111000111110111101001
+11101000111101010111110111101000
+11101000111011110111110111100111
+11101000111010010111110111100101
+11101000111000110111110111100100
+11101000110111010111110111100011
+11101000110101100111110111100010
+11101000110100000111110111100001
+11101000110010100111110111100000
+11101000110001000111110111011111
+11101000101111100111110111011101
+11101000101110000111110111011100
+11101000101100010111110111011011
+11101000101010110111110111011010
+11101000101001010111110111011001
+11101000100111110111110111011000
+11101000100110010111110111010111
+11101000100100110111110111010101
+11101000100011000111110111010100
+11101000100001100111110111010011
+11101000100000000111110111010010
+11101000011110100111110111010001
+11101000011101000111110111010000
+11101000011011010111110111001111
+11101000011001110111110111001101
+11101000011000010111110111001100
+11101000010110110111110111001011
+11101000010101010111110111001010
+11101000010011110111110111001001
+11101000010010000111110111001000
+11101000010000100111110111000110
+11101000001111000111110111000101
+11101000001101100111110111000100
+11101000001100000111110111000011
+11101000001010100111110111000010
+11101000001000110111110111000001
+11101000000111010111110110111111
+11101000000101110111110110111110
+11101000000100010111110110111101
+11101000000010110111110110111100
+11101000000001010111110110111011
+11100111111111100111110110111001
+11100111111110000111110110111000
+11100111111100100111110110110111
+11100111111011000111110110110110
+11100111111001100111110110110101
+11100111110111110111110110110100
+11100111110110010111110110110010
+11100111110100110111110110110001
+11100111110011010111110110110000
+11100111110001110111110110101111
+11100111110000010111110110101110
+11100111101110100111110110101100
+11100111101101000111110110101011
+11100111101011100111110110101010
+11100111101010000111110110101001
+11100111101000100111110110101000
+11100111100111000111110110100110
+11100111100101010111110110100101
+11100111100011110111110110100100
+11100111100010010111110110100011
+11100111100000110111110110100010
+11100111011111010111110110100000
+11100111011101110111110110011111
+11100111011100000111110110011110
+11100111011010100111110110011101
+11100111011001000111110110011100
+11100111010111100111110110011010
+11100111010110000111110110011001
+11100111010100100111110110011000
+11100111010010110111110110010111
+11100111010001010111110110010110
+11100111001111110111110110010100
+11100111001110010111110110010011
+11100111001100110111110110010010
+11100111001011010111110110010001
+11100111001001100111110110010000
+11100111001000000111110110001110
+11100111000110100111110110001101
+11100111000101000111110110001100
+11100111000011100111110110001011
+11100111000010000111110110001001
+11100111000000100111110110001000
+11100110111110110111110110000111
+11100110111101010111110110000110
+11100110111011110111110110000100
+11100110111010010111110110000011
+11100110111000110111110110000010
+11100110110111010111110110000001
+11100110110101100111110110000000
+11100110110100000111110101111110
+11100110110010100111110101111101
+11100110110001000111110101111100
+11100110101111100111110101111011
+11100110101110000111110101111001
+11100110101100010111110101111000
+11100110101010110111110101110111
+11100110101001010111110101110110
+11100110100111110111110101110100
+11100110100110010111110101110011
+11100110100100110111110101110010
+11100110100011000111110101110001
+11100110100001100111110101101111
+11100110100000000111110101101110
+11100110011110100111110101101101
+11100110011101000111110101101100
+11100110011011100111110101101010
+11100110011010000111110101101001
+11100110011000010111110101101000
+11100110010110110111110101100111
+11100110010101010111110101100101
+11100110010011110111110101100100
+11100110010010010111110101100011
+11100110010000110111110101100010
+11100110001111000111110101100000
+11100110001101100111110101011111
+11100110001100000111110101011110
+11100110001010100111110101011101
+11100110001001000111110101011011
+11100110000111100111110101011010
+11100110000110000111110101011001
+11100110000100010111110101010111
+11100110000010110111110101010110
+11100110000001010111110101010101
+11100101111111110111110101010100
+11100101111110010111110101010010
+11100101111100110111110101010001
+11100101111011000111110101010000
+11100101111001100111110101001110
+11100101111000000111110101001101
+11100101110110100111110101001100
+11100101110101000111110101001011
+11100101110011100111110101001001
+11100101110010000111110101001000
+11100101110000010111110101000111
+11100101101110110111110101000110
+11100101101101010111110101000100
+11100101101011110111110101000011
+11100101101010010111110101000010
+11100101101000110111110101000000
+11100101100111010111110100111111
+11100101100101100111110100111110
+11100101100100000111110100111100
+11100101100010100111110100111011
+11100101100001000111110100111010
+11100101011111100111110100111001
+11100101011110000111110100110111
+11100101011100010111110100110110
+11100101011010110111110100110101
+11100101011001010111110100110011
+11100101010111110111110100110010
+11100101010110010111110100110001
+11100101010100110111110100101111
+11100101010011010111110100101110
+11100101010001100111110100101101
+11100101010000000111110100101011
+11100101001110100111110100101010
+11100101001101000111110100101001
+11100101001011100111110100101000
+11100101001010000111110100100110
+11100101001000100111110100100101
+11100101000110110111110100100100
+11100101000101010111110100100010
+11100101000011110111110100100001
+11100101000010010111110100100000
+11100101000000110111110100011110
+11100100111111010111110100011101
+11100100111101110111110100011100
+11100100111100000111110100011010
+11100100111010100111110100011001
+11100100111001000111110100011000
+11100100110111100111110100010110
+11100100110110000111110100010101
+11100100110100100111110100010100
+11100100110011000111110100010010
+11100100110001100111110100010001
+11100100101111110111110100010000
+11100100101110010111110100001110
+11100100101100110111110100001101
+11100100101011010111110100001100
+11100100101001110111110100001010
+11100100101000010111110100001001
+11100100100110110111110100001000
+11100100100101000111110100000110
+11100100100011100111110100000101
+11100100100010000111110100000100
+11100100100000100111110100000010
+11100100011111000111110100000001
+11100100011101100111110100000000
+11100100011100000111110011111110
+11100100011010010111110011111101
+11100100011000110111110011111011
+11100100010111010111110011111010
+11100100010101110111110011111001
+11100100010100010111110011110111
+11100100010010110111110011110110
+11100100010001010111110011110101
+11100100001111110111110011110011
+11100100001110000111110011110010
+11100100001100100111110011110001
+11100100001011000111110011101111
+11100100001001100111110011101110
+11100100001000000111110011101100
+11100100000110100111110011101011
+11100100000101000111110011101010
+11100100000011010111110011101000
+11100100000001110111110011100111
+11100100000000010111110011100110
+11100011111110110111110011100100
+11100011111101010111110011100011
+11100011111011110111110011100001
+11100011111010010111110011100000
+11100011111000110111110011011111
+11100011110111000111110011011101
+11100011110101100111110011011100
+11100011110100000111110011011011
+11100011110010100111110011011001
+11100011110001000111110011011000
+11100011101111100111110011010110
+11100011101110000111110011010101
+11100011101100100111110011010100
+11100011101010110111110011010010
+11100011101001010111110011010001
+11100011100111110111110011001111
+11100011100110010111110011001110
+11100011100100110111110011001101
+11100011100011010111110011001011
+11100011100001110111110011001010
+11100011100000010111110011001000
+11100011011110100111110011000111
+11100011011101000111110011000110
+11100011011011100111110011000100
+11100011011010000111110011000011
+11100011011000100111110011000001
+11100011010111000111110011000000
+11100011010101100111110010111111
+11100011010100000111110010111101
+11100011010010010111110010111100
+11100011010000110111110010111010
+11100011001111010111110010111001
+11100011001101110111110010111000
+11100011001100010111110010110110
+11100011001010110111110010110101
+11100011001001010111110010110011
+11100011000111110111110010110010
+11100011000110000111110010110001
+11100011000100100111110010101111
+11100011000011000111110010101110
+11100011000001100111110010101100
+11100011000000000111110010101011
+11100010111110100111110010101001
+11100010111101000111110010101000
+11100010111011100111110010100111
+11100010111001110111110010100101
+11100010111000010111110010100100
+11100010110110110111110010100010
+11100010110101010111110010100001
+11100010110011110111110010011111
+11100010110010010111110010011110
+11100010110000110111110010011101
+11100010101111010111110010011011
+11100010101101110111110010011010
+11100010101100000111110010011000
+11100010101010100111110010010111
+11100010101001000111110010010101
+11100010100111100111110010010100
+11100010100110000111110010010010
+11100010100100100111110010010001
+11100010100011000111110010010000
+11100010100001100111110010001110
+11100010011111110111110010001101
+11100010011110010111110010001011
+11100010011100110111110010001010
+11100010011011010111110010001000
+11100010011001110111110010000111
+11100010011000010111110010000101
+11100010010110110111110010000100
+11100010010101010111110010000011
+11100010010011110111110010000001
+11100010010010000111110010000000
+11100010010000100111110001111110
+11100010001111000111110001111101
+11100010001101100111110001111011
+11100010001100000111110001111010
+11100010001010100111110001111000
+11100010001001000111110001110111
+11100010000111100111110001110101
+11100010000110000111110001110100
+11100010000100010111110001110010
+11100010000010110111110001110001
+11100010000001010111110001110000
+11100001111111110111110001101110
+11100001111110010111110001101101
+11100001111100110111110001101011
+11100001111011010111110001101010
+11100001111001110111110001101000
+11100001111000010111110001100111
+11100001110110110111110001100101
+11100001110101000111110001100100
+11100001110011100111110001100010
+11100001110010000111110001100001
+11100001110000100111110001011111
+11100001101111000111110001011110
+11100001101101100111110001011100
+11100001101100000111110001011011
+11100001101010100111110001011001
+11100001101001000111110001011000
+11100001100111010111110001010110
+11100001100101110111110001010101
+11100001100100010111110001010011
+11100001100010110111110001010010
+11100001100001010111110001010000
+11100001011111110111110001001111
+11100001011110010111110001001101
+11100001011100110111110001001100
+11100001011011010111110001001010
+11100001011001110111110001001001
+11100001011000000111110001000111
+11100001010110100111110001000110
+11100001010101000111110001000100
+11100001010011100111110001000011
+11100001010010000111110001000001
+11100001010000100111110001000000
+11100001001111000111110000111110
+11100001001101100111110000111101
+11100001001100000111110000111011
+11100001001010100111110000111010
+11100001001000110111110000111000
+11100001000111010111110000110111
+11100001000101110111110000110101
+11100001000100010111110000110100
+11100001000010110111110000110010
+11100001000001010111110000110001
+11100000111111110111110000101111
+11100000111110010111110000101110
+11100000111100110111110000101100
+11100000111011010111110000101011
+11100000111001110111110000101001
+11100000111000000111110000101000
+11100000110110100111110000100110
+11100000110101000111110000100100
+11100000110011100111110000100011
+11100000110010000111110000100001
+11100000110000100111110000100000
+11100000101111000111110000011110
+11100000101101100111110000011101
+11100000101100000111110000011011
+11100000101010100111110000011010
+11100000101000110111110000011000
+11100000100111010111110000010111
+11100000100101110111110000010101
+11100000100100010111110000010100
+11100000100010110111110000010010
+11100000100001010111110000010000
+11100000011111110111110000001111
+11100000011110010111110000001101
+11100000011100110111110000001100
+11100000011011010111110000001010
+11100000011001110111110000001001
+11100000011000010111110000000111
+11100000010110100111110000000110
+11100000010101000111110000000100
+11100000010011100111110000000011
+11100000010010000111110000000001
+11100000010000100111101111111111
+11100000001111000111101111111110
+11100000001101100111101111111100
+11100000001100000111101111111011
+11100000001010100111101111111001
+11100000001001000111101111111000
+11100000000111100111101111110110
+11100000000101110111101111110100
+11100000000100010111101111110011
+11100000000010110111101111110001
+11100000000001010111101111110000
+11011111111111110111101111101110
+11011111111110010111101111101101
+11011111111100110111101111101011
+11011111111011010111101111101001
+11011111111001110111101111101000
+11011111111000010111101111100110
+11011111110110110111101111100101
+11011111110101010111101111100011
+11011111110011100111101111100010
+11011111110010000111101111100000
+11011111110000100111101111011110
+11011111101111000111101111011101
+11011111101101100111101111011011
+11011111101100000111101111011010
+11011111101010100111101111011000
+11011111101001000111101111010110
+11011111100111100111101111010101
+11011111100110000111101111010011
+11011111100100100111101111010010
+11011111100011000111101111010000
+11011111100001100111101111001111
+11011111011111110111101111001101
+11011111011110010111101111001011
+11011111011100110111101111001010
+11011111011011010111101111001000
+11011111011001110111101111000111
+11011111011000010111101111000101
+11011111010110110111101111000011
+11011111010101010111101111000010
+11011111010011110111101111000000
+11011111010010010111101110111111
+11011111010000110111101110111101
+11011111001111010111101110111011
+11011111001101110111101110111010
+11011111001100000111101110111000
+11011111001010100111101110110110
+11011111001001000111101110110101
+11011111000111100111101110110011
+11011111000110000111101110110010
+11011111000100100111101110110000
+11011111000011000111101110101110
+11011111000001100111101110101101
+11011111000000000111101110101011
+11011110111110100111101110101010
+11011110111101000111101110101000
+11011110111011100111101110100110
+11011110111010000111101110100101
+11011110111000100111101110100011
+11011110110110110111101110100001
+11011110110101010111101110100000
+11011110110011110111101110011110
+11011110110010010111101110011101
+11011110110000110111101110011011
+11011110101111010111101110011001
+11011110101101110111101110011000
+11011110101100010111101110010110
+11011110101010110111101110010100
+11011110101001010111101110010011
+11011110100111110111101110010001
+11011110100110010111101110001111
+11011110100100110111101110001110
+11011110100011010111101110001100
+11011110100001110111101110001011
+11011110100000000111101110001001
+11011110011110100111101110000111
+11011110011101000111101110000110
+11011110011011100111101110000100
+11011110011010000111101110000010
+11011110011000100111101110000001
+11011110010111000111101101111111
+11011110010101100111101101111101
+11011110010100000111101101111100
+11011110010010100111101101111010
+11011110010001000111101101111000
+11011110001111100111101101110111
+11011110001110000111101101110101
+11011110001100100111101101110011
+11011110001011000111101101110010
+11011110001001100111101101110000
+11011110001000000111101101101110
+11011110000110010111101101101101
+11011110000100110111101101101011
+11011110000011010111101101101001
+11011110000001110111101101101000
+11011110000000010111101101100110
+11011101111110110111101101100100
+11011101111101010111101101100011
+11011101111011110111101101100001
+11011101111010010111101101011111
+11011101111000110111101101011110
+11011101110111010111101101011100
+11011101110101110111101101011010
+11011101110100010111101101011001
+11011101110010110111101101010111
+11011101110001010111101101010101
+11011101101111110111101101010100
+11011101101110010111101101010010
+11011101101100110111101101010000
+11011101101011000111101101001111
+11011101101001100111101101001101
+11011101101000000111101101001011
+11011101100110100111101101001010
+11011101100101000111101101001000
+11011101100011100111101101000110
+11011101100010000111101101000101
+11011101100000100111101101000011
+11011101011111000111101101000001
+11011101011101100111101100111111
+11011101011100000111101100111110
+11011101011010100111101100111100
+11011101011001000111101100111010
+11011101010111100111101100111001
+11011101010110000111101100110111
+11011101010100100111101100110101
+11011101010011000111101100110100
+11011101010001100111101100110010
+11011101010000000111101100110000
+11011101001110100111101100101110
+11011101001100110111101100101101
+11011101001011010111101100101011
+11011101001001110111101100101001
+11011101001000010111101100101000
+11011101000110110111101100100110
+11011101000101010111101100100100
+11011101000011110111101100100010
+11011101000010010111101100100001
+11011101000000110111101100011111
+11011100111111010111101100011101
+11011100111101110111101100011100
+11011100111100010111101100011010
+11011100111010110111101100011000
+11011100111001010111101100010110
+11011100110111110111101100010101
+11011100110110010111101100010011
+11011100110100110111101100010001
+11011100110011010111101100010000
+11011100110001110111101100001110
+11011100110000010111101100001100
+11011100101110110111101100001010
+11011100101101010111101100001001
+11011100101011110111101100000111
+11011100101010000111101100000101
+11011100101000100111101100000011
+11011100100111000111101100000010
+11011100100101100111101100000000
+11011100100100000111101011111110
+11011100100010100111101011111100
+11011100100001000111101011111011
+11011100011111100111101011111001
+11011100011110000111101011110111
+11011100011100100111101011110101
+11011100011011000111101011110100
+11011100011001100111101011110010
+11011100011000000111101011110000
+11011100010110100111101011101110
+11011100010101000111101011101101
+11011100010011100111101011101011
+11011100010010000111101011101001
+11011100010000100111101011100111
+11011100001111000111101011100110
+11011100001101100111101011100100
+11011100001100000111101011100010
+11011100001010100111101011100000
+11011100001001000111101011011111
+11011100000111100111101011011101
+11011100000110000111101011011011
+11011100000100100111101011011001
+11011100000011000111101011011000
+11011100000001100111101011010110
+11011100000000000111101011010100
+11011011111110100111101011010010
+11011011111100110111101011010001
+11011011111011010111101011001111
+11011011111001110111101011001101
+11011011111000010111101011001011
+11011011110110110111101011001001
+11011011110101010111101011001000
+11011011110011110111101011000110
+11011011110010010111101011000100
+11011011110000110111101011000010
+11011011101111010111101011000001
+11011011101101110111101010111111
+11011011101100010111101010111101
+11011011101010110111101010111011
+11011011101001010111101010111001
+11011011100111110111101010111000
+11011011100110010111101010110110
+11011011100100110111101010110100
+11011011100011010111101010110010
+11011011100001110111101010110001
+11011011100000010111101010101111
+11011011011110110111101010101101
+11011011011101010111101010101011
+11011011011011110111101010101001
+11011011011010010111101010101000
+11011011011000110111101010100110
+11011011010111010111101010100100
+11011011010101110111101010100010
+11011011010100010111101010100000
+11011011010010110111101010011111
+11011011010001010111101010011101
+11011011001111110111101010011011
+11011011001110010111101010011001
+11011011001100110111101010010111
+11011011001011010111101010010110
+11011011001001110111101010010100
+11011011001000010111101010010010
+11011011000110110111101010010000
+11011011000101010111101010001110
+11011011000011110111101010001100
+11011011000010010111101010001011
+11011011000000110111101010001001
+11011010111111010111101010000111
+11011010111101110111101010000101
+11011010111100010111101010000011
+11011010111010110111101010000010
+11011010111001010111101010000000
+11011010110111110111101001111110
+11011010110110010111101001111100
+11011010110100110111101001111010
+11011010110011010111101001111000
+11011010110001100111101001110111
+11011010110000000111101001110101
+11011010101110100111101001110011
+11011010101101000111101001110001
+11011010101011100111101001101111
+11011010101010000111101001101101
+11011010101000100111101001101100
+11011010100111000111101001101010
+11011010100101100111101001101000
+11011010100100000111101001100110
+11011010100010100111101001100100
+11011010100001000111101001100010
+11011010011111100111101001100001
+11011010011110000111101001011111
+11011010011100100111101001011101
+11011010011011000111101001011011
+11011010011001100111101001011001
+11011010011000000111101001010111
+11011010010110100111101001010110
+11011010010101000111101001010100
+11011010010011100111101001010010
+11011010010010000111101001010000
+11011010010000100111101001001110
+11011010001111000111101001001100
+11011010001101100111101001001010
+11011010001100000111101001001001
+11011010001010100111101001000111
+11011010001001000111101001000101
+11011010000111100111101001000011
+11011010000110000111101001000001
+11011010000100100111101000111111
+11011010000011000111101000111101
+11011010000001100111101000111100
+11011010000000000111101000111010
+11011001111110100111101000111000
+11011001111101000111101000110110
+11011001111011100111101000110100
+11011001111010000111101000110010
+11011001111000100111101000110000
+11011001110111000111101000101110
+11011001110101100111101000101101
+11011001110100000111101000101011
+11011001110010100111101000101001
+11011001110001000111101000100111
+11011001101111100111101000100101
+11011001101110000111101000100011
+11011001101100100111101000100001
+11011001101011000111101000011111
+11011001101001100111101000011110
+11011001101000000111101000011100
+11011001100110100111101000011010
+11011001100101000111101000011000
+11011001100011100111101000010110
+11011001100010000111101000010100
+11011001100000100111101000010010
+11011001011111000111101000010000
+11011001011101100111101000001110
+11011001011100000111101000001101
+11011001011010100111101000001011
+11011001011001000111101000001001
+11011001010111100111101000000111
+11011001010110000111101000000101
+11011001010100100111101000000011
+11011001010011000111101000000001
+11011001010001110111100111111111
+11011001010000010111100111111101
+11011001001110110111100111111100
+11011001001101010111100111111010
+11011001001011110111100111111000
+11011001001010010111100111110110
+11011001001000110111100111110100
+11011001000111010111100111110010
+11011001000101110111100111110000
+11011001000100010111100111101110
+11011001000010110111100111101100
+11011001000001010111100111101010
+11011000111111110111100111101000
+11011000111110010111100111100111
+11011000111100110111100111100101
+11011000111011010111100111100011
+11011000111001110111100111100001
+11011000111000010111100111011111
+11011000110110110111100111011101
+11011000110101010111100111011011
+11011000110011110111100111011001
+11011000110010010111100111010111
+11011000110000110111100111010101
+11011000101111010111100111010011
+11011000101101110111100111010001
+11011000101100010111100111001111
+11011000101010110111100111001110
+11011000101001010111100111001100
+11011000100111110111100111001010
+11011000100110010111100111001000
+11011000100100110111100111000110
+11011000100011010111100111000100
+11011000100001110111100111000010
+11011000100000010111100111000000
+11011000011110110111100110111110
+11011000011101010111100110111100
+11011000011011110111100110111010
+11011000011010010111100110111000
+11011000011000110111100110110110
+11011000010111010111100110110100
+11011000010101110111100110110010
+11011000010100010111100110110000
+11011000010010110111100110101110
+11011000010001010111100110101101
+11011000001111110111100110101011
+11011000001110010111100110101001
+11011000001100110111100110100111
+11011000001011010111100110100101
+11011000001001110111100110100011
+11011000001000100111100110100001
+11011000000111000111100110011111
+11011000000101100111100110011101
+11011000000100000111100110011011
+11011000000010100111100110011001
+11011000000001000111100110010111
+11010111111111100111100110010101
+11010111111110000111100110010011
+11010111111100100111100110010001
+11010111111011000111100110001111
+11010111111001100111100110001101
+11010111111000000111100110001011
+11010111110110100111100110001001
+11010111110101000111100110000111
+11010111110011100111100110000101
+11010111110010000111100110000011
+11010111110000100111100110000001
+11010111101111000111100101111111
+11010111101101100111100101111101
+11010111101100000111100101111011
+11010111101010100111100101111001
+11010111101001000111100101110111
+11010111100111100111100101110101
+11010111100110000111100101110011
+11010111100100100111100101110010
+11010111100011000111100101110000
+11010111100001100111100101101110
+11010111100000000111100101101100
+11010111011110110111100101101010
+11010111011101010111100101101000
+11010111011011110111100101100110
+11010111011010010111100101100100
+11010111011000110111100101100010
+11010111010111010111100101100000
+11010111010101110111100101011110
+11010111010100010111100101011100
+11010111010010110111100101011010
+11010111010001010111100101011000
+11010111001111110111100101010110
+11010111001110010111100101010100
+11010111001100110111100101010010
+11010111001011010111100101010000
+11010111001001110111100101001110
+11010111001000010111100101001100
+11010111000110110111100101001010
+11010111000101010111100101001000
+11010111000011110111100101000110
+11010111000010010111100101000100
+11010111000000110111100101000010
+11010110111111010111100101000000
+11010110111101110111100100111110
+11010110111100100111100100111100
+11010110111011000111100100111001
+11010110111001100111100100110111
+11010110111000000111100100110101
+11010110110110100111100100110011
+11010110110101000111100100110001
+11010110110011100111100100101111
+11010110110010000111100100101101
+11010110110000100111100100101011
+11010110101111000111100100101001
+11010110101101100111100100100111
+11010110101100000111100100100101
+11010110101010100111100100100011
+11010110101001000111100100100001
+11010110100111100111100100011111
+11010110100110000111100100011101
+11010110100100100111100100011011
+11010110100011000111100100011001
+11010110100001100111100100010111
+11010110100000010111100100010101
+11010110011110110111100100010011
+11010110011101010111100100010001
+11010110011011110111100100001111
+11010110011010010111100100001101
+11010110011000110111100100001011
+11010110010111010111100100001001
+11010110010101110111100100000111
+11010110010100010111100100000101
+11010110010010110111100100000011
+11010110010001010111100100000001
+11010110001111110111100011111111
+11010110001110010111100011111100
+11010110001100110111100011111010
+11010110001011010111100011111000
+11010110001001110111100011110110
+11010110001000010111100011110100
+11010110000111000111100011110010
+11010110000101100111100011110000
+11010110000100000111100011101110
+11010110000010100111100011101100
+11010110000001000111100011101010
+11010101111111100111100011101000
+11010101111110000111100011100110
+11010101111100100111100011100100
+11010101111011000111100011100010
+11010101111001100111100011100000
+11010101111000000111100011011110
+11010101110110100111100011011100
+11010101110101000111100011011001
+11010101110011100111100011010111
+11010101110010000111100011010101
+11010101110000110111100011010011
+11010101101111010111100011010001
+11010101101101110111100011001111
+11010101101100010111100011001101
+11010101101010110111100011001011
+11010101101001010111100011001001
+11010101100111110111100011000111
+11010101100110010111100011000101
+11010101100100110111100011000011
+11010101100011010111100011000001
+11010101100001110111100010111110
+11010101100000010111100010111100
+11010101011110110111100010111010
+11010101011101010111100010111000
+11010101011100000111100010110110
+11010101011010100111100010110100
+11010101011001000111100010110010
+11010101010111100111100010110000
+11010101010110000111100010101110
+11010101010100100111100010101100
+11010101010011000111100010101010
+11010101010001100111100010100111
+11010101010000000111100010100101
+11010101001110100111100010100011
+11010101001101000111100010100001
+11010101001011100111100010011111
+11010101001010000111100010011101
+11010101001000110111100010011011
+11010101000111010111100010011001
+11010101000101110111100010010111
+11010101000100010111100010010101
+11010101000010110111100010010010
+11010101000001010111100010010000
+11010100111111110111100010001110
+11010100111110010111100010001100
+11010100111100110111100010001010
+11010100111011010111100010001000
+11010100111001110111100010000110
+11010100111000010111100010000100
+11010100110111000111100010000010
+11010100110101100111100001111111
+11010100110100000111100001111101
+11010100110010100111100001111011
+11010100110001000111100001111001
+11010100101111100111100001110111
+11010100101110000111100001110101
+11010100101100100111100001110011
+11010100101011000111100001110001
+11010100101001100111100001101110
+11010100101000000111100001101100
+11010100100110110111100001101010
+11010100100101010111100001101000
+11010100100011110111100001100110
+11010100100010010111100001100100
+11010100100000110111100001100010
+11010100011111010111100001011111
+11010100011101110111100001011101
+11010100011100010111100001011011
+11010100011010110111100001011001
+11010100011001010111100001010111
+11010100010111110111100001010101
+11010100010110100111100001010011
+11010100010101000111100001010001
+11010100010011100111100001001110
+11010100010010000111100001001100
+11010100010000100111100001001010
+11010100001111000111100001001000
+11010100001101100111100001000110
+11010100001100000111100001000100
+11010100001010100111100001000001
+11010100001001000111100000111111
+11010100000111100111100000111101
+11010100000110010111100000111011
+11010100000100110111100000111001
+11010100000011010111100000110111
+11010100000001110111100000110101
+11010100000000010111100000110010
+11010011111110110111100000110000
+11010011111101010111100000101110
+11010011111011110111100000101100
+11010011111010010111100000101010
+11010011111000110111100000101000
+11010011110111100111100000100101
+11010011110110000111100000100011
+11010011110100100111100000100001
+11010011110011000111100000011111
+11010011110001100111100000011101
+11010011110000000111100000011011
+11010011101110100111100000011000
+11010011101101000111100000010110
+11010011101011100111100000010100
+11010011101010010111100000010010
+11010011101000110111100000010000
+11010011100111010111100000001110
+11010011100101110111100000001011
+11010011100100010111100000001001
+11010011100010110111100000000111
+11010011100001010111100000000101
+11010011011111110111100000000011
+11010011011110010111100000000000
+11010011011100110111011111111110
+11010011011011100111011111111100
+11010011011010000111011111111010
+11010011011000100111011111111000
+11010011010111000111011111110101
+11010011010101100111011111110011
+11010011010100000111011111110001
+11010011010010100111011111101111
+11010011010001000111011111101101
+11010011001111110111011111101010
+11010011001110010111011111101000
+11010011001100110111011111100110
+11010011001011010111011111100100
+11010011001001110111011111100010
+11010011001000010111011111100000
+11010011000110110111011111011101
+11010011000101010111011111011011
+11010011000011110111011111011001
+11010011000010100111011111010111
+11010011000001000111011111010100
+11010010111111100111011111010010
+11010010111110000111011111010000
+11010010111100100111011111001110
+11010010111011000111011111001100
+11010010111001100111011111001001
+11010010111000000111011111000111
+11010010110110100111011111000101
+11010010110101010111011111000011
+11010010110011110111011111000001
+11010010110010010111011110111110
+11010010110000110111011110111100
+11010010101111010111011110111010
+11010010101101110111011110111000
+11010010101100010111011110110101
+11010010101010110111011110110011
+11010010101001100111011110110001
+11010010101000000111011110101111
+11010010100110100111011110101101
+11010010100101000111011110101010
+11010010100011100111011110101000
+11010010100010000111011110100110
+11010010100000100111011110100100
+11010010011111000111011110100001
+11010010011101110111011110011111
+11010010011100010111011110011101
+11010010011010110111011110011011
+11010010011001010111011110011000
+11010010010111110111011110010110
+11010010010110010111011110010100
+11010010010100110111011110010010
+11010010010011100111011110001111
+11010010010010000111011110001101
+11010010010000100111011110001011
+11010010001111000111011110001001
+11010010001101100111011110000110
+11010010001100000111011110000100
+11010010001010100111011110000010
+11010010001001000111011110000000
+11010010000111110111011101111101
+11010010000110010111011101111011
+11010010000100110111011101111001
+11010010000011010111011101110111
+11010010000001110111011101110100
+11010010000000010111011101110010
+11010001111110110111011101110000
+11010001111101100111011101101110
+11010001111100000111011101101011
+11010001111010100111011101101001
+11010001111001000111011101100111
+11010001110111100111011101100101
+11010001110110000111011101100010
+11010001110100100111011101100000
+11010001110011010111011101011110
+11010001110001110111011101011100
+11010001110000010111011101011001
+11010001101110110111011101010111
+11010001101101010111011101010101
+11010001101011110111011101010011
+11010001101010010111011101010000
+11010001101001000111011101001110
+11010001100111100111011101001100
+11010001100110000111011101001001
+11010001100100100111011101000111
+11010001100011000111011101000101
+11010001100001100111011101000011
+11010001100000000111011101000000
+11010001011110110111011100111110
+11010001011101010111011100111100
+11010001011011110111011100111001
+11010001011010010111011100110111
+11010001011000110111011100110101
+11010001010111010111011100110011
+11010001010101110111011100110000
+11010001010100100111011100101110
+11010001010011000111011100101100
+11010001010001100111011100101001
+11010001010000000111011100100111
+11010001001110100111011100100101
+11010001001101000111011100100011
+11010001001011100111011100100000
+11010001001010010111011100011110
+11010001001000110111011100011100
+11010001000111010111011100011001
+11010001000101110111011100010111
+11010001000100010111011100010101
+11010001000010110111011100010010
+11010001000001100111011100010000
+11010001000000000111011100001110
+11010000111110100111011100001011
+11010000111101000111011100001001
+11010000111011100111011100000111
+11010000111010000111011100000101
+11010000111000100111011100000010
+11010000110111010111011100000000
+11010000110101110111011011111110
+11010000110100010111011011111011
+11010000110010110111011011111001
+11010000110001010111011011110111
+11010000101111110111011011110100
+11010000101110100111011011110010
+11010000101101000111011011110000
+11010000101011100111011011101101
+11010000101010000111011011101011
+11010000101000100111011011101001
+11010000100111000111011011100110
+11010000100101110111011011100100
+11010000100100010111011011100010
+11010000100010110111011011011111
+11010000100001010111011011011101
+11010000011111110111011011011011
+11010000011110010111011011011000
+11010000011101000111011011010110
+11010000011011100111011011010100
+11010000011010000111011011010001
+11010000011000100111011011001111
+11010000010111000111011011001101
+11010000010101100111011011001010
+11010000010100010111011011001000
+11010000010010110111011011000110
+11010000010001010111011011000011
+11010000001111110111011011000001
+11010000001110010111011010111111
+11010000001100110111011010111100
+11010000001011100111011010111010
+11010000001010000111011010111000
+11010000001000100111011010110101
+11010000000111000111011010110011
+11010000000101100111011010110001
+11010000000100000111011010101110
+11010000000010110111011010101100
+11010000000001010111011010101010
+11001111111111110111011010100111
+11001111111110010111011010100101
+11001111111100110111011010100010
+11001111111011100111011010100000
+11001111111010000111011010011110
+11001111111000100111011010011011
+11001111110111000111011010011001
+11001111110101100111011010010111
+11001111110100000111011010010100
+11001111110010110111011010010010
+11001111110001010111011010010000
+11001111101111110111011010001101
+11001111101110010111011010001011
+11001111101100110111011010001000
+11001111101011100111011010000110
+11001111101010000111011010000100
+11001111101000100111011010000001
+11001111100111000111011001111111
+11001111100101100111011001111101
+11001111100100000111011001111010
+11001111100010110111011001111000
+11001111100001010111011001110101
+11001111011111110111011001110011
+11001111011110010111011001110001
+11001111011100110111011001101110
+11001111011011100111011001101100
+11001111011010000111011001101010
+11001111011000100111011001100111
+11001111010111000111011001100101
+11001111010101100111011001100010
+11001111010100000111011001100000
+11001111010010110111011001011110
+11001111010001010111011001011011
+11001111001111110111011001011001
+11001111001110010111011001010110
+11001111001100110111011001010100
+11001111001011100111011001010010
+11001111001010000111011001001111
+11001111001000100111011001001101
+11001111000111000111011001001010
+11001111000101100111011001001000
+11001111000100010111011001000110
+11001111000010110111011001000011
+11001111000001010111011001000001
+11001110111111110111011000111110
+11001110111110010111011000111100
+11001110111101000111011000111010
+11001110111011100111011000110111
+11001110111010000111011000110101
+11001110111000100111011000110010
+11001110110111000111011000110000
+11001110110101110111011000101110
+11001110110100010111011000101011
+11001110110010110111011000101001
+11001110110001010111011000100110
+11001110101111110111011000100100
+11001110101110100111011000100001
+11001110101101000111011000011111
+11001110101011100111011000011101
+11001110101010000111011000011010
+11001110101000100111011000011000
+11001110100111010111011000010101
+11001110100101110111011000010011
+11001110100100010111011000010001
+11001110100010110111011000001110
+11001110100001010111011000001100
+11001110100000000111011000001001
+11001110011110100111011000000111
+11001110011101000111011000000100
+11001110011011100111011000000010
+11001110011010000111011000000000
+11001110011000110111010111111101
+11001110010111010111010111111011
+11001110010101110111010111111000
+11001110010100010111010111110110
+11001110010010110111010111110011
+11001110010001100111010111110001
+11001110010000000111010111101110
+11001110001110100111010111101100
+11001110001101000111010111101010
+11001110001011110111010111100111
+11001110001010010111010111100101
+11001110001000110111010111100010
+11001110000111010111010111100000
+11001110000101110111010111011101
+11001110000100100111010111011011
+11001110000011000111010111011000
+11001110000001100111010111010110
+11001110000000000111010111010100
+11001101111110100111010111010001
+11001101111101010111010111001111
+11001101111011110111010111001100
+11001101111010010111010111001010
+11001101111000110111010111000111
+11001101110111100111010111000101
+11001101110110000111010111000010
+11001101110100100111010111000000
+11001101110011000111010110111101
+11001101110001100111010110111011
+11001101110000010111010110111000
+11001101101110110111010110110110
+11001101101101010111010110110100
+11001101101011110111010110110001
+11001101101010100111010110101111
+11001101101001000111010110101100
+11001101100111100111010110101010
+11001101100110000111010110100111
+11001101100100100111010110100101
+11001101100011010111010110100010
+11001101100001110111010110100000
+11001101100000010111010110011101
+11001101011110110111010110011011
+11001101011101100111010110011000
+11001101011100000111010110010110
+11001101011010100111010110010011
+11001101011001000111010110010001
+11001101010111110111010110001110
+11001101010110010111010110001100
+11001101010100110111010110001001
+11001101010011010111010110000111
+11001101010001110111010110000100
+11001101010000100111010110000010
+11001101001111000111010101111111
+11001101001101100111010101111101
+11001101001100000111010101111010
+11001101001010110111010101111000
+11001101001001010111010101110101
+11001101000111110111010101110011
+11001101000110010111010101110000
+11001101000101000111010101101110
+11001101000011100111010101101011
+11001101000010000111010101101001
+11001101000000100111010101100110
+11001100111111000111010101100100
+11001100111101110111010101100001
+11001100111100010111010101011111
+11001100111010110111010101011100
+11001100111001010111010101011010
+11001100111000000111010101010111
+11001100110110100111010101010101
+11001100110101000111010101010010
+11001100110011100111010101010000
+11001100110010010111010101001101
+11001100110000110111010101001011
+11001100101111010111010101001000
+11001100101101110111010101000110
+11001100101100100111010101000011
+11001100101011000111010101000001
+11001100101001100111010100111110
+11001100101000000111010100111100
+11001100100110110111010100111001
+11001100100101010111010100110111
+11001100100011110111010100110100
+11001100100010010111010100110010
+11001100100001000111010100101111
+11001100011111100111010100101101
+11001100011110000111010100101010
+11001100011100100111010100101000
+11001100011011010111010100100101
+11001100011001110111010100100010
+11001100011000010111010100100000
+11001100010110110111010100011101
+11001100010101100111010100011011
+11001100010100000111010100011000
+11001100010010100111010100010110
+11001100010001000111010100010011
+11001100001111110111010100010001
+11001100001110010111010100001110
+11001100001100110111010100001100
+11001100001011010111010100001001
+11001100001010000111010100000111
+11001100001000100111010100000100
+11001100000111000111010100000001
+11001100000101100111010011111111
+11001100000100010111010011111100
+11001100000010110111010011111010
+11001100000001010111010011110111
+11001011111111110111010011110101
+11001011111110100111010011110010
+11001011111101000111010011110000
+11001011111011100111010011101101
+11001011111010000111010011101010
+11001011111000110111010011101000
+11001011110111010111010011100101
+11001011110101110111010011100011
+11001011110100010111010011100000
+11001011110011000111010011011110
+11001011110001100111010011011011
+11001011110000000111010011011001
+11001011101110110111010011010110
+11001011101101010111010011010011
+11001011101011110111010011010001
+11001011101010010111010011001110
+11001011101001000111010011001100
+11001011100111100111010011001001
+11001011100110000111010011000111
+11001011100100100111010011000100
+11001011100011010111010011000001
+11001011100001110111010010111111
+11001011100000010111010010111100
+11001011011110110111010010111010
+11001011011101100111010010110111
+11001011011100000111010010110101
+11001011011010100111010010110010
+11001011011001010111010010101111
+11001011010111110111010010101101
+11001011010110010111010010101010
+11001011010100110111010010101000
+11001011010011100111010010100101
+11001011010010000111010010100010
+11001011010000100111010010100000
+11001011001111010111010010011101
+11001011001101110111010010011011
+11001011001100010111010010011000
+11001011001010110111010010010101
+11001011001001100111010010010011
+11001011001000000111010010010000
+11001011000110100111010010001110
+11001011000101000111010010001011
+11001011000011110111010010001001
+11001011000010010111010010000110
+11001011000000110111010010000011
+11001010111111100111010010000001
+11001010111110000111010001111110
+11001010111100100111010001111100
+11001010111011000111010001111001
+11001010111001110111010001110110
+11001010111000010111010001110100
+11001010110110110111010001110001
+11001010110101100111010001101110
+11001010110100000111010001101100
+11001010110010100111010001101001
+11001010110001000111010001100111
+11001010101111110111010001100100
+11001010101110010111010001100001
+11001010101100110111010001011111
+11001010101011100111010001011100
+11001010101010000111010001011010
+11001010101000100111010001010111
+11001010100111000111010001010100
+11001010100101110111010001010010
+11001010100100010111010001001111
+11001010100010110111010001001100
+11001010100001100111010001001010
+11001010100000000111010001000111
+11001010011110100111010001000101
+11001010011101000111010001000010
+11001010011011110111010000111111
+11001010011010010111010000111101
+11001010011000110111010000111010
+11001010010111100111010000110111
+11001010010110000111010000110101
+11001010010100100111010000110010
+11001010010011010111010000110000
+11001010010001110111010000101101
+11001010010000010111010000101010
+11001010001110110111010000101000
+11001010001101100111010000100101
+11001010001100000111010000100010
+11001010001010100111010000100000
+11001010001001010111010000011101
+11001010000111110111010000011010
+11001010000110010111010000011000
+11001010000101000111010000010101
+11001010000011100111010000010010
+11001010000010000111010000010000
+11001010000000100111010000001101
+11001001111111010111010000001011
+11001001111101110111010000001000
+11001001111100010111010000000101
+11001001111011000111010000000011
+11001001111001100111010000000000
+11001001111000000111001111111101
+11001001110110110111001111111011
+11001001110101010111001111111000
+11001001110011110111001111110101
+11001001110010010111001111110011
+11001001110001000111001111110000
+11001001101111100111001111101101
+11001001101110000111001111101011
+11001001101100110111001111101000
+11001001101011010111001111100101
+11001001101001110111001111100011
+11001001101000100111001111100000
+11001001100111000111001111011101
+11001001100101100111001111011011
+11001001100100010111001111011000
+11001001100010110111001111010101
+11001001100001010111001111010011
+11001001100000000111001111010000
+11001001011110100111001111001101
+11001001011101000111001111001011
+11001001011011100111001111001000
+11001001011010010111001111000101
+11001001011000110111001111000011
+11001001010111010111001111000000
+11001001010110000111001110111101
+11001001010100100111001110111010
+11001001010011000111001110111000
+11001001010001110111001110110101
+11001001010000010111001110110010
+11001001001110110111001110110000
+11001001001101100111001110101101
+11001001001100000111001110101010
+11001001001010100111001110101000
+11001001001001010111001110100101
+11001001000111110111001110100010
+11001001000110010111001110100000
+11001001000101000111001110011101
+11001001000011100111001110011010
+11001001000010000111001110010111
+11001001000000110111001110010101
+11001000111111010111001110010010
+11001000111101110111001110001111
+11001000111100100111001110001101
+11001000111011000111001110001010
+11001000111001100111001110000111
+11001000111000010111001110000101
+11001000110110110111001110000010
+11001000110101010111001101111111
+11001000110100000111001101111100
+11001000110010100111001101111010
+11001000110001000111001101110111
+11001000101111110111001101110100
+11001000101110010111001101110010
+11001000101100110111001101101111
+11001000101011100111001101101100
+11001000101010000111001101101001
+11001000101000100111001101100111
+11001000100111010111001101100100
+11001000100101110111001101100001
+11001000100100010111001101011111
+11001000100011000111001101011100
+11001000100001100111001101011001
+11001000100000000111001101010110
+11001000011110110111001101010100
+11001000011101010111001101010001
+11001000011011110111001101001110
+11001000011010100111001101001100
+11001000011001000111001101001001
+11001000010111100111001101000110
+11001000010110010111001101000011
+11001000010100110111001101000001
+11001000010011010111001100111110
+11001000010010000111001100111011
+11001000010000100111001100111000
+11001000001111000111001100110110
+11001000001101110111001100110011
+11001000001100010111001100110000
+11001000001010110111001100101101
+11001000001001100111001100101011
+11001000001000000111001100101000
+11001000000110100111001100100101
+11001000000101010111001100100010
+11001000000011110111001100100000
+11001000000010010111001100011101
+11001000000001000111001100011010
+11000111111111100111001100010111
+11000111111110010111001100010101
+11000111111100110111001100010010
+11000111111011010111001100001111
+11000111111010000111001100001100
+11000111111000100111001100001010
+11000111110111000111001100000111
+11000111110101110111001100000100
+11000111110100010111001100000001
+11000111110010110111001011111111
+11000111110001100111001011111100
+11000111110000000111001011111001
+11000111101110100111001011110110
+11000111101101010111001011110100
+11000111101011110111001011110001
+11000111101010100111001011101110
+11000111101001000111001011101011
+11000111100111100111001011101001
+11000111100110010111001011100110
+11000111100100110111001011100011
+11000111100011010111001011100000
+11000111100010000111001011011110
+11000111100000100111001011011011
+11000111011111000111001011011000
+11000111011101110111001011010101
+11000111011100010111001011010010
+11000111011010110111001011010000
+11000111011001100111001011001101
+11000111011000000111001011001010
+11000111010110110111001011000111
+11000111010101010111001011000101
+11000111010011110111001011000010
+11000111010010100111001010111111
+11000111010001000111001010111100
+11000111001111100111001010111001
+11000111001110010111001010110111
+11000111001100110111001010110100
+11000111001011100111001010110001
+11000111001010000111001010101110
+11000111001000100111001010101011
+11000111000111010111001010101001
+11000111000101110111001010100110
+11000111000100010111001010100011
+11000111000011000111001010100000
+11000111000001100111001010011101
+11000111000000010111001010011011
+11000110111110110111001010011000
+11000110111101010111001010010101
+11000110111100000111001010010010
+11000110111010100111001010001111
+11000110111001000111001010001101
+11000110110111110111001010001010
+11000110110110010111001010000111
+11000110110101000111001010000100
+11000110110011100111001010000001
+11000110110010000111001001111111
+11000110110000110111001001111100
+11000110101111010111001001111001
+11000110101101110111001001110110
+11000110101100100111001001110011
+11000110101011000111001001110001
+11000110101001110111001001101110
+11000110101000010111001001101011
+11000110100110110111001001101000
+11000110100101100111001001100101
+11000110100100000111001001100010
+11000110100010100111001001100000
+11000110100001010111001001011101
+11000110011111110111001001011010
+11000110011110100111001001010111
+11000110011101000111001001010100
+11000110011011100111001001010010
+11000110011010010111001001001111
+11000110011000110111001001001100
+11000110010111100111001001001001
+11000110010110000111001001000110
+11000110010100100111001001000011
+11000110010011010111001001000001
+11000110010001110111001000111110
+11000110010000100111001000111011
+11000110001111000111001000111000
+11000110001101100111001000110101
+11000110001100010111001000110010
+11000110001010110111001000110000
+11000110001001100111001000101101
+11000110001000000111001000101010
+11000110000110100111001000100111
+11000110000101010111001000100100
+11000110000011110111001000100001
+11000110000010100111001000011111
+11000110000001000111001000011100
+11000101111111100111001000011001
+11000101111110010111001000010110
+11000101111100110111001000010011
+11000101111011100111001000010000
+11000101111010000111001000001101
+11000101111000100111001000001011
+11000101110111010111001000001000
+11000101110101110111001000000101
+11000101110100100111001000000010
+11000101110011000111000111111111
+11000101110001100111000111111100
+11000101110000010111000111111001
+11000101101110110111000111110111
+11000101101101100111000111110100
+11000101101100000111000111110001
+11000101101010100111000111101110
+11000101101001010111000111101011
+11000101100111110111000111101000
+11000101100110100111000111100101
+11000101100101000111000111100011
+11000101100011100111000111100000
+11000101100010010111000111011101
+11000101100000110111000111011010
+11000101011111100111000111010111
+11000101011110000111000111010100
+11000101011100100111000111010001
+11000101011011010111000111001110
+11000101011001110111000111001100
+11000101011000100111000111001001
+11000101010111000111000111000110
+11000101010101110111000111000011
+11000101010100010111000111000000
+11000101010010110111000110111101
+11000101010001100111000110111010
+11000101010000000111000110110111
+11000101001110110111000110110101
+11000101001101010111000110110010
+11000101001011110111000110101111
+11000101001010100111000110101100
+11000101001001000111000110101001
+11000101000111110111000110100110
+11000101000110010111000110100011
+11000101000101000111000110100000
+11000101000011100111000110011101
+11000101000010000111000110011011
+11000101000000110111000110011000
+11000100111111010111000110010101
+11000100111110000111000110010010
+11000100111100100111000110001111
+11000100111011010111000110001100
+11000100111001110111000110001001
+11000100111000010111000110000110
+11000100110111000111000110000011
+11000100110101100111000110000000
+11000100110100010111000101111110
+11000100110010110111000101111011
+11000100110001100111000101111000
+11000100110000000111000101110101
+11000100101110100111000101110010
+11000100101101010111000101101111
+11000100101011110111000101101100
+11000100101010100111000101101001
+11000100101001000111000101100110
+11000100100111110111000101100011
+11000100100110010111000101100000
+11000100100100110111000101011101
+11000100100011100111000101011011
+11000100100010000111000101011000
+11000100100000110111000101010101
+11000100011111010111000101010010
+11000100011110000111000101001111
+11000100011100100111000101001100
+11000100011011000111000101001001
+11000100011001110111000101000110
+11000100011000010111000101000011
+11000100010111000111000101000000
+11000100010101100111000100111101
+11000100010100010111000100111010
+11000100010010110111000100110111
+11000100010001100111000100110101
+11000100010000000111000100110010
+11000100001110100111000100101111
+11000100001101010111000100101100
+11000100001011110111000100101001
+11000100001010100111000100100110
+11000100001001000111000100100011
+11000100000111110111000100100000
+11000100000110010111000100011101
+11000100000101000111000100011010
+11000100000011100111000100010111
+11000100000010000111000100010100
+11000100000000110111000100010001
+11000011111111010111000100001110
+11000011111110000111000100001011
+11000011111100100111000100001000
+11000011111011010111000100000101
+11000011111001110111000100000011
+11000011111000100111000100000000
+11000011110111000111000011111101
+11000011110101110111000011111010
+11000011110100010111000011110111
+11000011110010110111000011110100
+11000011110001100111000011110001
+11000011110000000111000011101110
+11000011101110110111000011101011
+11000011101101010111000011101000
+11000011101100000111000011100101
+11000011101010100111000011100010
+11000011101001010111000011011111
+11000011100111110111000011011100
+11000011100110100111000011011001
+11000011100101000111000011010110
+11000011100011110111000011010011
+11000011100010010111000011010000
+11000011100000110111000011001101
+11000011011111100111000011001010
+11000011011110000111000011000111
+11000011011100110111000011000100
+11000011011011010111000011000001
+11000011011010000111000010111110
+11000011011000100111000010111011
+11000011010111010111000010111000
+11000011010101110111000010110101
+11000011010100100111000010110011
+11000011010011000111000010110000
+11000011010001110111000010101101
+11000011010000010111000010101010
+11000011001111000111000010100111
+11000011001101100111000010100100
+11000011001100000111000010100001
+11000011001010110111000010011110
+11000011001001010111000010011011
+11000011001000000111000010011000
+11000011000110100111000010010101
+11000011000101010111000010010010
+11000011000011110111000010001111
+11000011000010100111000010001100
+11000011000001000111000010001001
+11000010111111110111000010000110
+11000010111110010111000010000011
+11000010111101000111000010000000
+11000010111011100111000001111101
+11000010111010010111000001111010
+11000010111000110111000001110111
+11000010110111100111000001110100
+11000010110110000111000001110001
+11000010110100110111000001101110
+11000010110011010111000001101011
+11000010110010000111000001101000
+11000010110000100111000001100101
+11000010101111000111000001100010
+11000010101101110111000001011111
+11000010101100010111000001011100
+11000010101011000111000001011001
+11000010101001100111000001010110
+11000010101000010111000001010011
+11000010100110110111000001010000
+11000010100101100111000001001101
+11000010100100000111000001001010
+11000010100010110111000001000111
+11000010100001010111000001000100
+11000010100000000111000001000001
+11000010011110100111000000111110
+11000010011101010111000000111011
+11000010011011110111000000110111
+11000010011010100111000000110100
+11000010011001000111000000110001
+11000010010111110111000000101110
+11000010010110010111000000101011
+11000010010101000111000000101000
+11000010010011100111000000100101
+11000010010010010111000000100010
+11000010010000110111000000011111
+11000010001111100111000000011100
+11000010001110000111000000011001
+11000010001100110111000000010110
+11000010001011010111000000010011
+11000010001010000111000000010000
+11000010001000100111000000001101
+11000010000111010111000000001010
+11000010000101110111000000000111
+11000010000100100111000000000100
+11000010000011000111000000000001
+11000010000001110110111111111110
+11000010000000010110111111111011
+11000001111111000110111111111000
+11000001111101100110111111110101
+11000001111100010110111111110010
+11000001111010110110111111101111
+11000001111001100110111111101100
+11000001111000000110111111101001
+11000001110110110110111111100110
+11000001110101010110111111100010
+11000001110100000110111111011111
+11000001110010100110111111011100
+11000001110001010110111111011001
+11000001101111110110111111010110
+11000001101110100110111111010011
+11000001101101000110111111010000
+11000001101011110110111111001101
+11000001101010010110111111001010
+11000001101001000110111111000111
+11000001100111100110111111000100
+11000001100110010110111111000001
+11000001100100110110111110111110
+11000001100011100110111110111011
+11000001100010000110111110111000
+11000001100000110110111110110101
+11000001011111100110111110110010
+11000001011110000110111110101110
+11000001011100110110111110101011
+11000001011011010110111110101000
+11000001011010000110111110100101
+11000001011000100110111110100010
+11000001010111010110111110011111
+11000001010101110110111110011100
+11000001010100100110111110011001
+11000001010011000110111110010110
+11000001010001110110111110010011
+11000001010000010110111110010000
+11000001001111000110111110001101
+11000001001101100110111110001010
+11000001001100010110111110000110
+11000001001010110110111110000011
+11000001001001100110111110000000
+11000001001000000110111101111101
+11000001000110110110111101111010
+11000001000101010110111101110111
+11000001000100000110111101110100
+11000001000010110110111101110001
+11000001000001010110111101101110
+11000001000000000110111101101011
+11000000111110100110111101101000
+11000000111101010110111101100100
+11000000111011110110111101100001
+11000000111010100110111101011110
+11000000111001000110111101011011
+11000000110111110110111101011000
+11000000110110010110111101010101
+11000000110101000110111101010010
+11000000110011100110111101001111
+11000000110010010110111101001100
+11000000110000110110111101001001
+11000000101111100110111101000101
+11000000101110010110111101000010
+11000000101100110110111100111111
+11000000101011100110111100111100
+11000000101010000110111100111001
+11000000101000110110111100110110
+11000000100111010110111100110011
+11000000100110000110111100110000
+11000000100100100110111100101101
+11000000100011010110111100101001
+11000000100001110110111100100110
+11000000100000100110111100100011
+11000000011111000110111100100000
+11000000011101110110111100011101
+11000000011100100110111100011010
+11000000011011000110111100010111
+11000000011001110110111100010100
+11000000011000010110111100010001
+11000000010111000110111100001101
+11000000010101100110111100001010
+11000000010100010110111100000111
+11000000010010110110111100000100
+11000000010001100110111100000001
+11000000010000010110111011111110
+11000000001110110110111011111011
+11000000001101100110111011111000
+11000000001100000110111011110100
+11000000001010110110111011110001
+11000000001001010110111011101110
+11000000001000000110111011101011
+11000000000110100110111011101000
+11000000000101010110111011100101
+11000000000100000110111011100010
+11000000000010100110111011011110
+11000000000001010110111011011011
+10111111111111100110111011011000
+10111111111110010110111011010101
+10111111111100110110111011010010
+10111111111011100110111011001111
+10111111111010000110111011001100
+10111111111000110110111011001000
+10111111110111100110111011000101
+10111111110110000110111011000010
+10111111110100110110111010111111
+10111111110011010110111010111100
+10111111110010000110111010111001
+10111111110000100110111010110110
+10111111101111010110111010110010
+10111111101110000110111010101111
+10111111101100100110111010101100
+10111111101011010110111010101001
+10111111101001110110111010100110
+10111111101000100110111010100011
+10111111100111000110111010011111
+10111111100101110110111010011100
+10111111100100010110111010011001
+10111111100011000110111010010110
+10111111100001110110111010010011
+10111111100000010110111010010000
+10111111011111000110111010001100
+10111111011101100110111010001001
+10111111011100010110111010000110
+10111111011011000110111010000011
+10111111011001100110111010000000
+10111111011000010110111001111101
+10111111010110110110111001111001
+10111111010101100110111001110110
+10111111010100000110111001110011
+10111111010010110110111001110000
+10111111010001100110111001101101
+10111111010000000110111001101010
+10111111001110110110111001100110
+10111111001101010110111001100011
+10111111001100000110111001100000
+10111111001010100110111001011101
+10111111001001010110111001011010
+10111111001000000110111001010110
+10111111000110100110111001010011
+10111111000101010110111001010000
+10111111000011110110111001001101
+10111111000010100110111001001010
+10111111000001010110111001000111
+10111110111111110110111001000011
+10111110111110100110111001000000
+10111110111101000110111000111101
+10111110111011110110111000111010
+10111110111010010110111000110111
+10111110111001000110111000110011
+10111110110111110110111000110000
+10111110110110010110111000101101
+10111110110101000110111000101010
+10111110110011100110111000100111
+10111110110010010110111000100011
+10111110110001000110111000100000
+10111110101111100110111000011101
+10111110101110010110111000011010
+10111110101100110110111000010111
+10111110101011100110111000010011
+10111110101010010110111000010000
+10111110101000110110111000001101
+10111110100111100110111000001010
+10111110100110000110111000000111
+10111110100100110110111000000011
+10111110100011100110111000000000
+10111110100010000110110111111101
+10111110100000110110110111111010
+10111110011111010110110111110110
+10111110011110000110110111110011
+10111110011100110110110111110000
+10111110011011010110110111101101
+10111110011010000110110111101010
+10111110011000100110110111100110
+10111110010111010110110111100011
+10111110010110000110110111100000
+10111110010100100110110111011101
+10111110010011010110110111011001
+10111110010001110110110111010110
+10111110010000100110110111010011
+10111110001111010110110111010000
+10111110001101110110110111001101
+10111110001100100110110111001001
+10111110001011010110110111000110
+10111110001001110110110111000011
+10111110001000100110110111000000
+10111110000111000110110110111100
+10111110000101110110110110111001
+10111110000100100110110110110110
+10111110000011000110110110110011
+10111110000001110110110110101111
+10111110000000010110110110101100
+10111101111111000110110110101001
+10111101111101110110110110100110
+10111101111100010110110110100011
+10111101111011000110110110011111
+10111101111001110110110110011100
+10111101111000010110110110011001
+10111101110111000110110110010110
+10111101110101100110110110010010
+10111101110100010110110110001111
+10111101110011000110110110001100
+10111101110001100110110110001001
+10111101110000010110110110000101
+10111101101111000110110110000010
+10111101101101100110110101111111
+10111101101100010110110101111100
+10111101101010110110110101111000
+10111101101001100110110101110101
+10111101101000010110110101110010
+10111101100110110110110101101110
+10111101100101100110110101101011
+10111101100100010110110101101000
+10111101100010110110110101100101
+10111101100001100110110101100001
+10111101100000000110110101011110
+10111101011110110110110101011011
+10111101011101100110110101011000
+10111101011100000110110101010100
+10111101011010110110110101010001
+10111101011001100110110101001110
+10111101011000000110110101001011
+10111101010110110110110101000111
+10111101010101010110110101000100
+10111101010100000110110101000001
+10111101010010110110110100111101
+10111101010001010110110100111010
+10111101010000000110110100110111
+10111101001110110110110100110100
+10111101001101010110110100110000
+10111101001100000110110100101101
+10111101001010110110110100101010
+10111101001001010110110100100111
+10111101001000000110110100100011
+10111101000110110110110100100000
+10111101000101010110110100011101
+10111101000100000110110100011001
+10111101000010100110110100010110
+10111101000001010110110100010011
+10111101000000000110110100010000
+10111100111110100110110100001100
+10111100111101010110110100001001
+10111100111100000110110100000110
+10111100111010100110110100000010
+10111100111001010110110011111111
+10111100111000000110110011111100
+10111100110110100110110011111001
+10111100110101010110110011110101
+10111100110100000110110011110010
+10111100110010100110110011101111
+10111100110001010110110011101011
+10111100110000000110110011101000
+10111100101110100110110011100101
+10111100101101010110110011100001
+10111100101100000110110011011110
+10111100101010100110110011011011
+10111100101001010110110011010111
+10111100100111110110110011010100
+10111100100110100110110011010001
+10111100100101010110110011001110
+10111100100011110110110011001010
+10111100100010100110110011000111
+10111100100001010110110011000100
+10111100011111110110110011000000
+10111100011110100110110010111101
+10111100011101010110110010111010
+10111100011011110110110010110110
+10111100011010100110110010110011
+10111100011001010110110010110000
+10111100010111110110110010101100
+10111100010110100110110010101001
+10111100010101010110110010100110
+10111100010011110110110010100010
+10111100010010100110110010011111
+10111100010001010110110010011100
+10111100001111110110110010011000
+10111100001110100110110010010101
+10111100001101010110110010010010
+10111100001011110110110010001111
+10111100001010100110110010001011
+10111100001001010110110010001000
+10111100000111110110110010000101
+10111100000110100110110010000001
+10111100000101010110110001111110
+10111100000011110110110001111011
+10111100000010100110110001110111
+10111100000001010110110001110100
+10111100000000000110110001110001
+10111011111110100110110001101101
+10111011111101010110110001101010
+10111011111100000110110001100110
+10111011111010100110110001100011
+10111011111001010110110001100000
+10111011111000000110110001011100
+10111011110110100110110001011001
+10111011110101010110110001010110
+10111011110100000110110001010010
+10111011110010100110110001001111
+10111011110001010110110001001100
+10111011110000000110110001001000
+10111011101110100110110001000101
+10111011101101010110110001000010
+10111011101100000110110000111110
+10111011101010100110110000111011
+10111011101001010110110000111000
+10111011101000000110110000110100
+10111011100110110110110000110001
+10111011100101010110110000101110
+10111011100100000110110000101010
+10111011100010110110110000100111
+10111011100001010110110000100011
+10111011100000000110110000100000
+10111011011110110110110000011101
+10111011011101010110110000011001
+10111011011100000110110000010110
+10111011011010110110110000010011
+10111011011001010110110000001111
+10111011011000000110110000001100
+10111011010110110110110000001001
+10111011010101100110110000000101
+10111011010100000110110000000010
+10111011010010110110101111111110
+10111011010001100110101111111011
+10111011010000000110101111111000
+10111011001110110110101111110100
+10111011001101100110101111110001
+10111011001100000110101111101110
+10111011001010110110101111101010
+10111011001001100110101111100111
+10111011001000010110101111100011
+10111011000110110110101111100000
+10111011000101100110101111011101
+10111011000100010110101111011001
+10111011000010110110101111010110
+10111011000001100110101111010011
+10111011000000010110101111001111
+10111010111110110110101111001100
+10111010111101100110101111001000
+10111010111100010110101111000101
+10111010111011000110101111000010
+10111010111001100110101110111110
+10111010111000010110101110111011
+10111010110111000110101110110111
+10111010110101100110101110110100
+10111010110100010110101110110001
+10111010110011000110101110101101
+10111010110001110110101110101010
+10111010110000010110101110100110
+10111010101111000110101110100011
+10111010101101110110101110100000
+10111010101100010110101110011100
+10111010101011000110101110011001
+10111010101001110110101110010101
+10111010101000100110101110010010
+10111010100111000110101110001111
+10111010100101110110101110001011
+10111010100100100110101110001000
+10111010100011010110101110000100
+10111010100001110110101110000001
+10111010100000100110101101111110
+10111010011111010110101101111010
+10111010011101110110101101110111
+10111010011100100110101101110011
+10111010011011010110101101110000
+10111010011010000110101101101100
+10111010011000100110101101101001
+10111010010111010110101101100110
+10111010010110000110101101100010
+10111010010100100110101101011111
+10111010010011010110101101011011
+10111010010010000110101101011000
+10111010010000110110101101010101
+10111010001111010110101101010001
+10111010001110000110101101001110
+10111010001100110110101101001010
+10111010001011100110101101000111
+10111010001010000110101101000011
+10111010001000110110101101000000
+10111010000111100110101100111101
+10111010000110010110101100111001
+10111010000100110110101100110110
+10111010000011100110101100110010
+10111010000010010110101100101111
+10111010000001000110101100101011
+10111001111111100110101100101000
+10111001111110010110101100100101
+10111001111101000110101100100001
+10111001111011100110101100011110
+10111001111010010110101100011010
+10111001111001000110101100010111
+10111001110111110110101100010011
+10111001110110010110101100010000
+10111001110101000110101100001100
+10111001110011110110101100001001
+10111001110010100110101100000110
+10111001110001000110101100000010
+10111001101111110110101011111111
+10111001101110100110101011111011
+10111001101101010110101011111000
+10111001101011110110101011110100
+10111001101010100110101011110001
+10111001101001010110101011101101
+10111001101000000110101011101010
+10111001100110100110101011100110
+10111001100101010110101011100011
+10111001100100000110101011100000
+10111001100010110110101011011100
+10111001100001010110101011011001
+10111001100000000110101011010101
+10111001011110110110101011010010
+10111001011101100110101011001110
+10111001011100000110101011001011
+10111001011010110110101011000111
+10111001011001100110101011000100
+10111001011000010110101011000000
+10111001010111000110101010111101
+10111001010101100110101010111001
+10111001010100010110101010110110
+10111001010011000110101010110011
+10111001010001110110101010101111
+10111001010000010110101010101100
+10111001001111000110101010101000
+10111001001101110110101010100101
+10111001001100100110101010100001
+10111001001011000110101010011110
+10111001001001110110101010011010
+10111001001000100110101010010111
+10111001000111010110101010010011
+10111001000101110110101010010000
+10111001000100100110101010001100
+10111001000011010110101010001001
+10111001000010000110101010000101
+10111001000000110110101010000010
+10111000111111010110101001111110
+10111000111110000110101001111011
+10111000111100110110101001110111
+10111000111011100110101001110100
+10111000111010000110101001110000
+10111000111000110110101001101101
+10111000110111100110101001101001
+10111000110110010110101001100110
+10111000110101000110101001100010
+10111000110011100110101001011111
+10111000110010010110101001011011
+10111000110001000110101001011000
+10111000101111110110101001010100
+10111000101110010110101001010001
+10111000101101000110101001001101
+10111000101011110110101001001010
+10111000101010100110101001000110
+10111000101001010110101001000011
+10111000100111110110101000111111
+10111000100110100110101000111100
+10111000100101010110101000111000
+10111000100100000110101000110101
+10111000100010100110101000110001
+10111000100001010110101000101110
+10111000100000000110101000101010
+10111000011110110110101000100111
+10111000011101100110101000100011
+10111000011100000110101000100000
+10111000011010110110101000011100
+10111000011001100110101000011001
+10111000011000010110101000010101
+10111000010111000110101000010010
+10111000010101100110101000001110
+10111000010100010110101000001011
+10111000010011000110101000000111
+10111000010001110110101000000100
+10111000010000100110101000000000
+10111000001111000110100111111101
+10111000001101110110100111111001
+10111000001100100110100111110110
+10111000001011010110100111110010
+10111000001010000110100111101111
+10111000001000100110100111101011
+10111000000111010110100111101000
+10111000000110000110100111100100
+10111000000100110110100111100001
+10111000000011100110100111011101
+10111000000010000110100111011001
+10111000000000110110100111010110
+10110111111111100110100111010010
+10110111111110010110100111001111
+10110111111101000110100111001011
+10110111111011100110100111001000
+10110111111010010110100111000100
+10110111111001000110100111000001
+10110111110111110110100110111101
+10110111110110100110100110111010
+10110111110101000110100110110110
+10110111110011110110100110110011
+10110111110010100110100110101111
+10110111110001010110100110101011
+10110111110000000110100110101000
+10110111101110110110100110100100
+10110111101101010110100110100001
+10110111101100000110100110011101
+10110111101010110110100110011010
+10110111101001100110100110010110
+10110111101000010110100110010011
+10110111100110110110100110001111
+10110111100101100110100110001011
+10110111100100010110100110001000
+10110111100011000110100110000100
+10110111100001110110100110000001
+10110111100000100110100101111101
+10110111011111000110100101111010
+10110111011101110110100101110110
+10110111011100100110100101110011
+10110111011011010110100101101111
+10110111011010000110100101101011
+10110111011000100110100101101000
+10110111010111010110100101100100
+10110111010110000110100101100001
+10110111010100110110100101011101
+10110111010011100110100101011010
+10110111010010010110100101010110
+10110111010000110110100101010010
+10110111001111100110100101001111
+10110111001110010110100101001011
+10110111001101000110100101001000
+10110111001011110110100101000100
+10110111001010100110100101000001
+10110111001001000110100100111101
+10110111000111110110100100111001
+10110111000110100110100100110110
+10110111000101010110100100110010
+10110111000100000110100100101111
+10110111000010110110100100101011
+10110111000001010110100100101000
+10110111000000000110100100100100
+10110110111110110110100100100000
+10110110111101100110100100011101
+10110110111100010110100100011001
+10110110111011000110100100010110
+10110110111001100110100100010010
+10110110111000010110100100001110
+10110110110111000110100100001011
+10110110110101110110100100000111
+10110110110100100110100100000100
+10110110110011010110100100000000
+10110110110010000110100011111101
+10110110110000100110100011111001
+10110110101111010110100011110101
+10110110101110000110100011110010
+10110110101100110110100011101110
+10110110101011100110100011101011
+10110110101010010110100011100111
+10110110101000110110100011100011
+10110110100111100110100011100000
+10110110100110010110100011011100
+10110110100101000110100011011001
+10110110100011110110100011010101
+10110110100010100110100011010001
+10110110100001010110100011001110
+10110110011111110110100011001010
+10110110011110100110100011000111
+10110110011101010110100011000011
+10110110011100000110100010111111
+10110110011010110110100010111100
+10110110011001100110100010111000
+10110110011000010110100010110100
+10110110010110110110100010110001
+10110110010101100110100010101101
+10110110010100010110100010101010
+10110110010011000110100010100110
+10110110010001110110100010100010
+10110110010000100110100010011111
+10110110001111010110100010011011
+10110110001110000110100010011000
+10110110001100100110100010010100
+10110110001011010110100010010000
+10110110001010000110100010001101
+10110110001000110110100010001001
+10110110000111100110100010000101
+10110110000110010110100010000010
+10110110000101000110100001111110
+10110110000011100110100001111011
+10110110000010010110100001110111
+10110110000001000110100001110011
+10110101111111110110100001110000
+10110101111110100110100001101100
+10110101111101010110100001101000
+10110101111100000110100001100101
+10110101111010110110100001100001
+10110101111001010110100001011101
+10110101111000000110100001011010
+10110101110110110110100001010110
+10110101110101100110100001010011
+10110101110100010110100001001111
+10110101110011000110100001001011
+10110101110001110110100001001000
+10110101110000100110100001000100
+10110101101111010110100001000000
+10110101101101110110100000111101
+10110101101100100110100000111001
+10110101101011010110100000110101
+10110101101010000110100000110010
+10110101101000110110100000101110
+10110101100111100110100000101010
+10110101100110010110100000100111
+10110101100101000110100000100011
+10110101100011100110100000011111
+10110101100010010110100000011100
+10110101100001000110100000011000
+10110101011111110110100000010100
+10110101011110100110100000010001
+10110101011101010110100000001101
+10110101011100000110100000001010
+10110101011010110110100000000110
+10110101011001100110100000000010
+10110101011000010110011111111111
+10110101010110110110011111111011
+10110101010101100110011111110111
+10110101010100010110011111110100
+10110101010011000110011111110000
+10110101010001110110011111101100
+10110101010000100110011111101001
+10110101001111010110011111100101
+10110101001110000110011111100001
+10110101001100110110011111011110
+10110101001011100110011111011010
+10110101001010000110011111010110
+10110101001000110110011111010011
+10110101000111100110011111001111
+10110101000110010110011111001011
+10110101000101000110011111000111
+10110101000011110110011111000100
+10110101000010100110011111000000
+10110101000001010110011110111100
+10110101000000000110011110111001
+10110100111110110110011110110101
+10110100111101010110011110110001
+10110100111100000110011110101110
+10110100111010110110011110101010
+10110100111001100110011110100110
+10110100111000010110011110100011
+10110100110111000110011110011111
+10110100110101110110011110011011
+10110100110100100110011110011000
+10110100110011010110011110010100
+10110100110010000110011110010000
+10110100110000110110011110001101
+10110100101111100110011110001001
+10110100101110000110011110000101
+10110100101100110110011110000001
+10110100101011100110011101111110
+10110100101010010110011101111010
+10110100101001000110011101110110
+10110100100111110110011101110011
+10110100100110100110011101101111
+10110100100101010110011101101011
+10110100100100000110011101101000
+10110100100010110110011101100100
+10110100100001100110011101100000
+10110100100000010110011101011100
+10110100011111000110011101011001
+10110100011101100110011101010101
+10110100011100010110011101010001
+10110100011011000110011101001110
+10110100011001110110011101001010
+10110100011000100110011101000110
+10110100010111010110011101000010
+10110100010110000110011100111111
+10110100010100110110011100111011
+10110100010011100110011100110111
+10110100010010010110011100110100
+10110100010001000110011100110000
+10110100001111110110011100101100
+10110100001110100110011100101000
+10110100001101010110011100100101
+10110100001100000110011100100001
+10110100001010100110011100011101
+10110100001001010110011100011010
+10110100001000000110011100010110
+10110100000110110110011100010010
+10110100000101100110011100001110
+10110100000100010110011100001011
+10110100000011000110011100000111
+10110100000001110110011100000011
+10110100000000100110011011111111
+10110011111111010110011011111100
+10110011111110000110011011111000
+10110011111100110110011011110100
+10110011111011100110011011110001
+10110011111010010110011011101101
+10110011111001000110011011101001
+10110011110111110110011011100101
+10110011110110100110011011100010
+10110011110101010110011011011110
+10110011110011110110011011011010
+10110011110010100110011011010110
+10110011110001010110011011010011
+10110011110000000110011011001111
+10110011101110110110011011001011
+10110011101101100110011011000111
+10110011101100010110011011000100
+10110011101011000110011011000000
+10110011101001110110011010111100
+10110011101000100110011010111000
+10110011100111010110011010110101
+10110011100110000110011010110001
+10110011100100110110011010101101
+10110011100011100110011010101001
+10110011100010010110011010100110
+10110011100001000110011010100010
+10110011011111110110011010011110
+10110011011110100110011010011010
+10110011011101010110011010010111
+10110011011100000110011010010011
+10110011011010110110011010001111
+10110011011001100110011010001011
+10110011011000010110011010001000
+10110011010111000110011010000100
+10110011010101110110011010000000
+10110011010100010110011001111100
+10110011010011000110011001111001
+10110011010001110110011001110101
+10110011010000100110011001110001
+10110011001111010110011001101101
+10110011001110000110011001101001
+10110011001100110110011001100110
+10110011001011100110011001100010
+10110011001010010110011001011110
+10110011001001000110011001011010
+10110011000111110110011001010111
+10110011000110100110011001010011
+10110011000101010110011001001111
+10110011000100000110011001001011
+10110011000010110110011001001000
+10110011000001100110011001000100
+10110011000000010110011001000000
+10110010111111000110011000111100
+10110010111101110110011000111000
+10110010111100100110011000110101
+10110010111011010110011000110001
+10110010111010000110011000101101
+10110010111000110110011000101001
+10110010110111100110011000100110
+10110010110110010110011000100010
+10110010110101000110011000011110
+10110010110011110110011000011010
+10110010110010100110011000010110
+10110010110001010110011000010011
+10110010110000000110011000001111
+10110010101110110110011000001011
+10110010101101100110011000000111
+10110010101100010110011000000011
+10110010101011000110011000000000
+10110010101001110110010111111100
+10110010101000100110010111111000
+10110010100111010110010111110100
+10110010100110000110010111110000
+10110010100100110110010111101101
+10110010100011100110010111101001
+10110010100010010110010111100101
+10110010100001000110010111100001
+10110010011111110110010111011101
+10110010011110100110010111011010
+10110010011101010110010111010110
+10110010011100000110010111010010
+10110010011010110110010111001110
+10110010011001100110010111001010
+10110010011000010110010111000111
+10110010010111000110010111000011
+10110010010101110110010110111111
+10110010010100100110010110111011
+10110010010011010110010110110111
+10110010010010000110010110110011
+10110010010000110110010110110000
+10110010001111100110010110101100
+10110010001110010110010110101000
+10110010001101000110010110100100
+10110010001011110110010110100000
+10110010001010100110010110011101
+10110010001001010110010110011001
+10110010001000000110010110010101
+10110010000110110110010110010001
+10110010000101100110010110001101
+10110010000100010110010110001001
+10110010000011000110010110000110
+10110010000001110110010110000010
+10110010000000100110010101111110
+10110001111111010110010101111010
+10110001111110000110010101110110
+10110001111100110110010101110010
+10110001111011100110010101101111
+10110001111010010110010101101011
+10110001111001000110010101100111
+10110001110111110110010101100011
+10110001110110100110010101011111
+10110001110101010110010101011011
+10110001110100000110010101011000
+10110001110010110110010101010100
+10110001110001100110010101010000
+10110001110000010110010101001100
+10110001101111000110010101001000
+10110001101101110110010101000100
+10110001101100100110010101000001
+10110001101011010110010100111101
+10110001101010000110010100111001
+10110001101001000110010100110101
+10110001100111110110010100110001
+10110001100110100110010100101101
+10110001100101010110010100101010
+10110001100100000110010100100110
+10110001100010110110010100100010
+10110001100001100110010100011110
+10110001100000010110010100011010
+10110001011111000110010100010110
+10110001011101110110010100010010
+10110001011100100110010100001111
+10110001011011010110010100001011
+10110001011010000110010100000111
+10110001011000110110010100000011
+10110001010111100110010011111111
+10110001010110010110010011111011
+10110001010101000110010011110111
+10110001010011110110010011110100
+10110001010010100110010011110000
+10110001010001010110010011101100
+10110001010000000110010011101000
+10110001001110110110010011100100
+10110001001101100110010011100000
+10110001001100010110010011011100
+10110001001011010110010011011000
+10110001001010000110010011010101
+10110001001000110110010011010001
+10110001000111100110010011001101
+10110001000110010110010011001001
+10110001000101000110010011000101
+10110001000011110110010011000001
+10110001000010100110010010111101
+10110001000001010110010010111010
+10110001000000000110010010110110
+10110000111110110110010010110010
+10110000111101100110010010101110
+10110000111100010110010010101010
+10110000111011000110010010100110
+10110000111001110110010010100010
+10110000111000100110010010011110
+10110000110111010110010010011010
+10110000110110000110010010010111
+10110000110101000110010010010011
+10110000110011110110010010001111
+10110000110010100110010010001011
+10110000110001010110010010000111
+10110000110000000110010010000011
+10110000101110110110010001111111
+10110000101101100110010001111011
+10110000101100010110010001110111
+10110000101011000110010001110100
+10110000101001110110010001110000
+10110000101000100110010001101100
+10110000100111010110010001101000
+10110000100110000110010001100100
+10110000100100110110010001100000
+10110000100011100110010001011100
+10110000100010100110010001011000
+10110000100001010110010001010100
+10110000100000000110010001010000
+10110000011110110110010001001101
+10110000011101100110010001001001
+10110000011100010110010001000101
+10110000011011000110010001000001
+10110000011001110110010000111101
+10110000011000100110010000111001
+10110000010111010110010000110101
+10110000010110000110010000110001
+10110000010100110110010000101101
+10110000010011110110010000101001
+10110000010010100110010000100110
+10110000010001010110010000100010
+10110000010000000110010000011110
+10110000001110110110010000011010
+10110000001101100110010000010110
+10110000001100010110010000010010
+10110000001011000110010000001110
+10110000001001110110010000001010
+10110000001000100110010000000110
+10110000000111010110010000000010
+10110000000110000110001111111110
+10110000000101000110001111111010
+10110000000011110110001111110110
+10110000000010100110001111110011
+10110000000001010110001111101111
+10110000000000000110001111101011
+10101111111110110110001111100111
+10101111111101100110001111100011
+10101111111100010110001111011111
+10101111111011000110001111011011
+10101111111001110110001111010111
+10101111111000110110001111010011
+10101111110111100110001111001111
+10101111110110010110001111001011
+10101111110101000110001111000111
+10101111110011110110001111000011
+10101111110010100110001110111111
+10101111110001010110001110111100
+10101111110000000110001110111000
+10101111101110110110001110110100
+10101111101101100110001110110000
+10101111101100100110001110101100
+10101111101011010110001110101000
+10101111101010000110001110100100
+10101111101000110110001110100000
+10101111100111100110001110011100
+10101111100110010110001110011000
+10101111100101000110001110010100
+10101111100011110110001110010000
+10101111100010100110001110001100
+10101111100001100110001110001000
+10101111100000010110001110000100
+10101111011111000110001110000000
+10101111011101110110001101111100
+10101111011100100110001101111000
+10101111011011010110001101110100
+10101111011010000110001101110001
+10101111011000110110001101101101
+10101111010111110110001101101001
+10101111010110100110001101100101
+10101111010101010110001101100001
+10101111010100000110001101011101
+10101111010010110110001101011001
+10101111010001100110001101010101
+10101111010000010110001101010001
+10101111001111000110001101001101
+10101111001110000110001101001001
+10101111001100110110001101000101
+10101111001011100110001101000001
+10101111001010010110001100111101
+10101111001001000110001100111001
+10101111000111110110001100110101
+10101111000110100110001100110001
+10101111000101010110001100101101
+10101111000100010110001100101001
+10101111000011000110001100100101
+10101111000001110110001100100001
+10101111000000100110001100011101
+10101110111111010110001100011001
+10101110111110000110001100010101
+10101110111100110110001100010001
+10101110111011100110001100001101
+10101110111010100110001100001001
+10101110111001010110001100000101
+10101110111000000110001100000001
+10101110110110110110001011111101
+10101110110101100110001011111001
+10101110110100010110001011110101
+10101110110011000110001011110001
+10101110110010000110001011101101
+10101110110000110110001011101001
+10101110101111100110001011100101
+10101110101110010110001011100010
+10101110101101000110001011011110
+10101110101011110110001011011010
+10101110101010100110001011010110
+10101110101001100110001011010010
+10101110101000010110001011001110
+10101110100111000110001011001010
+10101110100101110110001011000110
+10101110100100100110001011000010
+10101110100011010110001010111110
+10101110100010010110001010111010
+10101110100001000110001010110110
+10101110011111110110001010110010
+10101110011110100110001010101110
+10101110011101010110001010101010
+10101110011100000110001010100110
+10101110011010110110001010100010
+10101110011001110110001010011110
+10101110011000100110001010011010
+10101110010111010110001010010110
+10101110010110000110001010010010
+10101110010100110110001010001110
+10101110010011100110001010001010
+10101110010010100110001010000110
+10101110010001010110001010000001
+10101110010000000110001001111101
+10101110001110110110001001111001
+10101110001101100110001001110101
+10101110001100010110001001110001
+10101110001011010110001001101101
+10101110001010000110001001101001
+10101110001000110110001001100101
+10101110000111100110001001100001
+10101110000110010110001001011101
+10101110000101000110001001011001
+10101110000100000110001001010101
+10101110000010110110001001010001
+10101110000001100110001001001101
+10101110000000010110001001001001
+10101101111111000110001001000101
+10101101111110000110001001000001
+10101101111100110110001000111101
+10101101111011100110001000111001
+10101101111010010110001000110101
+10101101111001000110001000110001
+10101101110111110110001000101101
+10101101110110110110001000101001
+10101101110101100110001000100101
+10101101110100010110001000100001
+10101101110011000110001000011101
+10101101110001110110001000011001
+10101101110000110110001000010101
+10101101101111100110001000010001
+10101101101110010110001000001101
+10101101101101000110001000001001
+10101101101011110110001000000101
+10101101101010100110001000000001
+10101101101001100110000111111101
+10101101101000010110000111111001
+10101101100111000110000111110101
+10101101100101110110000111110000
+10101101100100100110000111101100
+10101101100011100110000111101000
+10101101100010010110000111100100
+10101101100001000110000111100000
+10101101011111110110000111011100
+10101101011110100110000111011000
+10101101011101100110000111010100
+10101101011100010110000111010000
+10101101011011000110000111001100
+10101101011001110110000111001000
+10101101011000100110000111000100
+10101101010111100110000111000000
+10101101010110010110000110111100
+10101101010101000110000110111000
+10101101010011110110000110110100
+10101101010010100110000110110000
+10101101010001100110000110101100
+10101101010000010110000110101000
+10101101001111000110000110100011
+10101101001101110110000110011111
+10101101001100100110000110011011
+10101101001011100110000110010111
+10101101001010010110000110010011
+10101101001001000110000110001111
+10101101000111110110000110001011
+10101101000110100110000110000111
+10101101000101100110000110000011
+10101101000100010110000101111111
+10101101000011000110000101111011
+10101101000001110110000101110111
+10101101000000110110000101110011
+10101100111111100110000101101111
+10101100111110010110000101101010
+10101100111101000110000101100110
+10101100111011110110000101100010
+10101100111010110110000101011110
+10101100111001100110000101011010
+10101100111000010110000101010110
+10101100110111000110000101010010
+10101100110110000110000101001110
+10101100110100110110000101001010
+10101100110011100110000101000110
+10101100110010010110000101000010
+10101100110001000110000100111110
+10101100110000000110000100111010
+10101100101110110110000100110101
+10101100101101100110000100110001
+10101100101100010110000100101101
+10101100101011010110000100101001
+10101100101010000110000100100101
+10101100101000110110000100100001
+10101100100111100110000100011101
+10101100100110100110000100011001
+10101100100101010110000100010101
+10101100100100000110000100010001
+10101100100010110110000100001101
+10101100100001100110000100001000
+10101100100000100110000100000100
+10101100011111010110000100000000
+10101100011110000110000011111100
+10101100011100110110000011111000
+10101100011011110110000011110100
+10101100011010100110000011110000
+10101100011001010110000011101100
+10101100011000000110000011101000
+10101100010111000110000011100011
+10101100010101110110000011011111
+10101100010100100110000011011011
+10101100010011010110000011010111
+10101100010010010110000011010011
+10101100010001000110000011001111
+10101100001111110110000011001011
+10101100001110100110000011000111
+10101100001101100110000011000011
+10101100001100010110000010111111
+10101100001011000110000010111010
+10101100001001110110000010110110
+10101100001000110110000010110010
+10101100000111100110000010101110
+10101100000110010110000010101010
+10101100000101000110000010100110
+10101100000100000110000010100010
+10101100000010110110000010011110
+10101100000001100110000010011001
+10101100000000010110000010010101
+10101011111111010110000010010001
+10101011111110000110000010001101
+10101011111100110110000010001001
+10101011111011100110000010000101
+10101011111010100110000010000001
+10101011111001010110000001111101
+10101011111000000110000001111000
+10101011110111000110000001110100
+10101011110101110110000001110000
+10101011110100100110000001101100
+10101011110011010110000001101000
+10101011110010010110000001100100
+10101011110001000110000001100000
+10101011101111110110000001011100
+10101011101110100110000001010111
+10101011101101100110000001010011
+10101011101100010110000001001111
+10101011101011000110000001001011
+10101011101001110110000001000111
+10101011101000110110000001000011
+10101011100111100110000000111111
+10101011100110010110000000111010
+10101011100101010110000000110110
+10101011100100000110000000110010
+10101011100010110110000000101110
+10101011100001100110000000101010
+10101011100000100110000000100110
+10101011011111010110000000100010
+10101011011110000110000000011101
+10101011011101000110000000011001
+10101011011011110110000000010101
+10101011011010100110000000010001
+10101011011001010110000000001101
+10101011011000010110000000001001
+10101011010111000110000000000100
+10101011010101110110000000000000
+10101011010100110101111111111100
+10101011010011100101111111111000
+10101011010010010101111111110100
+10101011010001000101111111110000
+10101011010000000101111111101100
+10101011001110110101111111100111
+10101011001101100101111111100011
+10101011001100100101111111011111
+10101011001011010101111111011011
+10101011001010000101111111010111
+10101011001000110101111111010011
+10101011000111110101111111001110
+10101011000110100101111111001010
+10101011000101010101111111000110
+10101011000100010101111111000010
+10101011000011000101111110111110
+10101011000001110101111110111010
+10101011000000110101111110110101
+10101010111111100101111110110001
+10101010111110010101111110101101
+10101010111101000101111110101001
+10101010111100000101111110100101
+10101010111010110101111110100000
+10101010111001100101111110011100
+10101010111000100101111110011000
+10101010110111010101111110010100
+10101010110110000101111110010000
+10101010110101000101111110001100
+10101010110011110101111110000111
+10101010110010100101111110000011
+10101010110001100101111101111111
+10101010110000010101111101111011
+10101010101111000101111101110111
+10101010101101110101111101110011
+10101010101100110101111101101110
+10101010101011100101111101101010
+10101010101010010101111101100110
+10101010101001010101111101100010
+10101010101000000101111101011110
+10101010100110110101111101011001
+10101010100101110101111101010101
+10101010100100100101111101010001
+10101010100011010101111101001101
+10101010100010010101111101001001
+10101010100001000101111101000100
+10101010011111110101111101000000
+10101010011110110101111100111100
+10101010011101100101111100111000
+10101010011100010101111100110100
+10101010011011010101111100101111
+10101010011010000101111100101011
+10101010011000110101111100100111
+10101010010111110101111100100011
+10101010010110100101111100011111
+10101010010101010101111100011010
+10101010010100010101111100010110
+10101010010011000101111100010010
+10101010010001110101111100001110
+10101010010000110101111100001010
+10101010001111100101111100000101
+10101010001110010101111100000001
+10101010001101010101111011111101
+10101010001100000101111011111001
+10101010001010110101111011110101
+10101010001001110101111011110000
+10101010001000100101111011101100
+10101010000111010101111011101000
+10101010000110010101111011100100
+10101010000101000101111011011111
+10101010000011110101111011011011
+10101010000010110101111011010111
+10101010000001100101111011010011
+10101010000000010101111011001111
+10101001111111010101111011001010
+10101001111110000101111011000110
+10101001111100110101111011000010
+10101001111011110101111010111110
+10101001111010100101111010111001
+10101001111001010101111010110101
+10101001111000010101111010110001
+10101001110111000101111010101101
+10101001110110000101111010101001
+10101001110100110101111010100100
+10101001110011100101111010100000
+10101001110010100101111010011100
+10101001110001010101111010011000
+10101001110000000101111010010011
+10101001101111000101111010001111
+10101001101101110101111010001011
+10101001101100100101111010000111
+10101001101011100101111010000010
+10101001101010010101111001111110
+10101001101001000101111001111010
+10101001101000000101111001110110
+10101001100110110101111001110001
+10101001100101110101111001101101
+10101001100100100101111001101001
+10101001100011010101111001100101
+10101001100010010101111001100001
+10101001100001000101111001011100
+10101001011111110101111001011000
+10101001011110110101111001010100
+10101001011101100101111001010000
+10101001011100100101111001001011
+10101001011011010101111001000111
+10101001011010000101111001000011
+10101001011001000101111000111111
+10101001010111110101111000111010
+10101001010110100101111000110110
+10101001010101100101111000110010
+10101001010100010101111000101110
+10101001010011010101111000101001
+10101001010010000101111000100101
+10101001010000110101111000100001
+10101001001111110101111000011100
+10101001001110100101111000011000
+10101001001101010101111000010100
+10101001001100010101111000010000
+10101001001011000101111000001011
+10101001001010000101111000000111
+10101001001000110101111000000011
+10101001000111100101110111111111
+10101001000110100101110111111010
+10101001000101010101110111110110
+10101001000100000101110111110010
+10101001000011000101110111101110
+10101001000001110101110111101001
+10101001000000110101110111100101
+10101000111111100101110111100001
+10101000111110010101110111011101
+10101000111101010101110111011000
+10101000111100000101110111010100
+10101000111011000101110111010000
+10101000111001110101110111001011
+10101000111000100101110111000111
+10101000110111100101110111000011
+10101000110110010101110110111111
+10101000110101010101110110111010
+10101000110100000101110110110110
+10101000110010110101110110110010
+10101000110001110101110110101101
+10101000110000100101110110101001
+10101000101111100101110110100101
+10101000101110010101110110100001
+10101000101101000101110110011100
+10101000101100000101110110011000
+10101000101010110101110110010100
+10101000101001110101110110001111
+10101000101000100101110110001011
+10101000100111010101110110000111
+10101000100110010101110110000011
+10101000100101000101110101111110
+10101000100100000101110101111010
+10101000100010110101110101110110
+10101000100001110101110101110001
+10101000100000100101110101101101
+10101000011111010101110101101001
+10101000011110010101110101100101
+10101000011101000101110101100000
+10101000011100000101110101011100
+10101000011010110101110101011000
+10101000011001100101110101010011
+10101000011000100101110101001111
+10101000010111010101110101001011
+10101000010110010101110101000110
+10101000010101000101110101000010
+10101000010100000101110100111110
+10101000010010110101110100111010
+10101000010001100101110100110101
+10101000010000100101110100110001
+10101000001111010101110100101101
+10101000001110010101110100101000
+10101000001101000101110100100100
+10101000001100000101110100100000
+10101000001010110101110100011011
+10101000001001100101110100010111
+10101000001000100101110100010011
+10101000000111010101110100001110
+10101000000110010101110100001010
+10101000000101000101110100000110
+10101000000100000101110100000010
+10101000000010110101110011111101
+10101000000001100101110011111001
+10101000000000100101110011110101
+10100111111111010101110011110000
+10100111111110010101110011101100
+10100111111101000101110011101000
+10100111111100000101110011100011
+10100111111010110101110011011111
+10100111111001110101110011011011
+10100111111000100101110011010110
+10100111110111010101110011010010
+10100111110110010101110011001110
+10100111110101000101110011001001
+10100111110100000101110011000101
+10100111110010110101110011000001
+10100111110001110101110010111100
+10100111110000100101110010111000
+10100111101111100101110010110100
+10100111101110010101110010101111
+10100111101101000101110010101011
+10100111101100000101110010100111
+10100111101010110101110010100010
+10100111101001110101110010011110
+10100111101000100101110010011010
+10100111100111100101110010010101
+10100111100110010101110010010001
+10100111100101010101110010001101
+10100111100100000101110010001000
+10100111100011000101110010000100
+10100111100001110101110010000000
+10100111100000100101110001111011
+10100111011111100101110001110111
+10100111011110010101110001110011
+10100111011101010101110001101110
+10100111011100000101110001101010
+10100111011011000101110001100110
+10100111011001110101110001100001
+10100111011000110101110001011101
+10100111010111100101110001011001
+10100111010110100101110001010100
+10100111010101010101110001010000
+10100111010100010101110001001011
+10100111010011000101110001000111
+10100111010001110101110001000011
+10100111010000110101110000111110
+10100111001111100101110000111010
+10100111001110100101110000110110
+10100111001101010101110000110001
+10100111001100010101110000101101
+10100111001011000101110000101001
+10100111001010000101110000100100
+10100111001000110101110000100000
+10100111000111110101110000011100
+10100111000110100101110000010111
+10100111000101100101110000010011
+10100111000100010101110000001110
+10100111000011010101110000001010
+10100111000010000101110000000110
+10100111000001000101110000000001
+10100110111111110101101111111101
+10100110111110110101101111111001
+10100110111101100101101111110100
+10100110111100100101101111110000
+10100110111011010101101111101011
+10100110111010010101101111100111
+10100110111001000101101111100011
+10100110111000000101101111011110
+10100110110110110101101111011010
+10100110110101110101101111010110
+10100110110100100101101111010001
+10100110110011100101101111001101
+10100110110010010101101111001000
+10100110110001010101101111000100
+10100110110000000101101111000000
+10100110101111000101101110111011
+10100110101101110101101110110111
+10100110101100100101101110110011
+10100110101011100101101110101110
+10100110101010010101101110101010
+10100110101001010101101110100101
+10100110101000010101101110100001
+10100110100111000101101110011101
+10100110100110000101101110011000
+10100110100100110101101110010100
+10100110100011110101101110001111
+10100110100010100101101110001011
+10100110100001100101101110000111
+10100110100000010101101110000010
+10100110011111010101101101111110
+10100110011110000101101101111010
+10100110011101000101101101110101
+10100110011011110101101101110001
+10100110011010110101101101101100
+10100110011001100101101101101000
+10100110011000100101101101100100
+10100110010111010101101101011111
+10100110010110010101101101011011
+10100110010101000101101101010110
+10100110010100000101101101010010
+10100110010010110101101101001110
+10100110010001110101101101001001
+10100110010000100101101101000101
+10100110001111100101101101000000
+10100110001110010101101100111100
+10100110001101010101101100110111
+10100110001100000101101100110011
+10100110001011000101101100101111
+10100110001001110101101100101010
+10100110001000110101101100100110
+10100110000111100101101100100001
+10100110000110100101101100011101
+10100110000101010101101100011001
+10100110000100010101101100010100
+10100110000011010101101100010000
+10100110000010000101101100001011
+10100110000001000101101100000111
+10100101111111110101101100000011
+10100101111110110101101011111110
+10100101111101100101101011111010
+10100101111100100101101011110101
+10100101111011010101101011110001
+10100101111010010101101011101100
+10100101111001000101101011101000
+10100101111000000101101011100100
+10100101110110110101101011011111
+10100101110101110101101011011011
+10100101110100110101101011010110
+10100101110011100101101011010010
+10100101110010100101101011001101
+10100101110001010101101011001001
+10100101110000010101101011000101
+10100101101111000101101011000000
+10100101101110000101101010111100
+10100101101100110101101010110111
+10100101101011110101101010110011
+10100101101010100101101010101110
+10100101101001100101101010101010
+10100101101000100101101010100110
+10100101100111010101101010100001
+10100101100110010101101010011101
+10100101100101000101101010011000
+10100101100100000101101010010100
+10100101100010110101101010001111
+10100101100001110101101010001011
+10100101100000100101101010000111
+10100101011111100101101010000010
+10100101011110010101101001111110
+10100101011101010101101001111001
+10100101011100010101101001110101
+10100101011011000101101001110000
+10100101011010000101101001101100
+10100101011000110101101001100111
+10100101010111110101101001100011
+10100101010110100101101001011110
+10100101010101100101101001011010
+10100101010100100101101001010110
+10100101010011010101101001010001
+10100101010010010101101001001101
+10100101010001000101101001001000
+10100101010000000101101001000100
+10100101001110110101101000111111
+10100101001101110101101000111011
+10100101001100110101101000110110
+10100101001011100101101000110010
+10100101001010100101101000101101
+10100101001001010101101000101001
+10100101001000010101101000100101
+10100101000111000101101000100000
+10100101000110000101101000011100
+10100101000101000101101000010111
+10100101000011110101101000010011
+10100101000010110101101000001110
+10100101000001100101101000001010
+10100101000000100101101000000101
+10100100111111010101101000000001
+10100100111110010101100111111100
+10100100111101010101100111111000
+10100100111100000101100111110011
+10100100111011000101100111101111
+10100100111001110101100111101011
+10100100111000110101100111100110
+10100100110111110101100111100010
+10100100110110100101100111011101
+10100100110101100101100111011001
+10100100110100010101100111010100
+10100100110011010101100111010000
+10100100110010010101100111001011
+10100100110001000101100111000111
+10100100110000000101100111000010
+10100100101110110101100110111110
+10100100101101110101100110111001
+10100100101100100101100110110101
+10100100101011100101100110110000
+10100100101010100101100110101100
+10100100101001010101100110100111
+10100100101000010101100110100011
+10100100100111000101100110011110
+10100100100110000101100110011010
+10100100100101000101100110010101
+10100100100011110101100110010001
+10100100100010110101100110001100
+10100100100001100101100110001000
+10100100100000100101100110000011
+10100100011111100101100101111111
+10100100011110010101100101111010
+10100100011101010101100101110110
+10100100011100010101100101110001
+10100100011011000101100101101101
+10100100011010000101100101101000
+10100100011000110101100101100100
+10100100010111110101100101011111
+10100100010110110101100101011011
+10100100010101100101100101010111
+10100100010100100101100101010010
+10100100010011010101100101001110
+10100100010010010101100101001001
+10100100010001010101100101000100
+10100100010000000101100101000000
+10100100001111000101100100111011
+10100100001110000101100100110111
+10100100001100110101100100110010
+10100100001011110101100100101110
+10100100001010100101100100101001
+10100100001001100101100100100101
+10100100001000100101100100100000
+10100100000111010101100100011100
+10100100000110010101100100010111
+10100100000101010101100100010011
+10100100000100000101100100001110
+10100100000011000101100100001010
+10100100000001110101100100000101
+10100100000000110101100100000001
+10100011111111110101100011111100
+10100011111110100101100011111000
+10100011111101100101100011110011
+10100011111100100101100011101111
+10100011111011010101100011101010
+10100011111010010101100011100110
+10100011111001000101100011100001
+10100011111000000101100011011101
+10100011110111000101100011011000
+10100011110101110101100011010100
+10100011110100110101100011001111
+10100011110011110101100011001011
+10100011110010100101100011000110
+10100011110001100101100011000010
+10100011110000100101100010111101
+10100011101111010101100010111001
+10100011101110010101100010110100
+10100011101101010101100010101111
+10100011101100000101100010101011
+10100011101011000101100010100110
+10100011101001110101100010100010
+10100011101000110101100010011101
+10100011100111110101100010011001
+10100011100110100101100010010100
+10100011100101100101100010010000
+10100011100100100101100010001011
+10100011100011010101100010000111
+10100011100010010101100010000010
+10100011100001010101100001111110
+10100011100000000101100001111001
+10100011011111000101100001110100
+10100011011110000101100001110000
+10100011011100110101100001101011
+10100011011011110101100001100111
+10100011011010110101100001100010
+10100011011001100101100001011110
+10100011011000100101100001011001
+10100011010111100101100001010101
+10100011010110010101100001010000
+10100011010101010101100001001100
+10100011010100010101100001000111
+10100011010011000101100001000010
+10100011010010000101100000111110
+10100011010001000101100000111001
+10100011001111110101100000110101
+10100011001110110101100000110000
+10100011001101110101100000101100
+10100011001100100101100000100111
+10100011001011100101100000100011
+10100011001010100101100000011110
+10100011001001010101100000011001
+10100011001000010101100000010101
+10100011000111010101100000010000
+10100011000110000101100000001100
+10100011000101000101100000000111
+10100011000100000101100000000011
+10100011000010110101011111111110
+10100011000001110101011111111010
+10100011000000110101011111110101
+10100010111111100101011111110000
+10100010111110100101011111101100
+10100010111101100101011111100111
+10100010111100100101011111100011
+10100010111011010101011111011110
+10100010111010010101011111011010
+10100010111001010101011111010101
+10100010111000000101011111010000
+10100010110111000101011111001100
+10100010110110000101011111000111
+10100010110100110101011111000011
+10100010110011110101011110111110
+10100010110010110101011110111010
+10100010110001100101011110110101
+10100010110000100101011110110000
+10100010101111100101011110101100
+10100010101110100101011110100111
+10100010101101010101011110100011
+10100010101100010101011110011110
+10100010101011010101011110011010
+10100010101010000101011110010101
+10100010101001000101011110010000
+10100010101000000101011110001100
+10100010100110110101011110000111
+10100010100101110101011110000011
+10100010100100110101011101111110
+10100010100011110101011101111001
+10100010100010100101011101110101
+10100010100001100101011101110000
+10100010100000100101011101101100
+10100010011111010101011101100111
+10100010011110010101011101100011
+10100010011101010101011101011110
+10100010011100010101011101011001
+10100010011011000101011101010101
+10100010011010000101011101010000
+10100010011001000101011101001100
+10100010010111110101011101000111
+10100010010110110101011101000010
+10100010010101110101011100111110
+10100010010100110101011100111001
+10100010010011100101011100110101
+10100010010010100101011100110000
+10100010010001100101011100101011
+10100010010000010101011100100111
+10100010001111010101011100100010
+10100010001110010101011100011110
+10100010001101010101011100011001
+10100010001100000101011100010100
+10100010001011000101011100010000
+10100010001010000101011100001011
+10100010001000110101011100000111
+10100010000111110101011100000010
+10100010000110110101011011111101
+10100010000101110101011011111001
+10100010000100100101011011110100
+10100010000011100101011011110000
+10100010000010100101011011101011
+10100010000001100101011011100110
+10100010000000010101011011100010
+10100001111111010101011011011101
+10100001111110010101011011011000
+10100001111101010101011011010100
+10100001111100000101011011001111
+10100001111011000101011011001011
+10100001111010000101011011000110
+10100001111001000101011011000001
+10100001110111110101011010111101
+10100001110110110101011010111000
+10100001110101110101011010110011
+10100001110100100101011010101111
+10100001110011100101011010101010
+10100001110010100101011010100110
+10100001110001100101011010100001
+10100001110000010101011010011100
+10100001101111010101011010011000
+10100001101110010101011010010011
+10100001101101010101011010001110
+10100001101100000101011010001010
+10100001101011000101011010000101
+10100001101010000101011010000001
+10100001101001000101011001111100
+10100001100111110101011001110111
+10100001100110110101011001110011
+10100001100101110101011001101110
+10100001100100110101011001101001
+10100001100011110101011001100101
+10100001100010100101011001100000
+10100001100001100101011001011100
+10100001100000100101011001010111
+10100001011111100101011001010010
+10100001011110010101011001001110
+10100001011101010101011001001001
+10100001011100010101011001000100
+10100001011011010101011001000000
+10100001011010000101011000111011
+10100001011001000101011000110110
+10100001011000000101011000110010
+10100001010111000101011000101101
+10100001010101110101011000101000
+10100001010100110101011000100100
+10100001010011110101011000011111
+10100001010010110101011000011011
+10100001010001110101011000010110
+10100001010000100101011000010001
+10100001001111100101011000001101
+10100001001110100101011000001000
+10100001001101100101011000000011
+10100001001100010101010111111111
+10100001001011010101010111111010
+10100001001010010101010111110101
+10100001001001010101010111110001
+10100001001000010101010111101100
+10100001000111000101010111100111
+10100001000110000101010111100011
+10100001000101000101010111011110
+10100001000100000101010111011001
+10100001000010110101010111010101
+10100001000001110101010111010000
+10100001000000110101010111001011
+10100000111111110101010111000111
+10100000111110110101010111000010
+10100000111101100101010110111101
+10100000111100100101010110111001
+10100000111011100101010110110100
+10100000111010100101010110101111
+10100000111001100101010110101011
+10100000111000010101010110100110
+10100000110111010101010110100001
+10100000110110010101010110011101
+10100000110101010101010110011000
+10100000110100010101010110010011
+10100000110011000101010110001111
+10100000110010000101010110001010
+10100000110001000101010110000101
+10100000110000000101010110000001
+10100000101111000101010101111100
+10100000101101110101010101110111
+10100000101100110101010101110011
+10100000101011110101010101101110
+10100000101010110101010101101001
+10100000101001110101010101100101
+10100000101000100101010101100000
+10100000100111100101010101011011
+10100000100110100101010101010111
+10100000100101100101010101010010
+10100000100100100101010101001101
+10100000100011010101010101001001
+10100000100010010101010101000100
+10100000100001010101010100111111
+10100000100000010101010100111010
+10100000011111010101010100110110
+10100000011110010101010100110001
+10100000011101000101010100101100
+10100000011100000101010100101000
+10100000011011000101010100100011
+10100000011010000101010100011110
+10100000011001000101010100011010
+10100000011000000101010100010101
+10100000010110110101010100010000
+10100000010101110101010100001100
+10100000010100110101010100000111
+10100000010011110101010100000010
+10100000010010110101010011111101
+10100000010001100101010011111001
+10100000010000100101010011110100
+10100000001111100101010011101111
+10100000001110100101010011101011
+10100000001101100101010011100110
+10100000001100100101010011100001
+10100000001011010101010011011101
+10100000001010010101010011011000
+10100000001001010101010011010011
+10100000001000010101010011001110
+10100000000111010101010011001010
+10100000000110010101010011000101
+10100000000101000101010011000000
+10100000000100000101010010111100
+10100000000011000101010010110111
+10100000000010000101010010110010
+10100000000001000101010010101101
+10100000000000000101010010101001
+10011111111111000101010010100100
+10011111111101110101010010011111
+10011111111100110101010010011011
+10011111111011110101010010010110
+10011111111010110101010010010001
+10011111111001110101010010001100
+10011111111000110101010010001000
+10011111110111100101010010000011
+10011111110110100101010001111110
+10011111110101100101010001111010
+10011111110100100101010001110101
+10011111110011100101010001110000
+10011111110010100101010001101011
+10011111110001100101010001100111
+10011111110000010101010001100010
+10011111101111010101010001011101
+10011111101110010101010001011001
+10011111101101010101010001010100
+10011111101100010101010001001111
+10011111101011010101010001001010
+10011111101010010101010001000110
+10011111101001000101010001000001
+10011111101000000101010000111100
+10011111100111000101010000110111
+10011111100110000101010000110011
+10011111100101000101010000101110
+10011111100100000101010000101001
+10011111100011000101010000100100
+10011111100010000101010000100000
+10011111100000110101010000011011
+10011111011111110101010000010110
+10011111011110110101010000010010
+10011111011101110101010000001101
+10011111011100110101010000001000
+10011111011011110101010000000011
+10011111011010110101001111111111
+10011111011001110101001111111010
+10011111011000100101001111110101
+10011111010111100101001111110000
+10011111010110100101001111101100
+10011111010101100101001111100111
+10011111010100100101001111100010
+10011111010011100101001111011101
+10011111010010100101001111011001
+10011111010001100101001111010100
+10011111010000010101001111001111
+10011111001111010101001111001010
+10011111001110010101001111000110
+10011111001101010101001111000001
+10011111001100010101001110111100
+10011111001011010101001110110111
+10011111001010010101001110110011
+10011111001001010101001110101110
+10011111001000010101001110101001
+10011111000111010101001110100100
+10011111000110000101001110100000
+10011111000101000101001110011011
+10011111000100000101001110010110
+10011111000011000101001110010001
+10011111000010000101001110001101
+10011111000001000101001110001000
+10011111000000000101001110000011
+10011110111111000101001101111110
+10011110111110000101001101111010
+10011110111100110101001101110101
+10011110111011110101001101110000
+10011110111010110101001101101011
+10011110111001110101001101100110
+10011110111000110101001101100010
+10011110110111110101001101011101
+10011110110110110101001101011000
+10011110110101110101001101010011
+10011110110100110101001101001111
+10011110110011110101001101001010
+10011110110010110101001101000101
+10011110110001100101001101000000
+10011110110000100101001100111100
+10011110101111100101001100110111
+10011110101110100101001100110010
+10011110101101100101001100101101
+10011110101100100101001100101000
+10011110101011100101001100100100
+10011110101010100101001100011111
+10011110101001100101001100011010
+10011110101000100101001100010101
+10011110100111100101001100010001
+10011110100110100101001100001100
+10011110100101100101001100000111
+10011110100100010101001100000010
+10011110100011010101001011111101
+10011110100010010101001011111001
+10011110100001010101001011110100
+10011110100000010101001011101111
+10011110011111010101001011101010
+10011110011110010101001011100110
+10011110011101010101001011100001
+10011110011100010101001011011100
+10011110011011010101001011010111
+10011110011010010101001011010010
+10011110011001010101001011001110
+10011110011000010101001011001001
+10011110010111010101001011000100
+10011110010110000101001010111111
+10011110010101000101001010111010
+10011110010100000101001010110110
+10011110010011000101001010110001
+10011110010010000101001010101100
+10011110010001000101001010100111
+10011110010000000101001010100010
+10011110001111000101001010011110
+10011110001110000101001010011001
+10011110001101000101001010010100
+10011110001100000101001010001111
+10011110001011000101001010001010
+10011110001010000101001010000110
+10011110001001000101001010000001
+10011110001000000101001001111100
+10011110000111000101001001110111
+10011110000110000101001001110010
+10011110000101000101001001101110
+10011110000100000101001001101001
+10011110000010110101001001100100
+10011110000001110101001001011111
+10011110000000110101001001011010
+10011101111111110101001001010110
+10011101111110110101001001010001
+10011101111101110101001001001100
+10011101111100110101001001000111
+10011101111011110101001001000010
+10011101111010110101001000111101
+10011101111001110101001000111001
+10011101111000110101001000110100
+10011101110111110101001000101111
+10011101110110110101001000101010
+10011101110101110101001000100101
+10011101110100110101001000100001
+10011101110011110101001000011100
+10011101110010110101001000010111
+10011101110001110101001000010010
+10011101110000110101001000001101
+10011101101111110101001000001000
+10011101101110110101001000000100
+10011101101101110101000111111111
+10011101101100110101000111111010
+10011101101011110101000111110101
+10011101101010110101000111110000
+10011101101001110101000111101100
+10011101101000110101000111100111
+10011101100111110101000111100010
+10011101100110110101000111011101
+10011101100101110101000111011000
+10011101100100110101000111010011
+10011101100011110101000111001111
+10011101100010110101000111001010
+10011101100001110101000111000101
+10011101100000110101000111000000
+10011101011111110101000110111011
+10011101011110100101000110110110
+10011101011101100101000110110010
+10011101011100100101000110101101
+10011101011011100101000110101000
+10011101011010100101000110100011
+10011101011001100101000110011110
+10011101011000100101000110011001
+10011101010111100101000110010101
+10011101010110100101000110010000
+10011101010101100101000110001011
+10011101010100100101000110000110
+10011101010011100101000110000001
+10011101010010100101000101111100
+10011101010001100101000101110111
+10011101010000100101000101110011
+10011101001111100101000101101110
+10011101001110100101000101101001
+10011101001101100101000101100100
+10011101001100100101000101011111
+10011101001011100101000101011010
+10011101001010100101000101010110
+10011101001001100101000101010001
+10011101001000100101000101001100
+10011101000111100101000101000111
+10011101000110110101000101000010
+10011101000101110101000100111101
+10011101000100110101000100111000
+10011101000011110101000100110100
+10011101000010110101000100101111
+10011101000001110101000100101010
+10011101000000110101000100100101
+10011100111111110101000100100000
+10011100111110110101000100011011
+10011100111101110101000100010110
+10011100111100110101000100010010
+10011100111011110101000100001101
+10011100111010110101000100001000
+10011100111001110101000100000011
+10011100111000110101000011111110
+10011100110111110101000011111001
+10011100110110110101000011110100
+10011100110101110101000011101111
+10011100110100110101000011101011
+10011100110011110101000011100110
+10011100110010110101000011100001
+10011100110001110101000011011100
+10011100110000110101000011010111
+10011100101111110101000011010010
+10011100101110110101000011001101
+10011100101101110101000011001000
+10011100101100110101000011000100
+10011100101011110101000010111111
+10011100101010110101000010111010
+10011100101001110101000010110101
+10011100101000110101000010110000
+10011100100111110101000010101011
+10011100100110110101000010100110
+10011100100101110101000010100001
+10011100100100110101000010011101
+10011100100011110101000010011000
+10011100100011000101000010010011
+10011100100010000101000010001110
+10011100100001000101000010001001
+10011100100000000101000010000100
+10011100011111000101000001111111
+10011100011110000101000001111010
+10011100011101000101000001110110
+10011100011100000101000001110001
+10011100011011000101000001101100
+10011100011010000101000001100111
+10011100011001000101000001100010
+10011100011000000101000001011101
+10011100010111000101000001011000
+10011100010110000101000001010011
+10011100010101000101000001001110
+10011100010100000101000001001010
+10011100010011000101000001000101
+10011100010010000101000001000000
+10011100010001000101000000111011
+10011100010000010101000000110110
+10011100001111010101000000110001
+10011100001110010101000000101100
+10011100001101010101000000100111
+10011100001100010101000000100010
+10011100001011010101000000011101
+10011100001010010101000000011001
+10011100001001010101000000010100
+10011100001000010101000000001111
+10011100000111010101000000001010
+10011100000110010101000000000101
+10011100000101010101000000000000
+10011100000100010100111111111011
+10011100000011010100111111110110
+10011100000010100100111111110001
+10011100000001100100111111101100
+10011100000000100100111111101000
+10011011111111100100111111100011
+10011011111110100100111111011110
+10011011111101100100111111011001
+10011011111100100100111111010100
+10011011111011100100111111001111
+10011011111010100100111111001010
+10011011111001100100111111000101
+10011011111000100100111111000000
+10011011110111100100111110111011
+10011011110110100100111110110110
+10011011110101110100111110110001
+10011011110100110100111110101101
+10011011110011110100111110101000
+10011011110010110100111110100011
+10011011110001110100111110011110
+10011011110000110100111110011001
+10011011101111110100111110010100
+10011011101110110100111110001111
+10011011101101110100111110001010
+10011011101100110100111110000101
+10011011101100000100111110000000
+10011011101011000100111101111011
+10011011101010000100111101110110
+10011011101001000100111101110010
+10011011101000000100111101101101
+10011011100111000100111101101000
+10011011100110000100111101100011
+10011011100101000100111101011110
+10011011100100000100111101011001
+10011011100011000100111101010100
+10011011100010010100111101001111
+10011011100001010100111101001010
+10011011100000010100111101000101
+10011011011111010100111101000000
+10011011011110010100111100111011
+10011011011101010100111100110110
+10011011011100010100111100110001
+10011011011011010100111100101100
+10011011011010010100111100101000
+10011011011001100100111100100011
+10011011011000100100111100011110
+10011011010111100100111100011001
+10011011010110100100111100010100
+10011011010101100100111100001111
+10011011010100100100111100001010
+10011011010011100100111100000101
+10011011010010100100111100000000
+10011011010001100100111011111011
+10011011010000110100111011110110
+10011011001111110100111011110001
+10011011001110110100111011101100
+10011011001101110100111011100111
+10011011001100110100111011100010
+10011011001011110100111011011101
+10011011001010110100111011011000
+10011011001010000100111011010011
+10011011001001000100111011001111
+10011011001000000100111011001010
+10011011000111000100111011000101
+10011011000110000100111011000000
+10011011000101000100111010111011
+10011011000100000100111010110110
+10011011000011000100111010110001
+10011011000010010100111010101100
+10011011000001010100111010100111
+10011011000000010100111010100010
+10011010111111010100111010011101
+10011010111110010100111010011000
+10011010111101010100111010010011
+10011010111100010100111010001110
+10011010111011100100111010001001
+10011010111010100100111010000100
+10011010111001100100111001111111
+10011010111000100100111001111010
+10011010110111100100111001110101
+10011010110110100100111001110000
+10011010110101100100111001101011
+10011010110100110100111001100110
+10011010110011110100111001100001
+10011010110010110100111001011100
+10011010110001110100111001011000
+10011010110000110100111001010011
+10011010101111110100111001001110
+10011010101111000100111001001001
+10011010101110000100111001000100
+10011010101101000100111000111111
+10011010101100000100111000111010
+10011010101011000100111000110101
+10011010101010000100111000110000
+10011010101001010100111000101011
+10011010101000010100111000100110
+10011010100111010100111000100001
+10011010100110010100111000011100
+10011010100101010100111000010111
+10011010100100010100111000010010
+10011010100011100100111000001101
+10011010100010100100111000001000
+10011010100001100100111000000011
+10011010100000100100110111111110
+10011010011111100100110111111001
+10011010011110100100110111110100
+10011010011101110100110111101111
+10011010011100110100110111101010
+10011010011011110100110111100101
+10011010011010110100110111100000
+10011010011001110100110111011011
+10011010011000110100110111010110
+10011010011000000100110111010001
+10011010010111000100110111001100
+10011010010110000100110111000111
+10011010010101000100110111000010
+10011010010100000100110110111101
+10011010010011010100110110111000
+10011010010010010100110110110011
+10011010010001010100110110101110
+10011010010000010100110110101001
+10011010001111010100110110100100
+10011010001110010100110110011111
+10011010001101100100110110011010
+10011010001100100100110110010101
+10011010001011100100110110010000
+10011010001010100100110110001011
+10011010001001100100110110000110
+10011010001000110100110110000001
+10011010000111110100110101111100
+10011010000110110100110101110111
+10011010000101110100110101110010
+10011010000100110100110101101101
+10011010000100000100110101101000
+10011010000011000100110101100011
+10011010000010000100110101011110
+10011010000001000100110101011001
+10011010000000000100110101010100
+10011001111111010100110101001111
+10011001111110010100110101001010
+10011001111101010100110101000101
+10011001111100010100110101000000
+10011001111011010100110100111011
+10011001111010100100110100110110
+10011001111001100100110100110001
+10011001111000100100110100101100
+10011001110111100100110100100111
+10011001110110100100110100100010
+10011001110101110100110100011101
+10011001110100110100110100011000
+10011001110011110100110100010011
+10011001110010110100110100001110
+10011001110010000100110100001001
+10011001110001000100110100000100
+10011001110000000100110011111111
+10011001101111000100110011111010
+10011001101110000100110011110101
+10011001101101010100110011110000
+10011001101100010100110011101011
+10011001101011010100110011100110
+10011001101010010100110011100001
+10011001101001100100110011011100
+10011001101000100100110011010111
+10011001100111100100110011010010
+10011001100110100100110011001101
+10011001100101110100110011001000
+10011001100100110100110011000011
+10011001100011110100110010111110
+10011001100010110100110010111001
+10011001100001110100110010110100
+10011001100001000100110010101111
+10011001100000000100110010101001
+10011001011111000100110010100100
+10011001011110000100110010011111
+10011001011101010100110010011010
+10011001011100010100110010010101
+10011001011011010100110010010000
+10011001011010010100110010001011
+10011001011001100100110010000110
+10011001011000100100110010000001
+10011001010111100100110001111100
+10011001010110100100110001110111
+10011001010101110100110001110010
+10011001010100110100110001101101
+10011001010011110100110001101000
+10011001010010110100110001100011
+10011001010010000100110001011110
+10011001010001000100110001011001
+10011001010000000100110001010100
+10011001001111000100110001001111
+10011001001110010100110001001010
+10011001001101010100110001000101
+10011001001100010100110001000000
+10011001001011010100110000111011
+10011001001010100100110000110110
+10011001001001100100110000110001
+10011001001000100100110000101011
+10011001000111100100110000100110
+10011001000110110100110000100001
+10011001000101110100110000011100
+10011001000100110100110000010111
+10011001000011110100110000010010
+10011001000011000100110000001101
+10011001000010000100110000001000
+10011001000001000100110000000011
+10011001000000010100101111111110
+10011000111111010100101111111001
+10011000111110010100101111110100
+10011000111101010100101111101111
+10011000111100100100101111101010
+10011000111011100100101111100101
+10011000111010100100101111100000
+10011000111001100100101111011011
+10011000111000110100101111010110
+10011000110111110100101111010000
+10011000110110110100101111001011
+10011000110110000100101111000110
+10011000110101000100101111000001
+10011000110100000100101110111100
+10011000110011000100101110110111
+10011000110010010100101110110010
+10011000110001010100101110101101
+10011000110000010100101110101000
+10011000101111100100101110100011
+10011000101110100100101110011110
+10011000101101100100101110011001
+10011000101100100100101110010100
+10011000101011110100101110001111
+10011000101010110100101110001010
+10011000101001110100101110000100
+10011000101001000100101101111111
+10011000101000000100101101111010
+10011000100111000100101101110101
+10011000100110000100101101110000
+10011000100101010100101101101011
+10011000100100010100101101100110
+10011000100011010100101101100001
+10011000100010100100101101011100
+10011000100001100100101101010111
+10011000100000100100101101010010
+10011000011111110100101101001101
+10011000011110110100101101001000
+10011000011101110100101101000010
+10011000011100110100101100111101
+10011000011100000100101100111000
+10011000011011000100101100110011
+10011000011010000100101100101110
+10011000011001010100101100101001
+10011000011000010100101100100100
+10011000010111010100101100011111
+10011000010110100100101100011010
+10011000010101100100101100010101
+10011000010100100100101100010000
+10011000010011110100101100001011
+10011000010010110100101100000101
+10011000010001110100101100000000
+10011000010001000100101011111011
+10011000010000000100101011110110
+10011000001111000100101011110001
+10011000001110010100101011101100
+10011000001101010100101011100111
+10011000001100010100101011100010
+10011000001011010100101011011101
+10011000001010100100101011011000
+10011000001001100100101011010010
+10011000001000100100101011001101
+10011000000111110100101011001000
+10011000000110110100101011000011
+10011000000101110100101010111110
+10011000000101000100101010111001
+10011000000100000100101010110100
+10011000000011000100101010101111
+10011000000010010100101010101010
+10011000000001010100101010100101
+10011000000000010100101010011111
+10010111111111100100101010011010
+10010111111110100100101010010101
+10010111111101100100101010010000
+10010111111100110100101010001011
+10010111111011110100101010000110
+10010111111011000100101010000001
+10010111111010000100101001111100
+10010111111001000100101001110111
+10010111111000010100101001110010
+10010111110111010100101001101100
+10010111110110010100101001100111
+10010111110101100100101001100010
+10010111110100100100101001011101
+10010111110011100100101001011000
+10010111110010110100101001010011
+10010111110001110100101001001110
+10010111110000110100101001001001
+10010111110000000100101001000011
+10010111101111000100101000111110
+10010111101110000100101000111001
+10010111101101010100101000110100
+10010111101100010100101000101111
+10010111101011010100101000101010
+10010111101010100100101000100101
+10010111101001100100101000100000
+10010111101000110100101000011011
+10010111100111110100101000010101
+10010111100110110100101000010000
+10010111100110000100101000001011
+10010111100101000100101000000110
+10010111100100000100101000000001
+10010111100011010100100111111100
+10010111100010010100100111110111
+10010111100001010100100111110010
+10010111100000100100100111101100
+10010111011111100100100111100111
+10010111011110110100100111100010
+10010111011101110100100111011101
+10010111011100110100100111011000
+10010111011100000100100111010011
+10010111011011000100100111001110
+10010111011010000100100111001000
+10010111011001010100100111000011
+10010111011000010100100110111110
+10010111010111100100100110111001
+10010111010110100100100110110100
+10010111010101100100100110101111
+10010111010100110100100110101010
+10010111010011110100100110100101
+10010111010011000100100110011111
+10010111010010000100100110011010
+10010111010001000100100110010101
+10010111010000010100100110010000
+10010111001111010100100110001011
+10010111001110010100100110000110
+10010111001101100100100110000001
+10010111001100100100100101111011
+10010111001011110100100101110110
+10010111001010110100100101110001
+10010111001001110100100101101100
+10010111001001000100100101100111
+10010111001000000100100101100010
+10010111000111010100100101011101
+10010111000110010100100101010111
+10010111000101010100100101010010
+10010111000100100100100101001101
+10010111000011100100100101001000
+10010111000010110100100101000011
+10010111000001110100100100111110
+10010111000000110100100100111000
+10010111000000000100100100110011
+10010110111111000100100100101110
+10010110111110010100100100101001
+10010110111101010100100100100100
+10010110111100100100100100011111
+10010110111011100100100100011010
+10010110111010100100100100010100
+10010110111001110100100100001111
+10010110111000110100100100001010
+10010110111000000100100100000101
+10010110110111000100100100000000
+10010110110110000100100011111011
+10010110110101010100100011110101
+10010110110100010100100011110000
+10010110110011100100100011101011
+10010110110010100100100011100110
+10010110110001110100100011100001
+10010110110000110100100011011100
+10010110101111110100100011010110
+10010110101111000100100011010001
+10010110101110000100100011001100
+10010110101101010100100011000111
+10010110101100010100100011000010
+10010110101011100100100010111101
+10010110101010100100100010110111
+10010110101001100100100010110010
+10010110101000110100100010101101
+10010110100111110100100010101000
+10010110100111000100100010100011
+10010110100110000100100010011110
+10010110100101010100100010011000
+10010110100100010100100010010011
+10010110100011010100100010001110
+10010110100010100100100010001001
+10010110100001100100100010000100
+10010110100000110100100001111110
+10010110011111110100100001111001
+10010110011111000100100001110100
+10010110011110000100100001101111
+10010110011101010100100001101010
+10010110011100010100100001100101
+10010110011011010100100001011111
+10010110011010100100100001011010
+10010110011001100100100001010101
+10010110011000110100100001010000
+10010110010111110100100001001011
+10010110010111000100100001000101
+10010110010110000100100001000000
+10010110010101010100100000111011
+10010110010100010100100000110110
+10010110010011010100100000110001
+10010110010010100100100000101100
+10010110010001100100100000100110
+10010110010000110100100000100001
+10010110001111110100100000011100
+10010110001111000100100000010111
+10010110001110000100100000010010
+10010110001101010100100000001100
+10010110001100010100100000000111
+10010110001011100100100000000010
+10010110001010100100011111111101
+10010110001001110100011111111000
+10010110001000110100011111110010
+10010110000111110100011111101101
+10010110000111000100011111101000
+10010110000110000100011111100011
+10010110000101010100011111011110
+10010110000100010100011111011000
+10010110000011100100011111010011
+10010110000010100100011111001110
+10010110000001110100011111001001
+10010110000000110100011111000100
+10010110000000000100011110111110
+10010101111111000100011110111001
+10010101111110010100011110110100
+10010101111101010100011110101111
+10010101111100100100011110101010
+10010101111011100100011110100100
+10010101111010110100011110011111
+10010101111001110100011110011010
+10010101111001000100011110010101
+10010101111000000100011110010000
+10010101110111010100011110001010
+10010101110110010100011110000101
+10010101110101100100011110000000
+10010101110100100100011101111011
+10010101110011110100011101110110
+10010101110010110100011101110000
+10010101110010000100011101101011
+10010101110001000100011101100110
+10010101110000010100011101100001
+10010101101111010100011101011011
+10010101101110100100011101010110
+10010101101101100100011101010001
+10010101101100110100011101001100
+10010101101011110100011101000111
+10010101101011000100011101000001
+10010101101010000100011100111100
+10010101101001010100011100110111
+10010101101000010100011100110010
+10010101100111100100011100101100
+10010101100110100100011100100111
+10010101100101110100011100100010
+10010101100100110100011100011101
+10010101100100000100011100011000
+10010101100011000100011100010010
+10010101100010010100011100001101
+10010101100001010100011100001000
+10010101100000100100011100000011
+10010101011111100100011011111101
+10010101011110110100011011111000
+10010101011101110100011011110011
+10010101011101000100011011101110
+10010101011100000100011011101001
+10010101011011010100011011100011
+10010101011010010100011011011110
+10010101011001100100011011011001
+10010101011000100100011011010100
+10010101010111110100011011001110
+10010101010110110100011011001001
+10010101010110000100011011000100
+10010101010101000100011010111111
+10010101010100010100011010111001
+10010101010011010100011010110100
+10010101010010100100011010101111
+10010101010001110100011010101010
+10010101010000110100011010100100
+10010101010000000100011010011111
+10010101001111000100011010011010
+10010101001110010100011010010101
+10010101001101010100011010010000
+10010101001100100100011010001010
+10010101001011100100011010000101
+10010101001010110100011010000000
+10010101001001110100011001111011
+10010101001001000100011001110101
+10010101001000000100011001110000
+10010101000111010100011001101011
+10010101000110100100011001100110
+10010101000101100100011001100000
+10010101000100110100011001011011
+10010101000011110100011001010110
+10010101000011000100011001010001
+10010101000010000100011001001011
+10010101000001010100011001000110
+10010101000000010100011001000001
+10010100111111100100011000111100
+10010100111110100100011000110110
+10010100111101110100011000110001
+10010100111101000100011000101100
+10010100111100000100011000100111
+10010100111011010100011000100001
+10010100111010010100011000011100
+10010100111001100100011000010111
+10010100111000100100011000010010
+10010100110111110100011000001100
+10010100110110110100011000000111
+10010100110110000100011000000010
+10010100110101010100010111111100
+10010100110100010100010111110111
+10010100110011100100010111110010
+10010100110010100100010111101101
+10010100110001110100010111100111
+10010100110000110100010111100010
+10010100110000000100010111011101
+10010100101111010100010111011000
+10010100101110010100010111010010
+10010100101101100100010111001101
+10010100101100100100010111001000
+10010100101011110100010111000011
+10010100101010110100010110111101
+10010100101010000100010110111000
+10010100101001010100010110110011
+10010100101000010100010110101110
+10010100100111100100010110101000
+10010100100110100100010110100011
+10010100100101110100010110011110
+10010100100101000100010110011000
+10010100100100000100010110010011
+10010100100011010100010110001110
+10010100100010010100010110001001
+10010100100001100100010110000011
+10010100100000100100010101111110
+10010100011111110100010101111001
+10010100011111000100010101110011
+10010100011110000100010101101110
+10010100011101010100010101101001
+10010100011100010100010101100100
+10010100011011100100010101011110
+10010100011010110100010101011001
+10010100011001110100010101010100
+10010100011001000100010101001111
+10010100011000000100010101001001
+10010100010111010100010101000100
+10010100010110100100010100111111
+10010100010101100100010100111001
+10010100010100110100010100110100
+10010100010011110100010100101111
+10010100010011000100010100101010
+10010100010010010100010100100100
+10010100010001010100010100011111
+10010100010000100100010100011010
+10010100001111100100010100010100
+10010100001110110100010100001111
+10010100001110000100010100001010
+10010100001101000100010100000101
+10010100001100010100010011111111
+10010100001011010100010011111010
+10010100001010100100010011110101
+10010100001001110100010011101111
+10010100001000110100010011101010
+10010100001000000100010011100101
+10010100000111010100010011011111
+10010100000110010100010011011010
+10010100000101100100010011010101
+10010100000100100100010011010000
+10010100000011110100010011001010
+10010100000011000100010011000101
+10010100000010000100010011000000
+10010100000001010100010010111010
+10010100000000100100010010110101
+10010011111111100100010010110000
+10010011111110110100010010101010
+10010011111101110100010010100101
+10010011111101000100010010100000
+10010011111100010100010010011011
+10010011111011010100010010010101
+10010011111010100100010010010000
+10010011111001110100010010001011
+10010011111000110100010010000101
+10010011111000000100010010000000
+10010011110111010100010001111011
+10010011110110010100010001110101
+10010011110101100100010001110000
+10010011110100100100010001101011
+10010011110011110100010001100101
+10010011110011000100010001100000
+10010011110010000100010001011011
+10010011110001010100010001010110
+10010011110000100100010001010000
+10010011101111100100010001001011
+10010011101110110100010001000110
+10010011101110000100010001000000
+10010011101101000100010000111011
+10010011101100010100010000110110
+10010011101011100100010000110000
+10010011101010100100010000101011
+10010011101001110100010000100110
+10010011101001000100010000100000
+10010011101000000100010000011011
+10010011100111010100010000010110
+10010011100110100100010000010000
+10010011100101100100010000001011
+10010011100100110100010000000110
+10010011100011110100010000000000
+10010011100011000100001111111011
+10010011100010010100001111110110
+10010011100001010100001111110001
+10010011100000100100001111101011
+10010011011111110100001111100110
+10010011011110110100001111100001
+10010011011110000100001111011011
+10010011011101010100001111010110
+10010011011100010100001111010001
+10010011011011100100001111001011
+10010011011010110100001111000110
+10010011011010000100001111000001
+10010011011001000100001110111011
+10010011011000010100001110110110
+10010011010111100100001110110001
+10010011010110100100001110101011
+10010011010101110100001110100110
+10010011010101000100001110100001
+10010011010100000100001110011011
+10010011010011010100001110010110
+10010011010010100100001110010001
+10010011010001100100001110001011
+10010011010000110100001110000110
+10010011010000000100001110000001
+10010011001111000100001101111011
+10010011001110010100001101110110
+10010011001101100100001101110001
+10010011001100100100001101101011
+10010011001011110100001101100110
+10010011001011000100001101100001
+10010011001010010100001101011011
+10010011001001010100001101010110
+10010011001000100100001101010000
+10010011000111110100001101001011
+10010011000110110100001101000110
+10010011000110000100001101000000
+10010011000101010100001100111011
+10010011000100010100001100110110
+10010011000011100100001100110000
+10010011000010110100001100101011
+10010011000001110100001100100110
+10010011000001000100001100100000
+10010011000000010100001100011011
+10010010111111100100001100010110
+10010010111110100100001100010000
+10010010111101110100001100001011
+10010010111101000100001100000110
+10010010111100000100001100000000
+10010010111011010100001011111011
+10010010111010100100001011110110
+10010010111001110100001011110000
+10010010111000110100001011101011
+10010010111000000100001011100101
+10010010110111010100001011100000
+10010010110110010100001011011011
+10010010110101100100001011010101
+10010010110100110100001011010000
+10010010110100000100001011001011
+10010010110011000100001011000101
+10010010110010010100001011000000
+10010010110001100100001010111011
+10010010110000110100001010110101
+10010010101111110100001010110000
+10010010101111000100001010101011
+10010010101110010100001010100101
+10010010101101010100001010100000
+10010010101100100100001010011010
+10010010101011110100001010010101
+10010010101011000100001010010000
+10010010101010000100001010001010
+10010010101001010100001010000101
+10010010101000100100001010000000
+10010010100111110100001001111010
+10010010100110110100001001110101
+10010010100110000100001001101111
+10010010100101010100001001101010
+10010010100100100100001001100101
+10010010100011100100001001011111
+10010010100010110100001001011010
+10010010100010000100001001010101
+10010010100001000100001001001111
+10010010100000010100001001001010
+10010010011111100100001001000100
+10010010011110110100001000111111
+10010010011101110100001000111010
+10010010011101000100001000110100
+10010010011100010100001000101111
+10010010011011100100001000101010
+10010010011010100100001000100100
+10010010011001110100001000011111
+10010010011001000100001000011001
+10010010011000010100001000010100
+10010010010111010100001000001111
+10010010010110100100001000001001
+10010010010101110100001000000100
+10010010010101000100000111111111
+10010010010100010100000111111001
+10010010010011010100000111110100
+10010010010010100100000111101110
+10010010010001110100000111101001
+10010010010001000100000111100100
+10010010010000000100000111011110
+10010010001111010100000111011001
+10010010001110100100000111010011
+10010010001101110100000111001110
+10010010001100110100000111001001
+10010010001100000100000111000011
+10010010001011010100000110111110
+10010010001010100100000110111001
+10010010001001110100000110110011
+10010010001000110100000110101110
+10010010001000000100000110101000
+10010010000111010100000110100011
+10010010000110100100000110011110
+10010010000101100100000110011000
+10010010000100110100000110010011
+10010010000100000100000110001101
+10010010000011010100000110001000
+10010010000010100100000110000011
+10010010000001100100000101111101
+10010010000000110100000101111000
+10010010000000000100000101110010
+10010001111111010100000101101101
+10010001111110010100000101101000
+10010001111101100100000101100010
+10010001111100110100000101011101
+10010001111100000100000101010111
+10010001111011010100000101010010
+10010001111010010100000101001101
+10010001111001100100000101000111
+10010001111000110100000101000010
+10010001111000000100000100111100
+10010001110111010100000100110111
+10010001110110010100000100110010
+10010001110101100100000100101100
+10010001110100110100000100100111
+10010001110100000100000100100001
+10010001110011010100000100011100
+10010001110010010100000100010111
+10010001110001100100000100010001
+10010001110000110100000100001100
+10010001110000000100000100000110
+10010001101111010100000100000001
+10010001101110010100000011111011
+10010001101101100100000011110110
+10010001101100110100000011110001
+10010001101100000100000011101011
+10010001101011010100000011100110
+10010001101010100100000011100000
+10010001101001100100000011011011
+10010001101000110100000011010110
+10010001101000000100000011010000
+10010001100111010100000011001011
+10010001100110100100000011000101
+10010001100101100100000011000000
+10010001100100110100000010111010
+10010001100100000100000010110101
+10010001100011010100000010110000
+10010001100010100100000010101010
+10010001100001110100000010100101
+10010001100000110100000010011111
+10010001100000000100000010011010
+10010001011111010100000010010100
+10010001011110100100000010001111
+10010001011101110100000010001010
+10010001011101000100000010000100
+10010001011100000100000001111111
+10010001011011010100000001111001
+10010001011010100100000001110100
+10010001011001110100000001101111
+10010001011001000100000001101001
+10010001011000010100000001100100
+10010001010111010100000001011110
+10010001010110100100000001011001
+10010001010101110100000001010011
+10010001010101000100000001001110
+10010001010100010100000001001000
+10010001010011100100000001000011
+10010001010010100100000000111110
+10010001010001110100000000111000
+10010001010001000100000000110011
+10010001010000010100000000101101
+10010001001111100100000000101000
+10010001001110110100000000100010
+10010001001110000100000000011101
+10010001001101000100000000011000
+10010001001100010100000000010010
+10010001001011100100000000001101
+10010001001010110100000000000111
+10010001001010000100000000000010
+10010001001001010011111111111011
+10010001001000100011111111110110
+10010001000111100011111111110000
+10010001000110110011111111101011
+10010001000110000011111111100110
+10010001000101010011111111100000
+10010001000100100011111111011011
+10010001000011110011111111010101
+10010001000011000011111111010000
+10010001000010000011111111001010
+10010001000001010011111111000101
+10010001000000100011111110111111
+10010000111111110011111110111010
+10010000111111000011111110110101
+10010000111110010011111110101111
+10010000111101100011111110101010
+10010000111100110011111110100100
+10010000111011110011111110011111
+10010000111011000011111110011001
+10010000111010010011111110010100
+10010000111001100011111110001110
+10010000111000110011111110001001
+10010000111000000011111110000100
+10010000110111010011111101111110
+10010000110110100011111101111001
+10010000110101110011111101110011
+10010000110100110011111101101110
+10010000110100000011111101101000
+10010000110011010011111101100011
+10010000110010100011111101011101
+10010000110001110011111101011000
+10010000110001000011111101010010
+10010000110000010011111101001101
+10010000101111100011111101000111
+10010000101110110011111101000010
+10010000101101110011111100111101
+10010000101101000011111100110111
+10010000101100010011111100110010
+10010000101011100011111100101100
+10010000101010110011111100100111
+10010000101010000011111100100001
+10010000101001010011111100011100
+10010000101000100011111100010110
+10010000100111110011111100010001
+10010000100111000011111100001011
+10010000100110000011111100000110
+10010000100101010011111100000000
+10010000100100100011111011111011
+10010000100011110011111011110101
+10010000100011000011111011110000
+10010000100010010011111011101011
+10010000100001100011111011100101
+10010000100000110011111011100000
+10010000100000000011111011011010
+10010000011111010011111011010101
+10010000011110100011111011001111
+10010000011101100011111011001010
+10010000011100110011111011000100
+10010000011100000011111010111111
+10010000011011010011111010111001
+10010000011010100011111010110100
+10010000011001110011111010101110
+10010000011001000011111010101001
+10010000011000010011111010100011
+10010000010111100011111010011110
+10010000010110110011111010011000
+10010000010110000011111010010011
+10010000010101010011111010001101
+10010000010100100011111010001000
+10010000010011100011111010000010
+10010000010010110011111001111101
+10010000010010000011111001111000
+10010000010001010011111001110010
+10010000010000100011111001101101
+10010000001111110011111001100111
+10010000001111000011111001100010
+10010000001110010011111001011100
+10010000001101100011111001010111
+10010000001100110011111001010001
+10010000001100000011111001001100
+10010000001011010011111001000110
+10010000001010100011111001000001
+10010000001001110011111000111011
+10010000001001000011111000110110
+10010000001000010011111000110000
+10010000000111100011111000101011
+10010000000110100011111000100101
+10010000000101110011111000100000
+10010000000101000011111000011010
+10010000000100010011111000010101
+10010000000011100011111000001111
+10010000000010110011111000001010
+10010000000010000011111000000100
+10010000000001010011110111111111
+10010000000000100011110111111001
+10001111111111110011110111110100
+10001111111111000011110111101110
+10001111111110010011110111101001
+10001111111101100011110111100011
+10001111111100110011110111011110
+10001111111100000011110111011000
+10001111111011010011110111010011
+10001111111010100011110111001101
+10001111111001110011110111001000
+10001111111001000011110111000010
+10001111111000010011110110111101
+10001111110111100011110110110111
+10001111110110110011110110110010
+10001111110110000011110110101100
+10001111110101010011110110100111
+10001111110100100011110110100001
+10001111110011110011110110011100
+10001111110011000011110110010110
+10001111110010010011110110010001
+10001111110001010011110110001011
+10001111110000100011110110000110
+10001111101111110011110110000000
+10001111101111000011110101111011
+10001111101110010011110101110101
+10001111101101100011110101110000
+10001111101100110011110101101010
+10001111101100000011110101100101
+10001111101011010011110101011111
+10001111101010100011110101011010
+10001111101001110011110101010100
+10001111101001000011110101001111
+10001111101000010011110101001001
+10001111100111100011110101000100
+10001111100110110011110100111110
+10001111100110000011110100111000
+10001111100101010011110100110011
+10001111100100100011110100101101
+10001111100011110011110100101000
+10001111100011000011110100100010
+10001111100010010011110100011101
+10001111100001100011110100010111
+10001111100000110011110100010010
+10001111100000000011110100001100
+10001111011111010011110100000111
+10001111011110100011110100000001
+10001111011101110011110011111100
+10001111011101000011110011110110
+10001111011100010011110011110001
+10001111011011100011110011101011
+10001111011010110011110011100110
+10001111011010000011110011100000
+10001111011001010011110011011011
+10001111011000100011110011010101
+10001111010111110011110011010000
+10001111010111000011110011001010
+10001111010110010011110011000100
+10001111010101100011110010111111
+10001111010100110011110010111001
+10001111010100000011110010110100
+10001111010011010011110010101110
+10001111010010110011110010101001
+10001111010010000011110010100011
+10001111010001010011110010011110
+10001111010000100011110010011000
+10001111001111110011110010010011
+10001111001111000011110010001101
+10001111001110010011110010001000
+10001111001101100011110010000010
+10001111001100110011110001111101
+10001111001100000011110001110111
+10001111001011010011110001110001
+10001111001010100011110001101100
+10001111001001110011110001100110
+10001111001001000011110001100001
+10001111001000010011110001011011
+10001111000111100011110001010110
+10001111000110110011110001010000
+10001111000110000011110001001011
+10001111000101010011110001000101
+10001111000100100011110001000000
+10001111000011110011110000111010
+10001111000011000011110000110101
+10001111000010010011110000101111
+10001111000001100011110000101001
+10001111000000110011110000100100
+10001111000000000011110000011110
+10001110111111010011110000011001
+10001110111110110011110000010011
+10001110111110000011110000001110
+10001110111101010011110000001000
+10001110111100100011110000000011
+10001110111011110011101111111101
+10001110111011000011101111111000
+10001110111010010011101111110010
+10001110111001100011101111101100
+10001110111000110011101111100111
+10001110111000000011101111100001
+10001110110111010011101111011100
+10001110110110100011101111010110
+10001110110101110011101111010001
+10001110110101000011101111001011
+10001110110100010011101111000110
+10001110110011100011101111000000
+10001110110010110011101110111010
+10001110110010010011101110110101
+10001110110001100011101110101111
+10001110110000110011101110101010
+10001110110000000011101110100100
+10001110101111010011101110011111
+10001110101110100011101110011001
+10001110101101110011101110010100
+10001110101101000011101110001110
+10001110101100010011101110001000
+10001110101011100011101110000011
+10001110101010110011101101111101
+10001110101010000011101101111000
+10001110101001010011101101110010
+10001110101000110011101101101101
+10001110101000000011101101100111
+10001110100111010011101101100001
+10001110100110100011101101011100
+10001110100101110011101101010110
+10001110100101000011101101010001
+10001110100100010011101101001011
+10001110100011100011101101000110
+10001110100010110011101101000000
+10001110100010000011101100111010
+10001110100001010011101100110101
+10001110100000100011101100101111
+10001110100000000011101100101010
+10001110011111010011101100100100
+10001110011110100011101100011111
+10001110011101110011101100011001
+10001110011101000011101100010011
+10001110011100010011101100001110
+10001110011011100011101100001000
+10001110011010110011101100000011
+10001110011010000011101011111101
+10001110011001010011101011111000
+10001110011000110011101011110010
+10001110011000000011101011101100
+10001110010111010011101011100111
+10001110010110100011101011100001
+10001110010101110011101011011100
+10001110010101000011101011010110
+10001110010100010011101011010001
+10001110010011100011101011001011
+10001110010010110011101011000101
+10001110010010010011101011000000
+10001110010001100011101010111010
+10001110010000110011101010110101
+10001110010000000011101010101111
+10001110001111010011101010101001
+10001110001110100011101010100100
+10001110001101110011101010011110
+10001110001101000011101010011001
+10001110001100100011101010010011
+10001110001011110011101010001110
+10001110001011000011101010001000
+10001110001010010011101010000010
+10001110001001100011101001111101
+10001110001000110011101001110111
+10001110001000000011101001110010
+10001110000111010011101001101100
+10001110000110110011101001100110
+10001110000110000011101001100001
+10001110000101010011101001011011
+10001110000100100011101001010110
+10001110000011110011101001010000
+10001110000011000011101001001010
+10001110000010010011101001000101
+10001110000001110011101000111111
+10001110000001000011101000111010
+10001110000000010011101000110100
+10001101111111100011101000101110
+10001101111110110011101000101001
+10001101111110000011101000100011
+10001101111101010011101000011110
+10001101111100110011101000011000
+10001101111100000011101000010010
+10001101111011010011101000001101
+10001101111010100011101000000111
+10001101111001110011101000000010
+10001101111001000011100111111100
+10001101111000010011100111110110
+10001101110111110011100111110001
+10001101110111000011100111101011
+10001101110110010011100111100110
+10001101110101100011100111100000
+10001101110100110011100111011010
+10001101110100000011100111010101
+10001101110011100011100111001111
+10001101110010110011100111001010
+10001101110010000011100111000100
+10001101110001010011100110111110
+10001101110000100011100110111001
+10001101101111110011100110110011
+10001101101111010011100110101110
+10001101101110100011100110101000
+10001101101101110011100110100010
+10001101101101000011100110011101
+10001101101100010011100110010111
+10001101101011100011100110010010
+10001101101011000011100110001100
+10001101101010010011100110000110
+10001101101001100011100110000001
+10001101101000110011100101111011
+10001101101000000011100101110110
+10001101100111100011100101110000
+10001101100110110011100101101010
+10001101100110000011100101100101
+10001101100101010011100101011111
+10001101100100100011100101011001
+10001101100011110011100101010100
+10001101100011010011100101001110
+10001101100010100011100101001001
+10001101100001110011100101000011
+10001101100001000011100100111101
+10001101100000010011100100111000
+10001101011111110011100100110010
+10001101011111000011100100101100
+10001101011110010011100100100111
+10001101011101100011100100100001
+10001101011100110011100100011100
+10001101011100010011100100010110
+10001101011011100011100100010000
+10001101011010110011100100001011
+10001101011010000011100100000101
+10001101011001010011100011111111
+10001101011000110011100011111010
+10001101011000000011100011110100
+10001101010111010011100011101111
+10001101010110100011100011101001
+10001101010101110011100011100011
+10001101010101010011100011011110
+10001101010100100011100011011000
+10001101010011110011100011010010
+10001101010011000011100011001101
+10001101010010010011100011000111
+10001101010001110011100011000010
+10001101010001000011100010111100
+10001101010000010011100010110110
+10001101001111100011100010110001
+10001101001110110011100010101011
+10001101001110010011100010100101
+10001101001101100011100010100000
+10001101001100110011100010011010
+10001101001100000011100010010101
+10001101001011100011100010001111
+10001101001010110011100010001001
+10001101001010000011100010000100
+10001101001001010011100001111110
+10001101001000100011100001111000
+10001101001000000011100001110011
+10001101000111010011100001101101
+10001101000110100011100001100111
+10001101000101110011100001100010
+10001101000101010011100001011100
+10001101000100100011100001010110
+10001101000011110011100001010001
+10001101000011000011100001001011
+10001101000010100011100001000110
+10001101000001110011100001000000
+10001101000001000011100000111010
+10001101000000010011100000110101
+10001100111111110011100000101111
+10001100111111000011100000101001
+10001100111110010011100000100100
+10001100111101100011100000011110
+10001100111101000011100000011000
+10001100111100010011100000010011
+10001100111011100011100000001101
+10001100111010110011100000000111
+10001100111010010011100000000010
+10001100111001100011011111111100
+10001100111000110011011111110111
+10001100111000000011011111110001
+10001100110111100011011111101011
+10001100110110110011011111100110
+10001100110110000011011111100000
+10001100110101010011011111011010
+10001100110100110011011111010101
+10001100110100000011011111001111
+10001100110011010011011111001001
+10001100110010100011011111000100
+10001100110010000011011110111110
+10001100110001010011011110111000
+10001100110000100011011110110011
+10001100101111110011011110101101
+10001100101111010011011110100111
+10001100101110100011011110100010
+10001100101101110011011110011100
+10001100101101000011011110010110
+10001100101100100011011110010001
+10001100101011110011011110001011
+10001100101011000011011110000101
+10001100101010100011011110000000
+10001100101001110011011101111010
+10001100101001000011011101110100
+10001100101000010011011101101111
+10001100100111110011011101101001
+10001100100111000011011101100011
+10001100100110010011011101011110
+10001100100101110011011101011000
+10001100100101000011011101010010
+10001100100100010011011101001101
+10001100100011100011011101000111
+10001100100011000011011101000001
+10001100100010010011011100111100
+10001100100001100011011100110110
+10001100100001000011011100110000
+10001100100000010011011100101011
+10001100011111100011011100100101
+10001100011110110011011100011111
+10001100011110010011011100011010
+10001100011101100011011100010100
+10001100011100110011011100001110
+10001100011100010011011100001001
+10001100011011100011011100000011
+10001100011010110011011011111101
+10001100011010010011011011111000
+10001100011001100011011011110010
+10001100011000110011011011101100
+10001100011000000011011011100111
+10001100010111100011011011100001
+10001100010110110011011011011011
+10001100010110000011011011010110
+10001100010101100011011011010000
+10001100010100110011011011001010
+10001100010100000011011011000101
+10001100010011100011011010111111
+10001100010010110011011010111001
+10001100010010000011011010110100
+10001100010001100011011010101110
+10001100010000110011011010101000
+10001100010000000011011010100011
+10001100001111010011011010011101
+10001100001110110011011010010111
+10001100001110000011011010010010
+10001100001101010011011010001100
+10001100001100110011011010000110
+10001100001100000011011010000000
+10001100001011010011011001111011
+10001100001010110011011001110101
+10001100001010000011011001101111
+10001100001001010011011001101010
+10001100001000110011011001100100
+10001100001000000011011001011110
+10001100000111010011011001011001
+10001100000110110011011001010011
+10001100000110000011011001001101
+10001100000101010011011001001000
+10001100000100110011011001000010
+10001100000100000011011000111100
+10001100000011010011011000110111
+10001100000010110011011000110001
+10001100000010000011011000101011
+10001100000001010011011000100101
+10001100000000110011011000100000
+10001100000000000011011000011010
+10001011111111010011011000010100
+10001011111110110011011000001111
+10001011111110000011011000001001
+10001011111101010011011000000011
+10001011111100110011010111111110
+10001011111100000011010111111000
+10001011111011100011010111110010
+10001011111010110011010111101100
+10001011111010000011010111100111
+10001011111001100011010111100001
+10001011111000110011010111011011
+10001011111000000011010111010110
+10001011110111100011010111010000
+10001011110110110011010111001010
+10001011110110000011010111000101
+10001011110101100011010110111111
+10001011110100110011010110111001
+10001011110100000011010110110011
+10001011110011100011010110101110
+10001011110010110011010110101000
+10001011110010010011010110100010
+10001011110001100011010110011101
+10001011110000110011010110010111
+10001011110000010011010110010001
+10001011101111100011010110001100
+10001011101110110011010110000110
+10001011101110010011010110000000
+10001011101101100011010101111010
+10001011101101000011010101110101
+10001011101100010011010101101111
+10001011101011100011010101101001
+10001011101011000011010101100100
+10001011101010010011010101011110
+10001011101001100011010101011000
+10001011101001000011010101010010
+10001011101000010011010101001101
+10001011100111110011010101000111
+10001011100111000011010101000001
+10001011100110010011010100111100
+10001011100101110011010100110110
+10001011100101000011010100110000
+10001011100100100011010100101010
+10001011100011110011010100100101
+10001011100011000011010100011111
+10001011100010100011010100011001
+10001011100001110011010100010100
+10001011100001000011010100001110
+10001011100000100011010100001000
+10001011011111110011010100000010
+10001011011111010011010011111101
+10001011011110100011010011110111
+10001011011101110011010011110001
+10001011011101010011010011101100
+10001011011100100011010011100110
+10001011011100000011010011100000
+10001011011011010011010011011010
+10001011011010110011010011010101
+10001011011010000011010011001111
+10001011011001010011010011001001
+10001011011000110011010011000011
+10001011011000000011010010111110
+10001011010111100011010010111000
+10001011010110110011010010110010
+10001011010110000011010010101101
+10001011010101100011010010100111
+10001011010100110011010010100001
+10001011010100010011010010011011
+10001011010011100011010010010110
+10001011010010110011010010010000
+10001011010010010011010010001010
+10001011010001100011010010000101
+10001011010001000011010001111111
+10001011010000010011010001111001
+10001011001111110011010001110011
+10001011001111000011010001101110
+10001011001110010011010001101000
+10001011001101110011010001100010
+10001011001101000011010001011100
+10001011001100100011010001010111
+10001011001011110011010001010001
+10001011001011010011010001001011
+10001011001010100011010001000101
+10001011001001110011010001000000
+10001011001001010011010000111010
+10001011001000100011010000110100
+10001011001000000011010000101111
+10001011000111010011010000101001
+10001011000110110011010000100011
+10001011000110000011010000011101
+10001011000101100011010000011000
+10001011000100110011010000010010
+10001011000100000011010000001100
+10001011000011100011010000000110
+10001011000010110011010000000001
+10001011000010010011001111111011
+10001011000001100011001111110101
+10001011000001000011001111101111
+10001011000000010011001111101010
+10001010111111110011001111100100
+10001010111111000011001111011110
+10001010111110010011001111011000
+10001010111101110011001111010011
+10001010111101000011001111001101
+10001010111100100011001111000111
+10001010111011110011001111000001
+10001010111011010011001110111100
+10001010111010100011001110110110
+10001010111010000011001110110000
+10001010111001010011001110101010
+10001010111000110011001110100101
+10001010111000000011001110011111
+10001010110111100011001110011001
+10001010110110110011001110010011
+10001010110110000011001110001110
+10001010110101100011001110001000
+10001010110100110011001110000010
+10001010110100010011001101111100
+10001010110011100011001101110111
+10001010110011000011001101110001
+10001010110010010011001101101011
+10001010110001110011001101100101
+10001010110001000011001101100000
+10001010110000100011001101011010
+10001010101111110011001101010100
+10001010101111010011001101001110
+10001010101110100011001101001001
+10001010101110000011001101000011
+10001010101101010011001100111101
+10001010101100110011001100110111
+10001010101100000011001100110010
+10001010101011100011001100101100
+10001010101010110011001100100110
+10001010101010010011001100100000
+10001010101001100011001100011011
+10001010101001000011001100010101
+10001010101000010011001100001111
+10001010100111110011001100001001
+10001010100111000011001100000100
+10001010100110100011001011111110
+10001010100101110011001011111000
+10001010100101010011001011110010
+10001010100100100011001011101100
+10001010100100000011001011100111
+10001010100011010011001011100001
+10001010100010110011001011011011
+10001010100010000011001011010101
+10001010100001100011001011010000
+10001010100000110011001011001010
+10001010100000010011001011000100
+10001010011111100011001010111110
+10001010011111000011001010111001
+10001010011110010011001010110011
+10001010011101110011001010101101
+10001010011101000011001010100111
+10001010011100100011001010100001
+10001010011011110011001010011100
+10001010011011010011001010010110
+10001010011010100011001010010000
+10001010011010000011001010001010
+10001010011001010011001010000101
+10001010011000110011001001111111
+10001010011000000011001001111001
+10001010010111100011001001110011
+10001010010110110011001001101110
+10001010010110010011001001101000
+10001010010101100011001001100010
+10001010010101000011001001011100
+10001010010100010011001001010110
+10001010010011110011001001010001
+10001010010011000011001001001011
+10001010010010100011001001000101
+10001010010010000011001000111111
+10001010010001010011001000111010
+10001010010000110011001000110100
+10001010010000000011001000101110
+10001010001111100011001000101000
+10001010001110110011001000100010
+10001010001110010011001000011101
+10001010001101100011001000010111
+10001010001101000011001000010001
+10001010001100010011001000001011
+10001010001011110011001000000110
+10001010001011000011001000000000
+10001010001010100011000111111010
+10001010001010000011000111110100
+10001010001001010011000111101110
+10001010001000110011000111101001
+10001010001000000011000111100011
+10001010000111100011000111011101
+10001010000110110011000111010111
+10001010000110010011000111010001
+10001010000101100011000111001100
+10001010000101000011000111000110
+10001010000100100011000111000000
+10001010000011110011000110111010
+10001010000011010011000110110101
+10001010000010100011000110101111
+10001010000010000011000110101001
+10001010000001010011000110100011
+10001010000000110011000110011101
+10001010000000000011000110011000
+10001001111111100011000110010010
+10001001111111000011000110001100
+10001001111110010011000110000110
+10001001111101110011000110000000
+10001001111101000011000101111011
+10001001111100100011000101110101
+10001001111011110011000101101111
+10001001111011010011000101101001
+10001001111010110011000101100011
+10001001111010000011000101011110
+10001001111001100011000101011000
+10001001111000110011000101010010
+10001001111000010011000101001100
+10001001110111110011000101000110
+10001001110111000011000101000001
+10001001110110100011000100111011
+10001001110101110011000100110101
+10001001110101010011000100101111
+10001001110100100011000100101001
+10001001110100000011000100100100
+10001001110011100011000100011110
+10001001110010110011000100011000
+10001001110010010011000100010010
+10001001110001100011000100001100
+10001001110001000011000100000111
+10001001110000100011000100000001
+10001001101111110011000011111011
+10001001101111010011000011110101
+10001001101110100011000011101111
+10001001101110000011000011101010
+10001001101101100011000011100100
+10001001101100110011000011011110
+10001001101100010011000011011000
+10001001101011100011000011010010
+10001001101011000011000011001101
+10001001101010100011000011000111
+10001001101001110011000011000001
+10001001101001010011000010111011
+10001001101000100011000010110101
+10001001101000000011000010110000
+10001001100111100011000010101010
+10001001100110110011000010100100
+10001001100110010011000010011110
+10001001100101100011000010011000
+10001001100101000011000010010010
+10001001100100100011000010001101
+10001001100011110011000010000111
+10001001100011010011000010000001
+10001001100010110011000001111011
+10001001100010000011000001110101
+10001001100001100011000001110000
+10001001100000110011000001101010
+10001001100000010011000001100100
+10001001011111110011000001011110
+10001001011111000011000001011000
+10001001011110100011000001010010
+10001001011110000011000001001101
+10001001011101010011000001000111
+10001001011100110011000001000001
+10001001011100000011000000111011
+10001001011011100011000000110101
+10001001011011000011000000110000
+10001001011010010011000000101010
+10001001011001110011000000100100
+10001001011001010011000000011110
+10001001011000100011000000011000
+10001001011000000011000000010010
+10001001010111100011000000001101
+10001001010110110011000000000111
+10001001010110010011000000000001
+10001001010101100010111111111011
+10001001010101000010111111110101
+10001001010100100010111111110000
+10001001010011110010111111101010
+10001001010011010010111111100100
+10001001010010110010111111011110
+10001001010010000010111111011000
+10001001010001100010111111010010
+10001001010001000010111111001101
+10001001010000010010111111000111
+10001001001111110010111111000001
+10001001001111010010111110111011
+10001001001110100010111110110101
+10001001001110000010111110101111
+10001001001101100010111110101010
+10001001001100110010111110100100
+10001001001100010010111110011110
+10001001001011110010111110011000
+10001001001011000010111110010010
+10001001001010100010111110001100
+10001001001010000010111110000111
+10001001001001010010111110000001
+10001001001000110010111101111011
+10001001001000010010111101110101
+10001001000111100010111101101111
+10001001000111000010111101101001
+10001001000110100010111101100100
+10001001000101110010111101011110
+10001001000101010010111101011000
+10001001000100110010111101010010
+10001001000100000010111101001100
+10001001000011100010111101000110
+10001001000011000010111101000001
+10001001000010010010111100111011
+10001001000001110010111100110101
+10001001000001010010111100101111
+10001001000000100010111100101001
+10001001000000000010111100100011
+10001000111111100010111100011110
+10001000111110110010111100011000
+10001000111110010010111100010010
+10001000111101110010111100001100
+10001000111101010010111100000110
+10001000111100100010111100000000
+10001000111100000010111011111010
+10001000111011100010111011110101
+10001000111010110010111011101111
+10001000111010010010111011101001
+10001000111001110010111011100011
+10001000111001000010111011011101
+10001000111000100010111011010111
+10001000111000000010111011010010
+10001000110111010010111011001100
+10001000110110110010111011000110
+10001000110110010010111011000000
+10001000110101110010111010111010
+10001000110101000010111010110100
+10001000110100100010111010101110
+10001000110100000010111010101001
+10001000110011010010111010100011
+10001000110010110010111010011101
+10001000110010010010111010010111
+10001000110001110010111010010001
+10001000110001000010111010001011
+10001000110000100010111010000101
+10001000110000000010111010000000
+10001000101111010010111001111010
+10001000101110110010111001110100
+10001000101110010010111001101110
+10001000101101110010111001101000
+10001000101101000010111001100010
+10001000101100100010111001011100
+10001000101100000010111001010111
+10001000101011010010111001010001
+10001000101010110010111001001011
+10001000101010010010111001000101
+10001000101001110010111000111111
+10001000101001000010111000111001
+10001000101000100010111000110011
+10001000101000000010111000101110
+10001000100111100010111000101000
+10001000100110110010111000100010
+10001000100110010010111000011100
+10001000100101110010111000010110
+10001000100101010010111000010000
+10001000100100100010111000001010
+10001000100100000010111000000101
+10001000100011100010110111111111
+10001000100011000010110111111001
+10001000100010010010110111110011
+10001000100001110010110111101101
+10001000100001010010110111100111
+10001000100000110010110111100001
+10001000100000000010110111011100
+10001000011111100010110111010110
+10001000011111000010110111010000
+10001000011110100010110111001010
+10001000011101110010110111000100
+10001000011101010010110110111110
+10001000011100110010110110111000
+10001000011100010010110110110010
+10001000011011100010110110101101
+10001000011011000010110110100111
+10001000011010100010110110100001
+10001000011010000010110110011011
+10001000011001010010110110010101
+10001000011000110010110110001111
+10001000011000010010110110001001
+10001000010111110010110110000100
+10001000010111000010110101111110
+10001000010110100010110101111000
+10001000010110000010110101110010
+10001000010101100010110101101100
+10001000010100110010110101100110
+10001000010100010010110101100000
+10001000010011110010110101011010
+10001000010011010010110101010101
+10001000010010110010110101001111
+10001000010010000010110101001001
+10001000010001100010110101000011
+10001000010001000010110100111101
+10001000010000100010110100110111
+10001000001111110010110100110001
+10001000001111010010110100101011
+10001000001110110010110100100110
+10001000001110010010110100100000
+10001000001101110010110100011010
+10001000001101000010110100010100
+10001000001100100010110100001110
+10001000001100000010110100001000
+10001000001011100010110100000010
+10001000001011000010110011111100
+10001000001010010010110011110110
+10001000001001110010110011110001
+10001000001001010010110011101011
+10001000001000110010110011100101
+10001000001000000010110011011111
+10001000000111100010110011011001
+10001000000111000010110011010011
+10001000000110100010110011001101
+10001000000110000010110011000111
+10001000000101100010110011000001
+10001000000100110010110010111100
+10001000000100010010110010110110
+10001000000011110010110010110000
+10001000000011010010110010101010
+10001000000010110010110010100100
+10001000000010000010110010011110
+10001000000001100010110010011000
+10001000000001000010110010010010
+10001000000000100010110010001101
+10001000000000000010110010000111
+10000111111111010010110010000001
+10000111111110110010110001111011
+10000111111110010010110001110101
+10000111111101110010110001101111
+10000111111101010010110001101001
+10000111111100100010110001100011
+10000111111100000010110001011101
+10000111111011100010110001010111
+10000111111011000010110001010010
+10000111111010100010110001001100
+10000111111010000010110001000110
+10000111111001010010110001000000
+10000111111000110010110000111010
+10000111111000010010110000110100
+10000111110111110010110000101110
+10000111110111010010110000101000
+10000111110110110010110000100010
+10000111110110000010110000011101
+10000111110101100010110000010111
+10000111110101000010110000010001
+10000111110100100010110000001011
+10000111110100000010110000000101
+10000111110011100010101111111111
+10000111110010110010101111111001
+10000111110010010010101111110011
+10000111110001110010101111101101
+10000111110001010010101111100111
+10000111110000110010101111100010
+10000111110000010010101111011100
+10000111101111110010101111010110
+10000111101111000010101111010000
+10000111101110100010101111001010
+10000111101110000010101111000100
+10000111101101100010101110111110
+10000111101101000010101110111000
+10000111101100100010101110110010
+10000111101011110010101110101100
+10000111101011010010101110100110
+10000111101010110010101110100001
+10000111101010010010101110011011
+10000111101001110010101110010101
+10000111101001010010101110001111
+10000111101000110010101110001001
+10000111101000010010101110000011
+10000111100111100010101101111101
+10000111100111000010101101110111
+10000111100110100010101101110001
+10000111100110000010101101101011
+10000111100101100010101101100101
+10000111100101000010101101100000
+10000111100100100010101101011010
+10000111100011110010101101010100
+10000111100011010010101101001110
+10000111100010110010101101001000
+10000111100010010010101101000010
+10000111100001110010101100111100
+10000111100001010010101100110110
+10000111100000110010101100110000
+10000111100000010010101100101010
+10000111011111100010101100100100
+10000111011111000010101100011111
+10000111011110100010101100011001
+10000111011110000010101100010011
+10000111011101100010101100001101
+10000111011101000010101100000111
+10000111011100100010101100000001
+10000111011100000010101011111011
+10000111011011100010101011110101
+10000111011010110010101011101111
+10000111011010010010101011101001
+10000111011001110010101011100011
+10000111011001010010101011011101
+10000111011000110010101011011000
+10000111011000010010101011010010
+10000111010111110010101011001100
+10000111010111010010101011000110
+10000111010110110010101011000000
+10000111010110010010101010111010
+10000111010101100010101010110100
+10000111010101000010101010101110
+10000111010100100010101010101000
+10000111010100000010101010100010
+10000111010011100010101010011100
+10000111010011000010101010010110
+10000111010010100010101010010000
+10000111010010000010101010001011
+10000111010001100010101010000101
+10000111010001000010101001111111
+10000111010000100010101001111001
+10000111001111110010101001110011
+10000111001111010010101001101101
+10000111001110110010101001100111
+10000111001110010010101001100001
+10000111001101110010101001011011
+10000111001101010010101001010101
+10000111001100110010101001001111
+10000111001100010010101001001001
+10000111001011110010101001000011
+10000111001011010010101000111101
+10000111001010110010101000111000
+10000111001010010010101000110010
+10000111001001110010101000101100
+10000111001001000010101000100110
+10000111001000100010101000100000
+10000111001000000010101000011010
+10000111000111100010101000010100
+10000111000111000010101000001110
+10000111000110100010101000001000
+10000111000110000010101000000010
+10000111000101100010100111111100
+10000111000101000010100111110110
+10000111000100100010100111110000
+10000111000100000010100111101010
+10000111000011100010100111100100
+10000111000011000010100111011111
+10000111000010100010100111011001
+10000111000010000010100111010011
+10000111000001100010100111001101
+10000111000001000010100111000111
+10000111000000010010100111000001
+10000110111111110010100110111011
+10000110111111010010100110110101
+10000110111110110010100110101111
+10000110111110010010100110101001
+10000110111101110010100110100011
+10000110111101010010100110011101
+10000110111100110010100110010111
+10000110111100010010100110010001
+10000110111011110010100110001011
+10000110111011010010100110000101
+10000110111010110010100101111111
+10000110111010010010100101111010
+10000110111001110010100101110100
+10000110111001010010100101101110
+10000110111000110010100101101000
+10000110111000010010100101100010
+10000110110111110010100101011100
+10000110110111010010100101010110
+10000110110110110010100101010000
+10000110110110010010100101001010
+10000110110101110010100101000100
+10000110110101010010100100111110
+10000110110100110010100100111000
+10000110110100010010100100110010
+10000110110011110010100100101100
+10000110110011010010100100100110
+10000110110010110010100100100000
+10000110110010010010100100011010
+10000110110001110010100100010100
+10000110110001000010100100001110
+10000110110000100010100100001001
+10000110110000000010100100000011
+10000110101111100010100011111101
+10000110101111000010100011110111
+10000110101110100010100011110001
+10000110101110000010100011101011
+10000110101101100010100011100101
+10000110101101000010100011011111
+10000110101100100010100011011001
+10000110101100000010100011010011
+10000110101011100010100011001101
+10000110101011000010100011000111
+10000110101010100010100011000001
+10000110101010000010100010111011
+10000110101001100010100010110101
+10000110101001000010100010101111
+10000110101000100010100010101001
+10000110101000000010100010100011
+10000110100111100010100010011101
+10000110100111000010100010010111
+10000110100110100010100010010001
+10000110100110000010100010001011
+10000110100101100010100010000101
+10000110100101000010100010000000
+10000110100100100010100001111010
+10000110100100000010100001110100
+10000110100011100010100001101110
+10000110100011010010100001101000
+10000110100010110010100001100010
+10000110100010010010100001011100
+10000110100001110010100001010110
+10000110100001010010100001010000
+10000110100000110010100001001010
+10000110100000010010100001000100
+10000110011111110010100000111110
+10000110011111010010100000111000
+10000110011110110010100000110010
+10000110011110010010100000101100
+10000110011101110010100000100110
+10000110011101010010100000100000
+10000110011100110010100000011010
+10000110011100010010100000010100
+10000110011011110010100000001110
+10000110011011010010100000001000
+10000110011010110010100000000010
+10000110011010010010011111111100
+10000110011001110010011111110110
+10000110011001010010011111110000
+10000110011000110010011111101010
+10000110011000010010011111100100
+10000110010111110010011111011110
+10000110010111010010011111011001
+10000110010110110010011111010011
+10000110010110010010011111001101
+10000110010101110010011111000111
+10000110010101010010011111000001
+10000110010100110010011110111011
+10000110010100100010011110110101
+10000110010100000010011110101111
+10000110010011100010011110101001
+10000110010011000010011110100011
+10000110010010100010011110011101
+10000110010010000010011110010111
+10000110010001100010011110010001
+10000110010001000010011110001011
+10000110010000100010011110000101
+10000110010000000010011101111111
+10000110001111100010011101111001
+10000110001111000010011101110011
+10000110001110100010011101101101
+10000110001110000010011101100111
+10000110001101100010011101100001
+10000110001101000010011101011011
+10000110001100100010011101010101
+10000110001100010010011101001111
+10000110001011110010011101001001
+10000110001011010010011101000011
+10000110001010110010011100111101
+10000110001010010010011100110111
+10000110001001110010011100110001
+10000110001001010010011100101011
+10000110001000110010011100100101
+10000110001000010010011100011111
+10000110000111110010011100011001
+10000110000111010010011100010011
+10000110000110110010011100001101
+10000110000110010010011100000111
+10000110000110000010011100000001
+10000110000101100010011011111011
+10000110000101000010011011110101
+10000110000100100010011011101111
+10000110000100000010011011101001
+10000110000011100010011011100011
+10000110000011000010011011011101
+10000110000010100010011011010111
+10000110000010000010011011010001
+10000110000001100010011011001011
+10000110000001000010011011000101
+10000110000000110010011010111111
+10000110000000010010011010111001
+10000101111111110010011010110100
+10000101111111010010011010101110
+10000101111110110010011010101000
+10000101111110010010011010100010
+10000101111101110010011010011100
+10000101111101010010011010010110
+10000101111100110010011010010000
+10000101111100100010011010001010
+10000101111100000010011010000100
+10000101111011100010011001111110
+10000101111011000010011001111000
+10000101111010100010011001110010
+10000101111010000010011001101100
+10000101111001100010011001100110
+10000101111001000010011001100000
+10000101111000100010011001011010
+10000101111000010010011001010100
+10000101110111110010011001001110
+10000101110111010010011001001000
+10000101110110110010011001000010
+10000101110110010010011000111100
+10000101110101110010011000110110
+10000101110101010010011000110000
+10000101110100110010011000101010
+10000101110100100010011000100100
+10000101110100000010011000011110
+10000101110011100010011000011000
+10000101110011000010011000010010
+10000101110010100010011000001100
+10000101110010000010011000000110
+10000101110001100010011000000000
+10000101110001000010010111111010
+10000101110000110010010111110100
+10000101110000010010010111101110
+10000101101111110010010111101000
+10000101101111010010010111100010
+10000101101110110010010111011100
+10000101101110010010010111010110
+10000101101101110010010111010000
+10000101101101100010010111001010
+10000101101101000010010111000100
+10000101101100100010010110111110
+10000101101100000010010110111000
+10000101101011100010010110110010
+10000101101011000010010110101100
+10000101101010100010010110100110
+10000101101010010010010110100000
+10000101101001110010010110011010
+10000101101001010010010110010100
+10000101101000110010010110001110
+10000101101000010010010110001000
+10000101100111110010010110000010
+10000101100111100010010101111100
+10000101100111000010010101110110
+10000101100110100010010101110000
+10000101100110000010010101101010
+10000101100101100010010101100100
+10000101100101000010010101011110
+10000101100100110010010101011000
+10000101100100010010010101010010
+10000101100011110010010101001100
+10000101100011010010010101000110
+10000101100010110010010101000000
+10000101100010010010010100111010
+10000101100010000010010100110011
+10000101100001100010010100101101
+10000101100001000010010100100111
+10000101100000100010010100100001
+10000101100000000010010100011011
+10000101011111100010010100010101
+10000101011111010010010100001111
+10000101011110110010010100001001
+10000101011110010010010100000011
+10000101011101110010010011111101
+10000101011101010010010011110111
+10000101011101000010010011110001
+10000101011100100010010011101011
+10000101011100000010010011100101
+10000101011011100010010011011111
+10000101011011000010010011011001
+10000101011010100010010011010011
+10000101011010010010010011001101
+10000101011001110010010011000111
+10000101011001010010010011000001
+10000101011000110010010010111011
+10000101011000010010010010110101
+10000101011000000010010010101111
+10000101010111100010010010101001
+10000101010111000010010010100011
+10000101010110100010010010011101
+10000101010110000010010010010111
+10000101010101110010010010010001
+10000101010101010010010010001011
+10000101010100110010010010000101
+10000101010100010010010001111111
+10000101010011110010010001111001
+10000101010011100010010001110011
+10000101010011000010010001101101
+10000101010010100010010001100111
+10000101010010000010010001100001
+10000101010001110010010001011011
+10000101010001010010010001010101
+10000101010000110010010001001111
+10000101010000010010010001001001
+10000101001111110010010001000011
+10000101001111100010010000111101
+10000101001111000010010000110111
+10000101001110100010010000110001
+10000101001110000010010000101011
+10000101001101110010010000100101
+10000101001101010010010000011111
+10000101001100110010010000011001
+10000101001100010010010000010011
+10000101001011110010010000001101
+10000101001011100010010000000110
+10000101001011000010010000000000
+10000101001010100010001111111010
+10000101001010000010001111110100
+10000101001001110010001111101110
+10000101001001010010001111101000
+10000101001000110010001111100010
+10000101001000010010001111011100
+10000101001000000010001111010110
+10000101000111100010001111010000
+10000101000111000010001111001010
+10000101000110100010001111000100
+10000101000110010010001110111110
+10000101000101110010001110111000
+10000101000101010010001110110010
+10000101000100110010001110101100
+10000101000100100010001110100110
+10000101000100000010001110100000
+10000101000011100010001110011010
+10000101000011000010001110010100
+10000101000010110010001110001110
+10000101000010010010001110001000
+10000101000001110010001110000010
+10000101000001010010001101111100
+10000101000001000010001101110110
+10000101000000100010001101110000
+10000101000000000010001101101010
+10000100111111100010001101100100
+10000100111111010010001101011110
+10000100111110110010001101011000
+10000100111110010010001101010001
+10000100111101110010001101001011
+10000100111101100010001101000101
+10000100111101000010001100111111
+10000100111100100010001100111001
+10000100111100000010001100110011
+10000100111011110010001100101101
+10000100111011010010001100100111
+10000100111010110010001100100001
+10000100111010100010001100011011
+10000100111010000010001100010101
+10000100111001100010001100001111
+10000100111001000010001100001001
+10000100111000110010001100000011
+10000100111000010010001011111101
+10000100110111110010001011110111
+10000100110111100010001011110001
+10000100110111000010001011101011
+10000100110110100010001011100101
+10000100110110000010001011011111
+10000100110101110010001011011001
+10000100110101010010001011010011
+10000100110100110010001011001101
+10000100110100100010001011000110
+10000100110100000010001011000000
+10000100110011100010001010111010
+10000100110011000010001010110100
+10000100110010110010001010101110
+10000100110010010010001010101000
+10000100110001110010001010100010
+10000100110001100010001010011100
+10000100110001000010001010010110
+10000100110000100010001010010000
+10000100110000010010001010001010
+10000100101111110010001010000100
+10000100101111010010001001111110
+10000100101110110010001001111000
+10000100101110100010001001110010
+10000100101110000010001001101100
+10000100101101100010001001100110
+10000100101101010010001001100000
+10000100101100110010001001011010
+10000100101100010010001001010100
+10000100101100000010001001001101
+10000100101011100010001001000111
+10000100101011000010001001000001
+10000100101010110010001000111011
+10000100101010010010001000110101
+10000100101001110010001000101111
+10000100101001100010001000101001
+10000100101001000010001000100011
+10000100101000100010001000011101
+10000100101000010010001000010111
+10000100100111110010001000010001
+10000100100111010010001000001011
+10000100100111000010001000000101
+10000100100110100010000111111111
+10000100100110000010000111111001
+10000100100101110010000111110011
+10000100100101010010000111101101
+10000100100100110010000111100111
+10000100100100100010000111100000
+10000100100100000010000111011010
+10000100100011100010000111010100
+10000100100011010010000111001110
+10000100100010110010000111001000
+10000100100010010010000111000010
+10000100100010000010000110111100
+10000100100001100010000110110110
+10000100100001000010000110110000
+10000100100000110010000110101010
+10000100100000010010000110100100
+10000100011111110010000110011110
+10000100011111100010000110011000
+10000100011111000010000110010010
+10000100011110100010000110001100
+10000100011110010010000110000110
+10000100011101110010000110000000
+10000100011101010010000101111001
+10000100011101000010000101110011
+10000100011100100010000101101101
+10000100011100010010000101100111
+10000100011011110010000101100001
+10000100011011010010000101011011
+10000100011011000010000101010101
+10000100011010100010000101001111
+10000100011010000010000101001001
+10000100011001110010000101000011
+10000100011001010010000100111101
+10000100011000110010000100110111
+10000100011000100010000100110001
+10000100011000000010000100101011
+10000100010111110010000100100101
+10000100010111010010000100011110
+10000100010110110010000100011000
+10000100010110100010000100010010
+10000100010110000010000100001100
+10000100010101100010000100000110
+10000100010101010010000100000000
+10000100010100110010000011111010
+10000100010100100010000011110100
+10000100010100000010000011101110
+10000100010011100010000011101000
+10000100010011010010000011100010
+10000100010010110010000011011100
+10000100010010100010000011010110
+10000100010010000010000011010000
+10000100010001100010000011001001
+10000100010001010010000011000011
+10000100010000110010000010111101
+10000100010000010010000010110111
+10000100010000000010000010110001
+10000100001111100010000010101011
+10000100001111010010000010100101
+10000100001110110010000010011111
+10000100001110010010000010011001
+10000100001110000010000010010011
+10000100001101100010000010001101
+10000100001101010010000010000111
+10000100001100110010000010000001
+10000100001100010010000001111010
+10000100001100000010000001110100
+10000100001011100010000001101110
+10000100001011010010000001101000
+10000100001010110010000001100010
+10000100001010100010000001011100
+10000100001010000010000001010110
+10000100001001100010000001010000
+10000100001001010010000001001010
+10000100001000110010000001000100
+10000100001000100010000000111110
+10000100001000000010000000111000
+10000100000111100010000000110010
+10000100000111010010000000101011
+10000100000110110010000000100101
+10000100000110100010000000011111
+10000100000110000010000000011001
+10000100000101110010000000010011
+10000100000101010010000000001101
+10000100000100110010000000000111
+10000100000100100010000000000001
+10000100000100000001111111111011
+10000100000011110001111111110101
+10000100000011010001111111101111
+10000100000011000001111111101001
+10000100000010100001111111100010
+10000100000010000001111111011100
+10000100000001110001111111010110
+10000100000001010001111111010000
+10000100000001000001111111001010
+10000100000000100001111111000100
+10000100000000010001111110111110
+10000011111111110001111110111000
+10000011111111010001111110110010
+10000011111111000001111110101100
+10000011111110100001111110100110
+10000011111110010001111110011111
+10000011111101110001111110011001
+10000011111101100001111110010011
+10000011111101000001111110001101
+10000011111100110001111110000111
+10000011111100010001111110000001
+10000011111100000001111101111011
+10000011111011100001111101110101
+10000011111011000001111101101111
+10000011111010110001111101101001
+10000011111010010001111101100011
+10000011111010000001111101011101
+10000011111001100001111101010110
+10000011111001010001111101010000
+10000011111000110001111101001010
+10000011111000100001111101000100
+10000011111000000001111100111110
+10000011110111110001111100111000
+10000011110111010001111100110010
+10000011110111000001111100101100
+10000011110110100001111100100110
+10000011110110000001111100100000
+10000011110101110001111100011001
+10000011110101010001111100010011
+10000011110101000001111100001101
+10000011110100100001111100000111
+10000011110100010001111100000001
+10000011110011110001111011111011
+10000011110011100001111011110101
+10000011110011000001111011101111
+10000011110010110001111011101001
+10000011110010010001111011100011
+10000011110010000001111011011101
+10000011110001100001111011010110
+10000011110001010001111011010000
+10000011110000110001111011001010
+10000011110000100001111011000100
+10000011110000000001111010111110
+10000011101111110001111010111000
+10000011101111010001111010110010
+10000011101111000001111010101100
+10000011101110100001111010100110
+10000011101110010001111010100000
+10000011101101110001111010011001
+10000011101101100001111010010011
+10000011101101000001111010001101
+10000011101100110001111010000111
+10000011101100010001111010000001
+10000011101100000001111001111011
+10000011101011100001111001110101
+10000011101011010001111001101111
+10000011101010110001111001101001
+10000011101010100001111001100011
+10000011101010000001111001011100
+10000011101001110001111001010110
+10000011101001010001111001010000
+10000011101001000001111001001010
+10000011101000100001111001000100
+10000011101000010001111000111110
+10000011100111110001111000111000
+10000011100111100001111000110010
+10000011100111000001111000101100
+10000011100110110001111000100101
+10000011100110010001111000011111
+10000011100110000001111000011001
+10000011100101100001111000010011
+10000011100101010001111000001101
+10000011100100110001111000000111
+10000011100100100001111000000001
+10000011100100000001110111111011
+10000011100011110001110111110101
+10000011100011100001110111101111
+10000011100011000001110111101000
+10000011100010110001110111100010
+10000011100010010001110111011100
+10000011100010000001110111010110
+10000011100001100001110111010000
+10000011100001010001110111001010
+10000011100000110001110111000100
+10000011100000100001110110111110
+10000011100000000001110110111000
+10000011011111110001110110110001
+10000011011111010001110110101011
+10000011011111000001110110100101
+10000011011110110001110110011111
+10000011011110010001110110011001
+10000011011110000001110110010011
+10000011011101100001110110001101
+10000011011101010001110110000111
+10000011011100110001110110000001
+10000011011100100001110101111010
+10000011011100000001110101110100
+10000011011011110001110101101110
+10000011011011100001110101101000
+10000011011011000001110101100010
+10000011011010110001110101011100
+10000011011010010001110101010110
+10000011011010000001110101010000
+10000011011001100001110101001001
+10000011011001010001110101000011
+10000011011000110001110100111101
+10000011011000100001110100110111
+10000011011000010001110100110001
+10000011010111110001110100101011
+10000011010111100001110100100101
+10000011010111000001110100011111
+10000011010110110001110100011001
+10000011010110010001110100010010
+10000011010110000001110100001100
+10000011010101110001110100000110
+10000011010101010001110100000000
+10000011010101000001110011111010
+10000011010100100001110011110100
+10000011010100010001110011101110
+10000011010011110001110011101000
+10000011010011100001110011100001
+10000011010011010001110011011011
+10000011010010110001110011010101
+10000011010010100001110011001111
+10000011010010000001110011001001
+10000011010001110001110011000011
+10000011010001100001110010111101
+10000011010001000001110010110111
+10000011010000110001110010110000
+10000011010000010001110010101010
+10000011010000000001110010100100
+10000011001111110001110010011110
+10000011001111010001110010011000
+10000011001111000001110010010010
+10000011001110100001110010001100
+10000011001110010001110010000110
+10000011001110000001110001111111
+10000011001101100001110001111001
+10000011001101010001110001110011
+10000011001100110001110001101101
+10000011001100100001110001100111
+10000011001100010001110001100001
+10000011001011110001110001011011
+10000011001011100001110001010101
+10000011001011000001110001001110
+10000011001010110001110001001000
+10000011001010100001110001000010
+10000011001010000001110000111100
+10000011001001110001110000110110
+10000011001001010001110000110000
+10000011001001000001110000101010
+10000011001000110001110000100100
+10000011001000010001110000011101
+10000011001000000001110000010111
+10000011000111110001110000010001
+10000011000111010001110000001011
+10000011000111000001110000000101
+10000011000110100001101111111111
+10000011000110010001101111111001
+10000011000110000001101111110011
+10000011000101100001101111101100
+10000011000101010001101111100110
+10000011000101000001101111100000
+10000011000100100001101111011010
+10000011000100010001101111010100
+10000011000011110001101111001110
+10000011000011100001101111001000
+10000011000011010001101111000001
+10000011000010110001101110111011
+10000011000010100001101110110101
+10000011000010010001101110101111
+10000011000001110001101110101001
+10000011000001100001101110100011
+10000011000001010001101110011101
+10000011000000110001101110010111
+10000011000000100001101110010000
+10000011000000000001101110001010
+10000010111111110001101110000100
+10000010111111100001101101111110
+10000010111111000001101101111000
+10000010111110110001101101110010
+10000010111110100001101101101100
+10000010111110000001101101100101
+10000010111101110001101101011111
+10000010111101100001101101011001
+10000010111101000001101101010011
+10000010111100110001101101001101
+10000010111100100001101101000111
+10000010111100000001101101000001
+10000010111011110001101100111010
+10000010111011100001101100110100
+10000010111011000001101100101110
+10000010111010110001101100101000
+10000010111010100001101100100010
+10000010111010000001101100011100
+10000010111001110001101100010110
+10000010111001100001101100010000
+10000010111001000001101100001001
+10000010111000110001101100000011
+10000010111000100001101011111101
+10000010111000000001101011110111
+10000010110111110001101011110001
+10000010110111100001101011101011
+10000010110111000001101011100101
+10000010110110110001101011011110
+10000010110110100001101011011000
+10000010110110000001101011010010
+10000010110101110001101011001100
+10000010110101100001101011000110
+10000010110101010001101011000000
+10000010110100110001101010111010
+10000010110100100001101010110011
+10000010110100010001101010101101
+10000010110011110001101010100111
+10000010110011100001101010100001
+10000010110011010001101010011011
+10000010110010110001101010010101
+10000010110010100001101010001111
+10000010110010010001101010001000
+10000010110001110001101010000010
+10000010110001100001101001111100
+10000010110001010001101001110110
+10000010110001000001101001110000
+10000010110000100001101001101010
+10000010110000010001101001100011
+10000010110000000001101001011101
+10000010101111100001101001010111
+10000010101111010001101001010001
+10000010101111000001101001001011
+10000010101110100001101001000101
+10000010101110010001101000111111
+10000010101110000001101000111000
+10000010101101110001101000110010
+10000010101101010001101000101100
+10000010101101000001101000100110
+10000010101100110001101000100000
+10000010101100100001101000011010
+10000010101100000001101000010100
+10000010101011110001101000001101
+10000010101011100001101000000111
+10000010101011000001101000000001
+10000010101010110001100111111011
+10000010101010100001100111110101
+10000010101010010001100111101111
+10000010101001110001100111101000
+10000010101001100001100111100010
+10000010101001010001100111011100
+10000010101000110001100111010110
+10000010101000100001100111010000
+10000010101000010001100111001010
+10000010101000000001100111000100
+10000010100111100001100110111101
+10000010100111010001100110110111
+10000010100111000001100110110001
+10000010100110110001100110101011
+10000010100110010001100110100101
+10000010100110000001100110011111
+10000010100101110001100110011000
+10000010100101100001100110010010
+10000010100101000001100110001100
+10000010100100110001100110000110
+10000010100100100001100110000000
+10000010100100010001100101111010
+10000010100011110001100101110100
+10000010100011100001100101101101
+10000010100011010001100101100111
+10000010100011000001100101100001
+10000010100010100001100101011011
+10000010100010010001100101010101
+10000010100010000001100101001111
+10000010100001110001100101001000
+10000010100001010001100101000010
+10000010100001000001100100111100
+10000010100000110001100100110110
+10000010100000100001100100110000
+10000010100000000001100100101010
+10000010011111110001100100100011
+10000010011111100001100100011101
+10000010011111010001100100010111
+10000010011111000001100100010001
+10000010011110100001100100001011
+10000010011110010001100100000101
+10000010011110000001100011111110
+10000010011101110001100011111000
+10000010011101010001100011110010
+10000010011101000001100011101100
+10000010011100110001100011100110
+10000010011100100001100011100000
+10000010011100000001100011011010
+10000010011011110001100011010011
+10000010011011100001100011001101
+10000010011011010001100011000111
+10000010011011000001100011000001
+10000010011010100001100010111011
+10000010011010010001100010110101
+10000010011010000001100010101110
+10000010011001110001100010101000
+10000010011001100001100010100010
+10000010011001000001100010011100
+10000010011000110001100010010110
+10000010011000100001100010010000
+10000010011000010001100010001001
+10000010011000000001100010000011
+10000010010111100001100001111101
+10000010010111010001100001110111
+10000010010111000001100001110001
+10000010010110110001100001101011
+10000010010110100001100001100100
+10000010010110000001100001011110
+10000010010101110001100001011000
+10000010010101100001100001010010
+10000010010101010001100001001100
+10000010010101000001100001000110
+10000010010100100001100000111111
+10000010010100010001100000111001
+10000010010100000001100000110011
+10000010010011110001100000101101
+10000010010011100001100000100111
+10000010010011000001100000100001
+10000010010010110001100000011010
+10000010010010100001100000010100
+10000010010010010001100000001110
+10000010010010000001100000001000
+10000010010001110001100000000010
+10000010010001010001011111111011
+10000010010001000001011111110101
+10000010010000110001011111101111
+10000010010000100001011111101001
+10000010010000010001011111100011
+10000010001111110001011111011101
+10000010001111100001011111010110
+10000010001111010001011111010000
+10000010001111000001011111001010
+10000010001110110001011111000100
+10000010001110100001011110111110
+10000010001110000001011110111000
+10000010001101110001011110110001
+10000010001101100001011110101011
+10000010001101010001011110100101
+10000010001101000001011110011111
+10000010001100110001011110011001
+10000010001100010001011110010011
+10000010001100000001011110001100
+10000010001011110001011110000110
+10000010001011100001011110000000
+10000010001011010001011101111010
+10000010001011000001011101110100
+10000010001010110001011101101101
+10000010001010010001011101100111
+10000010001010000001011101100001
+10000010001001110001011101011011
+10000010001001100001011101010101
+10000010001001010001011101001111
+10000010001001000001011101001000
+10000010001000110001011101000010
+10000010001000010001011100111100
+10000010001000000001011100110110
+10000010000111110001011100110000
+10000010000111100001011100101010
+10000010000111010001011100100011
+10000010000111000001011100011101
+10000010000110110001011100010111
+10000010000110010001011100010001
+10000010000110000001011100001011
+10000010000101110001011100000100
+10000010000101100001011011111110
+10000010000101010001011011111000
+10000010000101000001011011110010
+10000010000100110001011011101100
+10000010000100100001011011100110
+10000010000100000001011011011111
+10000010000011110001011011011001
+10000010000011100001011011010011
+10000010000011010001011011001101
+10000010000011000001011011000111
+10000010000010110001011011000000
+10000010000010100001011010111010
+10000010000010010001011010110100
+10000010000001110001011010101110
+10000010000001100001011010101000
+10000010000001010001011010100010
+10000010000001000001011010011011
+10000010000000110001011010010101
+10000010000000100001011010001111
+10000010000000010001011010001001
+10000010000000000001011010000011
+10000001111111110001011001111100
+10000001111111100001011001110110
+10000001111111000001011001110000
+10000001111110110001011001101010
+10000001111110100001011001100100
+10000001111110010001011001011110
+10000001111110000001011001010111
+10000001111101110001011001010001
+10000001111101100001011001001011
+10000001111101010001011001000101
+10000001111101000001011000111111
+10000001111100110001011000111000
+10000001111100010001011000110010
+10000001111100000001011000101100
+10000001111011110001011000100110
+10000001111011100001011000100000
+10000001111011010001011000011001
+10000001111011000001011000010011
+10000001111010110001011000001101
+10000001111010100001011000000111
+10000001111010010001011000000001
+10000001111010000001010111111010
+10000001111001110001010111110100
+10000001111001100001010111101110
+10000001111001000001010111101000
+10000001111000110001010111100010
+10000001111000100001010111011100
+10000001111000010001010111010101
+10000001111000000001010111001111
+10000001110111110001010111001001
+10000001110111100001010111000011
+10000001110111010001010110111101
+10000001110111000001010110110110
+10000001110110110001010110110000
+10000001110110100001010110101010
+10000001110110010001010110100100
+10000001110110000001010110011110
+10000001110101110001010110010111
+10000001110101100001010110010001
+10000001110101000001010110001011
+10000001110100110001010110000101
+10000001110100100001010101111111
+10000001110100010001010101111000
+10000001110100000001010101110010
+10000001110011110001010101101100
+10000001110011100001010101100110
+10000001110011010001010101100000
+10000001110011000001010101011010
+10000001110010110001010101010011
+10000001110010100001010101001101
+10000001110010010001010101000111
+10000001110010000001010101000001
+10000001110001110001010100111011
+10000001110001100001010100110100
+10000001110001010001010100101110
+10000001110001000001010100101000
+10000001110000110001010100100010
+10000001110000100001010100011100
+10000001110000010001010100010101
+10000001110000000001010100001111
+10000001101111110001010100001001
+10000001101111010001010100000011
+10000001101111000001010011111101
+10000001101110110001010011110110
+10000001101110100001010011110000
+10000001101110010001010011101010
+10000001101110000001010011100100
+10000001101101110001010011011110
+10000001101101100001010011010111
+10000001101101010001010011010001
+10000001101101000001010011001011
+10000001101100110001010011000101
+10000001101100100001010010111111
+10000001101100010001010010111000
+10000001101100000001010010110010
+10000001101011110001010010101100
+10000001101011100001010010100110
+10000001101011010001010010100000
+10000001101011000001010010011001
+10000001101010110001010010010011
+10000001101010100001010010001101
+10000001101010010001010010000111
+10000001101010000001010010000001
+10000001101001110001010001111010
+10000001101001100001010001110100
+10000001101001010001010001101110
+10000001101001000001010001101000
+10000001101000110001010001100010
+10000001101000100001010001011011
+10000001101000010001010001010101
+10000001101000000001010001001111
+10000001100111110001010001001001
+10000001100111100001010001000011
+10000001100111010001010000111100
+10000001100111000001010000110110
+10000001100110110001010000110000
+10000001100110100001010000101010
+10000001100110010001010000100100
+10000001100110000001010000011101
+10000001100101110001010000010111
+10000001100101100001010000010001
+10000001100101010001010000001011
+10000001100101000001010000000100
+10000001100100110001001111111110
+10000001100100100001001111111000
+10000001100100010001001111110010
+10000001100100000001001111101100
+10000001100011110001001111100101
+10000001100011100001001111011111
+10000001100011010001001111011001
+10000001100011000001001111010011
+10000001100010110001001111001101
+10000001100010100001001111000110
+10000001100010010001001111000000
+10000001100010010001001110111010
+10000001100010000001001110110100
+10000001100001110001001110101110
+10000001100001100001001110100111
+10000001100001010001001110100001
+10000001100001000001001110011011
+10000001100000110001001110010101
+10000001100000100001001110001111
+10000001100000010001001110001000
+10000001100000000001001110000010
+10000001011111110001001101111100
+10000001011111100001001101110110
+10000001011111010001001101110000
+10000001011111000001001101101001
+10000001011110110001001101100011
+10000001011110100001001101011101
+10000001011110010001001101010111
+10000001011110000001001101010000
+10000001011101110001001101001010
+10000001011101100001001101000100
+10000001011101010001001100111110
+10000001011101000001001100111000
+10000001011100110001001100110001
+10000001011100110001001100101011
+10000001011100100001001100100101
+10000001011100010001001100011111
+10000001011100000001001100011001
+10000001011011110001001100010010
+10000001011011100001001100001100
+10000001011011010001001100000110
+10000001011011000001001100000000
+10000001011010110001001011111001
+10000001011010100001001011110011
+10000001011010010001001011101101
+10000001011010000001001011100111
+10000001011001110001001011100001
+10000001011001100001001011011010
+10000001011001010001001011010100
+10000001011001010001001011001110
+10000001011001000001001011001000
+10000001011000110001001011000010
+10000001011000100001001010111011
+10000001011000010001001010110101
+10000001011000000001001010101111
+10000001010111110001001010101001
+10000001010111100001001010100010
+10000001010111010001001010011100
+10000001010111000001001010010110
+10000001010110110001001010010000
+10000001010110100001001010001010
+10000001010110100001001010000011
+10000001010110010001001001111101
+10000001010110000001001001110111
+10000001010101110001001001110001
+10000001010101100001001001101011
+10000001010101010001001001100100
+10000001010101000001001001011110
+10000001010100110001001001011000
+10000001010100100001001001010010
+10000001010100010001001001001011
+10000001010100010001001001000101
+10000001010100000001001000111111
+10000001010011110001001000111001
+10000001010011100001001000110011
+10000001010011010001001000101100
+10000001010011000001001000100110
+10000001010010110001001000100000
+10000001010010100001001000011010
+10000001010010010001001000010011
+10000001010010010001001000001101
+10000001010010000001001000000111
+10000001010001110001001000000001
+10000001010001100001000111111011
+10000001010001010001000111110100
+10000001010001000001000111101110
+10000001010000110001000111101000
+10000001010000100001000111100010
+10000001010000010001000111011011
+10000001010000010001000111010101
+10000001010000000001000111001111
+10000001001111110001000111001001
+10000001001111100001000111000011
+10000001001111010001000110111100
+10000001001111000001000110110110
+10000001001110110001000110110000
+10000001001110100001000110101010
+10000001001110100001000110100011
+10000001001110010001000110011101
+10000001001110000001000110010111
+10000001001101110001000110010001
+10000001001101100001000110001011
+10000001001101010001000110000100
+10000001001101000001000101111110
+10000001001101000001000101111000
+10000001001100110001000101110010
+10000001001100100001000101101011
+10000001001100010001000101100101
+10000001001100000001000101011111
+10000001001011110001000101011001
+10000001001011100001000101010011
+10000001001011100001000101001100
+10000001001011010001000101000110
+10000001001011000001000101000000
+10000001001010110001000100111010
+10000001001010100001000100110011
+10000001001010010001000100101101
+10000001001010010001000100100111
+10000001001010000001000100100001
+10000001001001110001000100011011
+10000001001001100001000100010100
+10000001001001010001000100001110
+10000001001001000001000100001000
+10000001001001000001000100000010
+10000001001000110001000011111011
+10000001001000100001000011110101
+10000001001000010001000011101111
+10000001001000000001000011101001
+10000001000111110001000011100010
+10000001000111110001000011011100
+10000001000111100001000011010110
+10000001000111010001000011010000
+10000001000111000001000011001010
+10000001000110110001000011000011
+10000001000110100001000010111101
+10000001000110100001000010110111
+10000001000110010001000010110001
+10000001000110000001000010101010
+10000001000101110001000010100100
+10000001000101100001000010011110
+10000001000101100001000010011000
+10000001000101010001000010010010
+10000001000101000001000010001011
+10000001000100110001000010000101
+10000001000100100001000001111111
+10000001000100010001000001111001
+10000001000100010001000001110010
+10000001000100000001000001101100
+10000001000011110001000001100110
+10000001000011100001000001100000
+10000001000011010001000001011001
+10000001000011010001000001010011
+10000001000011000001000001001101
+10000001000010110001000001000111
+10000001000010100001000001000001
+10000001000010010001000000111010
+10000001000010010001000000110100
+10000001000010000001000000101110
+10000001000001110001000000101000
+10000001000001100001000000100001
+10000001000001010001000000011011
+10000001000001010001000000010101
+10000001000001000001000000001111
+10000001000000110001000000001000
+10000001000000100001000000000010
+10000001000000100000111111111100
+10000001000000010000111111110110
+10000001000000000000111111101111
+10000000111111110000111111101001
+10000000111111100000111111100011
+10000000111111100000111111011101
+10000000111111010000111111010111
+10000000111111000000111111010000
+10000000111110110000111111001010
+10000000111110110000111111000100
+10000000111110100000111110111110
+10000000111110010000111110110111
+10000000111110000000111110110001
+10000000111101110000111110101011
+10000000111101110000111110100101
+10000000111101100000111110011110
+10000000111101010000111110011000
+10000000111101000000111110010010
+10000000111101000000111110001100
+10000000111100110000111110000101
+10000000111100100000111101111111
+10000000111100010000111101111001
+10000000111100010000111101110011
+10000000111100000000111101101101
+10000000111011110000111101100110
+10000000111011100000111101100000
+10000000111011100000111101011010
+10000000111011010000111101010100
+10000000111011000000111101001101
+10000000111010110000111101000111
+10000000111010110000111101000001
+10000000111010100000111100111011
+10000000111010010000111100110100
+10000000111010000000111100101110
+10000000111010000000111100101000
+10000000111001110000111100100010
+10000000111001100000111100011011
+10000000111001010000111100010101
+10000000111001010000111100001111
+10000000111001000000111100001001
+10000000111000110000111100000010
+10000000111000100000111011111100
+10000000111000100000111011110110
+10000000111000010000111011110000
+10000000111000000000111011101010
+10000000110111110000111011100011
+10000000110111110000111011011101
+10000000110111100000111011010111
+10000000110111010000111011010001
+10000000110111010000111011001010
+10000000110111000000111011000100
+10000000110110110000111010111110
+10000000110110100000111010111000
+10000000110110100000111010110001
+10000000110110010000111010101011
+10000000110110000000111010100101
+10000000110101110000111010011111
+10000000110101110000111010011000
+10000000110101100000111010010010
+10000000110101010000111010001100
+10000000110101010000111010000110
+10000000110101000000111001111111
+10000000110100110000111001111001
+10000000110100100000111001110011
+10000000110100100000111001101101
+10000000110100010000111001100110
+10000000110100000000111001100000
+10000000110100000000111001011010
+10000000110011110000111001010100
+10000000110011100000111001001101
+10000000110011100000111001000111
+10000000110011010000111001000001
+10000000110011000000111000111011
+10000000110010110000111000110101
+10000000110010110000111000101110
+10000000110010100000111000101000
+10000000110010010000111000100010
+10000000110010010000111000011100
+10000000110010000000111000010101
+10000000110001110000111000001111
+10000000110001110000111000001001
+10000000110001100000111000000011
+10000000110001010000110111111100
+10000000110001010000110111110110
+10000000110001000000110111110000
+10000000110000110000110111101010
+10000000110000100000110111100011
+10000000110000100000110111011101
+10000000110000010000110111010111
+10000000110000000000110111010001
+10000000110000000000110111001010
+10000000101111110000110111000100
+10000000101111100000110110111110
+10000000101111100000110110111000
+10000000101111010000110110110001
+10000000101111000000110110101011
+10000000101111000000110110100101
+10000000101110110000110110011111
+10000000101110100000110110011000
+10000000101110100000110110010010
+10000000101110010000110110001100
+10000000101110000000110110000110
+10000000101110000000110101111111
+10000000101101110000110101111001
+10000000101101100000110101110011
+10000000101101100000110101101101
+10000000101101010000110101100110
+10000000101101000000110101100000
+10000000101101000000110101011010
+10000000101100110000110101010100
+10000000101100100000110101001101
+10000000101100100000110101000111
+10000000101100010000110101000001
+10000000101100000000110100111011
+10000000101100000000110100110100
+10000000101011110000110100101110
+10000000101011110000110100101000
+10000000101011100000110100100010
+10000000101011010000110100011011
+10000000101011010000110100010101
+10000000101011000000110100001111
+10000000101010110000110100001001
+10000000101010110000110100000010
+10000000101010100000110011111100
+10000000101010010000110011110110
+10000000101010010000110011110000
+10000000101010000000110011101001
+10000000101010000000110011100011
+10000000101001110000110011011101
+10000000101001100000110011010111
+10000000101001100000110011010000
+10000000101001010000110011001010
+10000000101001000000110011000100
+10000000101001000000110010111110
+10000000101000110000110010110111
+10000000101000100000110010110001
+10000000101000100000110010101011
+10000000101000010000110010100101
+10000000101000010000110010011110
+10000000101000000000110010011000
+10000000100111110000110010010010
+10000000100111110000110010001100
+10000000100111100000110010000101
+10000000100111100000110001111111
+10000000100111010000110001111001
+10000000100111000000110001110011
+10000000100111000000110001101100
+10000000100110110000110001100110
+10000000100110100000110001100000
+10000000100110100000110001011010
+10000000100110010000110001010011
+10000000100110010000110001001101
+10000000100110000000110001000111
+10000000100101110000110001000001
+10000000100101110000110000111010
+10000000100101100000110000110100
+10000000100101100000110000101110
+10000000100101010000110000101000
+10000000100101000000110000100001
+10000000100101000000110000011011
+10000000100100110000110000010101
+10000000100100110000110000001111
+10000000100100100000110000001000
+10000000100100100000110000000010
+10000000100100010000101111111100
+10000000100100000000101111110110
+10000000100100000000101111101111
+10000000100011110000101111101001
+10000000100011110000101111100011
+10000000100011100000101111011101
+10000000100011010000101111010110
+10000000100011010000101111010000
+10000000100011000000101111001010
+10000000100011000000101111000011
+10000000100010110000101110111101
+10000000100010110000101110110111
+10000000100010100000101110110001
+10000000100010010000101110101010
+10000000100010010000101110100100
+10000000100010000000101110011110
+10000000100010000000101110011000
+10000000100001110000101110010001
+10000000100001110000101110001011
+10000000100001100000101110000101
+10000000100001010000101101111111
+10000000100001010000101101111000
+10000000100001000000101101110010
+10000000100001000000101101101100
+10000000100000110000101101100110
+10000000100000110000101101011111
+10000000100000100000101101011001
+10000000100000010000101101010011
+10000000100000010000101101001101
+10000000100000000000101101000110
+10000000100000000000101101000000
+10000000011111110000101100111010
+10000000011111110000101100110100
+10000000011111100000101100101101
+10000000011111100000101100100111
+10000000011111010000101100100001
+10000000011111010000101100011011
+10000000011111000000101100010100
+10000000011110110000101100001110
+10000000011110110000101100001000
+10000000011110100000101100000001
+10000000011110100000101011111011
+10000000011110010000101011110101
+10000000011110010000101011101111
+10000000011110000000101011101000
+10000000011110000000101011100010
+10000000011101110000101011011100
+10000000011101110000101011010110
+10000000011101100000101011001111
+10000000011101100000101011001001
+10000000011101010000101011000011
+10000000011101000000101010111101
+10000000011101000000101010110110
+10000000011100110000101010110000
+10000000011100110000101010101010
+10000000011100100000101010100100
+10000000011100100000101010011101
+10000000011100010000101010010111
+10000000011100010000101010010001
+10000000011100000000101010001011
+10000000011100000000101010000100
+10000000011011110000101001111110
+10000000011011110000101001111000
+10000000011011100000101001110001
+10000000011011100000101001101011
+10000000011011010000101001100101
+10000000011011010000101001011111
+10000000011011000000101001011000
+10000000011011000000101001010010
+10000000011010110000101001001100
+10000000011010110000101001000110
+10000000011010100000101000111111
+10000000011010100000101000111001
+10000000011010010000101000110011
+10000000011010010000101000101101
+10000000011010000000101000100110
+10000000011010000000101000100000
+10000000011001110000101000011010
+10000000011001110000101000010100
+10000000011001100000101000001101
+10000000011001100000101000000111
+10000000011001010000101000000001
+10000000011001010000100111111011
+10000000011001000000100111110100
+10000000011001000000100111101110
+10000000011000110000100111101000
+10000000011000110000100111100001
+10000000011000100000100111011011
+10000000011000100000100111010101
+10000000011000010000100111001111
+10000000011000010000100111001000
+10000000011000000000100111000010
+10000000011000000000100110111100
+10000000010111110000100110110110
+10000000010111110000100110101111
+10000000010111100000100110101001
+10000000010111100000100110100011
+10000000010111100000100110011101
+10000000010111010000100110010110
+10000000010111010000100110010000
+10000000010111000000100110001010
+10000000010111000000100110000011
+10000000010110110000100101111101
+10000000010110110000100101110111
+10000000010110100000100101110001
+10000000010110100000100101101010
+10000000010110010000100101100100
+10000000010110010000100101011110
+10000000010110000000100101011000
+10000000010110000000100101010001
+10000000010101110000100101001011
+10000000010101110000100101000101
+10000000010101110000100100111111
+10000000010101100000100100111000
+10000000010101100000100100110010
+10000000010101010000100100101100
+10000000010101010000100100100101
+10000000010101000000100100011111
+10000000010101000000100100011001
+10000000010100110000100100010011
+10000000010100110000100100001100
+10000000010100110000100100000110
+10000000010100100000100100000000
+10000000010100100000100011111010
+10000000010100010000100011110011
+10000000010100010000100011101101
+10000000010100000000100011100111
+10000000010100000000100011100001
+10000000010011110000100011011010
+10000000010011110000100011010100
+10000000010011110000100011001110
+10000000010011100000100011000111
+10000000010011100000100011000001
+10000000010011010000100010111011
+10000000010011010000100010110101
+10000000010011000000100010101110
+10000000010011000000100010101000
+10000000010011000000100010100010
+10000000010010110000100010011100
+10000000010010110000100010010101
+10000000010010100000100010001111
+10000000010010100000100010001001
+10000000010010100000100010000011
+10000000010010010000100001111100
+10000000010010010000100001110110
+10000000010010000000100001110000
+10000000010010000000100001101001
+10000000010001110000100001100011
+10000000010001110000100001011101
+10000000010001110000100001010111
+10000000010001100000100001010000
+10000000010001100000100001001010
+10000000010001010000100001000100
+10000000010001010000100000111110
+10000000010001010000100000110111
+10000000010001000000100000110001
+10000000010001000000100000101011
+10000000010000110000100000100100
+10000000010000110000100000011110
+10000000010000110000100000011000
+10000000010000100000100000010010
+10000000010000100000100000001011
+10000000010000010000100000000101
+10000000010000010000011111111111
+10000000010000010000011111111001
+10000000010000000000011111110010
+10000000010000000000011111101100
+10000000001111110000011111100110
+10000000001111110000011111100000
+10000000001111110000011111011001
+10000000001111100000011111010011
+10000000001111100000011111001101
+10000000001111100000011111000110
+10000000001111010000011111000000
+10000000001111010000011110111010
+10000000001111000000011110110100
+10000000001111000000011110101101
+10000000001111000000011110100111
+10000000001110110000011110100001
+10000000001110110000011110011011
+10000000001110100000011110010100
+10000000001110100000011110001110
+10000000001110100000011110001000
+10000000001110010000011110000001
+10000000001110010000011101111011
+10000000001110010000011101110101
+10000000001110000000011101101111
+10000000001110000000011101101000
+10000000001110000000011101100010
+10000000001101110000011101011100
+10000000001101110000011101010110
+10000000001101100000011101001111
+10000000001101100000011101001001
+10000000001101100000011101000011
+10000000001101010000011100111100
+10000000001101010000011100110110
+10000000001101010000011100110000
+10000000001101000000011100101010
+10000000001101000000011100100011
+10000000001101000000011100011101
+10000000001100110000011100010111
+10000000001100110000011100010001
+10000000001100110000011100001010
+10000000001100100000011100000100
+10000000001100100000011011111110
+10000000001100100000011011110111
+10000000001100010000011011110001
+10000000001100010000011011101011
+10000000001100010000011011100101
+10000000001100000000011011011110
+10000000001100000000011011011000
+10000000001100000000011011010010
+10000000001011110000011011001100
+10000000001011110000011011000101
+10000000001011110000011010111111
+10000000001011100000011010111001
+10000000001011100000011010110010
+10000000001011100000011010101100
+10000000001011010000011010100110
+10000000001011010000011010100000
+10000000001011010000011010011001
+10000000001011000000011010010011
+10000000001011000000011010001101
+10000000001011000000011010000111
+10000000001010110000011010000000
+10000000001010110000011001111010
+10000000001010110000011001110100
+10000000001010100000011001101101
+10000000001010100000011001100111
+10000000001010100000011001100001
+10000000001010010000011001011011
+10000000001010010000011001010100
+10000000001010010000011001001110
+10000000001010000000011001001000
+10000000001010000000011001000001
+10000000001010000000011000111011
+10000000001010000000011000110101
+10000000001001110000011000101111
+10000000001001110000011000101000
+10000000001001110000011000100010
+10000000001001100000011000011100
+10000000001001100000011000010110
+10000000001001100000011000001111
+10000000001001010000011000001001
+10000000001001010000011000000011
+10000000001001010000010111111100
+10000000001001010000010111110110
+10000000001001000000010111110000
+10000000001001000000010111101010
+10000000001001000000010111100011
+10000000001000110000010111011101
+10000000001000110000010111010111
+10000000001000110000010111010001
+10000000001000110000010111001010
+10000000001000100000010111000100
+10000000001000100000010110111110
+10000000001000100000010110110111
+10000000001000010000010110110001
+10000000001000010000010110101011
+10000000001000010000010110100101
+10000000001000010000010110011110
+10000000001000000000010110011000
+10000000001000000000010110010010
+10000000001000000000010110001011
+10000000000111110000010110000101
+10000000000111110000010101111111
+10000000000111110000010101111001
+10000000000111110000010101110010
+10000000000111100000010101101100
+10000000000111100000010101100110
+10000000000111100000010101100000
+10000000000111100000010101011001
+10000000000111010000010101010011
+10000000000111010000010101001101
+10000000000111010000010101000110
+10000000000111010000010101000000
+10000000000111000000010100111010
+10000000000111000000010100110100
+10000000000111000000010100101101
+10000000000111000000010100100111
+10000000000110110000010100100001
+10000000000110110000010100011010
+10000000000110110000010100010100
+10000000000110110000010100001110
+10000000000110100000010100001000
+10000000000110100000010100000001
+10000000000110100000010011111011
+10000000000110100000010011110101
+10000000000110010000010011101111
+10000000000110010000010011101000
+10000000000110010000010011100010
+10000000000110010000010011011100
+10000000000110000000010011010101
+10000000000110000000010011001111
+10000000000110000000010011001001
+10000000000110000000010011000011
+10000000000101110000010010111100
+10000000000101110000010010110110
+10000000000101110000010010110000
+10000000000101110000010010101001
+10000000000101110000010010100011
+10000000000101100000010010011101
+10000000000101100000010010010111
+10000000000101100000010010010000
+10000000000101100000010010001010
+10000000000101010000010010000100
+10000000000101010000010001111110
+10000000000101010000010001110111
+10000000000101010000010001110001
+10000000000101010000010001101011
+10000000000101000000010001100100
+10000000000101000000010001011110
+10000000000101000000010001011000
+10000000000101000000010001010010
+10000000000100110000010001001011
+10000000000100110000010001000101
+10000000000100110000010000111111
+10000000000100110000010000111000
+10000000000100110000010000110010
+10000000000100100000010000101100
+10000000000100100000010000100110
+10000000000100100000010000011111
+10000000000100100000010000011001
+10000000000100100000010000010011
+10000000000100010000010000001100
+10000000000100010000010000000110
+10000000000100010000010000000000
+10000000000100010000001111111010
+10000000000100010000001111110011
+10000000000100000000001111101101
+10000000000100000000001111100111
+10000000000100000000001111100001
+10000000000100000000001111011010
+10000000000100000000001111010100
+10000000000011110000001111001110
+10000000000011110000001111000111
+10000000000011110000001111000001
+10000000000011110000001110111011
+10000000000011110000001110110101
+10000000000011110000001110101110
+10000000000011100000001110101000
+10000000000011100000001110100010
+10000000000011100000001110011011
+10000000000011100000001110010101
+10000000000011100000001110001111
+10000000000011010000001110001001
+10000000000011010000001110000010
+10000000000011010000001101111100
+10000000000011010000001101110110
+10000000000011010000001101101111
+10000000000011010000001101101001
+10000000000011000000001101100011
+10000000000011000000001101011101
+10000000000011000000001101010110
+10000000000011000000001101010000
+10000000000011000000001101001010
+10000000000011000000001101000100
+10000000000010110000001100111101
+10000000000010110000001100110111
+10000000000010110000001100110001
+10000000000010110000001100101010
+10000000000010110000001100100100
+10000000000010110000001100011110
+10000000000010110000001100011000
+10000000000010100000001100010001
+10000000000010100000001100001011
+10000000000010100000001100000101
+10000000000010100000001011111110
+10000000000010100000001011111000
+10000000000010100000001011110010
+10000000000010100000001011101100
+10000000000010010000001011100101
+10000000000010010000001011011111
+10000000000010010000001011011001
+10000000000010010000001011010010
+10000000000010010000001011001100
+10000000000010010000001011000110
+10000000000010010000001011000000
+10000000000010000000001010111001
+10000000000010000000001010110011
+10000000000010000000001010101101
+10000000000010000000001010100110
+10000000000010000000001010100000
+10000000000010000000001010011010
+10000000000010000000001010010100
+10000000000010000000001010001101
+10000000000001110000001010000111
+10000000000001110000001010000001
+10000000000001110000001001111011
+10000000000001110000001001110100
+10000000000001110000001001101110
+10000000000001110000001001101000
+10000000000001110000001001100001
+10000000000001110000001001011011
+10000000000001100000001001010101
+10000000000001100000001001001111
+10000000000001100000001001001000
+10000000000001100000001001000010
+10000000000001100000001000111100
+10000000000001100000001000110101
+10000000000001100000001000101111
+10000000000001100000001000101001
+10000000000001100000001000100011
+10000000000001010000001000011100
+10000000000001010000001000010110
+10000000000001010000001000010000
+10000000000001010000001000001001
+10000000000001010000001000000011
+10000000000001010000000111111101
+10000000000001010000000111110111
+10000000000001010000000111110000
+10000000000001010000000111101010
+10000000000001010000000111100100
+10000000000001000000000111011101
+10000000000001000000000111010111
+10000000000001000000000111010001
+10000000000001000000000111001011
+10000000000001000000000111000100
+10000000000001000000000110111110
+10000000000001000000000110111000
+10000000000001000000000110110010
+10000000000001000000000110101011
+10000000000001000000000110100101
+10000000000001000000000110011111
+10000000000001000000000110011000
+10000000000000110000000110010010
+10000000000000110000000110001100
+10000000000000110000000110000110
+10000000000000110000000101111111
+10000000000000110000000101111001
+10000000000000110000000101110011
+10000000000000110000000101101100
+10000000000000110000000101100110
+10000000000000110000000101100000
+10000000000000110000000101011010
+10000000000000110000000101010011
+10000000000000110000000101001101
+10000000000000110000000101000111
+10000000000000110000000101000000
+10000000000000110000000100111010
+10000000000000100000000100110100
+10000000000000100000000100101110
+10000000000000100000000100100111
+10000000000000100000000100100001
+10000000000000100000000100011011
+10000000000000100000000100010100
+10000000000000100000000100001110
+10000000000000100000000100001000
+10000000000000100000000100000010
+10000000000000100000000011111011
+10000000000000100000000011110101
+10000000000000100000000011101111
+10000000000000100000000011101000
+10000000000000100000000011100010
+10000000000000100000000011011100
+10000000000000100000000011010110
+10000000000000100000000011001111
+10000000000000100000000011001001
+10000000000000100000000011000011
+10000000000000100000000010111100
+10000000000000100000000010110110
+10000000000000010000000010110000
+10000000000000010000000010101010
+10000000000000010000000010100011
+10000000000000010000000010011101
+10000000000000010000000010010111
+10000000000000010000000010010001
+10000000000000010000000010001010
+10000000000000010000000010000100
+10000000000000010000000001111110
+10000000000000010000000001110111
+10000000000000010000000001110001
+10000000000000010000000001101011
+10000000000000010000000001100101
+10000000000000010000000001011110
+10000000000000010000000001011000
+10000000000000010000000001010010
+10000000000000010000000001001011
+10000000000000010000000001000101
+10000000000000010000000000111111
+10000000000000010000000000111001
+10000000000000010000000000110010
+10000000000000010000000000101100
+10000000000000010000000000100110
+10000000000000010000000000011111
+10000000000000010000000000011001
+10000000000000010000000000010011
+10000000000000010000000000001101
+10000000000000010000000000000110
+10000000000000010000000000000000
+10000000000000001111111111111010
+10000000000000001111111111110011
+10000000000000001111111111101101
+10000000000000001111111111100111
+10000000000000001111111111100001
+10000000000000001111111111011010
+10000000000000001111111111010100
+10000000000000001111111111001110
+10000000000000001111111111000111
+10000000000000001111111111000001
+10000000000000001111111110111011
+10000000000000001111111110110101
+10000000000000001111111110101110
+10000000000000001111111110101000
+10000000000000001111111110100010
+10000000000000001111111110011011
+10000000000000001111111110010101
+10000000000000001111111110001111
+10000000000000001111111110001001
+10000000000000001111111110000010
+10000000000000001111111101111100
+10000000000000001111111101110110
+10000000000000001111111101101111
+10000000000000001111111101101001
+10000000000000001111111101100011
+10000000000000001111111101011101
+10000000000000001111111101010110
+10000000000000001111111101010000
+10000000000000011111111101001010
+10000000000000011111111101000100
+10000000000000011111111100111101
+10000000000000011111111100110111
+10000000000000011111111100110001
+10000000000000011111111100101010
+10000000000000011111111100100100
+10000000000000011111111100011110
+10000000000000011111111100011000
+10000000000000011111111100010001
+10000000000000011111111100001011
+10000000000000011111111100000101
+10000000000000011111111011111110
+10000000000000011111111011111000
+10000000000000011111111011110010
+10000000000000011111111011101100
+10000000000000011111111011100101
+10000000000000011111111011011111
+10000000000000011111111011011001
+10000000000000011111111011010010
+10000000000000011111111011001100
+10000000000000101111111011000110
+10000000000000101111111011000000
+10000000000000101111111010111001
+10000000000000101111111010110011
+10000000000000101111111010101101
+10000000000000101111111010100110
+10000000000000101111111010100000
+10000000000000101111111010011010
+10000000000000101111111010010100
+10000000000000101111111010001101
+10000000000000101111111010000111
+10000000000000101111111010000001
+10000000000000101111111001111010
+10000000000000101111111001110100
+10000000000000101111111001101110
+10000000000000111111111001101000
+10000000000000111111111001100001
+10000000000000111111111001011011
+10000000000000111111111001010101
+10000000000000111111111001001110
+10000000000000111111111001001000
+10000000000000111111111001000010
+10000000000000111111111000111100
+10000000000000111111111000110101
+10000000000000111111111000101111
+10000000000000111111111000101001
+10000000000000111111111000100011
+10000000000001001111111000011100
+10000000000001001111111000010110
+10000000000001001111111000010000
+10000000000001001111111000001001
+10000000000001001111111000000011
+10000000000001001111110111111101
+10000000000001001111110111110111
+10000000000001001111110111110000
+10000000000001001111110111101010
+10000000000001001111110111100100
+10000000000001011111110111011101
+10000000000001011111110111010111
+10000000000001011111110111010001
+10000000000001011111110111001011
+10000000000001011111110111000100
+10000000000001011111110110111110
+10000000000001011111110110111000
+10000000000001011111110110110001
+10000000000001011111110110101011
+10000000000001101111110110100101
+10000000000001101111110110011111
+10000000000001101111110110011000
+10000000000001101111110110010010
+10000000000001101111110110001100
+10000000000001101111110110000101
+10000000000001101111110101111111
+10000000000001101111110101111001
+10000000000001111111110101110011
+10000000000001111111110101101100
+10000000000001111111110101100110
+10000000000001111111110101100000
+10000000000001111111110101011010
+10000000000001111111110101010011
+10000000000001111111110101001101
+10000000000001111111110101000111
+10000000000010001111110101000000
+10000000000010001111110100111010
+10000000000010001111110100110100
+10000000000010001111110100101110
+10000000000010001111110100100111
+10000000000010001111110100100001
+10000000000010001111110100011011
+10000000000010011111110100010100
+10000000000010011111110100001110
+10000000000010011111110100001000
+10000000000010011111110100000010
+10000000000010011111110011111011
+10000000000010011111110011110101
+10000000000010011111110011101111
+10000000000010101111110011101000
+10000000000010101111110011100010
+10000000000010101111110011011100
+10000000000010101111110011010110
+10000000000010101111110011001111
+10000000000010101111110011001001
+10000000000010101111110011000011
+10000000000010111111110010111100
+10000000000010111111110010110110
+10000000000010111111110010110000
+10000000000010111111110010101010
+10000000000010111111110010100011
+10000000000010111111110010011101
+10000000000011001111110010010111
+10000000000011001111110010010001
+10000000000011001111110010001010
+10000000000011001111110010000100
+10000000000011001111110001111110
+10000000000011001111110001110111
+10000000000011011111110001110001
+10000000000011011111110001101011
+10000000000011011111110001100101
+10000000000011011111110001011110
+10000000000011011111110001011000
+10000000000011101111110001010010
+10000000000011101111110001001011
+10000000000011101111110001000101
+10000000000011101111110000111111
+10000000000011101111110000111001
+10000000000011101111110000110010
+10000000000011111111110000101100
+10000000000011111111110000100110
+10000000000011111111110000011111
+10000000000011111111110000011001
+10000000000011111111110000010011
+10000000000100001111110000001101
+10000000000100001111110000000110
+10000000000100001111110000000000
+10000000000100001111101111111010
+10000000000100001111101111110100
+10000000000100011111101111101101
+10000000000100011111101111100111
+10000000000100011111101111100001
+10000000000100011111101111011010
+10000000000100011111101111010100
+10000000000100101111101111001110
+10000000000100101111101111001000
+10000000000100101111101111000001
+10000000000100101111101110111011
+10000000000100101111101110110101
+10000000000100111111101110101110
+10000000000100111111101110101000
+10000000000100111111101110100010
+10000000000100111111101110011100
+10000000000101001111101110010101
+10000000000101001111101110001111
+10000000000101001111101110001001
+10000000000101001111101110000010
+10000000000101001111101101111100
+10000000000101011111101101110110
+10000000000101011111101101110000
+10000000000101011111101101101001
+10000000000101011111101101100011
+10000000000101101111101101011101
+10000000000101101111101101010111
+10000000000101101111101101010000
+10000000000101101111101101001010
+10000000000101101111101101000100
+10000000000101111111101100111101
+10000000000101111111101100110111
+10000000000101111111101100110001
+10000000000101111111101100101011
+10000000000110001111101100100100
+10000000000110001111101100011110
+10000000000110001111101100011000
+10000000000110001111101100010001
+10000000000110011111101100001011
+10000000000110011111101100000101
+10000000000110011111101011111111
+10000000000110011111101011111000
+10000000000110101111101011110010
+10000000000110101111101011101100
+10000000000110101111101011100110
+10000000000110101111101011011111
+10000000000110111111101011011001
+10000000000110111111101011010011
+10000000000110111111101011001100
+10000000000110111111101011000110
+10000000000111001111101011000000
+10000000000111001111101010111010
+10000000000111001111101010110011
+10000000000111001111101010101101
+10000000000111011111101010100111
+10000000000111011111101010100000
+10000000000111011111101010011010
+10000000000111011111101010010100
+10000000000111101111101010001110
+10000000000111101111101010000111
+10000000000111101111101010000001
+10000000000111101111101001111011
+10000000000111111111101001110101
+10000000000111111111101001101110
+10000000000111111111101001101000
+10000000001000001111101001100010
+10000000001000001111101001011011
+10000000001000001111101001010101
+10000000001000001111101001001111
+10000000001000011111101001001001
+10000000001000011111101001000010
+10000000001000011111101000111100
+10000000001000101111101000110110
+10000000001000101111101000101111
+10000000001000101111101000101001
+10000000001000101111101000100011
+10000000001000111111101000011101
+10000000001000111111101000010110
+10000000001000111111101000010000
+10000000001001001111101000001010
+10000000001001001111101000000100
+10000000001001001111100111111101
+10000000001001001111100111110111
+10000000001001011111100111110001
+10000000001001011111100111101010
+10000000001001011111100111100100
+10000000001001101111100111011110
+10000000001001101111100111011000
+10000000001001101111100111010001
+10000000001001111111100111001011
+10000000001001111111100111000101
+10000000001001111111100110111111
+10000000001001111111100110111000
+10000000001010001111100110110010
+10000000001010001111100110101100
+10000000001010001111100110100101
+10000000001010011111100110011111
+10000000001010011111100110011001
+10000000001010011111100110010011
+10000000001010101111100110001100
+10000000001010101111100110000110
+10000000001010101111100110000000
+10000000001010111111100101111001
+10000000001010111111100101110011
+10000000001010111111100101101101
+10000000001011001111100101100111
+10000000001011001111100101100000
+10000000001011001111100101011010
+10000000001011011111100101010100
+10000000001011011111100101001110
+10000000001011011111100101000111
+10000000001011101111100101000001
+10000000001011101111100100111011
+10000000001011101111100100110100
+10000000001011111111100100101110
+10000000001011111111100100101000
+10000000001011111111100100100010
+10000000001100001111100100011011
+10000000001100001111100100010101
+10000000001100001111100100001111
+10000000001100011111100100001001
+10000000001100011111100100000010
+10000000001100011111100011111100
+10000000001100101111100011110110
+10000000001100101111100011101111
+10000000001100101111100011101001
+10000000001100111111100011100011
+10000000001100111111100011011101
+10000000001100111111100011010110
+10000000001101001111100011010000
+10000000001101001111100011001010
+10000000001101001111100011000100
+10000000001101011111100010111101
+10000000001101011111100010110111
+10000000001101011111100010110001
+10000000001101101111100010101010
+10000000001101101111100010100100
+10000000001101111111100010011110
+10000000001101111111100010011000
+10000000001101111111100010010001
+10000000001110001111100010001011
+10000000001110001111100010000101
+10000000001110001111100001111111
+10000000001110011111100001111000
+10000000001110011111100001110010
+10000000001110011111100001101100
+10000000001110101111100001100101
+10000000001110101111100001011111
+10000000001110111111100001011001
+10000000001110111111100001010011
+10000000001110111111100001001100
+10000000001111001111100001000110
+10000000001111001111100001000000
+10000000001111011111100000111010
+10000000001111011111100000110011
+10000000001111011111100000101101
+10000000001111101111100000100111
+10000000001111101111100000100000
+10000000001111101111100000011010
+10000000001111111111100000010100
+10000000001111111111100000001110
+10000000010000001111100000000111
+10000000010000001111100000000001
+10000000010000001111011111111011
+10000000010000011111011111110101
+10000000010000011111011111101110
+10000000010000101111011111101000
+10000000010000101111011111100010
+10000000010000101111011111011100
+10000000010000111111011111010101
+10000000010000111111011111001111
+10000000010001001111011111001001
+10000000010001001111011111000010
+10000000010001001111011110111100
+10000000010001011111011110110110
+10000000010001011111011110110000
+10000000010001101111011110101001
+10000000010001101111011110100011
+10000000010001101111011110011101
+10000000010001111111011110010111
+10000000010001111111011110010000
+10000000010010001111011110001010
+10000000010010001111011110000100
+10000000010010011111011101111101
+10000000010010011111011101110111
+10000000010010011111011101110001
+10000000010010101111011101101011
+10000000010010101111011101100100
+10000000010010111111011101011110
+10000000010010111111011101011000
+10000000010010111111011101010010
+10000000010011001111011101001011
+10000000010011001111011101000101
+10000000010011011111011100111111
+10000000010011011111011100111001
+10000000010011101111011100110010
+10000000010011101111011100101100
+10000000010011101111011100100110
+10000000010011111111011100011111
+10000000010011111111011100011001
+10000000010100001111011100010011
+10000000010100001111011100001101
+10000000010100011111011100000110
+10000000010100011111011100000000
+10000000010100101111011011111010
+10000000010100101111011011110100
+10000000010100101111011011101101
+10000000010100111111011011100111
+10000000010100111111011011100001
+10000000010101001111011011011011
+10000000010101001111011011010100
+10000000010101011111011011001110
+10000000010101011111011011001000
+10000000010101101111011011000001
+10000000010101101111011010111011
+10000000010101101111011010110101
+10000000010101111111011010101111
+10000000010101111111011010101000
+10000000010110001111011010100010
+10000000010110001111011010011100
+10000000010110011111011010010110
+10000000010110011111011010001111
+10000000010110101111011010001001
+10000000010110101111011010000011
+10000000010110111111011001111101
+10000000010110111111011001110110
+10000000010111001111011001110000
+10000000010111001111011001101010
+10000000010111011111011001100011
+10000000010111011111011001011101
+10000000010111011111011001010111
+10000000010111101111011001010001
+10000000010111101111011001001010
+10000000010111111111011001000100
+10000000010111111111011000111110
+10000000011000001111011000111000
+10000000011000001111011000110001
+10000000011000011111011000101011
+10000000011000011111011000100101
+10000000011000101111011000011111
+10000000011000101111011000011000
+10000000011000111111011000010010
+10000000011000111111011000001100
+10000000011001001111011000000101
+10000000011001001111010111111111
+10000000011001011111010111111001
+10000000011001011111010111110011
+10000000011001101111010111101100
+10000000011001101111010111100110
+10000000011001111111010111100000
+10000000011001111111010111011010
+10000000011010001111010111010011
+10000000011010001111010111001101
+10000000011010011111010111000111
+10000000011010011111010111000001
+10000000011010101111010110111010
+10000000011010101111010110110100
+10000000011010111111010110101110
+10000000011010111111010110101000
+10000000011011001111010110100001
+10000000011011001111010110011011
+10000000011011011111010110010101
+10000000011011011111010110001111
+10000000011011101111010110001000
+10000000011011101111010110000010
+10000000011011111111010101111100
+10000000011011111111010101110101
+10000000011100001111010101101111
+10000000011100001111010101101001
+10000000011100011111010101100011
+10000000011100011111010101011100
+10000000011100101111010101010110
+10000000011100101111010101010000
+10000000011100111111010101001010
+10000000011100111111010101000011
+10000000011101001111010100111101
+10000000011101011111010100110111
+10000000011101011111010100110001
+10000000011101101111010100101010
+10000000011101101111010100100100
+10000000011101111111010100011110
+10000000011101111111010100011000
+10000000011110001111010100010001
+10000000011110001111010100001011
+10000000011110011111010100000101
+10000000011110011111010011111111
+10000000011110101111010011111000
+10000000011110101111010011110010
+10000000011110111111010011101100
+10000000011111001111010011100101
+10000000011111001111010011011111
+10000000011111011111010011011001
+10000000011111011111010011010011
+10000000011111101111010011001100
+10000000011111101111010011000110
+10000000011111111111010011000000
+10000000011111111111010010111010
+10000000100000001111010010110011
+10000000100000001111010010101101
+10000000100000011111010010100111
+10000000100000101111010010100001
+10000000100000101111010010011010
+10000000100000111111010010010100
+10000000100000111111010010001110
+10000000100001001111010010001000
+10000000100001001111010010000001
+10000000100001011111010001111011
+10000000100001101111010001110101
+10000000100001101111010001101111
+10000000100001111111010001101000
+10000000100001111111010001100010
+10000000100010001111010001011100
+10000000100010001111010001010110
+10000000100010011111010001001111
+10000000100010101111010001001001
+10000000100010101111010001000011
+10000000100010111111010000111101
+10000000100010111111010000110110
+10000000100011001111010000110000
+10000000100011001111010000101010
+10000000100011011111010000100011
+10000000100011101111010000011101
+10000000100011101111010000010111
+10000000100011111111010000010001
+10000000100011111111010000001010
+10000000100100001111010000000100
+10000000100100011111001111111110
+10000000100100011111001111111000
+10000000100100101111001111110001
+10000000100100101111001111101011
+10000000100100111111001111100101
+10000000100100111111001111011111
+10000000100101001111001111011000
+10000000100101011111001111010010
+10000000100101011111001111001100
+10000000100101101111001111000110
+10000000100101101111001110111111
+10000000100101111111001110111001
+10000000100110001111001110110011
+10000000100110001111001110101101
+10000000100110011111001110100110
+10000000100110011111001110100000
+10000000100110101111001110011010
+10000000100110111111001110010100
+10000000100110111111001110001101
+10000000100111001111001110000111
+10000000100111011111001110000001
+10000000100111011111001101111011
+10000000100111101111001101110100
+10000000100111101111001101101110
+10000000100111111111001101101000
+10000000101000001111001101100010
+10000000101000001111001101011011
+10000000101000011111001101010101
+10000000101000011111001101001111
+10000000101000101111001101001001
+10000000101000111111001101000010
+10000000101000111111001100111100
+10000000101001001111001100110110
+10000000101001011111001100110000
+10000000101001011111001100101001
+10000000101001101111001100100011
+10000000101001111111001100011101
+10000000101001111111001100010111
+10000000101010001111001100010000
+10000000101010001111001100001010
+10000000101010011111001100000100
+10000000101010101111001011111110
+10000000101010101111001011110111
+10000000101010111111001011110001
+10000000101011001111001011101011
+10000000101011001111001011100101
+10000000101011011111001011011110
+10000000101011101111001011011000
+10000000101011101111001011010010
+10000000101011111111001011001100
+10000000101011111111001011000101
+10000000101100001111001010111111
+10000000101100011111001010111001
+10000000101100011111001010110011
+10000000101100101111001010101100
+10000000101100111111001010100110
+10000000101100111111001010100000
+10000000101101001111001010011010
+10000000101101011111001010010011
+10000000101101011111001010001101
+10000000101101101111001010000111
+10000000101101111111001010000001
+10000000101101111111001001111010
+10000000101110001111001001110100
+10000000101110011111001001101110
+10000000101110011111001001101000
+10000000101110101111001001100001
+10000000101110111111001001011011
+10000000101110111111001001010101
+10000000101111001111001001001111
+10000000101111011111001001001000
+10000000101111011111001001000010
+10000000101111101111001000111100
+10000000101111111111001000110110
+10000000101111111111001000101111
+10000000110000001111001000101001
+10000000110000011111001000100011
+10000000110000011111001000011101
+10000000110000101111001000010110
+10000000110000111111001000010000
+10000000110001001111001000001010
+10000000110001001111001000000100
+10000000110001011111000111111101
+10000000110001101111000111110111
+10000000110001101111000111110001
+10000000110001111111000111101011
+10000000110010001111000111100100
+10000000110010001111000111011110
+10000000110010011111000111011000
+10000000110010101111000111010010
+10000000110010101111000111001011
+10000000110010111111000111000101
+10000000110011001111000110111111
+10000000110011011111000110111001
+10000000110011011111000110110011
+10000000110011101111000110101100
+10000000110011111111000110100110
+10000000110011111111000110100000
+10000000110100001111000110011010
+10000000110100011111000110010011
+10000000110100011111000110001101
+10000000110100101111000110000111
+10000000110100111111000110000001
+10000000110101001111000101111010
+10000000110101001111000101110100
+10000000110101011111000101101110
+10000000110101101111000101101000
+10000000110101101111000101100001
+10000000110101111111000101011011
+10000000110110001111000101010101
+10000000110110011111000101001111
+10000000110110011111000101001000
+10000000110110101111000101000010
+10000000110110111111000100111100
+10000000110111001111000100110110
+10000000110111001111000100101111
+10000000110111011111000100101001
+10000000110111101111000100100011
+10000000110111101111000100011101
+10000000110111111111000100010110
+10000000111000001111000100010000
+10000000111000011111000100001010
+10000000111000011111000100000100
+10000000111000101111000011111110
+10000000111000111111000011110111
+10000000111001001111000011110001
+10000000111001001111000011101011
+10000000111001011111000011100101
+10000000111001101111000011011110
+10000000111001111111000011011000
+10000000111001111111000011010010
+10000000111010001111000011001100
+10000000111010011111000011000101
+10000000111010101111000010111111
+10000000111010101111000010111001
+10000000111010111111000010110011
+10000000111011001111000010101100
+10000000111011011111000010100110
+10000000111011011111000010100000
+10000000111011101111000010011010
+10000000111011111111000010010011
+10000000111100001111000010001101
+10000000111100001111000010000111
+10000000111100011111000010000001
+10000000111100101111000001111011
+10000000111100111111000001110100
+10000000111100111111000001101110
+10000000111101001111000001101000
+10000000111101011111000001100010
+10000000111101101111000001011011
+10000000111101101111000001010101
+10000000111101111111000001001111
+10000000111110001111000001001001
+10000000111110011111000001000010
+10000000111110101111000000111100
+10000000111110101111000000110110
+10000000111110111111000000110000
+10000000111111001111000000101001
+10000000111111011111000000100011
+10000000111111011111000000011101
+10000000111111101111000000010111
+10000000111111111111000000010001
+10000001000000001111000000001010
+10000001000000011111000000000100
+10000001000000011110111111111110
+10000001000000101110111111111000
+10000001000000111110111111110001
+10000001000001001110111111101011
+10000001000001001110111111100101
+10000001000001011110111111011111
+10000001000001101110111111011000
+10000001000001111110111111010010
+10000001000010001110111111001100
+10000001000010001110111111000110
+10000001000010011110111110111111
+10000001000010101110111110111001
+10000001000010111110111110110011
+10000001000011001110111110101101
+10000001000011001110111110100111
+10000001000011011110111110100000
+10000001000011101110111110011010
+10000001000011111110111110010100
+10000001000100001110111110001110
+10000001000100001110111110000111
+10000001000100011110111110000001
+10000001000100101110111101111011
+10000001000100111110111101110101
+10000001000101001110111101101110
+10000001000101011110111101101000
+10000001000101011110111101100010
+10000001000101101110111101011100
+10000001000101111110111101010110
+10000001000110001110111101001111
+10000001000110011110111101001001
+10000001000110011110111101000011
+10000001000110101110111100111101
+10000001000110111110111100110110
+10000001000111001110111100110000
+10000001000111011110111100101010
+10000001000111101110111100100100
+10000001000111101110111100011110
+10000001000111111110111100010111
+10000001001000001110111100010001
+10000001001000011110111100001011
+10000001001000101110111100000101
+10000001001000111110111011111110
+10000001001000111110111011111000
+10000001001001001110111011110010
+10000001001001011110111011101100
+10000001001001101110111011100101
+10000001001001111110111011011111
+10000001001010001110111011011001
+10000001001010001110111011010011
+10000001001010011110111011001101
+10000001001010101110111011000110
+10000001001010111110111011000000
+10000001001011001110111010111010
+10000001001011011110111010110100
+10000001001011011110111010101101
+10000001001011101110111010100111
+10000001001011111110111010100001
+10000001001100001110111010011011
+10000001001100011110111010010101
+10000001001100101110111010001110
+10000001001100111110111010001000
+10000001001100111110111010000010
+10000001001101001110111001111100
+10000001001101011110111001110101
+10000001001101101110111001101111
+10000001001101111110111001101001
+10000001001110001110111001100011
+10000001001110011110111001011101
+10000001001110011110111001010110
+10000001001110101110111001010000
+10000001001110111110111001001010
+10000001001111001110111001000100
+10000001001111011110111000111101
+10000001001111101110111000110111
+10000001001111111110111000110001
+10000001010000001110111000101011
+10000001010000001110111000100101
+10000001010000011110111000011110
+10000001010000101110111000011000
+10000001010000111110111000010010
+10000001010001001110111000001100
+10000001010001011110111000000101
+10000001010001101110110111111111
+10000001010001111110110111111001
+10000001010010001110110111110011
+10000001010010001110110111101101
+10000001010010011110110111100110
+10000001010010101110110111100000
+10000001010010111110110111011010
+10000001010011001110110111010100
+10000001010011011110110111001101
+10000001010011101110110111000111
+10000001010011111110110111000001
+10000001010100001110110110111011
+10000001010100001110110110110101
+10000001010100011110110110101110
+10000001010100101110110110101000
+10000001010100111110110110100010
+10000001010101001110110110011100
+10000001010101011110110110010101
+10000001010101101110110110001111
+10000001010101111110110110001001
+10000001010110001110110110000011
+10000001010110011110110101111101
+10000001010110011110110101110110
+10000001010110101110110101110000
+10000001010110111110110101101010
+10000001010111001110110101100100
+10000001010111011110110101011110
+10000001010111101110110101010111
+10000001010111111110110101010001
+10000001011000001110110101001011
+10000001011000011110110101000101
+10000001011000101110110100111110
+10000001011000111110110100111000
+10000001011001001110110100110010
+10000001011001001110110100101100
+10000001011001011110110100100110
+10000001011001101110110100011111
+10000001011001111110110100011001
+10000001011010001110110100010011
+10000001011010011110110100001101
+10000001011010101110110100000111
+10000001011010111110110100000000
+10000001011011001110110011111010
+10000001011011011110110011110100
+10000001011011101110110011101110
+10000001011011111110110011100111
+10000001011100001110110011100001
+10000001011100011110110011011011
+10000001011100101110110011010101
+10000001011100101110110011001111
+10000001011100111110110011001000
+10000001011101001110110011000010
+10000001011101011110110010111100
+10000001011101101110110010110110
+10000001011101111110110010110000
+10000001011110001110110010101001
+10000001011110011110110010100011
+10000001011110101110110010011101
+10000001011110111110110010010111
+10000001011111001110110010010000
+10000001011111011110110010001010
+10000001011111101110110010000100
+10000001011111111110110001111110
+10000001100000001110110001111000
+10000001100000011110110001110001
+10000001100000101110110001101011
+10000001100000111110110001100101
+10000001100001001110110001011111
+10000001100001011110110001011001
+10000001100001101110110001010010
+10000001100001111110110001001100
+10000001100010001110110001000110
+10000001100010001110110001000000
+10000001100010011110110000111010
+10000001100010101110110000110011
+10000001100010111110110000101101
+10000001100011001110110000100111
+10000001100011011110110000100001
+10000001100011101110110000011011
+10000001100011111110110000010100
+10000001100100001110110000001110
+10000001100100011110110000001000
+10000001100100101110110000000010
+10000001100100111110101111111100
+10000001100101001110101111110101
+10000001100101011110101111101111
+10000001100101101110101111101001
+10000001100101111110101111100011
+10000001100110001110101111011100
+10000001100110011110101111010110
+10000001100110101110101111010000
+10000001100110111110101111001010
+10000001100111001110101111000100
+10000001100111011110101110111101
+10000001100111101110101110110111
+10000001100111111110101110110001
+10000001101000001110101110101011
+10000001101000011110101110100101
+10000001101000101110101110011110
+10000001101000111110101110011000
+10000001101001001110101110010010
+10000001101001011110101110001100
+10000001101001101110101110000110
+10000001101001111110101101111111
+10000001101010001110101101111001
+10000001101010011110101101110011
+10000001101010101110101101101101
+10000001101010111110101101100111
+10000001101011001110101101100000
+10000001101011011110101101011010
+10000001101011101110101101010100
+10000001101011111110101101001110
+10000001101100001110101101001000
+10000001101100011110101101000001
+10000001101100101110101100111011
+10000001101100111110101100110101
+10000001101101001110101100101111
+10000001101101011110101100101001
+10000001101101101110101100100010
+10000001101101111110101100011100
+10000001101110001110101100010110
+10000001101110011110101100010000
+10000001101110101110101100001010
+10000001101110111110101100000011
+10000001101111001110101011111101
+10000001101111101110101011110111
+10000001101111111110101011110001
+10000001110000001110101011101011
+10000001110000011110101011100100
+10000001110000101110101011011110
+10000001110000111110101011011000
+10000001110001001110101011010010
+10000001110001011110101011001100
+10000001110001101110101011000101
+10000001110001111110101010111111
+10000001110010001110101010111001
+10000001110010011110101010110011
+10000001110010101110101010101101
+10000001110010111110101010100110
+10000001110011001110101010100000
+10000001110011011110101010011010
+10000001110011101110101010010100
+10000001110011111110101010001110
+10000001110100001110101010001000
+10000001110100011110101010000001
+10000001110100101110101001111011
+10000001110100111110101001110101
+10000001110101011110101001101111
+10000001110101101110101001101001
+10000001110101111110101001100010
+10000001110110001110101001011100
+10000001110110011110101001010110
+10000001110110101110101001010000
+10000001110110111110101001001010
+10000001110111001110101001000011
+10000001110111011110101000111101
+10000001110111101110101000110111
+10000001110111111110101000110001
+10000001111000001110101000101011
+10000001111000011110101000100100
+10000001111000101110101000011110
+10000001111000111110101000011000
+10000001111001011110101000010010
+10000001111001101110101000001100
+10000001111001111110101000000110
+10000001111010001110100111111111
+10000001111010011110100111111001
+10000001111010101110100111110011
+10000001111010111110100111101101
+10000001111011001110100111100111
+10000001111011011110100111100000
+10000001111011101110100111011010
+10000001111011111110100111010100
+10000001111100001110100111001110
+10000001111100101110100111001000
+10000001111100111110100111000001
+10000001111101001110100110111011
+10000001111101011110100110110101
+10000001111101101110100110101111
+10000001111101111110100110101001
+10000001111110001110100110100010
+10000001111110011110100110011100
+10000001111110101110100110010110
+10000001111110111110100110010000
+10000001111111011110100110001010
+10000001111111101110100110000100
+10000001111111111110100101111101
+10000010000000001110100101110111
+10000010000000011110100101110001
+10000010000000101110100101101011
+10000010000000111110100101100101
+10000010000001001110100101011110
+10000010000001011110100101011000
+10000010000001101110100101010010
+10000010000010001110100101001100
+10000010000010011110100101000110
+10000010000010101110100101000000
+10000010000010111110100100111001
+10000010000011001110100100110011
+10000010000011011110100100101101
+10000010000011101110100100100111
+10000010000011111110100100100001
+10000010000100011110100100011010
+10000010000100101110100100010100
+10000010000100111110100100001110
+10000010000101001110100100001000
+10000010000101011110100100000010
+10000010000101101110100011111100
+10000010000101111110100011110101
+10000010000110001110100011101111
+10000010000110101110100011101001
+10000010000110111110100011100011
+10000010000111001110100011011101
+10000010000111011110100011010110
+10000010000111101110100011010000
+10000010000111111110100011001010
+10000010001000001110100011000100
+10000010001000101110100010111110
+10000010001000111110100010111000
+10000010001001001110100010110001
+10000010001001011110100010101011
+10000010001001101110100010100101
+10000010001001111110100010011111
+10000010001010001110100010011001
+10000010001010101110100010010011
+10000010001010111110100010001100
+10000010001011001110100010000110
+10000010001011011110100010000000
+10000010001011101110100001111010
+10000010001011111110100001110100
+10000010001100001110100001101101
+10000010001100101110100001100111
+10000010001100111110100001100001
+10000010001101001110100001011011
+10000010001101011110100001010101
+10000010001101101110100001001111
+10000010001101111110100001001000
+10000010001110011110100001000010
+10000010001110101110100000111100
+10000010001110111110100000110110
+10000010001111001110100000110000
+10000010001111011110100000101010
+10000010001111101110100000100011
+10000010010000001110100000011101
+10000010010000011110100000010111
+10000010010000101110100000010001
+10000010010000111110100000001011
+10000010010001001110100000000101
+10000010010001101110011111111110
+10000010010001111110011111111000
+10000010010010001110011111110010
+10000010010010011110011111101100
+10000010010010101110011111100110
+10000010010010111110011111011111
+10000010010011011110011111011001
+10000010010011101110011111010011
+10000010010011111110011111001101
+10000010010100001110011111000111
+10000010010100011110011111000001
+10000010010100111110011110111010
+10000010010101001110011110110100
+10000010010101011110011110101110
+10000010010101101110011110101000
+10000010010101111110011110100010
+10000010010110011110011110011100
+10000010010110101110011110010101
+10000010010110111110011110001111
+10000010010111001110011110001001
+10000010010111011110011110000011
+10000010010111111110011101111101
+10000010011000001110011101110111
+10000010011000011110011101110000
+10000010011000101110011101101010
+10000010011000111110011101100100
+10000010011001011110011101011110
+10000010011001101110011101011000
+10000010011001111110011101010010
+10000010011010001110011101001011
+10000010011010011110011101000101
+10000010011010111110011100111111
+10000010011011001110011100111001
+10000010011011011110011100110011
+10000010011011101110011100101101
+10000010011011111110011100100110
+10000010011100011110011100100000
+10000010011100101110011100011010
+10000010011100111110011100010100
+10000010011101001110011100001110
+10000010011101101110011100001000
+10000010011101111110011100000010
+10000010011110001110011011111011
+10000010011110011110011011110101
+10000010011110111110011011101111
+10000010011111001110011011101001
+10000010011111011110011011100011
+10000010011111101110011011011101
+10000010011111111110011011010110
+10000010100000011110011011010000
+10000010100000101110011011001010
+10000010100000111110011011000100
+10000010100001001110011010111110
+10000010100001101110011010111000
+10000010100001111110011010110001
+10000010100010001110011010101011
+10000010100010011110011010100101
+10000010100010111110011010011111
+10000010100011001110011010011001
+10000010100011011110011010010011
+10000010100011101110011010001100
+10000010100100001110011010000110
+10000010100100011110011010000000
+10000010100100101110011001111010
+10000010100100111110011001110100
+10000010100101011110011001101110
+10000010100101101110011001101000
+10000010100101111110011001100001
+10000010100110001110011001011011
+10000010100110101110011001010101
+10000010100110111110011001001111
+10000010100111001110011001001001
+10000010100111011110011001000011
+10000010100111111110011000111100
+10000010101000001110011000110110
+10000010101000011110011000110000
+10000010101000101110011000101010
+10000010101001001110011000100100
+10000010101001011110011000011110
+10000010101001101110011000011000
+10000010101010001110011000010001
+10000010101010011110011000001011
+10000010101010101110011000000101
+10000010101010111110010111111111
+10000010101011011110010111111001
+10000010101011101110010111110011
+10000010101011111110010111101100
+10000010101100011110010111100110
+10000010101100101110010111100000
+10000010101100111110010111011010
+10000010101101001110010111010100
+10000010101101101110010111001110
+10000010101101111110010111001000
+10000010101110001110010111000001
+10000010101110011110010110111011
+10000010101110111110010110110101
+10000010101111001110010110101111
+10000010101111011110010110101001
+10000010101111111110010110100011
+10000010110000001110010110011101
+10000010110000011110010110010110
+10000010110000111110010110010000
+10000010110001001110010110001010
+10000010110001011110010110000100
+10000010110001101110010101111110
+10000010110010001110010101111000
+10000010110010011110010101110001
+10000010110010101110010101101011
+10000010110011001110010101100101
+10000010110011011110010101011111
+10000010110011101110010101011001
+10000010110100001110010101010011
+10000010110100011110010101001101
+10000010110100101110010101000110
+10000010110101001110010101000000
+10000010110101011110010100111010
+10000010110101101110010100110100
+10000010110101111110010100101110
+10000010110110011110010100101000
+10000010110110101110010100100010
+10000010110110111110010100011011
+10000010110111011110010100010101
+10000010110111101110010100001111
+10000010110111111110010100001001
+10000010111000011110010100000011
+10000010111000101110010011111101
+10000010111000111110010011110111
+10000010111001011110010011110000
+10000010111001101110010011101010
+10000010111001111110010011100100
+10000010111010011110010011011110
+10000010111010101110010011011000
+10000010111010111110010011010010
+10000010111011011110010011001100
+10000010111011101110010011000110
+10000010111011111110010010111111
+10000010111100011110010010111001
+10000010111100101110010010110011
+10000010111100111110010010101101
+10000010111101011110010010100111
+10000010111101101110010010100001
+10000010111101111110010010011011
+10000010111110011110010010010100
+10000010111110101110010010001110
+10000010111110111110010010001000
+10000010111111011110010010000010
+10000010111111101110010001111100
+10000010111111111110010001110110
+10000011000000011110010001110000
+10000011000000101110010001101001
+10000011000001001110010001100011
+10000011000001011110010001011101
+10000011000001101110010001010111
+10000011000010001110010001010001
+10000011000010011110010001001011
+10000011000010101110010001000101
+10000011000011001110010000111111
+10000011000011011110010000111000
+10000011000011101110010000110010
+10000011000100001110010000101100
+10000011000100011110010000100110
+10000011000100111110010000100000
+10000011000101001110010000011010
+10000011000101011110010000010100
+10000011000101111110010000001101
+10000011000110001110010000000111
+10000011000110011110010000000001
+10000011000110111110001111111011
+10000011000111001110001111110101
+10000011000111101110001111101111
+10000011000111111110001111101001
+10000011001000001110001111100011
+10000011001000101110001111011100
+10000011001000111110001111010110
+10000011001001001110001111010000
+10000011001001101110001111001010
+10000011001001111110001111000100
+10000011001010011110001110111110
+10000011001010101110001110111000
+10000011001010111110001110110010
+10000011001011011110001110101011
+10000011001011101110001110100101
+10000011001100001110001110011111
+10000011001100011110001110011001
+10000011001100101110001110010011
+10000011001101001110001110001101
+10000011001101011110001110000111
+10000011001101111110001110000001
+10000011001110001110001101111010
+10000011001110011110001101110100
+10000011001110111110001101101110
+10000011001111001110001101101000
+10000011001111101110001101100010
+10000011001111111110001101011100
+10000011010000001110001101010110
+10000011010000101110001101010000
+10000011010000111110001101001001
+10000011010001011110001101000011
+10000011010001101110001100111101
+10000011010001111110001100110111
+10000011010010011110001100110001
+10000011010010101110001100101011
+10000011010011001110001100100101
+10000011010011011110001100011111
+10000011010011101110001100011000
+10000011010100001110001100010010
+10000011010100011110001100001100
+10000011010100111110001100000110
+10000011010101001110001100000000
+10000011010101101110001011111010
+10000011010101111110001011110100
+10000011010110001110001011101110
+10000011010110101110001011100111
+10000011010110111110001011100001
+10000011010111011110001011011011
+10000011010111101110001011010101
+10000011011000001110001011001111
+10000011011000011110001011001001
+10000011011000101110001011000011
+10000011011001001110001010111101
+10000011011001011110001010110111
+10000011011001111110001010110000
+10000011011010001110001010101010
+10000011011010101110001010100100
+10000011011010111110001010011110
+10000011011011011110001010011000
+10000011011011101110001010010010
+10000011011011111110001010001100
+10000011011100011110001010000110
+10000011011100101110001001111111
+10000011011101001110001001111001
+10000011011101011110001001110011
+10000011011101111110001001101101
+10000011011110001110001001100111
+10000011011110101110001001100001
+10000011011110111110001001011011
+10000011011111001110001001010101
+10000011011111101110001001001111
+10000011011111111110001001001000
+10000011100000011110001001000010
+10000011100000101110001000111100
+10000011100001001110001000110110
+10000011100001011110001000110000
+10000011100001111110001000101010
+10000011100010001110001000100100
+10000011100010101110001000011110
+10000011100010111110001000011000
+10000011100011011110001000010001
+10000011100011101110001000001011
+10000011100011111110001000000101
+10000011100100011110000111111111
+10000011100100101110000111111001
+10000011100101001110000111110011
+10000011100101011110000111101101
+10000011100101111110000111100111
+10000011100110001110000111100001
+10000011100110101110000111011011
+10000011100110111110000111010100
+10000011100111011110000111001110
+10000011100111101110000111001000
+10000011101000001110000111000010
+10000011101000011110000110111100
+10000011101000111110000110110110
+10000011101001001110000110110000
+10000011101001101110000110101010
+10000011101001111110000110100100
+10000011101010011110000110011101
+10000011101010101110000110010111
+10000011101011001110000110010001
+10000011101011011110000110001011
+10000011101011111110000110000101
+10000011101100001110000101111111
+10000011101100101110000101111001
+10000011101100111110000101110011
+10000011101101011110000101101101
+10000011101101101110000101100111
+10000011101110001110000101100000
+10000011101110011110000101011010
+10000011101110111110000101010100
+10000011101111001110000101001110
+10000011101111101110000101001000
+10000011101111111110000101000010
+10000011110000011110000100111100
+10000011110000101110000100110110
+10000011110001001110000100110000
+10000011110001011110000100101010
+10000011110001111110000100100011
+10000011110010001110000100011101
+10000011110010101110000100010111
+10000011110010111110000100010001
+10000011110011011110000100001011
+10000011110011101110000100000101
+10000011110100001110000011111111
+10000011110100011110000011111001
+10000011110100111110000011110011
+10000011110101001110000011101101
+10000011110101101110000011100111
+10000011110101111110000011100000
+10000011110110011110000011011010
+10000011110110111110000011010100
+10000011110111001110000011001110
+10000011110111101110000011001000
+10000011110111111110000011000010
+10000011111000011110000010111100
+10000011111000101110000010110110
+10000011111001001110000010110000
+10000011111001011110000010101010
+10000011111001111110000010100011
+10000011111010001110000010011101
+10000011111010101110000010010111
+10000011111010111110000010010001
+10000011111011011110000010001011
+10000011111011111110000010000101
+10000011111100001110000001111111
+10000011111100101110000001111001
+10000011111100111110000001110011
+10000011111101011110000001101101
+10000011111101101110000001100111
+10000011111110001110000001100001
+10000011111110011110000001011010
+10000011111110111110000001010100
+10000011111111001110000001001110
+10000011111111101110000001001000
+10000100000000001110000001000010
+10000100000000011110000000111100
+10000100000000111110000000110110
+10000100000001001110000000110000
+10000100000001101110000000101010
+10000100000001111110000000100100
+10000100000010011110000000011110
+10000100000010111110000000010111
+10000100000011001110000000010001
+10000100000011101110000000001011
+10000100000011111110000000000101
+10000100000100011101111111111111
+10000100000100101101111111111001
+10000100000101001101111111110011
+10000100000101101101111111101101
+10000100000101111101111111100111
+10000100000110011101111111100001
+10000100000110101101111111011011
+10000100000111001101111111010101
+10000100000111011101111111001110
+10000100000111111101111111001000
+10000100001000011101111111000010
+10000100001000101101111110111100
+10000100001001001101111110110110
+10000100001001011101111110110000
+10000100001001111101111110101010
+10000100001010011101111110100100
+10000100001010101101111110011110
+10000100001011001101111110011000
+10000100001011011101111110010010
+10000100001011111101111110001100
+10000100001100001101111110000110
+10000100001100101101111101111111
+10000100001101001101111101111001
+10000100001101011101111101110011
+10000100001101111101111101101101
+10000100001110001101111101100111
+10000100001110101101111101100001
+10000100001111001101111101011011
+10000100001111011101111101010101
+10000100001111111101111101001111
+10000100010000001101111101001001
+10000100010000101101111101000011
+10000100010001001101111100111101
+10000100010001011101111100110111
+10000100010001111101111100110000
+10000100010010011101111100101010
+10000100010010101101111100100100
+10000100010011001101111100011110
+10000100010011011101111100011000
+10000100010011111101111100010010
+10000100010100011101111100001100
+10000100010100101101111100000110
+10000100010101001101111100000000
+10000100010101011101111011111010
+10000100010101111101111011110100
+10000100010110011101111011101110
+10000100010110101101111011101000
+10000100010111001101111011100010
+10000100010111101101111011011011
+10000100010111111101111011010101
+10000100011000011101111011001111
+10000100011000101101111011001001
+10000100011001001101111011000011
+10000100011001101101111010111101
+10000100011001111101111010110111
+10000100011010011101111010110001
+10000100011010111101111010101011
+10000100011011001101111010100101
+10000100011011101101111010011111
+10000100011100001101111010011001
+10000100011100011101111010010011
+10000100011100111101111010001101
+10000100011101001101111010000111
+10000100011101101101111010000000
+10000100011110001101111001111010
+10000100011110011101111001110100
+10000100011110111101111001101110
+10000100011111011101111001101000
+10000100011111101101111001100010
+10000100100000001101111001011100
+10000100100000101101111001010110
+10000100100000111101111001010000
+10000100100001011101111001001010
+10000100100001111101111001000100
+10000100100010001101111000111110
+10000100100010101101111000111000
+10000100100011001101111000110010
+10000100100011011101111000101100
+10000100100011111101111000100110
+10000100100100011101111000100000
+10000100100100101101111000011001
+10000100100101001101111000010011
+10000100100101101101111000001101
+10000100100101111101111000000111
+10000100100110011101111000000001
+10000100100110111101110111111011
+10000100100111001101110111110101
+10000100100111101101110111101111
+10000100101000001101110111101001
+10000100101000011101110111100011
+10000100101000111101110111011101
+10000100101001011101110111010111
+10000100101001101101110111010001
+10000100101010001101110111001011
+10000100101010101101110111000101
+10000100101010111101110110111111
+10000100101011011101110110111001
+10000100101011111101110110110011
+10000100101100001101110110101100
+10000100101100101101110110100110
+10000100101101001101110110100000
+10000100101101011101110110011010
+10000100101101111101110110010100
+10000100101110011101110110001110
+10000100101110101101110110001000
+10000100101111001101110110000010
+10000100101111101101110101111100
+10000100110000001101110101110110
+10000100110000011101110101110000
+10000100110000111101110101101010
+10000100110001011101110101100100
+10000100110001101101110101011110
+10000100110010001101110101011000
+10000100110010101101110101010010
+10000100110010111101110101001100
+10000100110011011101110101000110
+10000100110011111101110101000000
+10000100110100011101110100111010
+10000100110100101101110100110011
+10000100110101001101110100101101
+10000100110101101101110100100111
+10000100110101111101110100100001
+10000100110110011101110100011011
+10000100110110111101110100010101
+10000100110111011101110100001111
+10000100110111101101110100001001
+10000100111000001101110100000011
+10000100111000101101110011111101
+10000100111000111101110011110111
+10000100111001011101110011110001
+10000100111001111101110011101011
+10000100111010011101110011100101
+10000100111010101101110011011111
+10000100111011001101110011011001
+10000100111011101101110011010011
+10000100111011111101110011001101
+10000100111100011101110011000111
+10000100111100111101110011000001
+10000100111101011101110010111011
+10000100111101101101110010110101
+10000100111110001101110010101111
+10000100111110101101110010101000
+10000100111111001101110010100010
+10000100111111011101110010011100
+10000100111111111101110010010110
+10000101000000011101110010010000
+10000101000000111101110010001010
+10000101000001001101110010000100
+10000101000001101101110001111110
+10000101000010001101110001111000
+10000101000010101101110001110010
+10000101000010111101110001101100
+10000101000011011101110001100110
+10000101000011111101110001100000
+10000101000100011101110001011010
+10000101000100101101110001010100
+10000101000101001101110001001110
+10000101000101101101110001001000
+10000101000110001101110001000010
+10000101000110011101110000111100
+10000101000110111101110000110110
+10000101000111011101110000110000
+10000101000111111101110000101010
+10000101001000001101110000100100
+10000101001000101101110000011110
+10000101001001001101110000011000
+10000101001001101101110000010010
+10000101001001111101110000001100
+10000101001010011101110000000110
+10000101001010111101110000000000
+10000101001011011101101111111010
+10000101001011101101101111110011
+10000101001100001101101111101101
+10000101001100101101101111100111
+10000101001101001101101111100001
+10000101001101101101101111011011
+10000101001101111101101111010101
+10000101001110011101101111001111
+10000101001110111101101111001001
+10000101001111011101101111000011
+10000101001111101101101110111101
+10000101010000001101101110110111
+10000101010000101101101110110001
+10000101010001001101101110101011
+10000101010001101101101110100101
+10000101010001111101101110011111
+10000101010010011101101110011001
+10000101010010111101101110010011
+10000101010011011101101110001101
+10000101010011101101101110000111
+10000101010100001101101110000001
+10000101010100101101101101111011
+10000101010101001101101101110101
+10000101010101101101101101101111
+10000101010101111101101101101001
+10000101010110011101101101100011
+10000101010110111101101101011101
+10000101010111011101101101010111
+10000101010111111101101101010001
+10000101011000001101101101001011
+10000101011000101101101101000101
+10000101011001001101101100111111
+10000101011001101101101100111001
+10000101011010001101101100110011
+10000101011010011101101100101101
+10000101011010111101101100100111
+10000101011011011101101100100001
+10000101011011111101101100011011
+10000101011100011101101100010101
+10000101011100111101101100001111
+10000101011101001101101100001001
+10000101011101101101101100000011
+10000101011110001101101011111101
+10000101011110101101101011110111
+10000101011111001101101011110001
+10000101011111011101101011101011
+10000101011111111101101011100101
+10000101100000011101101011011111
+10000101100000111101101011011001
+10000101100001011101101011010011
+10000101100001111101101011001101
+10000101100010001101101011000110
+10000101100010101101101011000000
+10000101100011001101101010111010
+10000101100011101101101010110100
+10000101100100001101101010101110
+10000101100100101101101010101000
+10000101100100111101101010100010
+10000101100101011101101010011100
+10000101100101111101101010010110
+10000101100110011101101010010000
+10000101100110111101101010001010
+10000101100111011101101010000100
+10000101100111101101101001111110
+10000101101000001101101001111000
+10000101101000101101101001110010
+10000101101001001101101001101100
+10000101101001101101101001100110
+10000101101010001101101001100000
+10000101101010011101101001011010
+10000101101010111101101001010100
+10000101101011011101101001001110
+10000101101011111101101001001000
+10000101101100011101101001000010
+10000101101100111101101000111100
+10000101101101011101101000110110
+10000101101101101101101000110000
+10000101101110001101101000101010
+10000101101110101101101000100100
+10000101101111001101101000011110
+10000101101111101101101000011000
+10000101110000001101101000010010
+10000101110000101101101000001100
+10000101110000111101101000000110
+10000101110001011101101000000000
+10000101110001111101100111111010
+10000101110010011101100111110100
+10000101110010111101100111101110
+10000101110011011101100111101000
+10000101110011111101100111100010
+10000101110100011101100111011100
+10000101110100101101100111010110
+10000101110101001101100111010000
+10000101110101101101100111001010
+10000101110110001101100111000100
+10000101110110101101100110111110
+10000101110111001101100110111000
+10000101110111101101100110110010
+10000101111000001101100110101100
+10000101111000011101100110100110
+10000101111000111101100110100000
+10000101111001011101100110011010
+10000101111001111101100110010100
+10000101111010011101100110001110
+10000101111010111101100110001000
+10000101111011011101100110000010
+10000101111011111101100101111100
+10000101111100011101100101110110
+10000101111100101101100101110000
+10000101111101001101100101101010
+10000101111101101101100101100100
+10000101111110001101100101011110
+10000101111110101101100101011000
+10000101111111001101100101010010
+10000101111111101101100101001100
+10000110000000001101100101000111
+10000110000000101101100101000001
+10000110000000111101100100111011
+10000110000001011101100100110101
+10000110000001111101100100101111
+10000110000010011101100100101001
+10000110000010111101100100100011
+10000110000011011101100100011101
+10000110000011111101100100010111
+10000110000100011101100100010001
+10000110000100111101100100001011
+10000110000101011101100100000101
+10000110000101111101100011111111
+10000110000110001101100011111001
+10000110000110101101100011110011
+10000110000111001101100011101101
+10000110000111101101100011100111
+10000110001000001101100011100001
+10000110001000101101100011011011
+10000110001001001101100011010101
+10000110001001101101100011001111
+10000110001010001101100011001001
+10000110001010101101100011000011
+10000110001011001101100010111101
+10000110001011101101100010110111
+10000110001100001101100010110001
+10000110001100011101100010101011
+10000110001100111101100010100101
+10000110001101011101100010011111
+10000110001101111101100010011001
+10000110001110011101100010010011
+10000110001110111101100010001101
+10000110001111011101100010000111
+10000110001111111101100010000001
+10000110010000011101100001111011
+10000110010000111101100001110101
+10000110010001011101100001101111
+10000110010001111101100001101001
+10000110010010011101100001100011
+10000110010010111101100001011101
+10000110010011011101100001010111
+10000110010011111101100001010001
+10000110010100011101100001001011
+10000110010100101101100001000101
+10000110010101001101100000111111
+10000110010101101101100000111001
+10000110010110001101100000110011
+10000110010110101101100000101101
+10000110010111001101100000100111
+10000110010111101101100000100010
+10000110011000001101100000011100
+10000110011000101101100000010110
+10000110011001001101100000010000
+10000110011001101101100000001010
+10000110011010001101100000000100
+10000110011010101101011111111110
+10000110011011001101011111111000
+10000110011011101101011111110010
+10000110011100001101011111101100
+10000110011100101101011111100110
+10000110011101001101011111100000
+10000110011101101101011111011010
+10000110011110001101011111010100
+10000110011110101101011111001110
+10000110011111001101011111001000
+10000110011111101101011111000010
+10000110100000001101011110111100
+10000110100000101101011110110110
+10000110100001001101011110110000
+10000110100001101101011110101010
+10000110100010001101011110100100
+10000110100010101101011110011110
+10000110100011001101011110011000
+10000110100011011101011110010010
+10000110100011111101011110001100
+10000110100100011101011110000110
+10000110100100111101011110000000
+10000110100101011101011101111011
+10000110100101111101011101110101
+10000110100110011101011101101111
+10000110100110111101011101101001
+10000110100111011101011101100011
+10000110100111111101011101011101
+10000110101000011101011101010111
+10000110101000111101011101010001
+10000110101001011101011101001011
+10000110101001111101011101000101
+10000110101010011101011100111111
+10000110101010111101011100111001
+10000110101011011101011100110011
+10000110101011111101011100101101
+10000110101100011101011100100111
+10000110101100111101011100100001
+10000110101101011101011100011011
+10000110101101111101011100010101
+10000110101110011101011100001111
+10000110101110111101011100001001
+10000110101111011101011100000011
+10000110101111111101011011111101
+10000110110000011101011011110111
+10000110110000111101011011110010
+10000110110001101101011011101100
+10000110110010001101011011100110
+10000110110010101101011011100000
+10000110110011001101011011011010
+10000110110011101101011011010100
+10000110110100001101011011001110
+10000110110100101101011011001000
+10000110110101001101011011000010
+10000110110101101101011010111100
+10000110110110001101011010110110
+10000110110110101101011010110000
+10000110110111001101011010101010
+10000110110111101101011010100100
+10000110111000001101011010011110
+10000110111000101101011010011000
+10000110111001001101011010010010
+10000110111001101101011010001100
+10000110111010001101011010000110
+10000110111010101101011010000001
+10000110111011001101011001111011
+10000110111011101101011001110101
+10000110111100001101011001101111
+10000110111100101101011001101001
+10000110111101001101011001100011
+10000110111101101101011001011101
+10000110111110001101011001010111
+10000110111110101101011001010001
+10000110111111001101011001001011
+10000110111111101101011001000101
+10000111000000001101011000111111
+10000111000000111101011000111001
+10000111000001011101011000110011
+10000111000001111101011000101101
+10000111000010011101011000100111
+10000111000010111101011000100001
+10000111000011011101011000011100
+10000111000011111101011000010110
+10000111000100011101011000010000
+10000111000100111101011000001010
+10000111000101011101011000000100
+10000111000101111101010111111110
+10000111000110011101010111111000
+10000111000110111101010111110010
+10000111000111011101010111101100
+10000111000111111101010111100110
+10000111001000011101010111100000
+10000111001000111101010111011010
+10000111001001101101010111010100
+10000111001010001101010111001110
+10000111001010101101010111001000
+10000111001011001101010111000011
+10000111001011101101010110111101
+10000111001100001101010110110111
+10000111001100101101010110110001
+10000111001101001101010110101011
+10000111001101101101010110100101
+10000111001110001101010110011111
+10000111001110101101010110011001
+10000111001111001101010110010011
+10000111001111101101010110001101
+10000111010000011101010110000111
+10000111010000111101010110000001
+10000111010001011101010101111011
+10000111010001111101010101110101
+10000111010010011101010101110000
+10000111010010111101010101101010
+10000111010011011101010101100100
+10000111010011111101010101011110
+10000111010100011101010101011000
+10000111010100111101010101010010
+10000111010101011101010101001100
+10000111010110001101010101000110
+10000111010110101101010101000000
+10000111010111001101010100111010
+10000111010111101101010100110100
+10000111011000001101010100101110
+10000111011000101101010100101000
+10000111011001001101010100100011
+10000111011001101101010100011101
+10000111011010001101010100010111
+10000111011010101101010100010001
+10000111011011011101010100001011
+10000111011011111101010100000101
+10000111011100011101010011111111
+10000111011100111101010011111001
+10000111011101011101010011110011
+10000111011101111101010011101101
+10000111011110011101010011100111
+10000111011110111101010011100001
+10000111011111011101010011011100
+10000111100000001101010011010110
+10000111100000101101010011010000
+10000111100001001101010011001010
+10000111100001101101010011000100
+10000111100010001101010010111110
+10000111100010101101010010111000
+10000111100011001101010010110010
+10000111100011101101010010101100
+10000111100100011101010010100110
+10000111100100111101010010100000
+10000111100101011101010010011011
+10000111100101111101010010010101
+10000111100110011101010010001111
+10000111100110111101010010001001
+10000111100111011101010010000011
+10000111101000001101010001111101
+10000111101000101101010001110111
+10000111101001001101010001110001
+10000111101001101101010001101011
+10000111101010001101010001100101
+10000111101010101101010001011111
+10000111101011001101010001011010
+10000111101011101101010001010100
+10000111101100011101010001001110
+10000111101100111101010001001000
+10000111101101011101010001000010
+10000111101101111101010000111100
+10000111101110011101010000110110
+10000111101110111101010000110000
+10000111101111101101010000101010
+10000111110000001101010000100100
+10000111110000101101010000011110
+10000111110001001101010000011001
+10000111110001101101010000010011
+10000111110010001101010000001101
+10000111110010101101010000000111
+10000111110011011101010000000001
+10000111110011111101001111111011
+10000111110100011101001111110101
+10000111110100111101001111101111
+10000111110101011101001111101001
+10000111110101111101001111100011
+10000111110110101101001111011110
+10000111110111001101001111011000
+10000111110111101101001111010010
+10000111111000001101001111001100
+10000111111000101101001111000110
+10000111111001001101001111000000
+10000111111001111101001110111010
+10000111111010011101001110110100
+10000111111010111101001110101110
+10000111111011011101001110101001
+10000111111011111101001110100011
+10000111111100011101001110011101
+10000111111101001101001110010111
+10000111111101101101001110010001
+10000111111110001101001110001011
+10000111111110101101001110000101
+10000111111111001101001101111111
+10000111111111111101001101111001
+10001000000000011101001101110011
+10001000000000111101001101101110
+10001000000001011101001101101000
+10001000000001111101001101100010
+10001000000010101101001101011100
+10001000000011001101001101010110
+10001000000011101101001101010000
+10001000000100001101001101001010
+10001000000100101101001101000100
+10001000000101011101001100111111
+10001000000101111101001100111001
+10001000000110011101001100110011
+10001000000110111101001100101101
+10001000000111011101001100100111
+10001000000111111101001100100001
+10001000001000101101001100011011
+10001000001001001101001100010101
+10001000001001101101001100001111
+10001000001010001101001100001010
+10001000001010111101001100000100
+10001000001011011101001011111110
+10001000001011111101001011111000
+10001000001100011101001011110010
+10001000001100111101001011101100
+10001000001101101101001011100110
+10001000001110001101001011100000
+10001000001110101101001011011010
+10001000001111001101001011010101
+10001000001111101101001011001111
+10001000010000011101001011001001
+10001000010000111101001011000011
+10001000010001011101001010111101
+10001000010001111101001010110111
+10001000010010101101001010110001
+10001000010011001101001010101011
+10001000010011101101001010100110
+10001000010100001101001010100000
+10001000010100101101001010011010
+10001000010101011101001010010100
+10001000010101111101001010001110
+10001000010110011101001010001000
+10001000010110111101001010000010
+10001000010111101101001001111100
+10001000011000001101001001110111
+10001000011000101101001001110001
+10001000011001001101001001101011
+10001000011001111101001001100101
+10001000011010011101001001011111
+10001000011010111101001001011001
+10001000011011011101001001010011
+10001000011100001101001001001110
+10001000011100101101001001001000
+10001000011101001101001001000010
+10001000011101101101001000111100
+10001000011110011101001000110110
+10001000011110111101001000110000
+10001000011111011101001000101010
+10001000011111111101001000100100
+10001000100000101101001000011111
+10001000100001001101001000011001
+10001000100001101101001000010011
+10001000100010001101001000001101
+10001000100010111101001000000111
+10001000100011011101001000000001
+10001000100011111101000111111011
+10001000100100011101000111110110
+10001000100101001101000111110000
+10001000100101101101000111101010
+10001000100110001101000111100100
+10001000100110101101000111011110
+10001000100111011101000111011000
+10001000100111111101000111010010
+10001000101000011101000111001101
+10001000101000111101000111000111
+10001000101001101101000111000001
+10001000101010001101000110111011
+10001000101010101101000110110101
+10001000101011001101000110101111
+10001000101011111101000110101001
+10001000101100011101000110100100
+10001000101100111101000110011110
+10001000101101101101000110011000
+10001000101110001101000110010010
+10001000101110101101000110001100
+10001000101111001101000110000110
+10001000101111111101000110000000
+10001000110000011101000101111011
+10001000110000111101000101110101
+10001000110001101101000101101111
+10001000110010001101000101101001
+10001000110010101101000101100011
+10001000110011001101000101011101
+10001000110011111101000101010111
+10001000110100011101000101010010
+10001000110100111101000101001100
+10001000110101101101000101000110
+10001000110110001101000101000000
+10001000110110101101000100111010
+10001000110111001101000100110100
+10001000110111111101000100101110
+10001000111000011101000100101001
+10001000111000111101000100100011
+10001000111001101101000100011101
+10001000111010001101000100010111
+10001000111010101101000100010001
+10001000111011011101000100001011
+10001000111011111101000100000110
+10001000111100011101000100000000
+10001000111101001101000011111010
+10001000111101101101000011110100
+10001000111110001101000011101110
+10001000111110101101000011101000
+10001000111111011101000011100010
+10001000111111111101000011011101
+10001001000000011101000011010111
+10001001000001001101000011010001
+10001001000001101101000011001011
+10001001000010001101000011000101
+10001001000010111101000010111111
+10001001000011011101000010111010
+10001001000011111101000010110100
+10001001000100101101000010101110
+10001001000101001101000010101000
+10001001000101101101000010100010
+10001001000110011101000010011100
+10001001000110111101000010010111
+10001001000111011101000010010001
+10001001001000001101000010001011
+10001001001000101101000010000101
+10001001001001001101000001111111
+10001001001001111101000001111001
+10001001001010011101000001110100
+10001001001010111101000001101110
+10001001001011101101000001101000
+10001001001100001101000001100010
+10001001001100101101000001011100
+10001001001101011101000001010110
+10001001001101111101000001010001
+10001001001110011101000001001011
+10001001001111001101000001000101
+10001001001111101101000000111111
+10001001010000001101000000111001
+10001001010000111101000000110011
+10001001010001011101000000101110
+10001001010001111101000000101000
+10001001010010101101000000100010
+10001001010011001101000000011100
+10001001010011101101000000010110
+10001001010100011101000000010000
+10001001010100111101000000001011
+10001001010101011101000000000101
+10001001010110001100111111111111
+10001001010110101100111111111001
+10001001010111011100111111110011
+10001001010111111100111111101110
+10001001011000011100111111101000
+10001001011001001100111111100010
+10001001011001101100111111011100
+10001001011010001100111111010110
+10001001011010111100111111010000
+10001001011011011100111111001011
+10001001011011111100111111000101
+10001001011100101100111110111111
+10001001011101001100111110111001
+10001001011101111100111110110011
+10001001011110011100111110101110
+10001001011110111100111110101000
+10001001011111101100111110100010
+10001001100000001100111110011100
+10001001100000101100111110010110
+10001001100001011100111110010000
+10001001100001111100111110001011
+10001001100010101100111110000101
+10001001100011001100111101111111
+10001001100011101100111101111001
+10001001100100011100111101110011
+10001001100100111100111101101110
+10001001100101011100111101101000
+10001001100110001100111101100010
+10001001100110101100111101011100
+10001001100111011100111101010110
+10001001100111111100111101010000
+10001001101000011100111101001011
+10001001101001001100111101000101
+10001001101001101100111100111111
+10001001101010011100111100111001
+10001001101010111100111100110011
+10001001101011011100111100101110
+10001001101100001100111100101000
+10001001101100101100111100100010
+10001001101101011100111100011100
+10001001101101111100111100010110
+10001001101110011100111100010001
+10001001101111001100111100001011
+10001001101111101100111100000101
+10001001110000011100111011111111
+10001001110000111100111011111001
+10001001110001011100111011110100
+10001001110010001100111011101110
+10001001110010101100111011101000
+10001001110011011100111011100010
+10001001110011111100111011011100
+10001001110100011100111011010111
+10001001110101001100111011010001
+10001001110101101100111011001011
+10001001110110011100111011000101
+10001001110110111100111010111111
+10001001110111101100111010111010
+10001001111000001100111010110100
+10001001111000101100111010101110
+10001001111001011100111010101000
+10001001111001111100111010100010
+10001001111010101100111010011101
+10001001111011001100111010010111
+10001001111011101100111010010001
+10001001111100011100111010001011
+10001001111100111100111010000101
+10001001111101101100111010000000
+10001001111110001100111001111010
+10001001111110111100111001110100
+10001001111111011100111001101110
+10001001111111111100111001101000
+10001010000000101100111001100011
+10001010000001001100111001011101
+10001010000001111100111001010111
+10001010000010011100111001010001
+10001010000011001100111001001011
+10001010000011101100111001000110
+10001010000100011100111001000000
+10001010000100111100111000111010
+10001010000101011100111000110100
+10001010000110001100111000101111
+10001010000110101100111000101001
+10001010000111011100111000100011
+10001010000111111100111000011101
+10001010001000101100111000010111
+10001010001001001100111000010010
+10001010001001111100111000001100
+10001010001010011100111000000110
+10001010001010111100111000000000
+10001010001011101100110111111010
+10001010001100001100110111110101
+10001010001100111100110111101111
+10001010001101011100110111101001
+10001010001110001100110111100011
+10001010001110101100110111011110
+10001010001111011100110111011000
+10001010001111111100110111010010
+10001010010000101100110111001100
+10001010010001001100110111000110
+10001010010001111100110111000001
+10001010010010011100110110111011
+10001010010010111100110110110101
+10001010010011101100110110101111
+10001010010100001100110110101010
+10001010010100111100110110100100
+10001010010101011100110110011110
+10001010010110001100110110011000
+10001010010110101100110110010010
+10001010010111011100110110001101
+10001010010111111100110110000111
+10001010011000101100110110000001
+10001010011001001100110101111011
+10001010011001111100110101110110
+10001010011010011100110101110000
+10001010011011001100110101101010
+10001010011011101100110101100100
+10001010011100011100110101011111
+10001010011100111100110101011001
+10001010011101101100110101010011
+10001010011110001100110101001101
+10001010011110111100110101000111
+10001010011111011100110101000010
+10001010100000001100110100111100
+10001010100000101100110100110110
+10001010100001011100110100110000
+10001010100001111100110100101011
+10001010100010101100110100100101
+10001010100011001100110100011111
+10001010100011111100110100011001
+10001010100100011100110100010100
+10001010100101001100110100001110
+10001010100101101100110100001000
+10001010100110011100110100000010
+10001010100110111100110011111100
+10001010100111101100110011110111
+10001010101000001100110011110001
+10001010101000111100110011101011
+10001010101001011100110011100101
+10001010101010001100110011100000
+10001010101010101100110011011010
+10001010101011011100110011010100
+10001010101011111100110011001110
+10001010101100101100110011001001
+10001010101101001100110011000011
+10001010101101111100110010111101
+10001010101110011100110010110111
+10001010101111001100110010110010
+10001010101111101100110010101100
+10001010110000011100110010100110
+10001010110000111100110010100000
+10001010110001101100110010011011
+10001010110010001100110010010101
+10001010110010111100110010001111
+10001010110011011100110010001001
+10001010110100001100110010000100
+10001010110100101100110001111110
+10001010110101011100110001111000
+10001010110101111100110001110010
+10001010110110101100110001101101
+10001010110111011100110001100111
+10001010110111111100110001100001
+10001010111000101100110001011011
+10001010111001001100110001010110
+10001010111001111100110001010000
+10001010111010011100110001001010
+10001010111011001100110001000100
+10001010111011101100110000111111
+10001010111100011100110000111001
+10001010111100111100110000110011
+10001010111101101100110000101101
+10001010111110001100110000101000
+10001010111110111100110000100010
+10001010111111101100110000011100
+10001011000000001100110000010110
+10001011000000111100110000010001
+10001011000001011100110000001011
+10001011000010001100110000000101
+10001011000010101100101111111111
+10001011000011011100101111111010
+10001011000011111100101111110100
+10001011000100101100101111101110
+10001011000101011100101111101000
+10001011000101111100101111100011
+10001011000110101100101111011101
+10001011000111001100101111010111
+10001011000111111100101111010001
+10001011001000011100101111001100
+10001011001001001100101111000110
+10001011001001101100101111000000
+10001011001010011100101110111011
+10001011001011001100101110110101
+10001011001011101100101110101111
+10001011001100011100101110101001
+10001011001100111100101110100100
+10001011001101101100101110011110
+10001011001110001100101110011000
+10001011001110111100101110010010
+10001011001111101100101110001101
+10001011010000001100101110000111
+10001011010000111100101110000001
+10001011010001011100101101111011
+10001011010010001100101101110110
+10001011010010101100101101110000
+10001011010011011100101101101010
+10001011010100001100101101100101
+10001011010100101100101101011111
+10001011010101011100101101011001
+10001011010101111100101101010011
+10001011010110101100101101001110
+10001011010111011100101101001000
+10001011010111111100101101000010
+10001011011000101100101100111101
+10001011011001001100101100110111
+10001011011001111100101100110001
+10001011011010101100101100101011
+10001011011011001100101100100110
+10001011011011111100101100100000
+10001011011100011100101100011010
+10001011011101001100101100010100
+10001011011101101100101100001111
+10001011011110011100101100001001
+10001011011111001100101100000011
+10001011011111101100101011111110
+10001011100000011100101011111000
+10001011100000111100101011110010
+10001011100001101100101011101100
+10001011100010011100101011100111
+10001011100010111100101011100001
+10001011100011101100101011011011
+10001011100100011100101011010110
+10001011100100111100101011010000
+10001011100101101100101011001010
+10001011100110001100101011000100
+10001011100110111100101010111111
+10001011100111101100101010111001
+10001011101000001100101010110011
+10001011101000111100101010101110
+10001011101001011100101010101000
+10001011101010001100101010100010
+10001011101010111100101010011100
+10001011101011011100101010010111
+10001011101100001100101010010001
+10001011101100111100101010001011
+10001011101101011100101010000110
+10001011101110001100101010000000
+10001011101110101100101001111010
+10001011101111011100101001110100
+10001011110000001100101001101111
+10001011110000101100101001101001
+10001011110001011100101001100011
+10001011110010001100101001011110
+10001011110010101100101001011000
+10001011110011011100101001010010
+10001011110011111100101001001101
+10001011110100101100101001000111
+10001011110101011100101001000001
+10001011110101111100101000111011
+10001011110110101100101000110110
+10001011110111011100101000110000
+10001011110111111100101000101010
+10001011111000101100101000100101
+10001011111001011100101000011111
+10001011111001111100101000011001
+10001011111010101100101000010100
+10001011111011011100101000001110
+10001011111011111100101000001000
+10001011111100101100101000000010
+10001011111101001100100111111101
+10001011111101111100100111110111
+10001011111110101100100111110001
+10001011111111001100100111101100
+10001011111111111100100111100110
+10001100000000101100100111100000
+10001100000001001100100111011011
+10001100000001111100100111010101
+10001100000010101100100111001111
+10001100000011001100100111001001
+10001100000011111100100111000100
+10001100000100101100100110111110
+10001100000101001100100110111000
+10001100000101111100100110110011
+10001100000110101100100110101101
+10001100000111001100100110100111
+10001100000111111100100110100010
+10001100001000101100100110011100
+10001100001001001100100110010110
+10001100001001111100100110010001
+10001100001010101100100110001011
+10001100001011001100100110000101
+10001100001011111100100110000000
+10001100001100101100100101111010
+10001100001101001100100101110100
+10001100001101111100100101101110
+10001100001110101100100101101001
+10001100001111001100100101100011
+10001100001111111100100101011101
+10001100010000101100100101011000
+10001100010001011100100101010010
+10001100010001111100100101001100
+10001100010010101100100101000111
+10001100010011011100100101000001
+10001100010011111100100100111011
+10001100010100101100100100110110
+10001100010101011100100100110000
+10001100010101111100100100101010
+10001100010110101100100100100101
+10001100010111011100100100011111
+10001100010111111100100100011001
+10001100011000101100100100010100
+10001100011001011100100100001110
+10001100011010001100100100001000
+10001100011010101100100100000011
+10001100011011011100100011111101
+10001100011100001100100011110111
+10001100011100101100100011110010
+10001100011101011100100011101100
+10001100011110001100100011100110
+10001100011110101100100011100001
+10001100011111011100100011011011
+10001100100000001100100011010101
+10001100100000111100100011010000
+10001100100001011100100011001010
+10001100100010001100100011000100
+10001100100010111100100010111111
+10001100100011011100100010111001
+10001100100100001100100010110011
+10001100100100111100100010101110
+10001100100101101100100010101000
+10001100100110001100100010100010
+10001100100110111100100010011101
+10001100100111101100100010010111
+10001100101000001100100010010001
+10001100101000111100100010001100
+10001100101001101100100010000110
+10001100101010011100100010000000
+10001100101010111100100001111011
+10001100101011101100100001110101
+10001100101100011100100001101111
+10001100101100111100100001101010
+10001100101101101100100001100100
+10001100101110011100100001011110
+10001100101111001100100001011001
+10001100101111101100100001010011
+10001100110000011100100001001101
+10001100110001001100100001001000
+10001100110001111100100001000010
+10001100110010011100100000111100
+10001100110011001100100000110111
+10001100110011111100100000110001
+10001100110100101100100000101011
+10001100110101001100100000100110
+10001100110101111100100000100000
+10001100110110101100100000011010
+10001100110111011100100000010101
+10001100110111111100100000001111
+10001100111000101100100000001001
+10001100111001011100100000000100
+10001100111010001100011111111110
+10001100111010101100011111111001
+10001100111011011100011111110011
+10001100111100001100011111101101
+10001100111100111100011111101000
+10001100111101011100011111100010
+10001100111110001100011111011100
+10001100111110111100011111010111
+10001100111111101100011111010001
+10001101000000001100011111001011
+10001101000000111100011111000110
+10001101000001101100011111000000
+10001101000010011100011110111010
+10001101000010111100011110110101
+10001101000011101100011110101111
+10001101000100011100011110101010
+10001101000101001100011110100100
+10001101000101101100011110011110
+10001101000110011100011110011001
+10001101000111001100011110010011
+10001101000111111100011110001101
+10001101001000011100011110001000
+10001101001001001100011110000010
+10001101001001111100011101111100
+10001101001010101100011101110111
+10001101001011011100011101110001
+10001101001011111100011101101011
+10001101001100101100011101100110
+10001101001101011100011101100000
+10001101001110001100011101011011
+10001101001110101100011101010101
+10001101001111011100011101001111
+10001101010000001100011101001010
+10001101010000111100011101000100
+10001101010001101100011100111110
+10001101010010001100011100111001
+10001101010010111100011100110011
+10001101010011101100011100101110
+10001101010100011100011100101000
+10001101010101001100011100100010
+10001101010101101100011100011101
+10001101010110011100011100010111
+10001101010111001100011100010001
+10001101010111111100011100001100
+10001101011000101100011100000110
+10001101011001001100011100000001
+10001101011001111100011011111011
+10001101011010101100011011110101
+10001101011011011100011011110000
+10001101011100001100011011101010
+10001101011100101100011011100100
+10001101011101011100011011011111
+10001101011110001100011011011001
+10001101011110111100011011010100
+10001101011111101100011011001110
+10001101100000001100011011001000
+10001101100000111100011011000011
+10001101100001101100011010111101
+10001101100010011100011010110111
+10001101100011001100011010110010
+10001101100011101100011010101100
+10001101100100011100011010100111
+10001101100101001100011010100001
+10001101100101111100011010011011
+10001101100110101100011010010110
+10001101100111011100011010010000
+10001101100111111100011010001010
+10001101101000101100011010000101
+10001101101001011100011001111111
+10001101101010001100011001111010
+10001101101010111100011001110100
+10001101101011011100011001101110
+10001101101100001100011001101001
+10001101101100111100011001100011
+10001101101101101100011001011110
+10001101101110011100011001011000
+10001101101111001100011001010010
+10001101101111101100011001001101
+10001101110000011100011001000111
+10001101110001001100011001000010
+10001101110001111100011000111100
+10001101110010101100011000110110
+10001101110011011100011000110001
+10001101110011111100011000101011
+10001101110100101100011000100110
+10001101110101011100011000100000
+10001101110110001100011000011010
+10001101110110111100011000010101
+10001101110111101100011000001111
+10001101111000001100011000001010
+10001101111000111100011000000100
+10001101111001101100010111111110
+10001101111010011100010111111001
+10001101111011001100010111110011
+10001101111011111100010111101110
+10001101111100101100010111101000
+10001101111101001100010111100010
+10001101111101111100010111011101
+10001101111110101100010111010111
+10001101111111011100010111010010
+10001110000000001100010111001100
+10001110000000111100010111000110
+10001110000001101100010111000001
+10001110000010001100010110111011
+10001110000010111100010110110110
+10001110000011101100010110110000
+10001110000100011100010110101010
+10001110000101001100010110100101
+10001110000101111100010110011111
+10001110000110101100010110011010
+10001110000111001100010110010100
+10001110000111111100010110001110
+10001110001000101100010110001001
+10001110001001011100010110000011
+10001110001010001100010101111110
+10001110001010111100010101111000
+10001110001011101100010101110010
+10001110001100011100010101101101
+10001110001100111100010101100111
+10001110001101101100010101100010
+10001110001110011100010101011100
+10001110001111001100010101010111
+10001110001111111100010101010001
+10001110010000101100010101001011
+10001110010001011100010101000110
+10001110010010001100010101000000
+10001110010010101100010100111011
+10001110010011011100010100110101
+10001110010100001100010100101111
+10001110010100111100010100101010
+10001110010101101100010100100100
+10001110010110011100010100011111
+10001110010111001100010100011001
+10001110010111111100010100010100
+10001110011000101100010100001110
+10001110011001001100010100001000
+10001110011001111100010100000011
+10001110011010101100010011111101
+10001110011011011100010011111000
+10001110011100001100010011110010
+10001110011100111100010011101101
+10001110011101101100010011100111
+10001110011110011100010011100001
+10001110011111001100010011011100
+10001110011111111100010011010110
+10001110100000011100010011010001
+10001110100001001100010011001011
+10001110100001111100010011000110
+10001110100010101100010011000000
+10001110100011011100010010111010
+10001110100100001100010010110101
+10001110100100111100010010101111
+10001110100101101100010010101010
+10001110100110011100010010100100
+10001110100111001100010010011111
+10001110100111111100010010011001
+10001110101000101100010010010011
+10001110101001001100010010001110
+10001110101001111100010010001000
+10001110101010101100010010000011
+10001110101011011100010001111101
+10001110101100001100010001111000
+10001110101100111100010001110010
+10001110101101101100010001101100
+10001110101110011100010001100111
+10001110101111001100010001100001
+10001110101111111100010001011100
+10001110110000101100010001010110
+10001110110001011100010001010001
+10001110110010001100010001001011
+10001110110010101100010001000110
+10001110110011011100010001000000
+10001110110100001100010000111010
+10001110110100111100010000110101
+10001110110101101100010000101111
+10001110110110011100010000101010
+10001110110111001100010000100100
+10001110110111111100010000011111
+10001110111000101100010000011001
+10001110111001011100010000010100
+10001110111010001100010000001110
+10001110111010111100010000001000
+10001110111011101100010000000011
+10001110111100011100001111111101
+10001110111101001100001111111000
+10001110111101111100001111110010
+10001110111110101100001111101101
+10001110111111001100001111100111
+10001110111111111100001111100010
+10001111000000101100001111011100
+10001111000001011100001111010111
+10001111000010001100001111010001
+10001111000010111100001111001011
+10001111000011101100001111000110
+10001111000100011100001111000000
+10001111000101001100001110111011
+10001111000101111100001110110101
+10001111000110101100001110110000
+10001111000111011100001110101010
+10001111001000001100001110100101
+10001111001000111100001110011111
+10001111001001101100001110011010
+10001111001010011100001110010100
+10001111001011001100001110001111
+10001111001011111100001110001001
+10001111001100101100001110000011
+10001111001101011100001101111110
+10001111001110001100001101111000
+10001111001110111100001101110011
+10001111001111101100001101101101
+10001111010000011100001101101000
+10001111010001001100001101100010
+10001111010001111100001101011101
+10001111010010101100001101010111
+10001111010011001100001101010010
+10001111010011111100001101001100
+10001111010100101100001101000111
+10001111010101011100001101000001
+10001111010110001100001100111100
+10001111010110111100001100110110
+10001111010111101100001100110000
+10001111011000011100001100101011
+10001111011001001100001100100101
+10001111011001111100001100100000
+10001111011010101100001100011010
+10001111011011011100001100010101
+10001111011100001100001100001111
+10001111011100111100001100001010
+10001111011101101100001100000100
+10001111011110011100001011111111
+10001111011111001100001011111001
+10001111011111111100001011110100
+10001111100000101100001011101110
+10001111100001011100001011101001
+10001111100010001100001011100011
+10001111100010111100001011011110
+10001111100011101100001011011000
+10001111100100011100001011010011
+10001111100101001100001011001101
+10001111100101111100001011001000
+10001111100110101100001011000010
+10001111100111011100001010111100
+10001111101000001100001010110111
+10001111101000111100001010110001
+10001111101001101100001010101100
+10001111101010011100001010100110
+10001111101011001100001010100001
+10001111101011111100001010011011
+10001111101100101100001010010110
+10001111101101011100001010010000
+10001111101110001100001010001011
+10001111101110111100001010000101
+10001111101111101100001010000000
+10001111110000011100001001111010
+10001111110001001100001001110101
+10001111110010001100001001101111
+10001111110010111100001001101010
+10001111110011101100001001100100
+10001111110100011100001001011111
+10001111110101001100001001011001
+10001111110101111100001001010100
+10001111110110101100001001001110
+10001111110111011100001001001001
+10001111111000001100001001000011
+10001111111000111100001000111110
+10001111111001101100001000111000
+10001111111010011100001000110011
+10001111111011001100001000101101
+10001111111011111100001000101000
+10001111111100101100001000100010
+10001111111101011100001000011101
+10001111111110001100001000010111
+10001111111110111100001000010010
+10001111111111101100001000001100
+10010000000000011100001000000111
+10010000000001001100001000000001
+10010000000001111100000111111100
+10010000000010101100000111110110
+10010000000011011100000111110001
+10010000000100001100000111101011
+10010000000100111100000111100110
+10010000000101101100000111100000
+10010000000110011100000111011011
+10010000000111011100000111010101
+10010000001000001100000111010000
+10010000001000111100000111001010
+10010000001001101100000111000101
+10010000001010011100000110111111
+10010000001011001100000110111010
+10010000001011111100000110110100
+10010000001100101100000110101111
+10010000001101011100000110101001
+10010000001110001100000110100100
+10010000001110111100000110011110
+10010000001111101100000110011001
+10010000010000011100000110010011
+10010000010001001100000110001110
+10010000010001111100000110001000
+10010000010010101100000110000011
+10010000010011011100000101111110
+10010000010100011100000101111000
+10010000010101001100000101110011
+10010000010101111100000101101101
+10010000010110101100000101101000
+10010000010111011100000101100010
+10010000011000001100000101011101
+10010000011000111100000101010111
+10010000011001101100000101010010
+10010000011010011100000101001100
+10010000011011001100000101000111
+10010000011011111100000101000001
+10010000011100101100000100111100
+10010000011101011100000100110110
+10010000011110011100000100110001
+10010000011111001100000100101011
+10010000011111111100000100100110
+10010000100000101100000100100000
+10010000100001011100000100011011
+10010000100010001100000100010101
+10010000100010111100000100010000
+10010000100011101100000100001011
+10010000100100011100000100000101
+10010000100101001100000100000000
+10010000100101111100000011111010
+10010000100110111100000011110101
+10010000100111101100000011101111
+10010000101000011100000011101010
+10010000101001001100000011100100
+10010000101001111100000011011111
+10010000101010101100000011011001
+10010000101011011100000011010100
+10010000101100001100000011001110
+10010000101100111100000011001001
+10010000101101101100000011000011
+10010000101110101100000010111110
+10010000101111011100000010111001
+10010000110000001100000010110011
+10010000110000111100000010101110
+10010000110001101100000010101000
+10010000110010011100000010100011
+10010000110011001100000010011101
+10010000110011111100000010011000
+10010000110100101100000010010010
+10010000110101101100000010001101
+10010000110110011100000010000111
+10010000110111001100000010000010
+10010000110111111100000001111100
+10010000111000101100000001110111
+10010000111001011100000001110010
+10010000111010001100000001101100
+10010000111010111100000001100111
+10010000111011101100000001100001
+10010000111100101100000001011100
+10010000111101011100000001010110
+10010000111110001100000001010001
+10010000111110111100000001001011
+10010000111111101100000001000110
+10010001000000011100000001000001
+10010001000001001100000000111011
+10010001000001111100000000110110
+10010001000010111100000000110000
+10010001000011101100000000101011
+10010001000100011100000000100101
+10010001000101001100000000100000
+10010001000101111100000000011010
+10010001000110101100000000010101
+10010001000111011100000000010000
+10010001001000011100000000001010
+10010001001001001100000000000101
+10010001001001111011111111111110
+10010001001010101011111111111001
+10010001001011011011111111110011
+10010001001100001011111111101110
+10010001001100111011111111101000
+10010001001101111011111111100011
+10010001001110101011111111011110
+10010001001111011011111111011000
+10010001010000001011111111010011
+10010001010000111011111111001101
+10010001010001101011111111001000
+10010001010010011011111111000010
+10010001010011011011111110111101
+10010001010100001011111110111000
+10010001010100111011111110110010
+10010001010101101011111110101101
+10010001010110011011111110100111
+10010001010111001011111110100010
+10010001011000001011111110011100
+10010001011000111011111110010111
+10010001011001101011111110010001
+10010001011010011011111110001100
+10010001011011001011111110000111
+10010001011011111011111110000001
+10010001011100111011111101111100
+10010001011101101011111101110110
+10010001011110011011111101110001
+10010001011111001011111101101100
+10010001011111111011111101100110
+10010001100000101011111101100001
+10010001100001101011111101011011
+10010001100010011011111101010110
+10010001100011001011111101010000
+10010001100011111011111101001011
+10010001100100101011111101000110
+10010001100101011011111101000000
+10010001100110011011111100111011
+10010001100111001011111100110101
+10010001100111111011111100110000
+10010001101000101011111100101010
+10010001101001011011111100100101
+10010001101010011011111100100000
+10010001101011001011111100011010
+10010001101011111011111100010101
+10010001101100101011111100001111
+10010001101101011011111100001010
+10010001101110001011111100000101
+10010001101111001011111011111111
+10010001101111111011111011111010
+10010001110000101011111011110100
+10010001110001011011111011101111
+10010001110010001011111011101001
+10010001110011001011111011100100
+10010001110011111011111011011111
+10010001110100101011111011011001
+10010001110101011011111011010100
+10010001110110001011111011001110
+10010001110111001011111011001001
+10010001110111111011111011000100
+10010001111000101011111010111110
+10010001111001011011111010111001
+10010001111010001011111010110011
+10010001111011001011111010101110
+10010001111011111011111010101001
+10010001111100101011111010100011
+10010001111101011011111010011110
+10010001111110001011111010011000
+10010001111111001011111010010011
+10010001111111111011111010001110
+10010010000000101011111010001000
+10010010000001011011111010000011
+10010010000010011011111001111101
+10010010000011001011111001111000
+10010010000011111011111001110011
+10010010000100101011111001101101
+10010010000101011011111001101000
+10010010000110011011111001100010
+10010010000111001011111001011101
+10010010000111111011111001011000
+10010010001000101011111001010010
+10010010001001101011111001001101
+10010010001010011011111001000111
+10010010001011001011111001000010
+10010010001011111011111000111101
+10010010001100101011111000110111
+10010010001101101011111000110010
+10010010001110011011111000101101
+10010010001111001011111000100111
+10010010001111111011111000100010
+10010010010000111011111000011100
+10010010010001101011111000010111
+10010010010010011011111000010010
+10010010010011001011111000001100
+10010010010100001011111000000111
+10010010010100111011111000000001
+10010010010101101011110111111100
+10010010010110011011110111110111
+10010010010111001011110111110001
+10010010011000001011110111101100
+10010010011000111011110111100111
+10010010011001101011110111100001
+10010010011010011011110111011100
+10010010011011011011110111010110
+10010010011100001011110111010001
+10010010011100111011110111001100
+10010010011101101011110111000110
+10010010011110101011110111000001
+10010010011111011011110110111100
+10010010100000001011110110110110
+10010010100000111011110110110001
+10010010100001111011110110101011
+10010010100010101011110110100110
+10010010100011011011110110100001
+10010010100100011011110110011011
+10010010100101001011110110010110
+10010010100101111011110110010001
+10010010100110101011110110001011
+10010010100111101011110110000110
+10010010101000011011110110000000
+10010010101001001011110101111011
+10010010101001111011110101110110
+10010010101010111011110101110000
+10010010101011101011110101101011
+10010010101100011011110101100110
+10010010101101001011110101100000
+10010010101110001011110101011011
+10010010101110111011110101010101
+10010010101111101011110101010000
+10010010110000101011110101001011
+10010010110001011011110101000101
+10010010110010001011110101000000
+10010010110010111011110100111011
+10010010110011111011110100110101
+10010010110100101011110100110000
+10010010110101011011110100101011
+10010010110110001011110100100101
+10010010110111001011110100100000
+10010010110111111011110100011011
+10010010111000101011110100010101
+10010010111001101011110100010000
+10010010111010011011110100001010
+10010010111011001011110100000101
+10010010111011111011110100000000
+10010010111100111011110011111010
+10010010111101101011110011110101
+10010010111110011011110011110000
+10010010111111011011110011101010
+10010011000000001011110011100101
+10010011000000111011110011100000
+10010011000001101011110011011010
+10010011000010101011110011010101
+10010011000011011011110011010000
+10010011000100001011110011001010
+10010011000101001011110011000101
+10010011000101111011110011000000
+10010011000110101011110010111010
+10010011000111101011110010110101
+10010011001000011011110010110000
+10010011001001001011110010101010
+10010011001010001011110010100101
+10010011001010111011110010011111
+10010011001011101011110010011010
+10010011001100011011110010010101
+10010011001101011011110010001111
+10010011001110001011110010001010
+10010011001110111011110010000101
+10010011001111111011110001111111
+10010011010000101011110001111010
+10010011010001011011110001110101
+10010011010010011011110001101111
+10010011010011001011110001101010
+10010011010011111011110001100101
+10010011010100111011110001011111
+10010011010101101011110001011010
+10010011010110011011110001010101
+10010011010111011011110001001111
+10010011011000001011110001001010
+10010011011000111011110001000101
+10010011011001111011110000111111
+10010011011010101011110000111010
+10010011011011011011110000110101
+10010011011100001011110000101111
+10010011011101001011110000101010
+10010011011101111011110000100101
+10010011011110101011110000011111
+10010011011111101011110000011010
+10010011100000011011110000010101
+10010011100001001011110000001111
+10010011100010001011110000001010
+10010011100010111011110000000101
+10010011100011101011110000000000
+10010011100100101011101111111010
+10010011100101011011101111110101
+10010011100110011011101111110000
+10010011100111001011101111101010
+10010011100111111011101111100101
+10010011101000111011101111100000
+10010011101001101011101111011010
+10010011101010011011101111010101
+10010011101011011011101111010000
+10010011101100001011101111001010
+10010011101100111011101111000101
+10010011101101111011101111000000
+10010011101110101011101110111010
+10010011101111011011101110110101
+10010011110000011011101110110000
+10010011110001001011101110101010
+10010011110001111011101110100101
+10010011110010111011101110100000
+10010011110011101011101110011011
+10010011110100011011101110010101
+10010011110101011011101110010000
+10010011110110001011101110001011
+10010011110111001011101110000101
+10010011110111111011101110000000
+10010011111000101011101101111011
+10010011111001101011101101110101
+10010011111010011011101101110000
+10010011111011001011101101101011
+10010011111100001011101101100101
+10010011111100111011101101100000
+10010011111101101011101101011011
+10010011111110101011101101010110
+10010011111111011011101101010000
+10010100000000011011101101001011
+10010100000001001011101101000110
+10010100000001111011101101000000
+10010100000010111011101100111011
+10010100000011101011101100110110
+10010100000100011011101100110000
+10010100000101011011101100101011
+10010100000110001011101100100110
+10010100000111001011101100100001
+10010100000111111011101100011011
+10010100001000101011101100010110
+10010100001001101011101100010001
+10010100001010011011101100001011
+10010100001011001011101100000110
+10010100001100001011101100000001
+10010100001100111011101011111011
+10010100001101111011101011110110
+10010100001110101011101011110001
+10010100001111011011101011101100
+10010100010000011011101011100110
+10010100010001001011101011100001
+10010100010010001011101011011100
+10010100010010111011101011010110
+10010100010011101011101011010001
+10010100010100101011101011001100
+10010100010101011011101011000111
+10010100010110011011101011000001
+10010100010111001011101010111100
+10010100010111111011101010110111
+10010100011000111011101010110001
+10010100011001101011101010101100
+10010100011010101011101010100111
+10010100011011011011101010100010
+10010100011100001011101010011100
+10010100011101001011101010010111
+10010100011101111011101010010010
+10010100011110111011101010001101
+10010100011111101011101010000111
+10010100100000011011101010000010
+10010100100001011011101001111101
+10010100100010001011101001110111
+10010100100011001011101001110010
+10010100100011111011101001101101
+10010100100100111011101001101000
+10010100100101101011101001100010
+10010100100110011011101001011101
+10010100100111011011101001011000
+10010100101000001011101001010010
+10010100101001001011101001001101
+10010100101001111011101001001000
+10010100101010101011101001000011
+10010100101011101011101000111101
+10010100101100011011101000111000
+10010100101101011011101000110011
+10010100101110001011101000101110
+10010100101111001011101000101000
+10010100101111111011101000100011
+10010100110000101011101000011110
+10010100110001101011101000011001
+10010100110010011011101000010011
+10010100110011011011101000001110
+10010100110100001011101000001001
+10010100110101001011101000000100
+10010100110101111011100111111110
+10010100110110101011100111111001
+10010100110111101011100111110100
+10010100111000011011100111101110
+10010100111001011011100111101001
+10010100111010001011100111100100
+10010100111011001011100111011111
+10010100111011111011100111011001
+10010100111100111011100111010100
+10010100111101101011100111001111
+10010100111110011011100111001010
+10010100111111011011100111000100
+10010101000000001011100110111111
+10010101000001001011100110111010
+10010101000001111011100110110101
+10010101000010111011100110101111
+10010101000011101011100110101010
+10010101000100101011100110100101
+10010101000101011011100110100000
+10010101000110011011100110011010
+10010101000111001011100110010101
+10010101000111111011100110010000
+10010101001000111011100110001011
+10010101001001101011100110000101
+10010101001010101011100110000000
+10010101001011011011100101111011
+10010101001100011011100101110110
+10010101001101001011100101110000
+10010101001110001011100101101011
+10010101001110111011100101100110
+10010101001111111011100101100001
+10010101010000101011100101011100
+10010101010001101011100101010110
+10010101010010011011100101010001
+10010101010011001011100101001100
+10010101010100001011100101000111
+10010101010100111011100101000001
+10010101010101111011100100111100
+10010101010110101011100100110111
+10010101010111101011100100110010
+10010101011000011011100100101100
+10010101011001011011100100100111
+10010101011010001011100100100010
+10010101011011001011100100011101
+10010101011011111011100100010111
+10010101011100111011100100010010
+10010101011101101011100100001101
+10010101011110101011100100001000
+10010101011111011011100100000011
+10010101100000011011100011111101
+10010101100001001011100011111000
+10010101100010001011100011110011
+10010101100010111011100011101110
+10010101100011111011100011101000
+10010101100100101011100011100011
+10010101100101101011100011011110
+10010101100110011011100011011001
+10010101100111011011100011010100
+10010101101000001011100011001110
+10010101101001001011100011001001
+10010101101001111011100011000100
+10010101101010111011100010111111
+10010101101011101011100010111001
+10010101101100101011100010110100
+10010101101101011011100010101111
+10010101101110011011100010101010
+10010101101111001011100010100101
+10010101110000001011100010011111
+10010101110000111011100010011010
+10010101110001111011100010010101
+10010101110010101011100010010000
+10010101110011101011100010001010
+10010101110100011011100010000101
+10010101110101011011100010000000
+10010101110110001011100001111011
+10010101110111001011100001110110
+10010101110111111011100001110000
+10010101111000111011100001101011
+10010101111001101011100001100110
+10010101111010101011100001100001
+10010101111011011011100001011100
+10010101111100011011100001010110
+10010101111101001011100001010001
+10010101111110001011100001001100
+10010101111110111011100001000111
+10010101111111111011100001000010
+10010110000000101011100000111100
+10010110000001101011100000110111
+10010110000010011011100000110010
+10010110000011011011100000101101
+10010110000100001011100000101000
+10010110000101001011100000100010
+10010110000101111011100000011101
+10010110000110111011100000011000
+10010110000111101011100000010011
+10010110001000101011100000001110
+10010110001001101011100000001000
+10010110001010011011100000000011
+10010110001011011011011111111110
+10010110001100001011011111111001
+10010110001101001011011111110100
+10010110001101111011011111101110
+10010110001110111011011111101001
+10010110001111101011011111100100
+10010110010000101011011111011111
+10010110010001011011011111011010
+10010110010010011011011111010100
+10010110010011001011011111001111
+10010110010100001011011111001010
+10010110010101001011011111000101
+10010110010101111011011111000000
+10010110010110111011011110111011
+10010110010111101011011110110101
+10010110011000101011011110110000
+10010110011001011011011110101011
+10010110011010011011011110100110
+10010110011011001011011110100001
+10010110011100001011011110011011
+10010110011101001011011110010110
+10010110011101111011011110010001
+10010110011110111011011110001100
+10010110011111101011011110000111
+10010110100000101011011110000010
+10010110100001011011011101111100
+10010110100010011011011101110111
+10010110100011001011011101110010
+10010110100100001011011101101101
+10010110100101001011011101101000
+10010110100101111011011101100010
+10010110100110111011011101011101
+10010110100111101011011101011000
+10010110101000101011011101010011
+10010110101001011011011101001110
+10010110101010011011011101001001
+10010110101011011011011101000011
+10010110101100001011011100111110
+10010110101101001011011100111001
+10010110101101111011011100110100
+10010110101110111011011100101111
+10010110101111101011011100101010
+10010110110000101011011100100100
+10010110110001101011011100011111
+10010110110010011011011100011010
+10010110110011011011011100010101
+10010110110100001011011100010000
+10010110110101001011011100001011
+10010110110101111011011100000101
+10010110110110111011011100000000
+10010110110111111011011011111011
+10010110111000101011011011110110
+10010110111001101011011011110001
+10010110111010011011011011101100
+10010110111011011011011011100110
+10010110111100011011011011100001
+10010110111101001011011011011100
+10010110111110001011011011010111
+10010110111110111011011011010010
+10010110111111111011011011001101
+10010111000000101011011011001000
+10010111000001101011011011000010
+10010111000010101011011010111101
+10010111000011011011011010111000
+10010111000100011011011010110011
+10010111000101001011011010101110
+10010111000110001011011010101001
+10010111000111001011011010100011
+10010111000111111011011010011110
+10010111001000111011011010011001
+10010111001001101011011010010100
+10010111001010101011011010001111
+10010111001011101011011010001010
+10010111001100011011011010000101
+10010111001101011011011001111111
+10010111001110001011011001111010
+10010111001111001011011001110101
+10010111010000001011011001110000
+10010111010000111011011001101011
+10010111010001111011011001100110
+10010111010010111011011001100001
+10010111010011101011011001011011
+10010111010100101011011001010110
+10010111010101011011011001010001
+10010111010110011011011001001100
+10010111010111011011011001000111
+10010111011000001011011001000010
+10010111011001001011011000111101
+10010111011001111011011000111000
+10010111011010111011011000110010
+10010111011011111011011000101101
+10010111011100101011011000101000
+10010111011101101011011000100011
+10010111011110101011011000011110
+10010111011111011011011000011001
+10010111100000011011011000010100
+10010111100001001011011000001110
+10010111100010001011011000001001
+10010111100011001011011000000100
+10010111100011111011010111111111
+10010111100100111011010111111010
+10010111100101111011010111110101
+10010111100110101011010111110000
+10010111100111101011010111101011
+10010111101000101011010111100101
+10010111101001011011010111100000
+10010111101010011011010111011011
+10010111101011001011010111010110
+10010111101100001011010111010001
+10010111101101001011010111001100
+10010111101101111011010111000111
+10010111101110111011010111000010
+10010111101111111011010110111101
+10010111110000101011010110110111
+10010111110001101011010110110010
+10010111110010101011010110101101
+10010111110011011011010110101000
+10010111110100011011010110100011
+10010111110101011011010110011110
+10010111110110001011010110011001
+10010111110111001011010110010100
+10010111111000001011010110001110
+10010111111000111011010110001001
+10010111111001111011010110000100
+10010111111010111011010101111111
+10010111111011101011010101111010
+10010111111100101011010101110101
+10010111111101011011010101110000
+10010111111110011011010101101011
+10010111111111011011010101100110
+10011000000000001011010101100001
+10011000000001001011010101011011
+10011000000010001011010101010110
+10011000000010111011010101010001
+10011000000011111011010101001100
+10011000000100111011010101000111
+10011000000101101011010101000010
+10011000000110101011010100111101
+10011000000111101011010100111000
+10011000001000011011010100110011
+10011000001001011011010100101110
+10011000001010011011010100101000
+10011000001011001011010100100011
+10011000001100001011010100011110
+10011000001101001011010100011001
+10011000001110001011010100010100
+10011000001110111011010100001111
+10011000001111111011010100001010
+10011000010000111011010100000101
+10011000010001101011010100000000
+10011000010010101011010011111011
+10011000010011101011010011110101
+10011000010100011011010011110000
+10011000010101011011010011101011
+10011000010110011011010011100110
+10011000010111001011010011100001
+10011000011000001011010011011100
+10011000011001001011010011010111
+10011000011001111011010011010010
+10011000011010111011010011001101
+10011000011011111011010011001000
+10011000011100101011010011000011
+10011000011101101011010010111110
+10011000011110101011010010111000
+10011000011111101011010010110011
+10011000100000011011010010101110
+10011000100001011011010010101001
+10011000100010011011010010100100
+10011000100011001011010010011111
+10011000100100001011010010011010
+10011000100101001011010010010101
+10011000100101111011010010010000
+10011000100110111011010010001011
+10011000100111111011010010000110
+10011000101000111011010010000001
+10011000101001101011010001111100
+10011000101010101011010001110110
+10011000101011101011010001110001
+10011000101100011011010001101100
+10011000101101011011010001100111
+10011000101110011011010001100010
+10011000101111011011010001011101
+10011000110000001011010001011000
+10011000110001001011010001010011
+10011000110010001011010001001110
+10011000110010111011010001001001
+10011000110011111011010001000100
+10011000110100111011010000111111
+10011000110101111011010000111010
+10011000110110101011010000110101
+10011000110111101011010000110000
+10011000111000101011010000101010
+10011000111001011011010000100101
+10011000111010011011010000100000
+10011000111011011011010000011011
+10011000111100011011010000010110
+10011000111101001011010000010001
+10011000111110001011010000001100
+10011000111111001011010000000111
+10011001000000001011010000000010
+10011001000000111011001111111101
+10011001000001111011001111111000
+10011001000010111011001111110011
+10011001000011101011001111101110
+10011001000100101011001111101001
+10011001000101101011001111100100
+10011001000110101011001111011111
+10011001000111011011001111011010
+10011001001000011011001111010101
+10011001001001011011001111001111
+10011001001010011011001111001010
+10011001001011001011001111000101
+10011001001100001011001111000000
+10011001001101001011001110111011
+10011001001110001011001110110110
+10011001001110111011001110110001
+10011001001111111011001110101100
+10011001010000111011001110100111
+10011001010001111011001110100010
+10011001010010101011001110011101
+10011001010011101011001110011000
+10011001010100101011001110010011
+10011001010101101011001110001110
+10011001010110011011001110001001
+10011001010111011011001110000100
+10011001011000011011001101111111
+10011001011001011011001101111010
+10011001011010001011001101110101
+10011001011011001011001101110000
+10011001011100001011001101101011
+10011001011101001011001101100110
+10011001011101111011001101100001
+10011001011110111011001101011100
+10011001011111111011001101010111
+10011001100000111011001101010001
+10011001100001101011001101001100
+10011001100010101011001101000111
+10011001100011101011001101000010
+10011001100100101011001100111101
+10011001100101101011001100111000
+10011001100110011011001100110011
+10011001100111011011001100101110
+10011001101000011011001100101001
+10011001101001011011001100100100
+10011001101010001011001100011111
+10011001101011001011001100011010
+10011001101100001011001100010101
+10011001101101001011001100010000
+10011001101101111011001100001011
+10011001101110111011001100000110
+10011001101111111011001100000001
+10011001110000111011001011111100
+10011001110001111011001011110111
+10011001110010101011001011110010
+10011001110011101011001011101101
+10011001110100101011001011101000
+10011001110101101011001011100011
+10011001110110011011001011011110
+10011001110111011011001011011001
+10011001111000011011001011010100
+10011001111001011011001011001111
+10011001111010011011001011001010
+10011001111011001011001011000101
+10011001111100001011001011000000
+10011001111101001011001010111011
+10011001111110001011001010110110
+10011001111111001011001010110001
+10011001111111111011001010101100
+10011010000000111011001010100111
+10011010000001111011001010100010
+10011010000010111011001010011101
+10011010000011111011001010011000
+10011010000100101011001010010011
+10011010000101101011001010001110
+10011010000110101011001010001001
+10011010000111101011001010000100
+10011010001000101011001001111111
+10011010001001011011001001111010
+10011010001010011011001001110101
+10011010001011011011001001110000
+10011010001100011011001001101011
+10011010001101011011001001100110
+10011010001110001011001001100001
+10011010001111001011001001011100
+10011010010000001011001001010111
+10011010010001001011001001010010
+10011010010010001011001001001101
+10011010010011001011001001001000
+10011010010011111011001001000011
+10011010010100111011001000111110
+10011010010101111011001000111001
+10011010010110111011001000110100
+10011010010111111011001000101111
+10011010011000101011001000101010
+10011010011001101011001000100101
+10011010011010101011001000100000
+10011010011011101011001000011011
+10011010011100101011001000010110
+10011010011101101011001000010001
+10011010011110011011001000001100
+10011010011111011011001000000111
+10011010100000011011001000000010
+10011010100001011011000111111101
+10011010100010011011000111111000
+10011010100011011011000111110011
+10011010100100001011000111101110
+10011010100101001011000111101001
+10011010100110001011000111100100
+10011010100111001011000111011111
+10011010101000001011000111011010
+10011010101001001011000111010101
+10011010101001111011000111010000
+10011010101010111011000111001011
+10011010101011111011000111000110
+10011010101100111011000111000001
+10011010101101111011000110111100
+10011010101110111011000110110111
+10011010101111101011000110110010
+10011010110000101011000110101101
+10011010110001101011000110101000
+10011010110010101011000110100100
+10011010110011101011000110011111
+10011010110100101011000110011010
+10011010110101011011000110010101
+10011010110110011011000110010000
+10011010110111011011000110001011
+10011010111000011011000110000110
+10011010111001011011000110000001
+10011010111010011011000101111100
+10011010111011011011000101110111
+10011010111100001011000101110010
+10011010111101001011000101101101
+10011010111110001011000101101000
+10011010111111001011000101100011
+10011011000000001011000101011110
+10011011000001001011000101011001
+10011011000010001011000101010100
+10011011000010111011000101001111
+10011011000011111011000101001010
+10011011000100111011000101000101
+10011011000101111011000101000000
+10011011000110111011000100111011
+10011011000111111011000100110110
+10011011001000111011000100110001
+10011011001001111011000100101101
+10011011001010101011000100101000
+10011011001011101011000100100011
+10011011001100101011000100011110
+10011011001101101011000100011001
+10011011001110101011000100010100
+10011011001111101011000100001111
+10011011010000101011000100001010
+10011011010001011011000100000101
+10011011010010011011000100000000
+10011011010011011011000011111011
+10011011010100011011000011110110
+10011011010101011011000011110001
+10011011010110011011000011101100
+10011011010111011011000011100111
+10011011011000011011000011100010
+10011011011001011011000011011101
+10011011011010001011000011011000
+10011011011011001011000011010100
+10011011011100001011000011001111
+10011011011101001011000011001010
+10011011011110001011000011000101
+10011011011111001011000011000000
+10011011100000001011000010111011
+10011011100001001011000010110110
+10011011100010001011000010110001
+10011011100010111011000010101100
+10011011100011111011000010100111
+10011011100100111011000010100010
+10011011100101111011000010011101
+10011011100110111011000010011000
+10011011100111111011000010010011
+10011011101000111011000010001110
+10011011101001111011000010001010
+10011011101010111011000010000101
+10011011101011111011000010000000
+10011011101100101011000001111011
+10011011101101101011000001110110
+10011011101110101011000001110001
+10011011101111101011000001101100
+10011011110000101011000001100111
+10011011110001101011000001100010
+10011011110010101011000001011101
+10011011110011101011000001011000
+10011011110100101011000001010011
+10011011110101101011000001001111
+10011011110110011011000001001010
+10011011110111011011000001000101
+10011011111000011011000001000000
+10011011111001011011000000111011
+10011011111010011011000000110110
+10011011111011011011000000110001
+10011011111100011011000000101100
+10011011111101011011000000100111
+10011011111110011011000000100010
+10011011111111011011000000011101
+10011100000000011011000000011000
+10011100000001011011000000010100
+10011100000010011011000000001111
+10011100000011001011000000001010
+10011100000100001011000000000101
+10011100000101001011000000000000
+10011100000110001010111111111011
+10011100000111001010111111110110
+10011100001000001010111111110001
+10011100001001001010111111101100
+10011100001010001010111111100111
+10011100001011001010111111100011
+10011100001100001010111111011110
+10011100001101001010111111011001
+10011100001110001010111111010100
+10011100001111001010111111001111
+10011100010000001010111111001010
+10011100010000111010111111000101
+10011100010001111010111111000000
+10011100010010111010111110111011
+10011100010011111010111110110110
+10011100010100111010111110110010
+10011100010101111010111110101101
+10011100010110111010111110101000
+10011100010111111010111110100011
+10011100011000111010111110011110
+10011100011001111010111110011001
+10011100011010111010111110010100
+10011100011011111010111110001111
+10011100011100111010111110001010
+10011100011101111010111110000110
+10011100011110111010111110000001
+10011100011111111010111101111100
+10011100100000111010111101110111
+10011100100001111010111101110010
+10011100100010111010111101101101
+10011100100011101010111101101000
+10011100100100101010111101100011
+10011100100101101010111101011111
+10011100100110101010111101011010
+10011100100111101010111101010101
+10011100101000101010111101010000
+10011100101001101010111101001011
+10011100101010101010111101000110
+10011100101011101010111101000001
+10011100101100101010111100111100
+10011100101101101010111100111000
+10011100101110101010111100110011
+10011100101111101010111100101110
+10011100110000101010111100101001
+10011100110001101010111100100100
+10011100110010101010111100011111
+10011100110011101010111100011010
+10011100110100101010111100010101
+10011100110101101010111100010001
+10011100110110101010111100001100
+10011100110111101010111100000111
+10011100111000101010111100000010
+10011100111001101010111011111101
+10011100111010101010111011111000
+10011100111011101010111011110011
+10011100111100101010111011101110
+10011100111101101010111011101010
+10011100111110101010111011100101
+10011100111111101010111011100000
+10011101000000101010111011011011
+10011101000001101010111011010110
+10011101000010101010111011010001
+10011101000011101010111011001100
+10011101000100101010111011001000
+10011101000101101010111011000011
+10011101000110101010111010111110
+10011101000111011010111010111001
+10011101001000011010111010110100
+10011101001001011010111010101111
+10011101001010011010111010101010
+10011101001011011010111010100110
+10011101001100011010111010100001
+10011101001101011010111010011100
+10011101001110011010111010010111
+10011101001111011010111010010010
+10011101010000011010111010001101
+10011101010001011010111010001001
+10011101010010011010111010000100
+10011101010011011010111001111111
+10011101010100011010111001111010
+10011101010101011010111001110101
+10011101010110011010111001110000
+10011101010111011010111001101011
+10011101011000011010111001100111
+10011101011001011010111001100010
+10011101011010011010111001011101
+10011101011011011010111001011000
+10011101011100011010111001010011
+10011101011101011010111001001110
+10011101011110011010111001001010
+10011101011111101010111001000101
+10011101100000101010111001000000
+10011101100001101010111000111011
+10011101100010101010111000110110
+10011101100011101010111000110001
+10011101100100101010111000101101
+10011101100101101010111000101000
+10011101100110101010111000100011
+10011101100111101010111000011110
+10011101101000101010111000011001
+10011101101001101010111000010100
+10011101101010101010111000010000
+10011101101011101010111000001011
+10011101101100101010111000000110
+10011101101101101010111000000001
+10011101101110101010110111111100
+10011101101111101010110111111000
+10011101110000101010110111110011
+10011101110001101010110111101110
+10011101110010101010110111101001
+10011101110011101010110111100100
+10011101110100101010110111011111
+10011101110101101010110111011011
+10011101110110101010110111010110
+10011101110111101010110111010001
+10011101111000101010110111001100
+10011101111001101010110111000111
+10011101111010101010110111000011
+10011101111011101010110110111110
+10011101111100101010110110111001
+10011101111101101010110110110100
+10011101111110101010110110101111
+10011101111111101010110110101010
+10011110000000101010110110100110
+10011110000001101010110110100001
+10011110000010101010110110011100
+10011110000011111010110110010111
+10011110000100111010110110010010
+10011110000101111010110110001110
+10011110000110111010110110001001
+10011110000111111010110110000100
+10011110001000111010110101111111
+10011110001001111010110101111010
+10011110001010111010110101110110
+10011110001011111010110101110001
+10011110001100111010110101101100
+10011110001101111010110101100111
+10011110001110111010110101100010
+10011110001111111010110101011110
+10011110010000111010110101011001
+10011110010001111010110101010100
+10011110010010111010110101001111
+10011110010011111010110101001010
+10011110010100111010110101000110
+10011110010101111010110101000001
+10011110010111001010110100111100
+10011110011000001010110100110111
+10011110011001001010110100110010
+10011110011010001010110100101110
+10011110011011001010110100101001
+10011110011100001010110100100100
+10011110011101001010110100011111
+10011110011110001010110100011010
+10011110011111001010110100010110
+10011110100000001010110100010001
+10011110100001001010110100001100
+10011110100010001010110100000111
+10011110100011001010110100000011
+10011110100100001010110011111110
+10011110100101011010110011111001
+10011110100110011010110011110100
+10011110100111011010110011101111
+10011110101000011010110011101011
+10011110101001011010110011100110
+10011110101010011010110011100001
+10011110101011011010110011011100
+10011110101100011010110011011000
+10011110101101011010110011010011
+10011110101110011010110011001110
+10011110101111011010110011001001
+10011110110000011010110011000100
+10011110110001011010110011000000
+10011110110010101010110010111011
+10011110110011101010110010110110
+10011110110100101010110010110001
+10011110110101101010110010101101
+10011110110110101010110010101000
+10011110110111101010110010100011
+10011110111000101010110010011110
+10011110111001101010110010011010
+10011110111010101010110010010101
+10011110111011101010110010010000
+10011110111100101010110010001011
+10011110111101111010110010000110
+10011110111110111010110010000010
+10011110111111111010110001111101
+10011111000000111010110001111000
+10011111000001111010110001110011
+10011111000010111010110001101111
+10011111000011111010110001101010
+10011111000100111010110001100101
+10011111000101111010110001100000
+10011111000111001010110001011100
+10011111001000001010110001010111
+10011111001001001010110001010010
+10011111001010001010110001001101
+10011111001011001010110001001001
+10011111001100001010110001000100
+10011111001101001010110000111111
+10011111001110001010110000111010
+10011111001111001010110000110110
+10011111010000001010110000110001
+10011111010001011010110000101100
+10011111010010011010110000100111
+10011111010011011010110000100011
+10011111010100011010110000011110
+10011111010101011010110000011001
+10011111010110011010110000010100
+10011111010111011010110000010000
+10011111011000011010110000001011
+10011111011001101010110000000110
+10011111011010101010110000000001
+10011111011011101010101111111101
+10011111011100101010101111111000
+10011111011101101010101111110011
+10011111011110101010101111101110
+10011111011111101010101111101010
+10011111100000101010101111100101
+10011111100001111010101111100000
+10011111100010111010101111011100
+10011111100011111010101111010111
+10011111100100111010101111010010
+10011111100101111010101111001101
+10011111100110111010101111001001
+10011111100111111010101111000100
+10011111101000111010101110111111
+10011111101010001010101110111010
+10011111101011001010101110110110
+10011111101100001010101110110001
+10011111101101001010101110101100
+10011111101110001010101110100111
+10011111101111001010101110100011
+10011111110000001010101110011110
+10011111110001011010101110011001
+10011111110010011010101110010101
+10011111110011011010101110010000
+10011111110100011010101110001011
+10011111110101011010101110000110
+10011111110110011010101110000010
+10011111110111011010101101111101
+10011111111000101010101101111000
+10011111111001101010101101110100
+10011111111010101010101101101111
+10011111111011101010101101101010
+10011111111100101010101101100101
+10011111111101101010101101100001
+10011111111110111010101101011100
+10011111111111111010101101010111
+10100000000000111010101101010011
+10100000000001111010101101001110
+10100000000010111010101101001001
+10100000000011111010101101000100
+10100000000100111010101101000000
+10100000000110001010101100111011
+10100000000111001010101100110110
+10100000001000001010101100110010
+10100000001001001010101100101101
+10100000001010001010101100101000
+10100000001011001010101100100011
+10100000001100011010101100011111
+10100000001101011010101100011010
+10100000001110011010101100010101
+10100000001111011010101100010001
+10100000010000011010101100001100
+10100000010001011010101100000111
+10100000010010101010101100000011
+10100000010011101010101011111110
+10100000010100101010101011111001
+10100000010101101010101011110100
+10100000010110101010101011110000
+10100000010111111010101011101011
+10100000011000111010101011100110
+10100000011001111010101011100010
+10100000011010111010101011011101
+10100000011011111010101011011000
+10100000011100111010101011010100
+10100000011110001010101011001111
+10100000011111001010101011001010
+10100000100000001010101011000110
+10100000100001001010101011000001
+10100000100010001010101010111100
+10100000100011001010101010110111
+10100000100100011010101010110011
+10100000100101011010101010101110
+10100000100110011010101010101001
+10100000100111011010101010100101
+10100000101000011010101010100000
+10100000101001101010101010011011
+10100000101010101010101010010111
+10100000101011101010101010010010
+10100000101100101010101010001101
+10100000101101101010101010001001
+10100000101110111010101010000100
+10100000101111111010101001111111
+10100000110000111010101001111011
+10100000110001111010101001110110
+10100000110010111010101001110001
+10100000110100001010101001101101
+10100000110101001010101001101000
+10100000110110001010101001100011
+10100000110111001010101001011111
+10100000111000001010101001011010
+10100000111001011010101001010101
+10100000111010011010101001010001
+10100000111011011010101001001100
+10100000111100011010101001000111
+10100000111101011010101001000011
+10100000111110101010101000111110
+10100000111111101010101000111001
+10100001000000101010101000110101
+10100001000001101010101000110000
+10100001000010101010101000101011
+10100001000011111010101000100111
+10100001000100111010101000100010
+10100001000101111010101000011101
+10100001000110111010101000011001
+10100001001000001010101000010100
+10100001001001001010101000001111
+10100001001010001010101000001011
+10100001001011001010101000000110
+10100001001100001010101000000001
+10100001001101011010100111111101
+10100001001110011010100111111000
+10100001001111011010100111110011
+10100001010000011010100111101111
+10100001010001101010100111101010
+10100001010010101010100111100101
+10100001010011101010100111100001
+10100001010100101010100111011100
+10100001010101101010100111011000
+10100001010110111010100111010011
+10100001010111111010100111001110
+10100001011000111010100111001010
+10100001011001111010100111000101
+10100001011011001010100111000000
+10100001011100001010100110111100
+10100001011101001010100110110111
+10100001011110001010100110110010
+10100001011111011010100110101110
+10100001100000011010100110101001
+10100001100001011010100110100100
+10100001100010011010100110100000
+10100001100011101010100110011011
+10100001100100101010100110010111
+10100001100101101010100110010010
+10100001100110101010100110001101
+10100001100111101010100110001001
+10100001101000111010100110000100
+10100001101001111010100101111111
+10100001101010111010100101111011
+10100001101011111010100101110110
+10100001101101001010100101110010
+10100001101110001010100101101101
+10100001101111001010100101101000
+10100001110000001010100101100100
+10100001110001011010100101011111
+10100001110010011010100101011010
+10100001110011011010100101010110
+10100001110100011010100101010001
+10100001110101101010100101001101
+10100001110110101010100101001000
+10100001110111101010100101000011
+10100001111000111010100100111111
+10100001111001111010100100111010
+10100001111010111010100100110101
+10100001111011111010100100110001
+10100001111101001010100100101100
+10100001111110001010100100101000
+10100001111111001010100100100011
+10100010000000001010100100011110
+10100010000001011010100100011010
+10100010000010011010100100010101
+10100010000011011010100100010000
+10100010000100011010100100001100
+10100010000101101010100100000111
+10100010000110101010100100000011
+10100010000111101010100011111110
+10100010001000101010100011111001
+10100010001001111010100011110101
+10100010001010111010100011110000
+10100010001011111010100011101100
+10100010001101001010100011100111
+10100010001110001010100011100010
+10100010001111001010100011011110
+10100010010000001010100011011001
+10100010010001011010100011010101
+10100010010010011010100011010000
+10100010010011011010100011001011
+10100010010100101010100011000111
+10100010010101101010100011000010
+10100010010110101010100010111110
+10100010010111101010100010111001
+10100010011000111010100010110100
+10100010011001111010100010110000
+10100010011010111010100010101011
+10100010011100001010100010100111
+10100010011101001010100010100010
+10100010011110001010100010011101
+10100010011111001010100010011001
+10100010100000011010100010010100
+10100010100001011010100010010000
+10100010100010011010100010001011
+10100010100011101010100010000111
+10100010100100101010100010000010
+10100010100101101010100001111101
+10100010100110101010100001111001
+10100010100111111010100001110100
+10100010101000111010100001110000
+10100010101001111010100001101011
+10100010101011001010100001100110
+10100010101100001010100001100010
+10100010101101001010100001011101
+10100010101110011010100001011001
+10100010101111011010100001010100
+10100010110000011010100001010000
+10100010110001011010100001001011
+10100010110010101010100001000110
+10100010110011101010100001000010
+10100010110100101010100000111101
+10100010110101111010100000111001
+10100010110110111010100000110100
+10100010110111111010100000110000
+10100010111001001010100000101011
+10100010111010001010100000100110
+10100010111011001010100000100010
+10100010111100011010100000011101
+10100010111101011010100000011001
+10100010111110011010100000010100
+10100010111111011010100000010000
+10100011000000101010100000001011
+10100011000001101010100000000110
+10100011000010101010100000000010
+10100011000011111010011111111101
+10100011000100111010011111111001
+10100011000101111010011111110100
+10100011000111001010011111110000
+10100011001000001010011111101011
+10100011001001001010011111100111
+10100011001010011010011111100010
+10100011001011011010011111011101
+10100011001100011010011111011001
+10100011001101101010011111010100
+10100011001110101010011111010000
+10100011001111101010011111001011
+10100011010000111010011111000111
+10100011010001111010011111000010
+10100011010010111010011110111110
+10100011010100001010011110111001
+10100011010101001010011110110100
+10100011010110001010011110110000
+10100011010111011010011110101011
+10100011011000011010011110100111
+10100011011001011010011110100010
+10100011011010101010011110011110
+10100011011011101010011110011001
+10100011011100101010011110010101
+10100011011101111010011110010000
+10100011011110111010011110001100
+10100011011111111010011110000111
+10100011100001001010011110000010
+10100011100010001010011101111110
+10100011100011001010011101111001
+10100011100100011010011101110101
+10100011100101011010011101110000
+10100011100110011010011101101100
+10100011100111101010011101100111
+10100011101000101010011101100011
+10100011101001101010011101011110
+10100011101010111010011101011010
+10100011101011111010011101010101
+10100011101101001010011101010001
+10100011101110001010011101001100
+10100011101111001010011101000111
+10100011110000011010011101000011
+10100011110001011010011100111110
+10100011110010011010011100111010
+10100011110011101010011100110101
+10100011110100101010011100110001
+10100011110101101010011100101100
+10100011110110111010011100101000
+10100011110111111010011100100011
+10100011111000111010011100011111
+10100011111010001010011100011010
+10100011111011001010011100010110
+10100011111100011010011100010001
+10100011111101011010011100001101
+10100011111110011010011100001000
+10100011111111101010011100000100
+10100100000000101010011011111111
+10100100000001101010011011111011
+10100100000010111010011011110110
+10100100000011111010011011110010
+10100100000101001010011011101101
+10100100000110001010011011101001
+10100100000111001010011011100100
+10100100001000011010011011100000
+10100100001001011010011011011011
+10100100001010011010011011010111
+10100100001011101010011011010010
+10100100001100101010011011001110
+10100100001101111010011011001001
+10100100001110111010011011000101
+10100100001111111010011011000000
+10100100010001001010011010111100
+10100100010010001010011010110111
+10100100010011001010011010110010
+10100100010100011010011010101110
+10100100010101011010011010101001
+10100100010110101010011010100101
+10100100010111101010011010100001
+10100100011000101010011010011100
+10100100011001111010011010011000
+10100100011010111010011010010011
+10100100011100001010011010001111
+10100100011101001010011010001010
+10100100011110001010011010000110
+10100100011111011010011010000001
+10100100100000011010011001111101
+10100100100001011010011001111000
+10100100100010101010011001110100
+10100100100011101010011001101111
+10100100100100111010011001101011
+10100100100101111010011001100110
+10100100100110111010011001100010
+10100100101000001010011001011101
+10100100101001001010011001011001
+10100100101010011010011001010100
+10100100101011011010011001010000
+10100100101100011010011001001011
+10100100101101101010011001000111
+10100100101110101010011001000010
+10100100101111111010011000111110
+10100100110000111010011000111001
+10100100110010001010011000110101
+10100100110011001010011000110000
+10100100110100001010011000101100
+10100100110101011010011000100111
+10100100110110011010011000100011
+10100100110111101010011000011110
+10100100111000101010011000011010
+10100100111001101010011000010101
+10100100111010111010011000010001
+10100100111011111010011000001101
+10100100111101001010011000001000
+10100100111110001010011000000100
+10100100111111001010010111111111
+10100101000000011010010111111011
+10100101000001011010010111110110
+10100101000010101010010111110010
+10100101000011101010010111101101
+10100101000100111010010111101001
+10100101000101111010010111100100
+10100101000110111010010111100000
+10100101001000001010010111011011
+10100101001001001010010111010111
+10100101001010011010010111010011
+10100101001011011010010111001110
+10100101001100101010010111001010
+10100101001101101010010111000101
+10100101001110101010010111000001
+10100101001111111010010110111100
+10100101010000111010010110111000
+10100101010010001010010110110011
+10100101010011001010010110101111
+10100101010100011010010110101010
+10100101010101011010010110100110
+10100101010110011010010110100010
+10100101010111101010010110011101
+10100101011000101010010110011001
+10100101011001111010010110010100
+10100101011010111010010110010000
+10100101011100001010010110001011
+10100101011101001010010110000111
+10100101011110001010010110000010
+10100101011111011010010101111110
+10100101100000011010010101111001
+10100101100001101010010101110101
+10100101100010101010010101110001
+10100101100011111010010101101100
+10100101100100111010010101101000
+10100101100110001010010101100011
+10100101100111001010010101011111
+10100101101000011010010101011010
+10100101101001011010010101010110
+10100101101010011010010101010010
+10100101101011101010010101001101
+10100101101100101010010101001001
+10100101101101111010010101000100
+10100101101110111010010101000000
+10100101110000001010010100111011
+10100101110001001010010100110111
+10100101110010011010010100110011
+10100101110011011010010100101110
+10100101110100101010010100101010
+10100101110101101010010100100101
+10100101110110101010010100100001
+10100101110111111010010100011100
+10100101111000111010010100011000
+10100101111010001010010100010100
+10100101111011001010010100001111
+10100101111100011010010100001011
+10100101111101011010010100000110
+10100101111110101010010100000010
+10100101111111101010010011111101
+10100110000000111010010011111001
+10100110000001111010010011110101
+10100110000011001010010011110000
+10100110000100001010010011101100
+10100110000101001010010011100111
+10100110000110011010010011100011
+10100110000111011010010011011111
+10100110001000101010010011011010
+10100110001001101010010011010110
+10100110001010111010010011010001
+10100110001011111010010011001101
+10100110001101001010010011001001
+10100110001110001010010011000100
+10100110001111011010010011000000
+10100110010000011010010010111011
+10100110010001101010010010110111
+10100110010010101010010010110010
+10100110010011111010010010101110
+10100110010100111010010010101010
+10100110010110001010010010100101
+10100110010111001010010010100001
+10100110011000011010010010011100
+10100110011001011010010010011000
+10100110011010101010010010010100
+10100110011011101010010010001111
+10100110011100111010010010001011
+10100110011101111010010010000110
+10100110011111001010010010000010
+10100110100000001010010001111110
+10100110100001011010010001111001
+10100110100010011010010001110101
+10100110100011101010010001110001
+10100110100100101010010001101100
+10100110100101111010010001101000
+10100110100110111010010001100011
+10100110101000001010010001011111
+10100110101001001010010001011011
+10100110101010001010010001010110
+10100110101011011010010001010010
+10100110101100011010010001001101
+10100110101101101010010001001001
+10100110101110111010010001000101
+10100110101111111010010001000000
+10100110110001001010010000111100
+10100110110010001010010000111000
+10100110110011011010010000110011
+10100110110100011010010000101111
+10100110110101101010010000101010
+10100110110110101010010000100110
+10100110110111111010010000100010
+10100110111000111010010000011101
+10100110111010001010010000011001
+10100110111011001010010000010101
+10100110111100011010010000010000
+10100110111101011010010000001100
+10100110111110101010010000000111
+10100110111111101010010000000011
+10100111000000111010001111111111
+10100111000001111010001111111010
+10100111000011001010001111110110
+10100111000100001010001111110010
+10100111000101011010001111101101
+10100111000110011010001111101001
+10100111000111101010001111100100
+10100111001000101010001111100000
+10100111001001111010001111011100
+10100111001010111010001111010111
+10100111001100001010001111010011
+10100111001101001010001111001111
+10100111001110011010001111001010
+10100111001111011010001111000110
+10100111010000101010001111000010
+10100111010001101010001110111101
+10100111010010111010001110111001
+10100111010100001010001110110101
+10100111010101001010001110110000
+10100111010110011010001110101100
+10100111010111011010001110100111
+10100111011000101010001110100011
+10100111011001101010001110011111
+10100111011010111010001110011010
+10100111011011111010001110010110
+10100111011101001010001110010010
+10100111011110001010001110001101
+10100111011111011010001110001001
+10100111100000011010001110000101
+10100111100001101010001110000000
+10100111100010111010001101111100
+10100111100011111010001101111000
+10100111100101001010001101110011
+10100111100110001010001101101111
+10100111100111011010001101101011
+10100111101000011010001101100110
+10100111101001101010001101100010
+10100111101010101010001101011110
+10100111101011111010001101011001
+10100111101100111010001101010101
+10100111101110001010001101010001
+10100111101111011010001101001100
+10100111110000011010001101001000
+10100111110001101010001101000100
+10100111110010101010001100111111
+10100111110011111010001100111011
+10100111110100111010001100110111
+10100111110110001010001100110010
+10100111110111001010001100101110
+10100111111000011010001100101010
+10100111111001101010001100100101
+10100111111010101010001100100001
+10100111111011111010001100011101
+10100111111100111010001100011000
+10100111111110001010001100010100
+10100111111111001010001100010000
+10101000000000011010001100001011
+10101000000001011010001100000111
+10101000000010101010001100000011
+10101000000011111010001011111110
+10101000000100111010001011111010
+10101000000110001010001011110110
+10101000000111001010001011110010
+10101000001000011010001011101101
+10101000001001011010001011101001
+10101000001010101010001011100101
+10101000001011111010001011100000
+10101000001100111010001011011100
+10101000001110001010001011011000
+10101000001111001010001011010011
+10101000010000011010001011001111
+10101000010001011010001011001011
+10101000010010101010001011000110
+10101000010011111010001011000010
+10101000010100111010001010111110
+10101000010110001010001010111010
+10101000010111001010001010110101
+10101000011000011010001010110001
+10101000011001011010001010101101
+10101000011010101010001010101000
+10101000011011111010001010100100
+10101000011100111010001010100000
+10101000011110001010001010011011
+10101000011111001010001010010111
+10101000100000011010001010010011
+10101000100001101010001010001111
+10101000100010101010001010001010
+10101000100011111010001010000110
+10101000100100111010001010000010
+10101000100110001010001001111101
+10101000100111001010001001111001
+10101000101000011010001001110101
+10101000101001101010001001110001
+10101000101010101010001001101100
+10101000101011111010001001101000
+10101000101100111010001001100100
+10101000101110001010001001011111
+10101000101111011010001001011011
+10101000110000011010001001010111
+10101000110001101010001001010011
+10101000110010101010001001001110
+10101000110011111010001001001010
+10101000110101001010001001000110
+10101000110110001010001001000001
+10101000110111011010001000111101
+10101000111000011010001000111001
+10101000111001101010001000110101
+10101000111010111010001000110000
+10101000111011111010001000101100
+10101000111101001010001000101000
+10101000111110001010001000100011
+10101000111111011010001000011111
+10101001000000101010001000011011
+10101001000001101010001000010111
+10101001000010111010001000010010
+10101001000011111010001000001110
+10101001000101001010001000001010
+10101001000110011010001000000110
+10101001000111011010001000000001
+10101001001000101010000111111101
+10101001001001111010000111111001
+10101001001010111010000111110101
+10101001001100001010000111110000
+10101001001101001010000111101100
+10101001001110011010000111101000
+10101001001111101010000111100100
+10101001010000101010000111011111
+10101001010001111010000111011011
+10101001010011001010000111010111
+10101001010100001010000111010010
+10101001010101011010000111001110
+10101001010110011010000111001010
+10101001010111101010000111000110
+10101001011000111010000111000001
+10101001011001111010000110111101
+10101001011011001010000110111001
+10101001011100011010000110110101
+10101001011101011010000110110000
+10101001011110101010000110101100
+10101001011111101010000110101000
+10101001100000111010000110100100
+10101001100010001010000110011111
+10101001100011001010000110011011
+10101001100100011010000110010111
+10101001100101101010000110010011
+10101001100110101010000110001111
+10101001100111111010000110001010
+10101001101000111010000110000110
+10101001101010001010000110000010
+10101001101011011010000101111110
+10101001101100011010000101111001
+10101001101101101010000101110101
+10101001101110111010000101110001
+10101001101111111010000101101101
+10101001110001001010000101101000
+10101001110010011010000101100100
+10101001110011011010000101100000
+10101001110100101010000101011100
+10101001110101111010000101010111
+10101001110110111010000101010011
+10101001111000001010000101001111
+10101001111001001010000101001011
+10101001111010011010000101000111
+10101001111011101010000101000010
+10101001111100101010000100111110
+10101001111101111010000100111010
+10101001111111001010000100110110
+10101010000000001010000100110001
+10101010000001011010000100101101
+10101010000010101010000100101001
+10101010000011101010000100100101
+10101010000100111010000100100001
+10101010000110001010000100011100
+10101010000111001010000100011000
+10101010001000011010000100010100
+10101010001001101010000100010000
+10101010001010101010000100001011
+10101010001011111010000100000111
+10101010001101001010000100000011
+10101010001110001010000011111111
+10101010001111011010000011111011
+10101010010000101010000011110110
+10101010010001101010000011110010
+10101010010010111010000011101110
+10101010010100001010000011101010
+10101010010101001010000011100110
+10101010010110011010000011100001
+10101010010111101010000011011101
+10101010011000101010000011011001
+10101010011001111010000011010101
+10101010011011001010000011010001
+10101010011100001010000011001100
+10101010011101011010000011001000
+10101010011110101010000011000100
+10101010011111101010000011000000
+10101010100000111010000010111100
+10101010100010001010000010110111
+10101010100011001010000010110011
+10101010100100011010000010101111
+10101010100101101010000010101011
+10101010100110101010000010100111
+10101010100111111010000010100010
+10101010101001001010000010011110
+10101010101010001010000010011010
+10101010101011011010000010010110
+10101010101100101010000010010010
+10101010101101101010000010001101
+10101010101110111010000010001001
+10101010110000001010000010000101
+10101010110001011010000010000001
+10101010110010011010000001111101
+10101010110011101010000001111001
+10101010110100111010000001110100
+10101010110101111010000001110000
+10101010110111001010000001101100
+10101010111000011010000001101000
+10101010111001011010000001100100
+10101010111010101010000001100000
+10101010111011111010000001011011
+10101010111100111010000001010111
+10101010111110001010000001010011
+10101010111111011010000001001111
+10101011000000101010000001001011
+10101011000001101010000001000110
+10101011000010111010000001000010
+10101011000100001010000000111110
+10101011000101001010000000111010
+10101011000110011010000000110110
+10101011000111101010000000110010
+10101011001000101010000000101101
+10101011001001111010000000101001
+10101011001011001010000000100101
+10101011001100011010000000100001
+10101011001101011010000000011101
+10101011001110101010000000011001
+10101011001111111010000000010100
+10101011010000111010000000010000
+10101011010010001010000000001100
+10101011010011011010000000001000
+10101011010100101010000000000100
+10101011010101101010000000000000
+10101011010110111001111111111100
+10101011011000001001111111110111
+10101011011001001001111111110011
+10101011011010011001111111101111
+10101011011011101001111111101011
+10101011011100111001111111100111
+10101011011101111001111111100011
+10101011011111001001111111011110
+10101011100000011001111111011010
+10101011100001011001111111010110
+10101011100010101001111111010010
+10101011100011111001111111001110
+10101011100101001001111111001010
+10101011100110001001111111000110
+10101011100111011001111111000001
+10101011101000101001111110111101
+10101011101001101001111110111001
+10101011101010111001111110110101
+10101011101100001001111110110001
+10101011101101011001111110101101
+10101011101110011001111110101001
+10101011101111101001111110100100
+10101011110000111001111110100000
+10101011110010001001111110011100
+10101011110011001001111110011000
+10101011110100011001111110010100
+10101011110101101001111110010000
+10101011110110111001111110001100
+10101011110111111001111110001000
+10101011111001001001111110000011
+10101011111010011001111101111111
+10101011111011011001111101111011
+10101011111100101001111101110111
+10101011111101111001111101110011
+10101011111111001001111101101111
+10101100000000001001111101101011
+10101100000001011001111101100111
+10101100000010101001111101100010
+10101100000011111001111101011110
+10101100000100111001111101011010
+10101100000110001001111101010110
+10101100000111011001111101010010
+10101100001000101001111101001110
+10101100001001101001111101001010
+10101100001010111001111101000110
+10101100001100001001111101000001
+10101100001101011001111100111101
+10101100001110011001111100111001
+10101100001111101001111100110101
+10101100010000111001111100110001
+10101100010010001001111100101101
+10101100010011001001111100101001
+10101100010100011001111100100101
+10101100010101101001111100100001
+10101100010110111001111100011101
+10101100010111111001111100011000
+10101100011001001001111100010100
+10101100011010011001111100010000
+10101100011011101001111100001100
+10101100011100101001111100001000
+10101100011101111001111100000100
+10101100011111001001111100000000
+10101100100000011001111011111100
+10101100100001011001111011111000
+10101100100010101001111011110011
+10101100100011111001111011101111
+10101100100101001001111011101011
+10101100100110011001111011100111
+10101100100111011001111011100011
+10101100101000101001111011011111
+10101100101001111001111011011011
+10101100101011001001111011010111
+10101100101100001001111011010011
+10101100101101011001111011001111
+10101100101110101001111011001011
+10101100101111111001111011000110
+10101100110000111001111011000010
+10101100110010001001111010111110
+10101100110011011001111010111010
+10101100110100101001111010110110
+10101100110101111001111010110010
+10101100110110111001111010101110
+10101100111000001001111010101010
+10101100111001011001111010100110
+10101100111010101001111010100010
+10101100111011101001111010011110
+10101100111100111001111010011010
+10101100111110001001111010010110
+10101100111111011001111010010001
+10101101000000101001111010001101
+10101101000001101001111010001001
+10101101000010111001111010000101
+10101101000100001001111010000001
+10101101000101011001111001111101
+10101101000110011001111001111001
+10101101000111101001111001110101
+10101101001000111001111001110001
+10101101001010001001111001101101
+10101101001011011001111001101001
+10101101001100011001111001100101
+10101101001101101001111001100001
+10101101001110111001111001011101
+10101101010000001001111001011000
+10101101010001011001111001010100
+10101101010010011001111001010000
+10101101010011101001111001001100
+10101101010100111001111001001000
+10101101010110001001111001000100
+10101101010111011001111001000000
+10101101011000011001111000111100
+10101101011001101001111000111000
+10101101011010111001111000110100
+10101101011100001001111000110000
+10101101011101011001111000101100
+10101101011110011001111000101000
+10101101011111101001111000100100
+10101101100000111001111000100000
+10101101100010001001111000011100
+10101101100011011001111000011000
+10101101100100011001111000010100
+10101101100101101001111000010000
+10101101100110111001111000001011
+10101101101000001001111000000111
+10101101101001011001111000000011
+10101101101010011001110111111111
+10101101101011101001110111111011
+10101101101100111001110111110111
+10101101101110001001110111110011
+10101101101111011001110111101111
+10101101110000101001110111101011
+10101101110001101001110111100111
+10101101110010111001110111100011
+10101101110100001001110111011111
+10101101110101011001110111011011
+10101101110110101001110111010111
+10101101110111101001110111010011
+10101101111000111001110111001111
+10101101111010001001110111001011
+10101101111011011001110111000111
+10101101111100101001110111000011
+10101101111101111001110110111111
+10101101111110111001110110111011
+10101110000000001001110110110111
+10101110000001011001110110110011
+10101110000010101001110110101111
+10101110000011111001110110101011
+10101110000100111001110110100111
+10101110000110001001110110100011
+10101110000111011001110110011111
+10101110001000101001110110011011
+10101110001001111001110110010111
+10101110001011001001110110010011
+10101110001100001001110110001111
+10101110001101011001110110001011
+10101110001110101001110110000111
+10101110001111111001110110000011
+10101110010001001001110101111111
+10101110010010011001110101111010
+10101110010011011001110101110110
+10101110010100101001110101110010
+10101110010101111001110101101110
+10101110010111001001110101101010
+10101110011000011001110101100110
+10101110011001101001110101100010
+10101110011010101001110101011110
+10101110011011111001110101011010
+10101110011101001001110101010110
+10101110011110011001110101010010
+10101110011111101001110101001110
+10101110100000111001110101001010
+10101110100010001001110101000110
+10101110100011001001110101000010
+10101110100100011001110100111110
+10101110100101101001110100111010
+10101110100110111001110100110110
+10101110101000001001110100110010
+10101110101001011001110100101110
+10101110101010011001110100101010
+10101110101011101001110100100110
+10101110101100111001110100100010
+10101110101110001001110100011110
+10101110101111011001110100011011
+10101110110000101001110100010111
+10101110110001111001110100010011
+10101110110010111001110100001111
+10101110110100001001110100001011
+10101110110101011001110100000111
+10101110110110101001110100000011
+10101110110111111001110011111111
+10101110111001001001110011111011
+10101110111010011001110011110111
+10101110111011011001110011110011
+10101110111100101001110011101111
+10101110111101111001110011101011
+10101110111111001001110011100111
+10101111000000011001110011100011
+10101111000001101001110011011111
+10101111000010111001110011011011
+10101111000100001001110011010111
+10101111000101001001110011010011
+10101111000110011001110011001111
+10101111000111101001110011001011
+10101111001000111001110011000111
+10101111001010001001110011000011
+10101111001011011001110010111111
+10101111001100101001110010111011
+10101111001101111001110010110111
+10101111001110111001110010110011
+10101111010000001001110010101111
+10101111010001011001110010101011
+10101111010010101001110010100111
+10101111010011111001110010100011
+10101111010101001001110010011111
+10101111010110011001110010011011
+10101111010111101001110010010111
+10101111011000101001110010010011
+10101111011001111001110010001111
+10101111011011001001110010001100
+10101111011100011001110010001000
+10101111011101101001110010000100
+10101111011110111001110010000000
+10101111100000001001110001111100
+10101111100001011001110001111000
+10101111100010011001110001110100
+10101111100011101001110001110000
+10101111100100111001110001101100
+10101111100110001001110001101000
+10101111100111011001110001100100
+10101111101000101001110001100000
+10101111101001111001110001011100
+10101111101011001001110001011000
+10101111101100011001110001010100
+10101111101101011001110001010000
+10101111101110101001110001001100
+10101111101111111001110001001000
+10101111110001001001110001000100
+10101111110010011001110001000001
+10101111110011101001110000111101
+10101111110100111001110000111001
+10101111110110001001110000110101
+10101111110111011001110000110001
+10101111111000101001110000101101
+10101111111001101001110000101001
+10101111111010111001110000100101
+10101111111100001001110000100001
+10101111111101011001110000011101
+10101111111110101001110000011001
+10101111111111111001110000010101
+10110000000001001001110000010001
+10110000000010011001110000001101
+10110000000011101001110000001010
+10110000000100111001110000000110
+10110000000101111001110000000010
+10110000000111001001101111111110
+10110000001000011001101111111010
+10110000001001101001101111110110
+10110000001010111001101111110010
+10110000001100001001101111101110
+10110000001101011001101111101010
+10110000001110101001101111100110
+10110000001111111001101111100010
+10110000010001001001101111011110
+10110000010010011001101111011010
+10110000010011101001101111010111
+10110000010100101001101111010011
+10110000010101111001101111001111
+10110000010111001001101111001011
+10110000011000011001101111000111
+10110000011001101001101111000011
+10110000011010111001101110111111
+10110000011100001001101110111011
+10110000011101011001101110110111
+10110000011110101001101110110011
+10110000011111111001101110110000
+10110000100001001001101110101100
+10110000100010011001101110101000
+10110000100011011001101110100100
+10110000100100101001101110100000
+10110000100101111001101110011100
+10110000100111001001101110011000
+10110000101000011001101110010100
+10110000101001101001101110010000
+10110000101010111001101110001100
+10110000101100001001101110001001
+10110000101101011001101110000101
+10110000101110101001101110000001
+10110000101111111001101101111101
+10110000110001001001101101111001
+10110000110010011001101101110101
+10110000110011101001101101110001
+10110000110100111001101101101101
+10110000110101111001101101101001
+10110000110111001001101101100110
+10110000111000011001101101100010
+10110000111001101001101101011110
+10110000111010111001101101011010
+10110000111100001001101101010110
+10110000111101011001101101010010
+10110000111110101001101101001110
+10110000111111111001101101001010
+10110001000001001001101101000110
+10110001000010011001101101000011
+10110001000011101001101100111111
+10110001000100111001101100111011
+10110001000110001001101100110111
+10110001000111011001101100110011
+10110001001000101001101100101111
+10110001001001111001101100101011
+10110001001011001001101100101000
+10110001001100001001101100100100
+10110001001101011001101100100000
+10110001001110101001101100011100
+10110001001111111001101100011000
+10110001010001001001101100010100
+10110001010010011001101100010000
+10110001010011101001101100001100
+10110001010100111001101100001001
+10110001010110001001101100000101
+10110001010111011001101100000001
+10110001011000101001101011111101
+10110001011001111001101011111001
+10110001011011001001101011110101
+10110001011100011001101011110001
+10110001011101101001101011101110
+10110001011110111001101011101010
+10110001100000001001101011100110
+10110001100001011001101011100010
+10110001100010101001101011011110
+10110001100011111001101011011010
+10110001100101001001101011010110
+10110001100110011001101011010011
+10110001100111101001101011001111
+10110001101000111001101011001011
+10110001101001111001101011000111
+10110001101011001001101011000011
+10110001101100011001101010111111
+10110001101101101001101010111100
+10110001101110111001101010111000
+10110001110000001001101010110100
+10110001110001011001101010110000
+10110001110010101001101010101100
+10110001110011111001101010101000
+10110001110101001001101010100101
+10110001110110011001101010100001
+10110001110111101001101010011101
+10110001111000111001101010011001
+10110001111010001001101010010101
+10110001111011011001101010010001
+10110001111100101001101010001110
+10110001111101111001101010001010
+10110001111111001001101010000110
+10110010000000011001101010000010
+10110010000001101001101001111110
+10110010000010111001101001111010
+10110010000100001001101001110111
+10110010000101011001101001110011
+10110010000110101001101001101111
+10110010000111111001101001101011
+10110010001001001001101001100111
+10110010001010011001101001100011
+10110010001011101001101001100000
+10110010001100111001101001011100
+10110010001110001001101001011000
+10110010001111011001101001010100
+10110010010000101001101001010000
+10110010010001111001101001001101
+10110010010011001001101001001001
+10110010010100011001101001000101
+10110010010101101001101001000001
+10110010010110111001101000111101
+10110010011000001001101000111001
+10110010011001011001101000110110
+10110010011010101001101000110010
+10110010011011111001101000101110
+10110010011101001001101000101010
+10110010011110011001101000100110
+10110010011111101001101000100011
+10110010100000111001101000011111
+10110010100010001001101000011011
+10110010100011011001101000010111
+10110010100100101001101000010011
+10110010100101111001101000010000
+10110010100111001001101000001100
+10110010101000011001101000001000
+10110010101001101001101000000100
+10110010101010111001101000000000
+10110010101100001001100111111101
+10110010101101011001100111111001
+10110010101110101001100111110101
+10110010101111111001100111110001
+10110010110001001001100111101101
+10110010110010011001100111101010
+10110010110011101001100111100110
+10110010110100111001100111100010
+10110010110110001001100111011110
+10110010110111011001100111011010
+10110010111000101001100111010111
+10110010111001111001100111010011
+10110010111011001001100111001111
+10110010111100011001100111001011
+10110010111101101001100111001000
+10110010111110111001100111000100
+10110011000000001001100111000000
+10110011000001011001100110111100
+10110011000010101001100110111000
+10110011000011111001100110110101
+10110011000101001001100110110001
+10110011000110011001100110101101
+10110011000111101001100110101001
+10110011001000111001100110100110
+10110011001010001001100110100010
+10110011001011011001100110011110
+10110011001100101001100110011010
+10110011001101111001100110010111
+10110011001111001001100110010011
+10110011010000011001100110001111
+10110011010001101001100110001011
+10110011010010111001100110000111
+10110011010100001001100110000100
+10110011010101101001100110000000
+10110011010110111001100101111100
+10110011011000001001100101111000
+10110011011001011001100101110101
+10110011011010101001100101110001
+10110011011011111001100101101101
+10110011011101001001100101101001
+10110011011110011001100101100110
+10110011011111101001100101100010
+10110011100000111001100101011110
+10110011100010001001100101011010
+10110011100011011001100101010111
+10110011100100101001100101010011
+10110011100101111001100101001111
+10110011100111001001100101001011
+10110011101000011001100101001000
+10110011101001101001100101000100
+10110011101010111001100101000000
+10110011101100001001100100111100
+10110011101101011001100100111001
+10110011101110101001100100110101
+10110011101111111001100100110001
+10110011110001001001100100101101
+10110011110010011001100100101010
+10110011110011101001100100100110
+10110011110101001001100100100010
+10110011110110011001100100011110
+10110011110111101001100100011011
+10110011111000111001100100010111
+10110011111010001001100100010011
+10110011111011011001100100001111
+10110011111100101001100100001100
+10110011111101111001100100001000
+10110011111111001001100100000100
+10110100000000011001100100000001
+10110100000001101001100011111101
+10110100000010111001100011111001
+10110100000100001001100011110101
+10110100000101011001100011110010
+10110100000110101001100011101110
+10110100000111111001100011101010
+10110100001001001001100011100110
+10110100001010011001100011100011
+10110100001011111001100011011111
+10110100001101001001100011011011
+10110100001110011001100011011000
+10110100001111101001100011010100
+10110100010000111001100011010000
+10110100010010001001100011001100
+10110100010011011001100011001001
+10110100010100101001100011000101
+10110100010101111001100011000001
+10110100010111001001100010111110
+10110100011000011001100010111010
+10110100011001101001100010110110
+10110100011010111001100010110010
+10110100011100001001100010101111
+10110100011101011001100010101011
+10110100011110111001100010100111
+10110100100000001001100010100100
+10110100100001011001100010100000
+10110100100010101001100010011100
+10110100100011111001100010011000
+10110100100101001001100010010101
+10110100100110011001100010010001
+10110100100111101001100010001101
+10110100101000111001100010001010
+10110100101010001001100010000110
+10110100101011011001100010000010
+10110100101100101001100001111111
+10110100101101111001100001111011
+10110100101111011001100001110111
+10110100110000101001100001110011
+10110100110001111001100001110000
+10110100110011001001100001101100
+10110100110100011001100001101000
+10110100110101101001100001100101
+10110100110110111001100001100001
+10110100111000001001100001011101
+10110100111001011001100001011010
+10110100111010101001100001010110
+10110100111011111001100001010010
+10110100111101001001100001001111
+10110100111110101001100001001011
+10110100111111111001100001000111
+10110101000001001001100001000100
+10110101000010011001100001000000
+10110101000011101001100000111100
+10110101000100111001100000111001
+10110101000110001001100000110101
+10110101000111011001100000110001
+10110101001000101001100000101101
+10110101001001111001100000101010
+10110101001011011001100000100110
+10110101001100101001100000100010
+10110101001101111001100000011111
+10110101001111001001100000011011
+10110101010000011001100000010111
+10110101010001101001100000010100
+10110101010010111001100000010000
+10110101010100001001100000001100
+10110101010101011001100000001001
+10110101010110101001100000000101
+10110101011000001001100000000001
+10110101011001011001011111111110
+10110101011010101001011111111010
+10110101011011111001011111110110
+10110101011101001001011111110011
+10110101011110011001011111101111
+10110101011111101001011111101100
+10110101100000111001011111101000
+10110101100010001001011111100100
+10110101100011011001011111100001
+10110101100100111001011111011101
+10110101100110001001011111011001
+10110101100111011001011111010110
+10110101101000101001011111010010
+10110101101001111001011111001110
+10110101101011001001011111001011
+10110101101100011001011111000111
+10110101101101101001011111000011
+10110101101111001001011111000000
+10110101110000011001011110111100
+10110101110001101001011110111000
+10110101110010111001011110110101
+10110101110100001001011110110001
+10110101110101011001011110101101
+10110101110110101001011110101010
+10110101110111111001011110100110
+10110101111001001001011110100011
+10110101111010101001011110011111
+10110101111011111001011110011011
+10110101111101001001011110011000
+10110101111110011001011110010100
+10110101111111101001011110010000
+10110110000000111001011110001101
+10110110000010001001011110001001
+10110110000011011001011110000101
+10110110000100111001011110000010
+10110110000110001001011101111110
+10110110000111011001011101111011
+10110110001000101001011101110111
+10110110001001111001011101110011
+10110110001011001001011101110000
+10110110001100011001011101101100
+10110110001101111001011101101000
+10110110001111001001011101100101
+10110110010000011001011101100001
+10110110010001101001011101011110
+10110110010010111001011101011010
+10110110010100001001011101010110
+10110110010101011001011101010011
+10110110010110101001011101001111
+10110110011000001001011101001100
+10110110011001011001011101001000
+10110110011010101001011101000100
+10110110011011111001011101000001
+10110110011101001001011100111101
+10110110011110011001011100111001
+10110110011111101001011100110110
+10110110100001001001011100110010
+10110110100010011001011100101111
+10110110100011101001011100101011
+10110110100100111001011100100111
+10110110100110001001011100100100
+10110110100111011001011100100000
+10110110101000101001011100011101
+10110110101010001001011100011001
+10110110101011011001011100010101
+10110110101100101001011100010010
+10110110101101111001011100001110
+10110110101111001001011100001011
+10110110110000011001011100000111
+10110110110001111001011100000011
+10110110110011001001011100000000
+10110110110100011001011011111100
+10110110110101101001011011111001
+10110110110110111001011011110101
+10110110111000001001011011110010
+10110110111001011001011011101110
+10110110111010111001011011101010
+10110110111100001001011011100111
+10110110111101011001011011100011
+10110110111110101001011011100000
+10110110111111111001011011011100
+10110111000001001001011011011000
+10110111000010101001011011010101
+10110111000011111001011011010001
+10110111000101001001011011001110
+10110111000110011001011011001010
+10110111000111101001011011000111
+10110111001000111001011011000011
+10110111001010011001011010111111
+10110111001011101001011010111100
+10110111001100111001011010111000
+10110111001110001001011010110101
+10110111001111011001011010110001
+10110111010000101001011010101110
+10110111010010001001011010101010
+10110111010011011001011010100110
+10110111010100101001011010100011
+10110111010101111001011010011111
+10110111010111001001011010011100
+10110111011000011001011010011000
+10110111011001111001011010010101
+10110111011011001001011010010001
+10110111011100011001011010001101
+10110111011101101001011010001010
+10110111011110111001011010000110
+10110111100000011001011010000011
+10110111100001101001011001111111
+10110111100010111001011001111100
+10110111100100001001011001111000
+10110111100101011001011001110101
+10110111100110101001011001110001
+10110111101000001001011001101101
+10110111101001011001011001101010
+10110111101010101001011001100110
+10110111101011111001011001100011
+10110111101101001001011001011111
+10110111101110101001011001011100
+10110111101111111001011001011000
+10110111110001001001011001010101
+10110111110010011001011001010001
+10110111110011101001011001001101
+10110111110100111001011001001010
+10110111110110011001011001000110
+10110111110111101001011001000011
+10110111111000111001011000111111
+10110111111010001001011000111100
+10110111111011011001011000111000
+10110111111100111001011000110101
+10110111111110001001011000110001
+10110111111111011001011000101110
+10111000000000101001011000101010
+10111000000001111001011000100111
+10111000000011011001011000100011
+10111000000100101001011000011111
+10111000000101111001011000011100
+10111000000111001001011000011000
+10111000001000011001011000010101
+10111000001001111001011000010001
+10111000001011001001011000001110
+10111000001100011001011000001010
+10111000001101101001011000000111
+10111000001110111001011000000011
+10111000010000011001011000000000
+10111000010001101001010111111100
+10111000010010111001010111111001
+10111000010100001001010111110101
+10111000010101011001010111110010
+10111000010110111001010111101110
+10111000011000001001010111101011
+10111000011001011001010111100111
+10111000011010101001010111100100
+10111000011011111001010111100000
+10111000011101011001010111011101
+10111000011110101001010111011001
+10111000011111111001010111010110
+10111000100001001001010111010010
+10111000100010011001010111001111
+10111000100011111001010111001011
+10111000100101001001010111001000
+10111000100110011001010111000100
+10111000100111101001010111000001
+10111000101001001001010110111101
+10111000101010011001010110111010
+10111000101011101001010110110110
+10111000101100111001010110110011
+10111000101110001001010110101111
+10111000101111101001010110101100
+10111000110000111001010110101000
+10111000110010001001010110100101
+10111000110011011001010110100001
+10111000110100111001010110011110
+10111000110110001001010110011010
+10111000110111011001010110010111
+10111000111000101001010110010011
+10111000111001111001010110010000
+10111000111011011001010110001100
+10111000111100101001010110001001
+10111000111101111001010110000101
+10111000111111001001010110000010
+10111001000000101001010101111110
+10111001000001111001010101111011
+10111001000011001001010101110111
+10111001000100011001010101110100
+10111001000101101001010101110000
+10111001000111001001010101101101
+10111001001000011001010101101001
+10111001001001101001010101100110
+10111001001010111001010101100010
+10111001001100011001010101011111
+10111001001101101001010101011011
+10111001001110111001010101011000
+10111001010000001001010101010100
+10111001010001101001010101010001
+10111001010010111001010101001101
+10111001010100001001010101001010
+10111001010101011001010101000111
+10111001010110111001010101000011
+10111001011000001001010101000000
+10111001011001011001010100111100
+10111001011010101001010100111001
+10111001011011111001010100110101
+10111001011101011001010100110010
+10111001011110101001010100101110
+10111001011111111001010100101011
+10111001100001001001010100100111
+10111001100010101001010100100100
+10111001100011111001010100100000
+10111001100101001001010100011101
+10111001100110011001010100011010
+10111001100111111001010100010110
+10111001101001001001010100010011
+10111001101010011001010100001111
+10111001101011101001010100001100
+10111001101101001001010100001000
+10111001101110011001010100000101
+10111001101111101001010100000001
+10111001110000111001010011111110
+10111001110010011001010011111010
+10111001110011101001010011110111
+10111001110100111001010011110100
+10111001110110001001010011110000
+10111001110111101001010011101101
+10111001111000111001010011101001
+10111001111010001001010011100110
+10111001111011011001010011100010
+10111001111100111001010011011111
+10111001111110001001010011011011
+10111001111111011001010011011000
+10111010000000111001010011010101
+10111010000010001001010011010001
+10111010000011011001010011001110
+10111010000100101001010011001010
+10111010000110001001010011000111
+10111010000111011001010011000011
+10111010001000101001010011000000
+10111010001001111001010010111101
+10111010001011011001010010111001
+10111010001100101001010010110110
+10111010001101111001010010110010
+10111010001111001001010010101111
+10111010010000101001010010101011
+10111010010001111001010010101000
+10111010010011001001010010100101
+10111010010100011001010010100001
+10111010010101111001010010011110
+10111010010111001001010010011010
+10111010011000011001010010010111
+10111010011001111001010010010100
+10111010011011001001010010010000
+10111010011100011001010010001101
+10111010011101101001010010001001
+10111010011111001001010010000110
+10111010100000011001010010000010
+10111010100001101001010001111111
+10111010100011001001010001111100
+10111010100100011001010001111000
+10111010100101101001010001110101
+10111010100110111001010001110001
+10111010101000011001010001101110
+10111010101001101001010001101011
+10111010101010111001010001100111
+10111010101100001001010001100100
+10111010101101101001010001100000
+10111010101110111001010001011101
+10111010110000001001010001011010
+10111010110001101001010001010110
+10111010110010111001010001010011
+10111010110100001001010001001111
+10111010110101011001010001001100
+10111010110110111001010001001001
+10111010111000001001010001000101
+10111010111001011001010001000010
+10111010111010111001010000111110
+10111010111100001001010000111011
+10111010111101011001010000111000
+10111010111110101001010000110100
+10111011000000001001010000110001
+10111011000001011001010000101101
+10111011000010101001010000101010
+10111011000100001001010000100111
+10111011000101011001010000100011
+10111011000110101001010000100000
+10111011001000001001010000011101
+10111011001001011001010000011001
+10111011001010101001010000010110
+10111011001011111001010000010010
+10111011001101011001010000001111
+10111011001110101001010000001100
+10111011001111111001010000001000
+10111011010001011001010000000101
+10111011010010101001010000000010
+10111011010011111001001111111110
+10111011010101011001001111111011
+10111011010110101001001111110111
+10111011010111111001001111110100
+10111011011001001001001111110001
+10111011011010101001001111101101
+10111011011011111001001111101010
+10111011011101001001001111100111
+10111011011110101001001111100011
+10111011011111111001001111100000
+10111011100001001001001111011101
+10111011100010101001001111011001
+10111011100011111001001111010110
+10111011100101001001001111010010
+10111011100110101001001111001111
+10111011100111111001001111001100
+10111011101001001001001111001000
+10111011101010011001001111000101
+10111011101011111001001111000010
+10111011101101001001001110111110
+10111011101110011001001110111011
+10111011101111111001001110111000
+10111011110001001001001110110100
+10111011110010011001001110110001
+10111011110011111001001110101110
+10111011110101001001001110101010
+10111011110110011001001110100111
+10111011110111111001001110100100
+10111011111001001001001110100000
+10111011111010011001001110011101
+10111011111011111001001110011010
+10111011111101001001001110010110
+10111011111110011001001110010011
+10111011111111111001001110001111
+10111100000001001001001110001100
+10111100000010011001001110001001
+10111100000011101001001110000101
+10111100000101001001001110000010
+10111100000110011001001101111111
+10111100000111101001001101111011
+10111100001001001001001101111000
+10111100001010011001001101110101
+10111100001011101001001101110001
+10111100001101001001001101101110
+10111100001110011001001101101011
+10111100001111101001001101101000
+10111100010001001001001101100100
+10111100010010011001001101100001
+10111100010011101001001101011110
+10111100010101001001001101011010
+10111100010110011001001101010111
+10111100010111101001001101010100
+10111100011001001001001101010000
+10111100011010011001001101001101
+10111100011011101001001101001010
+10111100011101001001001101000110
+10111100011110011001001101000011
+10111100011111101001001101000000
+10111100100001001001001100111100
+10111100100010011001001100111001
+10111100100011101001001100110110
+10111100100101001001001100110010
+10111100100110011001001100101111
+10111100100111101001001100101100
+10111100101001001001001100101001
+10111100101010011001001100100101
+10111100101011111001001100100010
+10111100101101001001001100011111
+10111100101110011001001100011011
+10111100101111111001001100011000
+10111100110001001001001100010101
+10111100110010011001001100010001
+10111100110011111001001100001110
+10111100110101001001001100001011
+10111100110110011001001100000111
+10111100110111111001001100000100
+10111100111001001001001100000001
+10111100111010011001001011111110
+10111100111011111001001011111010
+10111100111101001001001011110111
+10111100111110011001001011110100
+10111100111111111001001011110000
+10111101000001001001001011101101
+10111101000010011001001011101010
+10111101000011111001001011100111
+10111101000101001001001011100011
+10111101000110101001001011100000
+10111101000111111001001011011101
+10111101001001001001001011011001
+10111101001010101001001011010110
+10111101001011111001001011010011
+10111101001101001001001011010000
+10111101001110101001001011001100
+10111101001111111001001011001001
+10111101010001001001001011000110
+10111101010010101001001011000011
+10111101010011111001001010111111
+10111101010101001001001010111100
+10111101010110101001001010111001
+10111101010111111001001010110101
+10111101011001011001001010110010
+10111101011010101001001010101111
+10111101011011111001001010101100
+10111101011101011001001010101000
+10111101011110101001001010100101
+10111101011111111001001010100010
+10111101100001011001001010011111
+10111101100010101001001010011011
+10111101100100001001001010011000
+10111101100101011001001010010101
+10111101100110101001001010010010
+10111101101000001001001010001110
+10111101101001011001001010001011
+10111101101010101001001010001000
+10111101101100001001001010000100
+10111101101101011001001010000001
+10111101101110111001001001111110
+10111101110000001001001001111011
+10111101110001011001001001110111
+10111101110010111001001001110100
+10111101110100001001001001110001
+10111101110101011001001001101110
+10111101110110111001001001101010
+10111101111000001001001001100111
+10111101111001101001001001100100
+10111101111010111001001001100001
+10111101111100001001001001011101
+10111101111101101001001001011010
+10111101111110111001001001010111
+10111110000000001001001001010100
+10111110000001101001001001010001
+10111110000010111001001001001101
+10111110000100011001001001001010
+10111110000101101001001001000111
+10111110000110111001001001000100
+10111110001000011001001001000000
+10111110001001101001001000111101
+10111110001011001001001000111010
+10111110001100011001001000110111
+10111110001101101001001000110011
+10111110001111001001001000110000
+10111110010000011001001000101101
+10111110010001101001001000101010
+10111110010011001001001000100111
+10111110010100011001001000100011
+10111110010101111001001000100000
+10111110010111001001001000011101
+10111110011000011001001000011010
+10111110011001111001001000010110
+10111110011011001001001000010011
+10111110011100101001001000010000
+10111110011101111001001000001101
+10111110011111001001001000001010
+10111110100000101001001000000110
+10111110100001111001001000000011
+10111110100011011001001000000000
+10111110100100101001000111111101
+10111110100101111001000111111001
+10111110100111011001000111110110
+10111110101000101001000111110011
+10111110101010001001000111110000
+10111110101011011001000111101101
+10111110101100101001000111101001
+10111110101110001001000111100110
+10111110101111011001000111100011
+10111110110000111001000111100000
+10111110110010001001000111011101
+10111110110011011001000111011001
+10111110110100111001000111010110
+10111110110110001001000111010011
+10111110110111101001000111010000
+10111110111000111001000111001101
+10111110111010001001000111001001
+10111110111011101001000111000110
+10111110111100111001000111000011
+10111110111110011001000111000000
+10111110111111101001000110111101
+10111111000001001001000110111001
+10111111000010011001000110110110
+10111111000011101001000110110011
+10111111000101001001000110110000
+10111111000110011001000110101101
+10111111000111111001000110101010
+10111111001001001001000110100110
+10111111001010011001000110100011
+10111111001011111001000110100000
+10111111001101001001000110011101
+10111111001110101001000110011010
+10111111001111111001000110010110
+10111111010001011001000110010011
+10111111010010101001000110010000
+10111111010011111001000110001101
+10111111010101011001000110001010
+10111111010110101001000110000111
+10111111011000001001000110000011
+10111111011001011001000110000000
+10111111011010111001000101111101
+10111111011100001001000101111010
+10111111011101011001000101110111
+10111111011110111001000101110100
+10111111100000001001000101110000
+10111111100001101001000101101101
+10111111100010111001000101101010
+10111111100100001001000101100111
+10111111100101101001000101100100
+10111111100110111001000101100001
+10111111101000011001000101011101
+10111111101001101001000101011010
+10111111101011001001000101010111
+10111111101100011001000101010100
+10111111101101111001000101010001
+10111111101111001001000101001110
+10111111110000011001000101001010
+10111111110001111001000101000111
+10111111110011001001000101000100
+10111111110100101001000101000001
+10111111110101111001000100111110
+10111111110111011001000100111011
+10111111111000101001000100111000
+10111111111001111001000100110100
+10111111111011011001000100110001
+10111111111100101001000100101110
+10111111111110001001000100101011
+10111111111111011001000100101000
+11000000000001001001000100100101
+11000000000010011001000100100010
+11000000000011111001000100011110
+11000000000101001001000100011011
+11000000000110011001000100011000
+11000000000111111001000100010101
+11000000001001001001000100010010
+11000000001010101001000100001111
+11000000001011111001000100001100
+11000000001101011001000100001000
+11000000001110101001000100000101
+11000000010000001001000100000010
+11000000010001011001000011111111
+11000000010010101001000011111100
+11000000010100001001000011111001
+11000000010101011001000011110110
+11000000010110111001000011110011
+11000000011000001001000011101111
+11000000011001101001000011101100
+11000000011010111001000011101001
+11000000011100011001000011100110
+11000000011101101001000011100011
+11000000011110111001000011100000
+11000000100000011001000011011101
+11000000100001101001000011011010
+11000000100011001001000011010111
+11000000100100011001000011010011
+11000000100101111001000011010000
+11000000100111001001000011001101
+11000000101000101001000011001010
+11000000101001111001000011000111
+11000000101011011001000011000100
+11000000101100101001000011000001
+11000000101110001001000010111110
+11000000101111011001000010111011
+11000000110000101001000010110111
+11000000110010001001000010110100
+11000000110011011001000010110001
+11000000110100111001000010101110
+11000000110110001001000010101011
+11000000110111101001000010101000
+11000000111000111001000010100101
+11000000111010011001000010100010
+11000000111011101001000010011111
+11000000111101001001000010011100
+11000000111110011001000010011000
+11000000111111111001000010010101
+11000001000001001001000010010010
+11000001000010101001000010001111
+11000001000011111001000010001100
+11000001000101001001000010001001
+11000001000110101001000010000110
+11000001000111111001000010000011
+11000001001001011001000010000000
+11000001001010101001000001111101
+11000001001100001001000001111010
+11000001001101011001000001110110
+11000001001110111001000001110011
+11000001010000001001000001110000
+11000001010001101001000001101101
+11000001010010111001000001101010
+11000001010100011001000001100111
+11000001010101101001000001100100
+11000001010111001001000001100001
+11000001011000011001000001011110
+11000001011001111001000001011011
+11000001011011001001000001011000
+11000001011100101001000001010101
+11000001011101111001000001010010
+11000001011111011001000001001110
+11000001100000101001000001001011
+11000001100001111001000001001000
+11000001100011011001000001000101
+11000001100100101001000001000010
+11000001100110001001000000111111
+11000001100111011001000000111100
+11000001101000111001000000111001
+11000001101010001001000000110110
+11000001101011101001000000110011
+11000001101100111001000000110000
+11000001101110011001000000101101
+11000001101111101001000000101010
+11000001110001001001000000100111
+11000001110010011001000000100100
+11000001110011111001000000100001
+11000001110101001001000000011110
+11000001110110101001000000011010
+11000001110111111001000000010111
+11000001111001011001000000010100
+11000001111010101001000000010001
+11000001111100001001000000001110
+11000001111101011001000000001011
+11000001111110111001000000001000
+11000010000000001001000000000101
+11000010000001101001000000000010
+11000010000010111000111111111111
+11000010000100011000111111111100
+11000010000101101000111111111001
+11000010000111001000111111110110
+11000010001000011000111111110011
+11000010001001111000111111110000
+11000010001011001000111111101101
+11000010001100101000111111101010
+11000010001101111000111111100111
+11000010001111011000111111100100
+11000010010000101000111111100001
+11000010010010001000111111011110
+11000010010011011000111111011011
+11000010010100111000111111011000
+11000010010110001000111111010101
+11000010010111101000111111010010
+11000010011000111000111111001111
+11000010011010011000111111001100
+11000010011011101000111111001001
+11000010011101001000111111000101
+11000010011110011000111111000010
+11000010011111111000111110111111
+11000010100001001000111110111100
+11000010100010101000111110111001
+11000010100011111000111110110110
+11000010100101011000111110110011
+11000010100110101000111110110000
+11000010101000001000111110101101
+11000010101001011000111110101010
+11000010101010111000111110100111
+11000010101100001000111110100100
+11000010101101101000111110100001
+11000010101110111000111110011110
+11000010110000011000111110011011
+11000010110001111000111110011000
+11000010110011001000111110010101
+11000010110100101000111110010010
+11000010110101111000111110001111
+11000010110111011000111110001100
+11000010111000101000111110001001
+11000010111010001000111110000110
+11000010111011011000111110000011
+11000010111100111000111110000000
+11000010111110001000111101111101
+11000010111111101000111101111010
+11000011000000111000111101110111
+11000011000010011000111101110100
+11000011000011101000111101110001
+11000011000101001000111101101110
+11000011000110011000111101101011
+11000011000111111000111101101000
+11000011001001001000111101100101
+11000011001010101000111101100010
+11000011001011111000111101011111
+11000011001101011000111101011100
+11000011001110111000111101011001
+11000011010000001000111101010110
+11000011010001101000111101010011
+11000011010010111000111101010000
+11000011010100011000111101001101
+11000011010101101000111101001011
+11000011010111001000111101001000
+11000011011000011000111101000101
+11000011011001111000111101000010
+11000011011011001000111100111111
+11000011011100101000111100111100
+11000011011101111000111100111001
+11000011011111011000111100110110
+11000011100000101000111100110011
+11000011100010001000111100110000
+11000011100011101000111100101101
+11000011100100111000111100101010
+11000011100110011000111100100111
+11000011100111101000111100100100
+11000011101001001000111100100001
+11000011101010011000111100011110
+11000011101011111000111100011011
+11000011101101001000111100011000
+11000011101110101000111100010101
+11000011101111111000111100010010
+11000011110001011000111100001111
+11000011110010101000111100001100
+11000011110100001000111100001001
+11000011110101101000111100000110
+11000011110110111000111100000011
+11000011111000011000111100000000
+11000011111001101000111011111101
+11000011111011001000111011111011
+11000011111100011000111011111000
+11000011111101111000111011110101
+11000011111111001000111011110010
+11000100000000101000111011101111
+11000100000001111000111011101100
+11000100000011011000111011101001
+11000100000100111000111011100110
+11000100000110001000111011100011
+11000100000111101000111011100000
+11000100001000111000111011011101
+11000100001010011000111011011010
+11000100001011101000111011010111
+11000100001101001000111011010100
+11000100001110011000111011010001
+11000100001111111000111011001110
+11000100010001011000111011001011
+11000100010010101000111011001001
+11000100010100001000111011000110
+11000100010101011000111011000011
+11000100010110111000111011000000
+11000100011000001000111010111101
+11000100011001101000111010111010
+11000100011010111000111010110111
+11000100011100011000111010110100
+11000100011101111000111010110001
+11000100011111001000111010101110
+11000100100000101000111010101011
+11000100100001111000111010101000
+11000100100011011000111010100101
+11000100100100101000111010100011
+11000100100110001000111010100000
+11000100100111101000111010011101
+11000100101000111000111010011010
+11000100101010011000111010010111
+11000100101011101000111010010100
+11000100101101001000111010010001
+11000100101110011000111010001110
+11000100101111111000111010001011
+11000100110001011000111010001000
+11000100110010101000111010000101
+11000100110100001000111010000010
+11000100110101011000111010000000
+11000100110110111000111001111101
+11000100111000001000111001111010
+11000100111001101000111001110111
+11000100111011001000111001110100
+11000100111100011000111001110001
+11000100111101111000111001101110
+11000100111111001000111001101011
+11000101000000101000111001101000
+11000101000001111000111001100101
+11000101000011011000111001100011
+11000101000100111000111001100000
+11000101000110001000111001011101
+11000101000111101000111001011010
+11000101001000111000111001010111
+11000101001010011000111001010100
+11000101001011101000111001010001
+11000101001101001000111001001110
+11000101001110101000111001001011
+11000101001111111000111001001001
+11000101010001011000111001000110
+11000101010010101000111001000011
+11000101010100001000111001000000
+11000101010101101000111000111101
+11000101010110111000111000111010
+11000101011000011000111000110111
+11000101011001101000111000110100
+11000101011011001000111000110010
+11000101011100011000111000101111
+11000101011101111000111000101100
+11000101011111011000111000101001
+11000101100000101000111000100110
+11000101100010001000111000100011
+11000101100011011000111000100000
+11000101100100111000111000011101
+11000101100110011000111000011011
+11000101100111101000111000011000
+11000101101001001000111000010101
+11000101101010011000111000010010
+11000101101011111000111000001111
+11000101101101011000111000001100
+11000101101110101000111000001001
+11000101110000001000111000000111
+11000101110001011000111000000100
+11000101110010111000111000000001
+11000101110100011000110111111110
+11000101110101101000110111111011
+11000101110111001000110111111000
+11000101111000011000110111110101
+11000101111001111000110111110011
+11000101111011011000110111110000
+11000101111100101000110111101101
+11000101111110001000110111101010
+11000101111111011000110111100111
+11000110000000111000110111100100
+11000110000010011000110111100001
+11000110000011101000110111011111
+11000110000101001000110111011100
+11000110000110011000110111011001
+11000110000111111000110111010110
+11000110001001011000110111010011
+11000110001010101000110111010000
+11000110001100001000110111001110
+11000110001101011000110111001011
+11000110001110111000110111001000
+11000110010000011000110111000101
+11000110010001101000110111000010
+11000110010011001000110110111111
+11000110010100011000110110111101
+11000110010101111000110110111010
+11000110010111011000110110110111
+11000110011000101000110110110100
+11000110011010001000110110110001
+11000110011011011000110110101110
+11000110011100111000110110101100
+11000110011110011000110110101001
+11000110011111101000110110100110
+11000110100001001000110110100011
+11000110100010011000110110100000
+11000110100011111000110110011110
+11000110100101011000110110011011
+11000110100110101000110110011000
+11000110101000001000110110010101
+11000110101001101000110110010010
+11000110101010111000110110001111
+11000110101100011000110110001101
+11000110101101101000110110001010
+11000110101111001000110110000111
+11000110110000101000110110000100
+11000110110001111000110110000001
+11000110110011011000110101111111
+11000110110100111000110101111100
+11000110110110001000110101111001
+11000110110111101000110101110110
+11000110111000111000110101110011
+11000110111010011000110101110001
+11000110111011111000110101101110
+11000110111101001000110101101011
+11000110111110101000110101101000
+11000111000000001000110101100101
+11000111000001011000110101100011
+11000111000010111000110101100000
+11000111000100001000110101011101
+11000111000101101000110101011010
+11000111000111001000110101010111
+11000111001000011000110101010101
+11000111001001111000110101010010
+11000111001011011000110101001111
+11000111001100101000110101001100
+11000111001110001000110101001001
+11000111001111011000110101000111
+11000111010000111000110101000100
+11000111010010011000110101000001
+11000111010011101000110100111110
+11000111010101001000110100111011
+11000111010110101000110100111001
+11000111010111111000110100110110
+11000111011001011000110100110011
+11000111011010101000110100110000
+11000111011100001000110100101110
+11000111011101101000110100101011
+11000111011110111000110100101000
+11000111100000011000110100100101
+11000111100001111000110100100010
+11000111100011001000110100100000
+11000111100100101000110100011101
+11000111100110001000110100011010
+11000111100111011000110100010111
+11000111101000111000110100010101
+11000111101010011000110100010010
+11000111101011101000110100001111
+11000111101101001000110100001100
+11000111101110011000110100001010
+11000111101111111000110100000111
+11000111110001011000110100000100
+11000111110010101000110100000001
+11000111110100001000110011111111
+11000111110101101000110011111100
+11000111110110111000110011111001
+11000111111000011000110011110110
+11000111111001111000110011110100
+11000111111011001000110011110001
+11000111111100101000110011101110
+11000111111110001000110011101011
+11000111111111011000110011101001
+11001000000000111000110011100110
+11001000000010001000110011100011
+11001000000011101000110011100000
+11001000000101001000110011011110
+11001000000110011000110011011011
+11001000000111111000110011011000
+11001000001001011000110011010101
+11001000001010101000110011010011
+11001000001100001000110011010000
+11001000001101101000110011001101
+11001000001110111000110011001010
+11001000010000011000110011001000
+11001000010001111000110011000101
+11001000010011001000110011000010
+11001000010100101000110010111111
+11001000010110001000110010111101
+11001000010111011000110010111010
+11001000011000111000110010110111
+11001000011010011000110010110100
+11001000011011101000110010110010
+11001000011101001000110010101111
+11001000011110101000110010101100
+11001000011111111000110010101010
+11001000100001011000110010100111
+11001000100010111000110010100100
+11001000100100001000110010100001
+11001000100101101000110010011111
+11001000100111001000110010011100
+11001000101000011000110010011001
+11001000101001111000110010010111
+11001000101011011000110010010100
+11001000101100101000110010010001
+11001000101110001000110010001110
+11001000101111101000110010001100
+11001000110000111000110010001001
+11001000110010011000110010000110
+11001000110011111000110010000100
+11001000110101001000110010000001
+11001000110110101000110001111110
+11001000111000001000110001111011
+11001000111001011000110001111001
+11001000111010111000110001110110
+11001000111100011000110001110011
+11001000111101101000110001110001
+11001000111111001000110001101110
+11001001000000101000110001101011
+11001001000001111000110001101001
+11001001000011011000110001100110
+11001001000100111000110001100011
+11001001000110001000110001100000
+11001001000111101000110001011110
+11001001001001001000110001011011
+11001001001010011000110001011000
+11001001001011111000110001010110
+11001001001101011000110001010011
+11001001001110101000110001010000
+11001001010000001000110001001110
+11001001010001101000110001001011
+11001001010010111000110001001000
+11001001010100011000110001000110
+11001001010101111000110001000011
+11001001010111001000110001000000
+11001001011000101000110000111101
+11001001011010001000110000111011
+11001001011011011000110000111000
+11001001011100111000110000110101
+11001001011110011000110000110011
+11001001011111111000110000110000
+11001001100001001000110000101101
+11001001100010101000110000101011
+11001001100100001000110000101000
+11001001100101011000110000100101
+11001001100110111000110000100011
+11001001101000011000110000100000
+11001001101001101000110000011101
+11001001101011001000110000011011
+11001001101100101000110000011000
+11001001101101111000110000010101
+11001001101111011000110000010011
+11001001110000111000110000010000
+11001001110010001000110000001101
+11001001110011101000110000001011
+11001001110101001000110000001000
+11001001110110101000110000000101
+11001001110111111000110000000011
+11001001111001011000110000000000
+11001001111010111000101111111101
+11001001111100001000101111111011
+11001001111101101000101111111000
+11001001111111001000101111110101
+11001010000000011000101111110011
+11001010000001111000101111110000
+11001010000011011000101111101110
+11001010000100111000101111101011
+11001010000110001000101111101000
+11001010000111101000101111100110
+11001010001001001000101111100011
+11001010001010011000101111100000
+11001010001011111000101111011110
+11001010001101011000101111011011
+11001010001110101000101111011000
+11001010010000001000101111010110
+11001010010001101000101111010011
+11001010010011001000101111010000
+11001010010100011000101111001110
+11001010010101111000101111001011
+11001010010111011000101111001001
+11001010011000101000101111000110
+11001010011010001000101111000011
+11001010011011101000101111000001
+11001010011100111000101110111110
+11001010011110011000101110111011
+11001010011111111000101110111001
+11001010100001011000101110110110
+11001010100010101000101110110100
+11001010100100001000101110110001
+11001010100101101000101110101110
+11001010100110111000101110101100
+11001010101000011000101110101001
+11001010101001111000101110100110
+11001010101011011000101110100100
+11001010101100101000101110100001
+11001010101110001000101110011111
+11001010101111101000101110011100
+11001010110000111000101110011001
+11001010110010011000101110010111
+11001010110011111000101110010100
+11001010110101011000101110010010
+11001010110110101000101110001111
+11001010111000001000101110001100
+11001010111001101000101110001010
+11001010111010111000101110000111
+11001010111100011000101110000100
+11001010111101111000101110000010
+11001010111111011000101101111111
+11001011000000101000101101111101
+11001011000010001000101101111010
+11001011000011101000101101110111
+11001011000100111000101101110101
+11001011000110011000101101110010
+11001011000111111000101101110000
+11001011001001011000101101101101
+11001011001010101000101101101011
+11001011001100001000101101101000
+11001011001101101000101101100101
+11001011001111001000101101100011
+11001011010000011000101101100000
+11001011010001111000101101011110
+11001011010011011000101101011011
+11001011010100101000101101011000
+11001011010110001000101101010110
+11001011010111101000101101010011
+11001011011001001000101101010001
+11001011011010011000101101001110
+11001011011011111000101101001011
+11001011011101011000101101001001
+11001011011110101000101101000110
+11001011100000001000101101000100
+11001011100001101000101101000001
+11001011100011001000101100111111
+11001011100100011000101100111100
+11001011100101111000101100111001
+11001011100111011000101100110111
+11001011101000111000101100110100
+11001011101010001000101100110010
+11001011101011101000101100101111
+11001011101101001000101100101101
+11001011101110101000101100101010
+11001011101111111000101100100111
+11001011110001011000101100100101
+11001011110010111000101100100010
+11001011110100001000101100100000
+11001011110101101000101100011101
+11001011110111001000101100011011
+11001011111000101000101100011000
+11001011111001111000101100010110
+11001011111011011000101100010011
+11001011111100111000101100010000
+11001011111110011000101100001110
+11001011111111101000101100001011
+11001100000001001000101100001001
+11001100000010101000101100000110
+11001100000100001000101100000100
+11001100000101011000101100000001
+11001100000110111000101011111111
+11001100001000011000101011111100
+11001100001001111000101011111001
+11001100001011001000101011110111
+11001100001100101000101011110100
+11001100001110001000101011110010
+11001100001111101000101011101111
+11001100010000111000101011101101
+11001100010010011000101011101010
+11001100010011111000101011101000
+11001100010101011000101011100101
+11001100010110101000101011100011
+11001100011000001000101011100000
+11001100011001101000101011011110
+11001100011011001000101011011011
+11001100011100011000101011011000
+11001100011101111000101011010110
+11001100011111011000101011010011
+11001100100000111000101011010001
+11001100100010001000101011001110
+11001100100011101000101011001100
+11001100100101001000101011001001
+11001100100110101000101011000111
+11001100100111111000101011000100
+11001100101001011000101011000010
+11001100101010111000101010111111
+11001100101100011000101010111101
+11001100101101101000101010111010
+11001100101111001000101010111000
+11001100110000101000101010110101
+11001100110010001000101010110011
+11001100110011011000101010110000
+11001100110100111000101010101110
+11001100110110011000101010101011
+11001100110111111000101010101001
+11001100111001001000101010100110
+11001100111010101000101010100100
+11001100111100001000101010100001
+11001100111101101000101010011111
+11001100111110111000101010011100
+11001101000000011000101010011010
+11001101000001111000101010010111
+11001101000011011000101010010101
+11001101000100111000101010010010
+11001101000110001000101010010000
+11001101000111101000101010001101
+11001101001001001000101010001011
+11001101001010101000101010001000
+11001101001011111000101010000110
+11001101001101011000101010000011
+11001101001110111000101010000001
+11001101010000011000101001111110
+11001101010001101000101001111100
+11001101010011001000101001111001
+11001101010100101000101001110111
+11001101010110001000101001110100
+11001101010111101000101001110010
+11001101011000111000101001101111
+11001101011010011000101001101101
+11001101011011111000101001101010
+11001101011101011000101001101000
+11001101011110101000101001100101
+11001101100000001000101001100011
+11001101100001101000101001100000
+11001101100011001000101001011110
+11001101100100011000101001011011
+11001101100101111000101001011001
+11001101100111011000101001010110
+11001101101000111000101001010100
+11001101101010011000101001010001
+11001101101011101000101001001111
+11001101101101001000101001001100
+11001101101110101000101001001010
+11001101110000001000101001001000
+11001101110001011000101001000101
+11001101110010111000101001000011
+11001101110100011000101001000000
+11001101110101111000101000111110
+11001101110111011000101000111011
+11001101111000101000101000111001
+11001101111010001000101000110110
+11001101111011101000101000110100
+11001101111101001000101000110001
+11001101111110011000101000101111
+11001101111111111000101000101100
+11001110000001011000101000101010
+11001110000010111000101000101000
+11001110000100011000101000100101
+11001110000101101000101000100011
+11001110000111001000101000100000
+11001110001000101000101000011110
+11001110001010001000101000011011
+11001110001011101000101000011001
+11001110001100111000101000010110
+11001110001110011000101000010100
+11001110001111111000101000010010
+11001110010001011000101000001111
+11001110010010101000101000001101
+11001110010100001000101000001010
+11001110010101101000101000001000
+11001110010111001000101000000101
+11001110011000101000101000000011
+11001110011001111000101000000000
+11001110011011011000100111111110
+11001110011100111000100111111100
+11001110011110011000100111111001
+11001110011111111000100111110111
+11001110100001001000100111110100
+11001110100010101000100111110010
+11001110100100001000100111101111
+11001110100101101000100111101101
+11001110100111001000100111101011
+11001110101000011000100111101000
+11001110101001111000100111100110
+11001110101011011000100111100011
+11001110101100111000100111100001
+11001110101110011000100111011111
+11001110101111101000100111011100
+11001110110001001000100111011010
+11001110110010101000100111010111
+11001110110100001000100111010101
+11001110110101101000100111010010
+11001110110110111000100111010000
+11001110111000011000100111001110
+11001110111001111000100111001011
+11001110111011011000100111001001
+11001110111100111000100111000110
+11001110111110001000100111000100
+11001110111111101000100111000010
+11001111000001001000100110111111
+11001111000010101000100110111101
+11001111000100001000100110111010
+11001111000101011000100110111000
+11001111000110111000100110110110
+11001111001000011000100110110011
+11001111001001111000100110110001
+11001111001011011000100110101110
+11001111001100101000100110101100
+11001111001110001000100110101010
+11001111001111101000100110100111
+11001111010001001000100110100101
+11001111010010101000100110100010
+11001111010011111000100110100000
+11001111010101011000100110011110
+11001111010110111000100110011011
+11001111011000011000100110011001
+11001111011001111000100110010110
+11001111011011011000100110010100
+11001111011100101000100110010010
+11001111011110001000100110001111
+11001111011111101000100110001101
+11001111100001001000100110001011
+11001111100010101000100110001000
+11001111100011111000100110000110
+11001111100101011000100110000011
+11001111100110111000100110000001
+11001111101000011000100101111111
+11001111101001111000100101111100
+11001111101011011000100101111010
+11001111101100101000100101111000
+11001111101110001000100101110101
+11001111101111101000100101110011
+11001111110001001000100101110000
+11001111110010101000100101101110
+11001111110011111000100101101100
+11001111110101011000100101101001
+11001111110110111000100101100111
+11001111111000011000100101100101
+11001111111001111000100101100010
+11001111111011011000100101100000
+11001111111100101000100101011110
+11001111111110001000100101011011
+11001111111111101000100101011001
+11010000000001001000100101010110
+11010000000010101000100101010100
+11010000000011111000100101010010
+11010000000101011000100101001111
+11010000000110111000100101001101
+11010000001000011000100101001011
+11010000001001111000100101001000
+11010000001011011000100101000110
+11010000001100101000100101000100
+11010000001110001000100101000001
+11010000001111101000100100111111
+11010000010001001000100100111101
+11010000010010101000100100111010
+11010000010100001000100100111000
+11010000010101011000100100110110
+11010000010110111000100100110011
+11010000011000011000100100110001
+11010000011001111000100100101111
+11010000011011011000100100101100
+11010000011100111000100100101010
+11010000011110001000100100101000
+11010000011111101000100100100101
+11010000100001001000100100100011
+11010000100010101000100100100001
+11010000100100001000100100011110
+11010000100101101000100100011100
+11010000100110111000100100011010
+11010000101000011000100100010111
+11010000101001111000100100010101
+11010000101011011000100100010011
+11010000101100111000100100010000
+11010000101110011000100100001110
+11010000101111101000100100001100
+11010000110001001000100100001001
+11010000110010101000100100000111
+11010000110100001000100100000101
+11010000110101101000100100000010
+11010000110111001000100100000000
+11010000111000011000100011111110
+11010000111001111000100011111011
+11010000111011011000100011111001
+11010000111100111000100011110111
+11010000111110011000100011110101
+11010000111111111000100011110010
+11010001000001011000100011110000
+11010001000010101000100011101110
+11010001000100001000100011101011
+11010001000101101000100011101001
+11010001000111001000100011100111
+11010001001000101000100011100100
+11010001001010001000100011100010
+11010001001011011000100011100000
+11010001001100111000100011011101
+11010001001110011000100011011011
+11010001001111111000100011011001
+11010001010001011000100011010111
+11010001010010111000100011010100
+11010001010100011000100011010010
+11010001010101101000100011010000
+11010001010111001000100011001101
+11010001011000101000100011001011
+11010001011010001000100011001001
+11010001011011101000100011000111
+11010001011101001000100011000100
+11010001011110101000100011000010
+11010001011111111000100011000000
+11010001100001011000100010111101
+11010001100010111000100010111011
+11010001100100011000100010111001
+11010001100101111000100010110111
+11010001100111011000100010110100
+11010001101000111000100010110010
+11010001101010001000100010110000
+11010001101011101000100010101101
+11010001101101001000100010101011
+11010001101110101000100010101001
+11010001110000001000100010100111
+11010001110001101000100010100100
+11010001110011001000100010100010
+11010001110100011000100010100000
+11010001110101111000100010011110
+11010001110111011000100010011011
+11010001111000111000100010011001
+11010001111010011000100010010111
+11010001111011111000100010010101
+11010001111101011000100010010010
+11010001111110101000100010010000
+11010010000000001000100010001110
+11010010000001101000100010001100
+11010010000011001000100010001001
+11010010000100101000100010000111
+11010010000110001000100010000101
+11010010000111101000100010000011
+11010010001000111000100010000000
+11010010001010011000100001111110
+11010010001011111000100001111100
+11010010001101011000100001111010
+11010010001110111000100001110111
+11010010010000011000100001110101
+11010010010001111000100001110011
+11010010010011011000100001110001
+11010010010100101000100001101110
+11010010010110001000100001101100
+11010010010111101000100001101010
+11010010011001001000100001101000
+11010010011010101000100001100101
+11010010011100001000100001100011
+11010010011101101000100001100001
+11010010011110111000100001011111
+11010010100000011000100001011100
+11010010100001111000100001011010
+11010010100011011000100001011000
+11010010100100111000100001010110
+11010010100110011000100001010011
+11010010100111111000100001010001
+11010010101001011000100001001111
+11010010101010101000100001001101
+11010010101100001000100001001011
+11010010101101101000100001001000
+11010010101111001000100001000110
+11010010110000101000100001000100
+11010010110010001000100001000010
+11010010110011101000100000111111
+11010010110101001000100000111101
+11010010110110011000100000111011
+11010010110111111000100000111001
+11010010111001011000100000110111
+11010010111010111000100000110100
+11010010111100011000100000110010
+11010010111101111000100000110000
+11010010111111011000100000101110
+11010011000000111000100000101100
+11010011000010011000100000101001
+11010011000011101000100000100111
+11010011000101001000100000100101
+11010011000110101000100000100011
+11010011001000001000100000100000
+11010011001001101000100000011110
+11010011001011001000100000011100
+11010011001100101000100000011010
+11010011001110001000100000011000
+11010011001111101000100000010110
+11010011010000111000100000010011
+11010011010010011000100000010001
+11010011010011111000100000001111
+11010011010101011000100000001101
+11010011010110111000100000001011
+11010011011000011000100000001000
+11010011011001111000100000000110
+11010011011011011000100000000100
+11010011011100101000100000000010
+11010011011110001000100000000000
+11010011011111101000011111111101
+11010011100001001000011111111011
+11010011100010101000011111111001
+11010011100100001000011111110111
+11010011100101101000011111110101
+11010011100111001000011111110010
+11010011101000101000011111110000
+11010011101010001000011111101110
+11010011101011011000011111101100
+11010011101100111000011111101010
+11010011101110011000011111101000
+11010011101111111000011111100101
+11010011110001011000011111100011
+11010011110010111000011111100001
+11010011110100011000011111011111
+11010011110101111000011111011101
+11010011110111011000011111011011
+11010011111000101000011111011000
+11010011111010001000011111010110
+11010011111011101000011111010100
+11010011111101001000011111010010
+11010011111110101000011111010000
+11010100000000001000011111001110
+11010100000001101000011111001011
+11010100000011001000011111001001
+11010100000100101000011111000111
+11010100000110001000011111000101
+11010100000111011000011111000011
+11010100001000111000011111000001
+11010100001010011000011110111111
+11010100001011111000011110111100
+11010100001101011000011110111010
+11010100001110111000011110111000
+11010100010000011000011110110110
+11010100010001111000011110110100
+11010100010011011000011110110010
+11010100010100111000011110101111
+11010100010110011000011110101101
+11010100010111101000011110101011
+11010100011001001000011110101001
+11010100011010101000011110100111
+11010100011100001000011110100101
+11010100011101101000011110100011
+11010100011111001000011110100001
+11010100100000101000011110011110
+11010100100010001000011110011100
+11010100100011101000011110011010
+11010100100101001000011110011000
+11010100100110101000011110010110
+11010100100111111000011110010100
+11010100101001011000011110010010
+11010100101010111000011110001111
+11010100101100011000011110001101
+11010100101101111000011110001011
+11010100101111011000011110001001
+11010100110000111000011110000111
+11010100110010011000011110000101
+11010100110011111000011110000011
+11010100110101011000011110000001
+11010100110110111000011101111110
+11010100111000001000011101111100
+11010100111001101000011101111010
+11010100111011001000011101111000
+11010100111100101000011101110110
+11010100111110001000011101110100
+11010100111111101000011101110010
+11010101000001001000011101110000
+11010101000010101000011101101110
+11010101000100001000011101101011
+11010101000101101000011101101001
+11010101000111001000011101100111
+11010101001000101000011101100101
+11010101001001111000011101100011
+11010101001011011000011101100001
+11010101001100111000011101011111
+11010101001110011000011101011101
+11010101001111111000011101011011
+11010101010001011000011101011001
+11010101010010111000011101010110
+11010101010100011000011101010100
+11010101010101111000011101010010
+11010101010111011000011101010000
+11010101011000111000011101001110
+11010101011010011000011101001100
+11010101011011111000011101001010
+11010101011101001000011101001000
+11010101011110101000011101000110
+11010101100000001000011101000100
+11010101100001101000011101000010
+11010101100011001000011100111111
+11010101100100101000011100111101
+11010101100110001000011100111011
+11010101100111101000011100111001
+11010101101001001000011100110111
+11010101101010101000011100110101
+11010101101100001000011100110011
+11010101101101101000011100110001
+11010101101111001000011100101111
+11010101110000101000011100101101
+11010101110001111000011100101011
+11010101110011011000011100101001
+11010101110100111000011100100111
+11010101110110011000011100100100
+11010101110111111000011100100010
+11010101111001011000011100100000
+11010101111010111000011100011110
+11010101111100011000011100011100
+11010101111101111000011100011010
+11010101111111011000011100011000
+11010110000000111000011100010110
+11010110000010011000011100010100
+11010110000011111000011100010010
+11010110000101011000011100010000
+11010110000110111000011100001110
+11010110001000001000011100001100
+11010110001001101000011100001010
+11010110001011001000011100001000
+11010110001100101000011100000110
+11010110001110001000011100000100
+11010110001111101000011100000001
+11010110010001001000011011111111
+11010110010010101000011011111101
+11010110010100001000011011111011
+11010110010101101000011011111001
+11010110010111001000011011110111
+11010110011000101000011011110101
+11010110011010001000011011110011
+11010110011011101000011011110001
+11010110011101001000011011101111
+11010110011110101000011011101101
+11010110100000001000011011101011
+11010110100001011000011011101001
+11010110100010111000011011100111
+11010110100100011000011011100101
+11010110100101111000011011100011
+11010110100111011000011011100001
+11010110101000111000011011011111
+11010110101010011000011011011101
+11010110101011111000011011011011
+11010110101101011000011011011001
+11010110101110111000011011010111
+11010110110000011000011011010101
+11010110110001111000011011010011
+11010110110011011000011011010001
+11010110110100111000011011001111
+11010110110110011000011011001101
+11010110110111111000011011001011
+11010110111001011000011011001001
+11010110111010111000011011000111
+11010110111100011000011011000100
+11010110111101101000011011000010
+11010110111111001000011011000000
+11010111000000101000011010111110
+11010111000010001000011010111100
+11010111000011101000011010111010
+11010111000101001000011010111000
+11010111000110101000011010110110
+11010111001000001000011010110100
+11010111001001101000011010110010
+11010111001011001000011010110000
+11010111001100101000011010101110
+11010111001110001000011010101100
+11010111001111101000011010101010
+11010111010001001000011010101000
+11010111010010101000011010100110
+11010111010100001000011010100100
+11010111010101101000011010100010
+11010111010111001000011010100000
+11010111011000101000011010011110
+11010111011010001000011010011100
+11010111011011101000011010011010
+11010111011101001000011010011000
+11010111011110101000011010010110
+11010111011111111000011010010100
+11010111100001011000011010010010
+11010111100010111000011010010000
+11010111100100011000011010001110
+11010111100101111000011010001101
+11010111100111011000011010001011
+11010111101000111000011010001001
+11010111101010011000011010000111
+11010111101011111000011010000101
+11010111101101011000011010000011
+11010111101110111000011010000001
+11010111110000011000011001111111
+11010111110001111000011001111101
+11010111110011011000011001111011
+11010111110100111000011001111001
+11010111110110011000011001110111
+11010111110111111000011001110101
+11010111111001011000011001110011
+11010111111010111000011001110001
+11010111111100011000011001101111
+11010111111101111000011001101101
+11010111111111011000011001101011
+11011000000000111000011001101001
+11011000000010011000011001100111
+11011000000011111000011001100101
+11011000000101011000011001100011
+11011000000110111000011001100001
+11011000001000011000011001011111
+11011000001001101000011001011101
+11011000001011001000011001011011
+11011000001100101000011001011001
+11011000001110001000011001010111
+11011000001111101000011001010101
+11011000010001001000011001010011
+11011000010010101000011001010010
+11011000010100001000011001010000
+11011000010101101000011001001110
+11011000010111001000011001001100
+11011000011000101000011001001010
+11011000011010001000011001001000
+11011000011011101000011001000110
+11011000011101001000011001000100
+11011000011110101000011001000010
+11011000100000001000011001000000
+11011000100001101000011000111110
+11011000100011001000011000111100
+11011000100100101000011000111010
+11011000100110001000011000111000
+11011000100111101000011000110110
+11011000101001001000011000110100
+11011000101010101000011000110010
+11011000101100001000011000110001
+11011000101101101000011000101111
+11011000101111001000011000101101
+11011000110000101000011000101011
+11011000110010001000011000101001
+11011000110011101000011000100111
+11011000110101001000011000100101
+11011000110110101000011000100011
+11011000111000001000011000100001
+11011000111001101000011000011111
+11011000111011001000011000011101
+11011000111100101000011000011011
+11011000111110001000011000011001
+11011000111111101000011000011000
+11011001000001001000011000010110
+11011001000010101000011000010100
+11011001000100001000011000010010
+11011001000101101000011000010000
+11011001000111001000011000001110
+11011001001000101000011000001100
+11011001001010001000011000001010
+11011001001011101000011000001000
+11011001001101001000011000000110
+11011001001110101000011000000100
+11011001010000001000011000000011
+11011001010001101000011000000001
+11011001010010111000010111111111
+11011001010100011000010111111101
+11011001010101111000010111111011
+11011001010111011000010111111001
+11011001011000111000010111110111
+11011001011010011000010111110101
+11011001011011111000010111110011
+11011001011101011000010111110010
+11011001011110111000010111110000
+11011001100000011000010111101110
+11011001100001111000010111101100
+11011001100011011000010111101010
+11011001100100111000010111101000
+11011001100110011000010111100110
+11011001100111111000010111100100
+11011001101001011000010111100010
+11011001101010111000010111100001
+11011001101100011000010111011111
+11011001101101111000010111011101
+11011001101111011000010111011011
+11011001110000111000010111011001
+11011001110010011000010111010111
+11011001110011111000010111010101
+11011001110101011000010111010011
+11011001110110111000010111010010
+11011001111000011000010111010000
+11011001111001111000010111001110
+11011001111011011000010111001100
+11011001111100111000010111001010
+11011001111110011000010111001000
+11011001111111111000010111000110
+11011010000001011000010111000100
+11011010000010111000010111000011
+11011010000100011000010111000001
+11011010000101111000010110111111
+11011010000111011000010110111101
+11011010001000111000010110111011
+11011010001010011000010110111001
+11011010001011111000010110110111
+11011010001101011000010110110110
+11011010001110111000010110110100
+11011010010000011000010110110010
+11011010010001111000010110110000
+11011010010011011000010110101110
+11011010010100111000010110101100
+11011010010110011000010110101010
+11011010010111111000010110101001
+11011010011001011000010110100111
+11011010011010111000010110100101
+11011010011100011000010110100011
+11011010011101111000010110100001
+11011010011111011000010110011111
+11011010100000111000010110011110
+11011010100010011000010110011100
+11011010100011111000010110011010
+11011010100101011000010110011000
+11011010100110111000010110010110
+11011010101000011000010110010100
+11011010101001111000010110010011
+11011010101011011000010110010001
+11011010101100111000010110001111
+11011010101110011000010110001101
+11011010101111111000010110001011
+11011010110001011000010110001001
+11011010110011001000010110001000
+11011010110100101000010110000110
+11011010110110001000010110000100
+11011010110111101000010110000010
+11011010111001001000010110000000
+11011010111010101000010101111110
+11011010111100001000010101111101
+11011010111101101000010101111011
+11011010111111001000010101111001
+11011011000000101000010101110111
+11011011000010001000010101110101
+11011011000011101000010101110100
+11011011000101001000010101110010
+11011011000110101000010101110000
+11011011001000001000010101101110
+11011011001001101000010101101100
+11011011001011001000010101101010
+11011011001100101000010101101001
+11011011001110001000010101100111
+11011011001111101000010101100101
+11011011010001001000010101100011
+11011011010010101000010101100001
+11011011010100001000010101100000
+11011011010101101000010101011110
+11011011010111001000010101011100
+11011011011000101000010101011010
+11011011011010001000010101011000
+11011011011011101000010101010111
+11011011011101001000010101010101
+11011011011110101000010101010011
+11011011100000001000010101010001
+11011011100001101000010101001111
+11011011100011001000010101001110
+11011011100100101000010101001100
+11011011100110001000010101001010
+11011011100111101000010101001000
+11011011101001001000010101000111
+11011011101010101000010101000101
+11011011101100001000010101000011
+11011011101101101000010101000001
+11011011101111001000010100111111
+11011011110000101000010100111110
+11011011110010001000010100111100
+11011011110011101000010100111010
+11011011110101001000010100111000
+11011011110110101000010100110111
+11011011111000001000010100110101
+11011011111001101000010100110011
+11011011111011001000010100110001
+11011011111100101000010100101111
+11011011111110011000010100101110
+11011011111111111000010100101100
+11011100000001011000010100101010
+11011100000010111000010100101000
+11011100000100011000010100100111
+11011100000101111000010100100101
+11011100000111011000010100100011
+11011100001000111000010100100001
+11011100001010011000010100100000
+11011100001011111000010100011110
+11011100001101011000010100011100
+11011100001110111000010100011010
+11011100010000011000010100011001
+11011100010001111000010100010111
+11011100010011011000010100010101
+11011100010100111000010100010011
+11011100010110011000010100010010
+11011100010111111000010100010000
+11011100011001011000010100001110
+11011100011010111000010100001100
+11011100011100011000010100001011
+11011100011101111000010100001001
+11011100011111011000010100000111
+11011100100000111000010100000101
+11011100100010011000010100000100
+11011100100011111000010100000010
+11011100100101011000010100000000
+11011100100110111000010011111110
+11011100101000011000010011111101
+11011100101001111000010011111011
+11011100101011101000010011111001
+11011100101101001000010011110111
+11011100101110101000010011110110
+11011100110000001000010011110100
+11011100110001101000010011110010
+11011100110011001000010011110000
+11011100110100101000010011101111
+11011100110110001000010011101101
+11011100110111101000010011101011
+11011100111001001000010011101010
+11011100111010101000010011101000
+11011100111100001000010011100110
+11011100111101101000010011100100
+11011100111111001000010011100011
+11011101000000101000010011100001
+11011101000010001000010011011111
+11011101000011101000010011011110
+11011101000101001000010011011100
+11011101000110101000010011011010
+11011101001000001000010011011000
+11011101001001101000010011010111
+11011101001011001000010011010101
+11011101001100101000010011010011
+11011101001110011000010011010010
+11011101001111111000010011010000
+11011101010001011000010011001110
+11011101010010111000010011001100
+11011101010100011000010011001011
+11011101010101111000010011001001
+11011101010111011000010011000111
+11011101011000111000010011000110
+11011101011010011000010011000100
+11011101011011111000010011000010
+11011101011101011000010011000001
+11011101011110111000010010111111
+11011101100000011000010010111101
+11011101100001111000010010111011
+11011101100011011000010010111010
+11011101100100111000010010111000
+11011101100110011000010010110110
+11011101100111111000010010110101
+11011101101001011000010010110011
+11011101101010111000010010110001
+11011101101100101000010010110000
+11011101101110001000010010101110
+11011101101111101000010010101100
+11011101110001001000010010101011
+11011101110010101000010010101001
+11011101110100001000010010100111
+11011101110101101000010010100110
+11011101110111001000010010100100
+11011101111000101000010010100010
+11011101111010001000010010100001
+11011101111011101000010010011111
+11011101111101001000010010011101
+11011101111110101000010010011100
+11011110000000001000010010011010
+11011110000001101000010010011000
+11011110000011001000010010010111
+11011110000100101000010010010101
+11011110000110001000010010010011
+11011110000111111000010010010010
+11011110001001011000010010010000
+11011110001010111000010010001110
+11011110001100011000010010001101
+11011110001101111000010010001011
+11011110001111011000010010001001
+11011110010000111000010010001000
+11011110010010011000010010000110
+11011110010011111000010010000100
+11011110010101011000010010000011
+11011110010110111000010010000001
+11011110011000011000010001111111
+11011110011001111000010001111110
+11011110011011011000010001111100
+11011110011100111000010001111010
+11011110011110011000010001111001
+11011110011111111000010001110111
+11011110100001101000010001110101
+11011110100011001000010001110100
+11011110100100101000010001110010
+11011110100110001000010001110001
+11011110100111101000010001101111
+11011110101001001000010001101101
+11011110101010101000010001101100
+11011110101100001000010001101010
+11011110101101101000010001101000
+11011110101111001000010001100111
+11011110110000101000010001100101
+11011110110010001000010001100011
+11011110110011101000010001100010
+11011110110101001000010001100000
+11011110110110101000010001011111
+11011110111000011000010001011101
+11011110111001111000010001011011
+11011110111011011000010001011010
+11011110111100111000010001011000
+11011110111110011000010001010110
+11011110111111111000010001010101
+11011111000001011000010001010011
+11011111000010111000010001010010
+11011111000100011000010001010000
+11011111000101111000010001001110
+11011111000111011000010001001101
+11011111001000111000010001001011
+11011111001010011000010001001010
+11011111001011111000010001001000
+11011111001101101000010001000110
+11011111001111001000010001000101
+11011111010000101000010001000011
+11011111010010001000010001000001
+11011111010011101000010001000000
+11011111010101001000010000111110
+11011111010110101000010000111101
+11011111011000001000010000111011
+11011111011001101000010000111001
+11011111011011001000010000111000
+11011111011100101000010000110110
+11011111011110001000010000110101
+11011111011111101000010000110011
+11011111100001011000010000110001
+11011111100010111000010000110000
+11011111100100011000010000101110
+11011111100101111000010000101101
+11011111100111011000010000101011
+11011111101000111000010000101010
+11011111101010011000010000101000
+11011111101011111000010000100110
+11011111101101011000010000100101
+11011111101110111000010000100011
+11011111110000011000010000100010
+11011111110001111000010000100000
+11011111110011011000010000011110
+11011111110101001000010000011101
+11011111110110101000010000011011
+11011111111000001000010000011010
+11011111111001101000010000011000
+11011111111011001000010000010111
+11011111111100101000010000010101
+11011111111110001000010000010011
+11011111111111101000010000010010
+11100000000001001000010000010000
+11100000000010101000010000001111
+11100000000100001000010000001101
+11100000000101101000010000001100
+11100000000111011000010000001010
+11100000001000111000010000001000
+11100000001010011000010000000111
+11100000001011111000010000000101
+11100000001101011000010000000100
+11100000001110111000010000000010
+11100000010000011000010000000001
+11100000010001111000001111111111
+11100000010011011000001111111101
+11100000010100111000001111111100
+11100000010110011000001111111010
+11100000011000001000001111111001
+11100000011001101000001111110111
+11100000011011001000001111110110
+11100000011100101000001111110100
+11100000011110001000001111110011
+11100000011111101000001111110001
+11100000100001001000001111110000
+11100000100010101000001111101110
+11100000100100001000001111101100
+11100000100101101000001111101011
+11100000100111001000001111101001
+11100000101000101000001111101000
+11100000101010011000001111100110
+11100000101011111000001111100101
+11100000101101011000001111100011
+11100000101110111000001111100010
+11100000110000011000001111100000
+11100000110001111000001111011111
+11100000110011011000001111011101
+11100000110100111000001111011100
+11100000110110011000001111011010
+11100000110111111000001111011000
+11100000111001101000001111010111
+11100000111011001000001111010101
+11100000111100101000001111010100
+11100000111110001000001111010010
+11100000111111101000001111010001
+11100001000001001000001111001111
+11100001000010101000001111001110
+11100001000100001000001111001100
+11100001000101101000001111001011
+11100001000111001000001111001001
+11100001001000101000001111001000
+11100001001010011000001111000110
+11100001001011111000001111000101
+11100001001101011000001111000011
+11100001001110111000001111000010
+11100001010000011000001111000000
+11100001010001111000001110111111
+11100001010011011000001110111101
+11100001010100111000001110111100
+11100001010110011000001110111010
+11100001010111111000001110111001
+11100001011001101000001110110111
+11100001011011001000001110110110
+11100001011100101000001110110100
+11100001011110001000001110110011
+11100001011111101000001110110001
+11100001100001001000001110110000
+11100001100010101000001110101110
+11100001100100001000001110101101
+11100001100101101000001110101011
+11100001100111001000001110101010
+11100001101000111000001110101000
+11100001101010011000001110100111
+11100001101011111000001110100101
+11100001101101011000001110100100
+11100001101110111000001110100010
+11100001110000011000001110100001
+11100001110001111000001110011111
+11100001110011011000001110011110
+11100001110100111000001110011100
+11100001110110101000001110011011
+11100001111000001000001110011001
+11100001111001101000001110011000
+11100001111011001000001110010110
+11100001111100101000001110010101
+11100001111110001000001110010011
+11100001111111101000001110010010
+11100010000001001000001110010000
+11100010000010101000001110001111
+11100010000100001000001110001110
+11100010000101111000001110001100
+11100010000111011000001110001011
+11100010001000111000001110001001
+11100010001010011000001110001000
+11100010001011111000001110000110
+11100010001101011000001110000101
+11100010001110111000001110000011
+11100010010000011000001110000010
+11100010010001111000001110000000
+11100010010011101000001101111111
+11100010010101001000001101111101
+11100010010110101000001101111100
+11100010011000001000001101111011
+11100010011001101000001101111001
+11100010011011001000001101111000
+11100010011100101000001101110110
+11100010011110001000001101110101
+11100010011111101000001101110011
+11100010100001011000001101110010
+11100010100010111000001101110000
+11100010100100011000001101101111
+11100010100101111000001101101110
+11100010100111011000001101101100
+11100010101000111000001101101011
+11100010101010011000001101101001
+11100010101011111000001101101000
+11100010101101101000001101100110
+11100010101111001000001101100101
+11100010110000101000001101100011
+11100010110010001000001101100010
+11100010110011101000001101100001
+11100010110101001000001101011111
+11100010110110101000001101011110
+11100010111000001000001101011100
+11100010111001101000001101011011
+11100010111011011000001101011001
+11100010111100111000001101011000
+11100010111110011000001101010111
+11100010111111111000001101010101
+11100011000001011000001101010100
+11100011000010111000001101010010
+11100011000100011000001101010001
+11100011000101111000001101001111
+11100011000111101000001101001110
+11100011001001001000001101001101
+11100011001010101000001101001011
+11100011001100001000001101001010
+11100011001101101000001101001000
+11100011001111001000001101000111
+11100011010000101000001101000110
+11100011010010001000001101000100
+11100011010011111000001101000011
+11100011010101011000001101000001
+11100011010110111000001101000000
+11100011011000011000001100111111
+11100011011001111000001100111101
+11100011011011011000001100111100
+11100011011100111000001100111010
+11100011011110011000001100111001
+11100011100000001000001100111000
+11100011100001101000001100110110
+11100011100011001000001100110101
+11100011100100101000001100110011
+11100011100110001000001100110010
+11100011100111101000001100110001
+11100011101001001000001100101111
+11100011101010101000001100101110
+11100011101100011000001100101100
+11100011101101111000001100101011
+11100011101111011000001100101010
+11100011110000111000001100101000
+11100011110010011000001100100111
+11100011110011111000001100100101
+11100011110101011000001100100100
+11100011110110111000001100100011
+11100011111000101000001100100001
+11100011111010001000001100100000
+11100011111011101000001100011111
+11100011111101001000001100011101
+11100011111110101000001100011100
+11100100000000001000001100011010
+11100100000001101000001100011001
+11100100000011001000001100011000
+11100100000100111000001100010110
+11100100000110011000001100010101
+11100100000111111000001100010100
+11100100001001011000001100010010
+11100100001010111000001100010001
+11100100001100011000001100001111
+11100100001101111000001100001110
+11100100001111101000001100001101
+11100100010001001000001100001011
+11100100010010101000001100001010
+11100100010100001000001100001001
+11100100010101101000001100000111
+11100100010111001000001100000110
+11100100011000101000001100000101
+11100100011010001000001100000011
+11100100011011111000001100000010
+11100100011101011000001100000000
+11100100011110111000001011111111
+11100100100000011000001011111110
+11100100100001111000001011111100
+11100100100011011000001011111011
+11100100100100111000001011111010
+11100100100110101000001011111000
+11100100101000001000001011110111
+11100100101001101000001011110110
+11100100101011001000001011110100
+11100100101100101000001011110011
+11100100101110001000001011110010
+11100100101111101000001011110000
+11100100110001011000001011101111
+11100100110010111000001011101110
+11100100110100011000001011101100
+11100100110101111000001011101011
+11100100110111011000001011101010
+11100100111000111000001011101000
+11100100111010011000001011100111
+11100100111011111000001011100110
+11100100111101101000001011100100
+11100100111111001000001011100011
+11100101000000101000001011100010
+11100101000010001000001011100000
+11100101000011101000001011011111
+11100101000101001000001011011110
+11100101000110101000001011011100
+11100101001000011000001011011011
+11100101001001111000001011011010
+11100101001011011000001011011000
+11100101001100111000001011010111
+11100101001110011000001011010110
+11100101001111111000001011010101
+11100101010001011000001011010011
+11100101010011001000001011010010
+11100101010100101000001011010001
+11100101010110001000001011001111
+11100101010111101000001011001110
+11100101011001001000001011001101
+11100101011010101000001011001011
+11100101011100001000001011001010
+11100101011101111000001011001001
+11100101011111011000001011000111
+11100101100000111000001011000110
+11100101100010011000001011000101
+11100101100011111000001011000100
+11100101100101011000001011000010
+11100101100111001000001011000001
+11100101101000101000001011000000
+11100101101010001000001010111110
+11100101101011101000001010111101
+11100101101101001000001010111100
+11100101101110101000001010111010
+11100101110000001000001010111001
+11100101110001111000001010111000
+11100101110011011000001010110111
+11100101110100111000001010110101
+11100101110110011000001010110100
+11100101110111111000001010110011
+11100101111001011000001010110010
+11100101111010111000001010110000
+11100101111100101000001010101111
+11100101111110001000001010101110
+11100101111111101000001010101100
+11100110000001001000001010101011
+11100110000010101000001010101010
+11100110000100001000001010101001
+11100110000101111000001010100111
+11100110000111011000001010100110
+11100110001000111000001010100101
+11100110001010011000001010100011
+11100110001011111000001010100010
+11100110001101011000001010100001
+11100110001110111000001010100000
+11100110010000101000001010011110
+11100110010010001000001010011101
+11100110010011101000001010011100
+11100110010101001000001010011011
+11100110010110101000001010011001
+11100110011000001000001010011000
+11100110011001111000001010010111
+11100110011011011000001010010110
+11100110011100111000001010010100
+11100110011110011000001010010011
+11100110011111111000001010010010
+11100110100001011000001010010001
+11100110100010111000001010001111
+11100110100100101000001010001110
+11100110100110001000001010001101
+11100110100111101000001010001100
+11100110101001001000001010001010
+11100110101010101000001010001001
+11100110101100001000001010001000
+11100110101101111000001010000111
+11100110101111011000001010000101
+11100110110000111000001010000100
+11100110110010011000001010000011
+11100110110011111000001010000010
+11100110110101011000001010000000
+11100110110111001000001001111111
+11100110111000101000001001111110
+11100110111010001000001001111101
+11100110111011101000001001111100
+11100110111101001000001001111010
+11100110111110101000001001111001
+11100111000000011000001001111000
+11100111000001111000001001110111
+11100111000011011000001001110101
+11100111000100111000001001110100
+11100111000110011000001001110011
+11100111000111111000001001110010
+11100111001001011000001001110000
+11100111001011001000001001101111
+11100111001100101000001001101110
+11100111001110001000001001101101
+11100111001111101000001001101100
+11100111010001001000001001101010
+11100111010010101000001001101001
+11100111010100011000001001101000
+11100111010101111000001001100111
+11100111010111011000001001100110
+11100111011000111000001001100100
+11100111011010011000001001100011
+11100111011011111000001001100010
+11100111011101101000001001100001
+11100111011111001000001001100000
+11100111100000101000001001011110
+11100111100010001000001001011101
+11100111100011101000001001011100
+11100111100101001000001001011011
+11100111100110111000001001011010
+11100111101000011000001001011000
+11100111101001111000001001010111
+11100111101011011000001001010110
+11100111101100111000001001010101
+11100111101110011000001001010100
+11100111110000001000001001010010
+11100111110001101000001001010001
+11100111110011001000001001010000
+11100111110100101000001001001111
+11100111110110001000001001001110
+11100111110111101000001001001100
+11100111111001011000001001001011
+11100111111010111000001001001010
+11100111111100011000001001001001
+11100111111101111000001001001000
+11100111111111011000001001000111
+11101000000001001000001001000101
+11101000000010101000001001000100
+11101000000100001000001001000011
+11101000000101101000001001000010
+11101000000111001000001001000001
+11101000001000101000001000111111
+11101000001010011000001000111110
+11101000001011111000001000111101
+11101000001101011000001000111100
+11101000001110111000001000111011
+11101000010000011000001000111010
+11101000010001111000001000111000
+11101000010011101000001000110111
+11101000010101001000001000110110
+11101000010110101000001000110101
+11101000011000001000001000110100
+11101000011001101000001000110011
+11101000011011001000001000110001
+11101000011100111000001000110000
+11101000011110011000001000101111
+11101000011111111000001000101110
+11101000100001011000001000101101
+11101000100010111000001000101100
+11101000100100101000001000101011
+11101000100110001000001000101001
+11101000100111101000001000101000
+11101000101001001000001000100111
+11101000101010101000001000100110
+11101000101100001000001000100101
+11101000101101111000001000100100
+11101000101111011000001000100011
+11101000110000111000001000100001
+11101000110010011000001000100000
+11101000110011111000001000011111
+11101000110101011000001000011110
+11101000110111001000001000011101
+11101000111000101000001000011100
+11101000111010001000001000011011
+11101000111011101000001000011001
+11101000111101001000001000011000
+11101000111110111000001000010111
+11101001000000011000001000010110
+11101001000001111000001000010101
+11101001000011011000001000010100
+11101001000100111000001000010011
+11101001000110011000001000010010
+11101001001000001000001000010000
+11101001001001101000001000001111
+11101001001011001000001000001110
+11101001001100101000001000001101
+11101001001110001000001000001100
+11101001001111111000001000001011
+11101001010001011000001000001010
+11101001010010111000001000001001
+11101001010100011000001000000111
+11101001010101111000001000000110
+11101001010111011000001000000101
+11101001011001001000001000000100
+11101001011010101000001000000011
+11101001011100001000001000000010
+11101001011101101000001000000001
+11101001011111001000001000000000
+11101001100000111000000111111111
+11101001100010011000000111111110
+11101001100011111000000111111100
+11101001100101011000000111111011
+11101001100110111000000111111010
+11101001101000011000000111111001
+11101001101010001000000111111000
+11101001101011101000000111110111
+11101001101101001000000111110110
+11101001101110101000000111110101
+11101001110000001000000111110100
+11101001110001111000000111110011
+11101001110011011000000111110001
+11101001110100111000000111110000
+11101001110110011000000111101111
+11101001110111111000000111101110
+11101001111001101000000111101101
+11101001111011001000000111101100
+11101001111100101000000111101011
+11101001111110001000000111101010
+11101001111111101000000111101001
+11101010000001011000000111101000
+11101010000010111000000111100111
+11101010000100011000000111100110
+11101010000101111000000111100100
+11101010000111011000000111100011
+11101010001000111000000111100010
+11101010001010101000000111100001
+11101010001100001000000111100000
+11101010001101101000000111011111
+11101010001111001000000111011110
+11101010010000101000000111011101
+11101010010010011000000111011100
+11101010010011111000000111011011
+11101010010101011000000111011010
+11101010010110111000000111011001
+11101010011000011000000111011000
+11101010011010001000000111010111
+11101010011011101000000111010110
+11101010011101001000000111010100
+11101010011110101000000111010011
+11101010100000001000000111010010
+11101010100001111000000111010001
+11101010100011011000000111010000
+11101010100100111000000111001111
+11101010100110011000000111001110
+11101010100111111000000111001101
+11101010101001011000000111001100
+11101010101011001000000111001011
+11101010101100101000000111001010
+11101010101110001000000111001001
+11101010101111101000000111001000
+11101010110001001000000111000111
+11101010110010111000000111000110
+11101010110100011000000111000101
+11101010110101111000000111000100
+11101010110111011000000111000011
+11101010111000111000000111000010
+11101010111010101000000111000001
+11101010111100001000000111000000
+11101010111101101000000110111111
+11101010111111001000000110111101
+11101011000000101000000110111100
+11101011000010011000000110111011
+11101011000011111000000110111010
+11101011000101011000000110111001
+11101011000110111000000110111000
+11101011001000011000000110110111
+11101011001010001000000110110110
+11101011001011101000000110110101
+11101011001101001000000110110100
+11101011001110101000000110110011
+11101011010000001000000110110010
+11101011010001111000000110110001
+11101011010011011000000110110000
+11101011010100111000000110101111
+11101011010110011000000110101110
+11101011010111111000000110101101
+11101011011001101000000110101100
+11101011011011001000000110101011
+11101011011100101000000110101010
+11101011011110001000000110101001
+11101011011111101000000110101000
+11101011100001011000000110100111
+11101011100010111000000110100110
+11101011100100011000000110100101
+11101011100101111000000110100100
+11101011100111011000000110100011
+11101011101001001000000110100010
+11101011101010101000000110100001
+11101011101100001000000110100000
+11101011101101101000000110011111
+11101011101111001000000110011110
+11101011110000111000000110011101
+11101011110010011000000110011100
+11101011110011111000000110011011
+11101011110101011000000110011010
+11101011110110111000000110011001
+11101011111000101000000110011000
+11101011111010001000000110010111
+11101011111011101000000110010110
+11101011111101001000000110010101
+11101011111110111000000110010100
+11101100000000011000000110010011
+11101100000001111000000110010010
+11101100000011011000000110010001
+11101100000100111000000110010000
+11101100000110101000000110001111
+11101100001000001000000110001110
+11101100001001101000000110001101
+11101100001011001000000110001100
+11101100001100101000000110001011
+11101100001110011000000110001010
+11101100001111111000000110001001
+11101100010001011000000110001001
+11101100010010111000000110001000
+11101100010100011000000110000111
+11101100010110001000000110000110
+11101100010111101000000110000101
+11101100011001001000000110000100
+11101100011010101000000110000011
+11101100011100001000000110000010
+11101100011101111000000110000001
+11101100011111011000000110000000
+11101100100000111000000101111111
+11101100100010011000000101111110
+11101100100011111000000101111101
+11101100100101101000000101111100
+11101100100111001000000101111011
+11101100101000101000000101111010
+11101100101010001000000101111001
+11101100101011111000000101111000
+11101100101101011000000101110111
+11101100101110111000000101110110
+11101100110000011000000101110101
+11101100110001111000000101110100
+11101100110011101000000101110011
+11101100110101001000000101110011
+11101100110110101000000101110010
+11101100111000001000000101110001
+11101100111001101000000101110000
+11101100111011011000000101101111
+11101100111100111000000101101110
+11101100111110011000000101101101
+11101100111111111000000101101100
+11101101000001101000000101101011
+11101101000011001000000101101010
+11101101000100101000000101101001
+11101101000110001000000101101000
+11101101000111101000000101100111
+11101101001001011000000101100110
+11101101001010111000000101100101
+11101101001100011000000101100101
+11101101001101111000000101100100
+11101101001111011000000101100011
+11101101010001001000000101100010
+11101101010010101000000101100001
+11101101010100001000000101100000
+11101101010101101000000101011111
+11101101010111011000000101011110
+11101101011000111000000101011101
+11101101011010011000000101011100
+11101101011011111000000101011011
+11101101011101011000000101011010
+11101101011111001000000101011010
+11101101100000101000000101011001
+11101101100010001000000101011000
+11101101100011101000000101010111
+11101101100101001000000101010110
+11101101100110111000000101010101
+11101101101000011000000101010100
+11101101101001111000000101010011
+11101101101011011000000101010010
+11101101101101001000000101010001
+11101101101110101000000101010001
+11101101110000001000000101010000
+11101101110001101000000101001111
+11101101110011001000000101001110
+11101101110100111000000101001101
+11101101110110011000000101001100
+11101101110111111000000101001011
+11101101111001011000000101001010
+11101101111011001000000101001001
+11101101111100101000000101001001
+11101101111110001000000101001000
+11101101111111101000000101000111
+11101110000001001000000101000110
+11101110000010111000000101000101
+11101110000100011000000101000100
+11101110000101111000000101000011
+11101110000111011000000101000010
+11101110001001001000000101000001
+11101110001010101000000101000001
+11101110001100001000000101000000
+11101110001101101000000100111111
+11101110001111001000000100111110
+11101110010000111000000100111101
+11101110010010011000000100111100
+11101110010011111000000100111011
+11101110010101011000000100111010
+11101110010111001000000100111010
+11101110011000101000000100111001
+11101110011010001000000100111000
+11101110011011101000000100110111
+11101110011101001000000100110110
+11101110011110111000000100110101
+11101110100000011000000100110100
+11101110100001111000000100110100
+11101110100011011000000100110011
+11101110100101001000000100110010
+11101110100110101000000100110001
+11101110101000001000000100110000
+11101110101001101000000100101111
+11101110101011001000000100101110
+11101110101100111000000100101110
+11101110101110011000000100101101
+11101110101111111000000100101100
+11101110110001011000000100101011
+11101110110011001000000100101010
+11101110110100101000000100101001
+11101110110110001000000100101001
+11101110110111101000000100101000
+11101110111001001000000100100111
+11101110111010111000000100100110
+11101110111100011000000100100101
+11101110111101111000000100100100
+11101110111111011000000100100100
+11101111000001001000000100100011
+11101111000010101000000100100010
+11101111000100001000000100100001
+11101111000101101000000100100000
+11101111000111011000000100011111
+11101111001000111000000100011111
+11101111001010011000000100011110
+11101111001011111000000100011101
+11101111001101011000000100011100
+11101111001111001000000100011011
+11101111010000101000000100011010
+11101111010010001000000100011010
+11101111010011101000000100011001
+11101111010101011000000100011000
+11101111010110111000000100010111
+11101111011000011000000100010110
+11101111011001111000000100010110
+11101111011011011000000100010101
+11101111011101001000000100010100
+11101111011110101000000100010011
+11101111100000001000000100010010
+11101111100001101000000100010001
+11101111100011011000000100010001
+11101111100100111000000100010000
+11101111100110011000000100001111
+11101111100111111000000100001110
+11101111101001101000000100001101
+11101111101011001000000100001101
+11101111101100101000000100001100
+11101111101110001000000100001011
+11101111101111101000000100001010
+11101111110001011000000100001001
+11101111110010111000000100001001
+11101111110100011000000100001000
+11101111110101111000000100000111
+11101111110111101000000100000110
+11101111111001001000000100000101
+11101111111010101000000100000101
+11101111111100001000000100000100
+11101111111101111000000100000011
+11101111111111011000000100000010
+11110000000000111000000100000010
+11110000000010011000000100000001
+11110000000100001000000100000000
+11110000000101101000000011111111
+11110000000111001000000011111110
+11110000001000101000000011111110
+11110000001010001000000011111101
+11110000001011111000000011111100
+11110000001101011000000011111011
+11110000001110111000000011111011
+11110000010000011000000011111010
+11110000010010001000000011111001
+11110000010011101000000011111000
+11110000010101001000000011110111
+11110000010110101000000011110111
+11110000011000011000000011110110
+11110000011001111000000011110101
+11110000011011011000000011110100
+11110000011100111000000011110100
+11110000011110101000000011110011
+11110000100000001000000011110010
+11110000100001101000000011110001
+11110000100011001000000011110001
+11110000100100101000000011110000
+11110000100110011000000011101111
+11110000100111111000000011101110
+11110000101001011000000011101110
+11110000101010111000000011101101
+11110000101100101000000011101100
+11110000101110001000000011101011
+11110000101111101000000011101011
+11110000110001001000000011101010
+11110000110010111000000011101001
+11110000110100011000000011101000
+11110000110101111000000011101000
+11110000110111011000000011100111
+11110000111001001000000011100110
+11110000111010101000000011100101
+11110000111100001000000011100101
+11110000111101101000000011100100
+11110000111111011000000011100011
+11110001000000111000000011100010
+11110001000010011000000011100010
+11110001000011111000000011100001
+11110001000101011000000011100000
+11110001000111001000000011011111
+11110001001000101000000011011111
+11110001001010001000000011011110
+11110001001011101000000011011101
+11110001001101011000000011011101
+11110001001110111000000011011100
+11110001010000011000000011011011
+11110001010001111000000011011010
+11110001010011101000000011011010
+11110001010101001000000011011001
+11110001010110101000000011011000
+11110001011000001000000011010111
+11110001011001111000000011010111
+11110001011011011000000011010110
+11110001011100111000000011010101
+11110001011110011000000011010101
+11110001100000001000000011010100
+11110001100001101000000011010011
+11110001100011001000000011010010
+11110001100100101000000011010010
+11110001100110011000000011010001
+11110001100111111000000011010000
+11110001101001011000000011010000
+11110001101010111000000011001111
+11110001101100101000000011001110
+11110001101110001000000011001110
+11110001101111101000000011001101
+11110001110001001000000011001100
+11110001110010101000000011001011
+11110001110100011000000011001011
+11110001110101111000000011001010
+11110001110111011000000011001001
+11110001111000111000000011001001
+11110001111010101000000011001000
+11110001111100001000000011000111
+11110001111101101000000011000111
+11110001111111001000000011000110
+11110010000000111000000011000101
+11110010000010011000000011000101
+11110010000011111000000011000100
+11110010000101011000000011000011
+11110010000111001000000011000010
+11110010001000101000000011000010
+11110010001010001000000011000001
+11110010001011101000000011000000
+11110010001101011000000011000000
+11110010001110111000000010111111
+11110010010000011000000010111110
+11110010010001111000000010111110
+11110010010011101000000010111101
+11110010010101001000000010111100
+11110010010110101000000010111100
+11110010011000001000000010111011
+11110010011001111000000010111010
+11110010011011011000000010111010
+11110010011100111000000010111001
+11110010011110011000000010111000
+11110010100000001000000010111000
+11110010100001101000000010110111
+11110010100011001000000010110110
+11110010100100101000000010110110
+11110010100110011000000010110101
+11110010100111111000000010110100
+11110010101001011000000010110100
+11110010101010111000000010110011
+11110010101100101000000010110010
+11110010101110001000000010110010
+11110010101111101000000010110001
+11110010110001001000000010110000
+11110010110010111000000010110000
+11110010110100011000000010101111
+11110010110101111000000010101111
+11110010110111011000000010101110
+11110010111001001000000010101101
+11110010111010101000000010101101
+11110010111100001000000010101100
+11110010111101101000000010101011
+11110010111111011000000010101011
+11110011000000111000000010101010
+11110011000010011000000010101001
+11110011000011111000000010101001
+11110011000101101000000010101000
+11110011000111001000000010101000
+11110011001000101000000010100111
+11110011001010001000000010100110
+11110011001011111000000010100110
+11110011001101011000000010100101
+11110011001110111000000010100100
+11110011010000011000000010100100
+11110011010010001000000010100011
+11110011010011101000000010100010
+11110011010101001000000010100010
+11110011010110101000000010100001
+11110011011000011000000010100001
+11110011011001111000000010100000
+11110011011011011000000010011111
+11110011011100111000000010011111
+11110011011110101000000010011110
+11110011100000001000000010011110
+11110011100001101000000010011101
+11110011100011001000000010011100
+11110011100100111000000010011100
+11110011100110011000000010011011
+11110011100111111000000010011010
+11110011101001011000000010011010
+11110011101011001000000010011001
+11110011101100101000000010011001
+11110011101110001000000010011000
+11110011101111101000000010010111
+11110011110001011000000010010111
+11110011110010111000000010010110
+11110011110100011000000010010110
+11110011110101111000000010010101
+11110011110111101000000010010100
+11110011111001001000000010010100
+11110011111010101000000010010011
+11110011111100001000000010010011
+11110011111101111000000010010010
+11110011111111011000000010010010
+11110100000000111000000010010001
+11110100000010011000000010010000
+11110100000100001000000010010000
+11110100000101101000000010001111
+11110100000111001000000010001111
+11110100001000101000000010001110
+11110100001010011000000010001101
+11110100001011111000000010001101
+11110100001101011000000010001100
+11110100001111001000000010001100
+11110100010000101000000010001011
+11110100010010001000000010001011
+11110100010011101000000010001010
+11110100010101011000000010001001
+11110100010110111000000010001001
+11110100011000011000000010001000
+11110100011001111000000010001000
+11110100011011101000000010000111
+11110100011101001000000010000111
+11110100011110101000000010000110
+11110100100000001000000010000101
+11110100100001111000000010000101
+11110100100011011000000010000100
+11110100100100111000000010000100
+11110100100110011000000010000011
+11110100101000001000000010000011
+11110100101001101000000010000010
+11110100101011001000000010000001
+11110100101100101000000010000001
+11110100101110011000000010000000
+11110100101111111000000010000000
+11110100110001011000000001111111
+11110100110010111000000001111111
+11110100110100101000000001111110
+11110100110110001000000001111110
+11110100110111101000000001111101
+11110100111001001000000001111101
+11110100111010111000000001111100
+11110100111100011000000001111011
+11110100111101111000000001111011
+11110100111111101000000001111010
+11110101000001001000000001111010
+11110101000010101000000001111001
+11110101000100001000000001111001
+11110101000101111000000001111000
+11110101000111011000000001111000
+11110101001000111000000001110111
+11110101001010011000000001110111
+11110101001100001000000001110110
+11110101001101101000000001110110
+11110101001111001000000001110101
+11110101010000101000000001110100
+11110101010010011000000001110100
+11110101010011111000000001110011
+11110101010101011000000001110011
+11110101010110111000000001110010
+11110101011000101000000001110010
+11110101011010001000000001110001
+11110101011011101000000001110001
+11110101011101001000000001110000
+11110101011110111000000001110000
+11110101100000011000000001101111
+11110101100001111000000001101111
+11110101100011101000000001101110
+11110101100101001000000001101110
+11110101100110101000000001101101
+11110101101000001000000001101101
+11110101101001111000000001101100
+11110101101011011000000001101100
+11110101101100111000000001101011
+11110101101110011000000001101011
+11110101110000001000000001101010
+11110101110001101000000001101010
+11110101110011001000000001101001
+11110101110100101000000001101001
+11110101110110011000000001101000
+11110101110111111000000001101000
+11110101111001011000000001100111
+11110101111010111000000001100111
+11110101111100101000000001100110
+11110101111110001000000001100110
+11110101111111101000000001100101
+11110110000001001000000001100101
+11110110000010111000000001100100
+11110110000100011000000001100100
+11110110000101111000000001100011
+11110110000111101000000001100011
+11110110001001001000000001100010
+11110110001010101000000001100010
+11110110001100001000000001100001
+11110110001101111000000001100001
+11110110001111011000000001100000
+11110110010000111000000001100000
+11110110010010011000000001011111
+11110110010100001000000001011111
+11110110010101101000000001011110
+11110110010111001000000001011110
+11110110011000101000000001011110
+11110110011010011000000001011101
+11110110011011111000000001011101
+11110110011101011000000001011100
+11110110011111001000000001011100
+11110110100000101000000001011011
+11110110100010001000000001011011
+11110110100011101000000001011010
+11110110100101011000000001011010
+11110110100110111000000001011001
+11110110101000011000000001011001
+11110110101001111000000001011000
+11110110101011101000000001011000
+11110110101101001000000001010111
+11110110101110101000000001010111
+11110110110000001000000001010111
+11110110110001111000000001010110
+11110110110011011000000001010110
+11110110110100111000000001010101
+11110110110110101000000001010101
+11110110111000001000000001010100
+11110110111001101000000001010100
+11110110111011001000000001010011
+11110110111100111000000001010011
+11110110111110011000000001010011
+11110110111111111000000001010010
+11110111000001011000000001010010
+11110111000011001000000001010001
+11110111000100101000000001010001
+11110111000110001000000001010000
+11110111000111101000000001010000
+11110111001001011000000001001111
+11110111001010111000000001001111
+11110111001100011000000001001111
+11110111001110001000000001001110
+11110111001111101000000001001110
+11110111010001001000000001001101
+11110111010010101000000001001101
+11110111010100011000000001001100
+11110111010101111000000001001100
+11110111010111011000000001001100
+11110111011000111000000001001011
+11110111011010101000000001001011
+11110111011100001000000001001010
+11110111011101101000000001001010
+11110111011111001000000001001010
+11110111100000111000000001001001
+11110111100010011000000001001001
+11110111100011111000000001001000
+11110111100101101000000001001000
+11110111100111001000000001000111
+11110111101000101000000001000111
+11110111101010001000000001000111
+11110111101011111000000001000110
+11110111101101011000000001000110
+11110111101110111000000001000101
+11110111110000011000000001000101
+11110111110010001000000001000101
+11110111110011101000000001000100
+11110111110101001000000001000100
+11110111110110111000000001000011
+11110111111000011000000001000011
+11110111111001111000000001000011
+11110111111011011000000001000010
+11110111111101001000000001000010
+11110111111110101000000001000001
+11111000000000001000000001000001
+11111000000001101000000001000001
+11111000000011011000000001000000
+11111000000100111000000001000000
+11111000000110011000000000111111
+11111000000111111000000000111111
+11111000001001101000000000111111
+11111000001011001000000000111110
+11111000001100101000000000111110
+11111000001110011000000000111110
+11111000001111111000000000111101
+11111000010001011000000000111101
+11111000010010111000000000111100
+11111000010100101000000000111100
+11111000010110001000000000111100
+11111000010111101000000000111011
+11111000011001001000000000111011
+11111000011010111000000000111010
+11111000011100011000000000111010
+11111000011101111000000000111010
+11111000011111101000000000111001
+11111000100001001000000000111001
+11111000100010101000000000111001
+11111000100100001000000000111000
+11111000100101111000000000111000
+11111000100111011000000000111000
+11111000101000111000000000110111
+11111000101010011000000000110111
+11111000101100001000000000110110
+11111000101101101000000000110110
+11111000101111001000000000110110
+11111000110000111000000000110101
+11111000110010011000000000110101
+11111000110011111000000000110101
+11111000110101011000000000110100
+11111000110111001000000000110100
+11111000111000101000000000110100
+11111000111010001000000000110011
+11111000111011101000000000110011
+11111000111101011000000000110011
+11111000111110111000000000110010
+11111001000000011000000000110010
+11111001000010001000000000110010
+11111001000011101000000000110001
+11111001000101001000000000110001
+11111001000110101000000000110001
+11111001001000011000000000110000
+11111001001001111000000000110000
+11111001001011011000000000110000
+11111001001100111000000000101111
+11111001001110101000000000101111
+11111001010000001000000000101111
+11111001010001101000000000101110
+11111001010011011000000000101110
+11111001010100111000000000101110
+11111001010110011000000000101101
+11111001010111111000000000101101
+11111001011001101000000000101101
+11111001011011001000000000101100
+11111001011100101000000000101100
+11111001011110001000000000101100
+11111001011111111000000000101011
+11111001100001011000000000101011
+11111001100010111000000000101011
+11111001100100101000000000101010
+11111001100110001000000000101010
+11111001100111101000000000101010
+11111001101001001000000000101001
+11111001101010111000000000101001
+11111001101100011000000000101001
+11111001101101111000000000101000
+11111001101111101000000000101000
+11111001110001001000000000101000
+11111001110010101000000000101000
+11111001110100001000000000100111
+11111001110101111000000000100111
+11111001110111011000000000100111
+11111001111000111000000000100110
+11111001111010011000000000100110
+11111001111100001000000000100110
+11111001111101101000000000100101
+11111001111111001000000000100101
+11111010000000111000000000100101
+11111010000010011000000000100101
+11111010000011111000000000100100
+11111010000101011000000000100100
+11111010000111001000000000100100
+11111010001000101000000000100011
+11111010001010001000000000100011
+11111010001011101000000000100011
+11111010001101011000000000100011
+11111010001110111000000000100010
+11111010010000011000000000100010
+11111010010010001000000000100010
+11111010010011101000000000100001
+11111010010101001000000000100001
+11111010010110101000000000100001
+11111010011000011000000000100001
+11111010011001111000000000100000
+11111010011011011000000000100000
+11111010011101001000000000100000
+11111010011110101000000000011111
+11111010100000001000000000011111
+11111010100001101000000000011111
+11111010100011011000000000011111
+11111010100100111000000000011110
+11111010100110011000000000011110
+11111010100111111000000000011110
+11111010101001101000000000011110
+11111010101011001000000000011101
+11111010101100101000000000011101
+11111010101110011000000000011101
+11111010101111111000000000011101
+11111010110001011000000000011100
+11111010110010111000000000011100
+11111010110100101000000000011100
+11111010110110001000000000011100
+11111010110111101000000000011011
+11111010111001011000000000011011
+11111010111010111000000000011011
+11111010111100011000000000011011
+11111010111101111000000000011010
+11111010111111101000000000011010
+11111011000001001000000000011010
+11111011000010101000000000011010
+11111011000100001000000000011001
+11111011000101111000000000011001
+11111011000111011000000000011001
+11111011001000111000000000011001
+11111011001010101000000000011000
+11111011001100001000000000011000
+11111011001101101000000000011000
+11111011001111001000000000011000
+11111011010000111000000000010111
+11111011010010011000000000010111
+11111011010011111000000000010111
+11111011010101101000000000010111
+11111011010111001000000000010111
+11111011011000101000000000010110
+11111011011010001000000000010110
+11111011011011111000000000010110
+11111011011101011000000000010110
+11111011011110111000000000010101
+11111011100000011000000000010101
+11111011100010001000000000010101
+11111011100011101000000000010101
+11111011100101001000000000010101
+11111011100110111000000000010100
+11111011101000011000000000010100
+11111011101001111000000000010100
+11111011101011011000000000010100
+11111011101101001000000000010011
+11111011101110101000000000010011
+11111011110000001000000000010011
+11111011110001111000000000010011
+11111011110011011000000000010011
+11111011110100111000000000010010
+11111011110110011000000000010010
+11111011111000001000000000010010
+11111011111001101000000000010010
+11111011111011001000000000010010
+11111011111100111000000000010001
+11111011111110011000000000010001
+11111011111111111000000000010001
+11111100000001011000000000010001
+11111100000011001000000000010001
+11111100000100101000000000010000
+11111100000110001000000000010000
+11111100000111101000000000010000
+11111100001001011000000000010000
+11111100001010111000000000010000
+11111100001100011000000000001111
+11111100001110001000000000001111
+11111100001111101000000000001111
+11111100010001001000000000001111
+11111100010010101000000000001111
+11111100010100011000000000001111
+11111100010101111000000000001110
+11111100010111011000000000001110
+11111100011001001000000000001110
+11111100011010101000000000001110
+11111100011100001000000000001110
+11111100011101101000000000001101
+11111100011111011000000000001101
+11111100100000111000000000001101
+11111100100010011000000000001101
+11111100100100001000000000001101
+11111100100101101000000000001101
+11111100100111001000000000001100
+11111100101000101000000000001100
+11111100101010011000000000001100
+11111100101011111000000000001100
+11111100101101011000000000001100
+11111100101110111000000000001100
+11111100110000101000000000001011
+11111100110010001000000000001011
+11111100110011101000000000001011
+11111100110101011000000000001011
+11111100110110111000000000001011
+11111100111000011000000000001011
+11111100111001111000000000001011
+11111100111011101000000000001010
+11111100111101001000000000001010
+11111100111110101000000000001010
+11111101000000011000000000001010
+11111101000001111000000000001010
+11111101000011011000000000001010
+11111101000100111000000000001010
+11111101000110101000000000001001
+11111101001000001000000000001001
+11111101001001101000000000001001
+11111101001011011000000000001001
+11111101001100111000000000001001
+11111101001110011000000000001001
+11111101001111111000000000001001
+11111101010001101000000000001000
+11111101010011001000000000001000
+11111101010100101000000000001000
+11111101010110011000000000001000
+11111101010111111000000000001000
+11111101011001011000000000001000
+11111101011010111000000000001000
+11111101011100101000000000001000
+11111101011110001000000000000111
+11111101011111101000000000000111
+11111101100001001000000000000111
+11111101100010111000000000000111
+11111101100100011000000000000111
+11111101100101111000000000000111
+11111101100111101000000000000111
+11111101101001001000000000000111
+11111101101010101000000000000110
+11111101101100001000000000000110
+11111101101101111000000000000110
+11111101101111011000000000000110
+11111101110000111000000000000110
+11111101110010101000000000000110
+11111101110100001000000000000110
+11111101110101101000000000000110
+11111101110111001000000000000110
+11111101111000111000000000000101
+11111101111010011000000000000101
+11111101111011111000000000000101
+11111101111101101000000000000101
+11111101111111001000000000000101
+11111110000000101000000000000101
+11111110000010001000000000000101
+11111110000011111000000000000101
+11111110000101011000000000000101
+11111110000110111000000000000101
+11111110001000101000000000000100
+11111110001010001000000000000100
+11111110001011101000000000000100
+11111110001101001000000000000100
+11111110001110111000000000000100
+11111110010000011000000000000100
+11111110010001111000000000000100
+11111110010011011000000000000100
+11111110010101001000000000000100
+11111110010110101000000000000100
+11111110011000001000000000000100
+11111110011001111000000000000100
+11111110011011011000000000000011
+11111110011100111000000000000011
+11111110011110011000000000000011
+11111110100000001000000000000011
+11111110100001101000000000000011
+11111110100011001000000000000011
+11111110100100111000000000000011
+11111110100110011000000000000011
+11111110100111111000000000000011
+11111110101001011000000000000011
+11111110101011001000000000000011
+11111110101100101000000000000011
+11111110101110001000000000000011
+11111110101111111000000000000011
+11111110110001011000000000000011
+11111110110010111000000000000010
+11111110110100011000000000000010
+11111110110110001000000000000010
+11111110110111101000000000000010
+11111110111001001000000000000010
+11111110111010111000000000000010
+11111110111100011000000000000010
+11111110111101111000000000000010
+11111110111111011000000000000010
+11111111000001001000000000000010
+11111111000010101000000000000010
+11111111000100001000000000000010
+11111111000101111000000000000010
+11111111000111011000000000000010
+11111111001000111000000000000010
+11111111001010011000000000000010
+11111111001100001000000000000010
+11111111001101101000000000000010
+11111111001111001000000000000010
+11111111010000111000000000000010
+11111111010010011000000000000010
+11111111010011111000000000000001
+11111111010101011000000000000001
+11111111010111001000000000000001
+11111111011000101000000000000001
+11111111011010001000000000000001
+11111111011011101000000000000001
+11111111011101011000000000000001
+11111111011110111000000000000001
+11111111100000011000000000000001
+11111111100010001000000000000001
+11111111100011101000000000000001
+11111111100101001000000000000001
+11111111100110101000000000000001
+11111111101000011000000000000001
+11111111101001111000000000000001
+11111111101011011000000000000001
+11111111101101001000000000000001
+11111111101110101000000000000001
+11111111110000001000000000000001
+11111111110001101000000000000001
+11111111110011011000000000000001
+11111111110100111000000000000001
+11111111110110011000000000000001
+11111111111000001000000000000001
+11111111111001101000000000000001
+11111111111011001000000000000001
+11111111111100101000000000000001
+11111111111110011000000000000001
+00000000000000000111111111111111
+11111111111111010111111111111111
+11111111111110100111111111111111
+11111111111101110111111111111111
+11111111111100110111111111111111
+11111111111100000111111111111111
+11111111111011010111111111111111
+11111111111010100111111111111111
+11111111111001110111111111111111
+11111111111001000111111111111111
+11111111111000010111111111111111
+11111111110111010111111111111111
+11111111110110100111111111111111
+11111111110101110111111111111111
+11111111110101000111111111111111
+11111111110100010111111111111111
+11111111110011100111111111111111
+11111111110010110111111111111111
+11111111110001110111111111111111
+11111111110001000111111111111111
+11111111110000010111111111111111
+11111111101111100111111111111111
+11111111101110110111111111111111
+11111111101110000111111111111111
+11111111101101010111111111111111
+11111111101100010111111111111111
+11111111101011100111111111111111
+11111111101010110111111111111111
+11111111101010000111111111111111
+11111111101001010111111111111111
+11111111101000100111111111111111
+11111111100111110111111111111111
+11111111100110110111111111111111
+11111111100110000111111111111111
+11111111100101010111111111111111
+11111111100100100111111111111111
+11111111100011110111111111111111
+11111111100011000111111111111111
+11111111100010010111111111111111
+11111111100001010111111111111111
+11111111100000100111111111111111
+11111111011111110111111111111111
+11111111011111000111111111111111
+11111111011110010111111111111111
+11111111011101100111111111111111
+11111111011100110111111111111111
+11111111011011110111111111111111
+11111111011011000111111111111111
+11111111011010010111111111111111
+11111111011001100111111111111111
+11111111011000110111111111111111
+11111111011000000111111111111111
+11111111010111010111111111111111
+11111111010110100111111111111111
+11111111010101100111111111111111
+11111111010100110111111111111111
+11111111010100000111111111111111
+11111111010011010111111111111111
+11111111010010100111111111111110
+11111111010001110111111111111110
+11111111010001000111111111111110
+11111111010000000111111111111110
+11111111001111010111111111111110
+11111111001110100111111111111110
+11111111001101110111111111111110
+11111111001101000111111111111110
+11111111001100010111111111111110
+11111111001011100111111111111110
+11111111001010100111111111111110
+11111111001001110111111111111110
+11111111001001000111111111111110
+11111111001000010111111111111110
+11111111000111100111111111111110
+11111111000110110111111111111110
+11111111000110000111111111111110
+11111111000101000111111111111110
+11111111000100010111111111111110
+11111111000011100111111111111110
+11111111000010110111111111111110
+11111111000010000111111111111110
+11111111000001010111111111111110
+11111111000000100111111111111110
+11111110111111100111111111111110
+11111110111110110111111111111110
+11111110111110000111111111111110
+11111110111101010111111111111110
+11111110111100100111111111111110
+11111110111011110111111111111110
+11111110111011000111111111111110
+11111110111010000111111111111110
+11111110111001010111111111111110
+11111110111000100111111111111110
+11111110110111110111111111111110
+11111110110111000111111111111110
+11111110110110010111111111111110
+11111110110101100111111111111110
+11111110110100100111111111111110
+11111110110011110111111111111110
+11111110110011000111111111111110
+11111110110010010111111111111110
+11111110110001100111111111111101
+11111110110000110111111111111101
+11111110110000000111111111111101
+11111110101111000111111111111101
+11111110101110010111111111111101
+11111110101101100111111111111101
+11111110101100110111111111111101
+11111110101100000111111111111101
+11111110101011010111111111111101
+11111110101010100111111111111101
+11111110101001100111111111111101
+11111110101000110111111111111101
+11111110101000000111111111111101
+11111110100111010111111111111101
+11111110100110100111111111111101
+11111110100101110111111111111101
+11111110100101000111111111111101
+11111110100100000111111111111101
+11111110100011010111111111111101
+11111110100010100111111111111101
+11111110100001110111111111111101
+11111110100001000111111111111101
+11111110100000010111111111111101
+11111110011111100111111111111101
+11111110011110100111111111111101
+11111110011101110111111111111101
+11111110011101000111111111111101
+11111110011100010111111111111101
+11111110011011100111111111111101
+11111110011010110111111111111100
+11111110011010000111111111111100
+11111110011001000111111111111100
+11111110011000010111111111111100
+11111110010111100111111111111100
+11111110010110110111111111111100
+11111110010110000111111111111100
+11111110010101010111111111111100
+11111110010100100111111111111100
+11111110010011100111111111111100
+11111110010010110111111111111100
+11111110010010000111111111111100
+11111110010001010111111111111100
+11111110010000100111111111111100
+11111110001111110111111111111100
+11111110001111000111111111111100
+11111110001110010111111111111100
+11111110001101010111111111111100
+11111110001100100111111111111100
+11111110001011110111111111111100
+11111110001011000111111111111100
+11111110001010010111111111111100
+11111110001001100111111111111100
+11111110001000110111111111111100
+11111110000111110111111111111011
+11111110000111000111111111111011
+11111110000110010111111111111011
+11111110000101100111111111111011
+11111110000100110111111111111011
+11111110000100000111111111111011
+11111110000011010111111111111011
+11111110000010010111111111111011
+11111110000001100111111111111011
+11111110000000110111111111111011
+11111110000000000111111111111011
+11111101111111010111111111111011
+11111101111110100111111111111011
+11111101111101110111111111111011
+11111101111100110111111111111011
+11111101111100000111111111111011
+11111101111011010111111111111011
+11111101111010100111111111111011
+11111101111001110111111111111011
+11111101111001000111111111111011
+11111101111000010111111111111010
+11111101110111010111111111111010
+11111101110110100111111111111010
+11111101110101110111111111111010
+11111101110101000111111111111010
+11111101110100010111111111111010
+11111101110011100111111111111010
+11111101110010110111111111111010
+11111101110001110111111111111010
+11111101110001000111111111111010
+11111101110000010111111111111010
+11111101101111100111111111111010
+11111101101110110111111111111010
+11111101101110000111111111111010
+11111101101101010111111111111010
+11111101101100010111111111111010
+11111101101011100111111111111010
+11111101101010110111111111111010
+11111101101010000111111111111010
+11111101101001010111111111111001
+11111101101000100111111111111001
+11111101100111110111111111111001
+11111101100110110111111111111001
+11111101100110000111111111111001
+11111101100101010111111111111001
+11111101100100100111111111111001
+11111101100011110111111111111001
+11111101100011000111111111111001
+11111101100010010111111111111001
+11111101100001010111111111111001
+11111101100000100111111111111001
+11111101011111110111111111111001
+11111101011111000111111111111001
+11111101011110010111111111111001
+11111101011101100111111111111001
+11111101011100110111111111111000
+11111101011011110111111111111000
+11111101011011000111111111111000
+11111101011010010111111111111000
+11111101011001100111111111111000
+11111101011000110111111111111000
+11111101011000000111111111111000
+11111101010111010111111111111000
+11111101010110100111111111111000
+11111101010101100111111111111000
+11111101010100110111111111111000
+11111101010100000111111111111000
+11111101010011010111111111111000
+11111101010010100111111111111000
+11111101010001110111111111111000
+11111101010001000111111111111000
+11111101010000000111111111110111
+11111101001111010111111111110111
+11111101001110100111111111110111
+11111101001101110111111111110111
+11111101001101000111111111110111
+11111101001100010111111111110111
+11111101001011100111111111110111
+11111101001010100111111111110111
+11111101001001110111111111110111
+11111101001001000111111111110111
+11111101001000010111111111110111
+11111101000111100111111111110111
+11111101000110110111111111110111
+11111101000110000111111111110111
+11111101000101000111111111110110
+11111101000100010111111111110110
+11111101000011100111111111110110
+11111101000010110111111111110110
+11111101000010000111111111110110
+11111101000001010111111111110110
+11111101000000100111111111110110
+11111100111111100111111111110110
+11111100111110110111111111110110
+11111100111110000111111111110110
+11111100111101010111111111110110
+11111100111100100111111111110110
+11111100111011110111111111110110
+11111100111011000111111111110110
+11111100111010000111111111110101
+11111100111001010111111111110101
+11111100111000100111111111110101
+11111100110111110111111111110101
+11111100110111000111111111110101
+11111100110110010111111111110101
+11111100110101100111111111110101
+11111100110100100111111111110101
+11111100110011110111111111110101
+11111100110011000111111111110101
+11111100110010010111111111110101
+11111100110001100111111111110101
+11111100110000110111111111110101
+11111100110000000111111111110100
+11111100101111000111111111110100
+11111100101110010111111111110100
+11111100101101100111111111110100
+11111100101100110111111111110100
+11111100101100000111111111110100
+11111100101011010111111111110100
+11111100101010100111111111110100
+11111100101001100111111111110100
+11111100101000110111111111110100
+11111100101000000111111111110100
+11111100100111010111111111110100
+11111100100110100111111111110011
+11111100100101110111111111110011
+11111100100101000111111111110011
+11111100100100010111111111110011
+11111100100011010111111111110011
+11111100100010100111111111110011
+11111100100001110111111111110011
+11111100100001000111111111110011
+11111100100000010111111111110011
+11111100011111100111111111110011
+11111100011110110111111111110011
+11111100011101110111111111110011
+11111100011101000111111111110010
+11111100011100010111111111110010
+11111100011011100111111111110010
+11111100011010110111111111110010
+11111100011010000111111111110010
+11111100011001010111111111110010
+11111100011000010111111111110010
+11111100010111100111111111110010
+11111100010110110111111111110010
+11111100010110000111111111110010
+11111100010101010111111111110010
+11111100010100100111111111110001
+11111100010011110111111111110001
+11111100010010110111111111110001
+11111100010010000111111111110001
+11111100010001010111111111110001
+11111100010000100111111111110001
+11111100001111110111111111110001
+11111100001111000111111111110001
+11111100001110010111111111110001
+11111100001101010111111111110001
+11111100001100100111111111110001
+11111100001011110111111111110000
+11111100001011000111111111110000
+11111100001010010111111111110000
+11111100001001100111111111110000
+11111100001000110111111111110000
+11111100000111110111111111110000
+11111100000111000111111111110000
+11111100000110010111111111110000
+11111100000101100111111111110000
+11111100000100110111111111110000
+11111100000100000111111111101111
+11111100000011010111111111101111
+11111100000010010111111111101111
+11111100000001100111111111101111
+11111100000000110111111111101111
+11111100000000000111111111101111
+11111011111111010111111111101111
+11111011111110100111111111101111
+11111011111101110111111111101111
+11111011111101000111111111101111
+11111011111100000111111111101111
+11111011111011010111111111101110
+11111011111010100111111111101110
+11111011111001110111111111101110
+11111011111001000111111111101110
+11111011111000010111111111101110
+11111011110111100111111111101110
+11111011110110100111111111101110
+11111011110101110111111111101110
+11111011110101000111111111101110
+11111011110100010111111111101101
+11111011110011100111111111101101
+11111011110010110111111111101101
+11111011110010000111111111101101
+11111011110001000111111111101101
+11111011110000010111111111101101
+11111011101111100111111111101101
+11111011101110110111111111101101
+11111011101110000111111111101101
+11111011101101010111111111101101
+11111011101100100111111111101100
+11111011101011100111111111101100
+11111011101010110111111111101100
+11111011101010000111111111101100
+11111011101001010111111111101100
+11111011101000100111111111101100
+11111011100111110111111111101100
+11111011100111000111111111101100
+11111011100110000111111111101100
+11111011100101010111111111101011
+11111011100100100111111111101011
+11111011100011110111111111101011
+11111011100011000111111111101011
+11111011100010010111111111101011
+11111011100001100111111111101011
+11111011100000100111111111101011
+11111011011111110111111111101011
+11111011011111000111111111101011
+11111011011110010111111111101010
+11111011011101100111111111101010
+11111011011100110111111111101010
+11111011011100000111111111101010
+11111011011011010111111111101010
+11111011011010010111111111101010
+11111011011001100111111111101010
+11111011011000110111111111101010
+11111011011000000111111111101010
+11111011010111010111111111101001
+11111011010110100111111111101001
+11111011010101110111111111101001
+11111011010100110111111111101001
+11111011010100000111111111101001
+11111011010011010111111111101001
+11111011010010100111111111101001
+11111011010001110111111111101001
+11111011010001000111111111101001
+11111011010000010111111111101000
+11111011001111010111111111101000
+11111011001110100111111111101000
+11111011001101110111111111101000
+11111011001101000111111111101000
+11111011001100010111111111101000
+11111011001011100111111111101000
+11111011001010110111111111101000
+11111011001001110111111111101000
+11111011001001000111111111100111
+11111011001000010111111111100111
+11111011000111100111111111100111
+11111011000110110111111111100111
+11111011000110000111111111100111
+11111011000101010111111111100111
+11111011000100010111111111100111
+11111011000011100111111111100111
+11111011000010110111111111100110
+11111011000010000111111111100110
+11111011000001010111111111100110
+11111011000000100111111111100110
+11111010111111110111111111100110
+11111010111110110111111111100110
+11111010111110000111111111100110
+11111010111101010111111111100110
+11111010111100100111111111100101
+11111010111011110111111111100101
+11111010111011000111111111100101
+11111010111010010111111111100101
+11111010111001100111111111100101
+11111010111000100111111111100101
+11111010110111110111111111100101
+11111010110111000111111111100101
+11111010110110010111111111100100
+11111010110101100111111111100100
+11111010110100110111111111100100
+11111010110100000111111111100100
+11111010110011000111111111100100
+11111010110010010111111111100100
+11111010110001100111111111100100
+11111010110000110111111111100100
+11111010110000000111111111100011
+11111010101111010111111111100011
+11111010101110100111111111100011
+11111010101101100111111111100011
+11111010101100110111111111100011
+11111010101100000111111111100011
+11111010101011010111111111100011
+11111010101010100111111111100011
+11111010101001110111111111100010
+11111010101001000111111111100010
+11111010101000000111111111100010
+11111010100111010111111111100010
+11111010100110100111111111100010
+11111010100101110111111111100010
+11111010100101000111111111100010
+11111010100100010111111111100001
+11111010100011100111111111100001
+11111010100010110111111111100001
+11111010100001110111111111100001
+11111010100001000111111111100001
+11111010100000010111111111100001
+11111010011111100111111111100001
+11111010011110110111111111100001
+11111010011110000111111111100000
+11111010011101010111111111100000
+11111010011100010111111111100000
+11111010011011100111111111100000
+11111010011010110111111111100000
+11111010011010000111111111100000
+11111010011001010111111111100000
+11111010011000100111111111011111
+11111010010111110111111111011111
+11111010010110110111111111011111
+11111010010110000111111111011111
+11111010010101010111111111011111
+11111010010100100111111111011111
+11111010010011110111111111011111
+11111010010011000111111111011110
+11111010010010010111111111011110
+11111010010001010111111111011110
+11111010010000100111111111011110
+11111010001111110111111111011110
+11111010001111000111111111011110
+11111010001110010111111111011110
+11111010001101100111111111011101
+11111010001100110111111111011101
+11111010001011110111111111011101
+11111010001011000111111111011101
+11111010001010010111111111011101
+11111010001001100111111111011101
+11111010001000110111111111011101
+11111010001000000111111111011100
+11111010000111010111111111011100
+11111010000110100111111111011100
+11111010000101100111111111011100
+11111010000100110111111111011100
+11111010000100000111111111011100
+11111010000011010111111111011100
+11111010000010100111111111011011
+11111010000001110111111111011011
+11111010000001000111111111011011
+11111010000000000111111111011011
+11111001111111010111111111011011
+11111001111110100111111111011011
+11111001111101110111111111011011
+11111001111101000111111111011010
+11111001111100010111111111011010
+11111001111011100111111111011010
+11111001111010100111111111011010
+11111001111001110111111111011010
+11111001111001000111111111011010
+11111001111000010111111111011010
+11111001110111100111111111011001
+11111001110110110111111111011001
+11111001110110000111111111011001
+11111001110101000111111111011001
+11111001110100010111111111011001
+11111001110011100111111111011001
+11111001110010110111111111011000
+11111001110010000111111111011000
+11111001110001010111111111011000
+11111001110000100111111111011000
+11111001101111110111111111011000
+11111001101110110111111111011000
+11111001101110000111111111011000
+11111001101101010111111111010111
+11111001101100100111111111010111
+11111001101011110111111111010111
+11111001101011000111111111010111
+11111001101010010111111111010111
+11111001101001010111111111010111
+11111001101000100111111111010110
+11111001100111110111111111010110
+11111001100111000111111111010110
+11111001100110010111111111010110
+11111001100101100111111111010110
+11111001100100110111111111010110
+11111001100011110111111111010110
+11111001100011000111111111010101
+11111001100010010111111111010101
+11111001100001100111111111010101
+11111001100000110111111111010101
+11111001100000000111111111010101
+11111001011111010111111111010101
+11111001011110010111111111010100
+11111001011101100111111111010100
+11111001011100110111111111010100
+11111001011100000111111111010100
+11111001011011010111111111010100
+11111001011010100111111111010100
+11111001011001110111111111010011
+11111001011001000111111111010011
+11111001011000000111111111010011
+11111001010111010111111111010011
+11111001010110100111111111010011
+11111001010101110111111111010011
+11111001010101000111111111010010
+11111001010100010111111111010010
+11111001010011100111111111010010
+11111001010010100111111111010010
+11111001010001110111111111010010
+11111001010001000111111111010010
+11111001010000010111111111010001
+11111001001111100111111111010001
+11111001001110110111111111010001
+11111001001110000111111111010001
+11111001001101000111111111010001
+11111001001100010111111111010001
+11111001001011100111111111010000
+11111001001010110111111111010000
+11111001001010000111111111010000
+11111001001001010111111111010000
+11111001001000100111111111010000
+11111001000111110111111111010000
+11111001000110110111111111001111
+11111001000110000111111111001111
+11111001000101010111111111001111
+11111001000100100111111111001111
+11111001000011110111111111001111
+11111001000011000111111111001111
+11111001000010010111111111001110
+11111001000001010111111111001110
+11111001000000100111111111001110
+11111000111111110111111111001110
+11111000111111000111111111001110
+11111000111110010111111111001110
+11111000111101100111111111001101
+11111000111100110111111111001101
+11111000111011110111111111001101
+11111000111011000111111111001101
+11111000111010010111111111001101
+11111000111001100111111111001101
+11111000111000110111111111001100
+11111000111000000111111111001100
+11111000110111010111111111001100
+11111000110110100111111111001100
+11111000110101100111111111001100
+11111000110100110111111111001011
+11111000110100000111111111001011
+11111000110011010111111111001011
+11111000110010100111111111001011
+11111000110001110111111111001011
+11111000110001000111111111001011
+11111000110000000111111111001010
+11111000101111010111111111001010
+11111000101110100111111111001010
+11111000101101110111111111001010
+11111000101101000111111111001010
+11111000101100010111111111001010
+11111000101011100111111111001001
+11111000101010100111111111001001
+11111000101001110111111111001001
+11111000101001000111111111001001
+11111000101000010111111111001001
+11111000100111100111111111001000
+11111000100110110111111111001000
+11111000100110000111111111001000
+11111000100101010111111111001000
+11111000100100010111111111001000
+11111000100011100111111111001000
+11111000100010110111111111000111
+11111000100010000111111111000111
+11111000100001010111111111000111
+11111000100000100111111111000111
+11111000011111110111111111000111
+11111000011110110111111111000110
+11111000011110000111111111000110
+11111000011101010111111111000110
+11111000011100100111111111000110
+11111000011011110111111111000110
+11111000011011000111111111000110
+11111000011010010111111111000101
+11111000011001010111111111000101
+11111000011000100111111111000101
+11111000010111110111111111000101
+11111000010111000111111111000101
+11111000010110010111111111000100
+11111000010101100111111111000100
+11111000010100110111111111000100
+11111000010100000111111111000100
+11111000010011000111111111000100
+11111000010010010111111111000011
+11111000010001100111111111000011
+11111000010000110111111111000011
+11111000010000000111111111000011
+11111000001111010111111111000011
+11111000001110100111111111000010
+11111000001101100111111111000010
+11111000001100110111111111000010
+11111000001100000111111111000010
+11111000001011010111111111000010
+11111000001010100111111111000010
+11111000001001110111111111000001
+11111000001001000111111111000001
+11111000001000000111111111000001
+11111000000111010111111111000001
+11111000000110100111111111000001
+11111000000101110111111111000000
+11111000000101000111111111000000
+11111000000100010111111111000000
+11111000000011100111111111000000
+11111000000010110111111111000000
+11111000000001110111111110111111
+11111000000001000111111110111111
+11111000000000010111111110111111
+11110111111111100111111110111111
+11110111111110110111111110111111
+11110111111110000111111110111110
+11110111111101010111111110111110
+11110111111100010111111110111110
+11110111111011100111111110111110
+11110111111010110111111110111110
+11110111111010000111111110111101
+11110111111001010111111110111101
+11110111111000100111111110111101
+11110111110111110111111110111101
+11110111110111000111111110111101
+11110111110110000111111110111100
+11110111110101010111111110111100
+11110111110100100111111110111100
+11110111110011110111111110111100
+11110111110011000111111110111100
+11110111110010010111111110111011
+11110111110001100111111110111011
+11110111110000100111111110111011
+11110111101111110111111110111011
+11110111101111000111111110111011
+11110111101110010111111110111010
+11110111101101100111111110111010
+11110111101100110111111110111010
+11110111101100000111111110111010
+11110111101011000111111110111010
+11110111101010010111111110111001
+11110111101001100111111110111001
+11110111101000110111111110111001
+11110111101000000111111110111001
+11110111100111010111111110111001
+11110111100110100111111110111000
+11110111100101110111111110111000
+11110111100100110111111110111000
+11110111100100000111111110111000
+11110111100011010111111110111000
+11110111100010100111111110110111
+11110111100001110111111110110111
+11110111100001000111111110110111
+11110111100000010111111110110111
+11110111011111010111111110110110
+11110111011110100111111110110110
+11110111011101110111111110110110
+11110111011101000111111110110110
+11110111011100010111111110110110
+11110111011011100111111110110101
+11110111011010110111111110110101
+11110111011010000111111110110101
+11110111011001000111111110110101
+11110111011000010111111110110101
+11110111010111100111111110110100
+11110111010110110111111110110100
+11110111010110000111111110110100
+11110111010101010111111110110100
+11110111010100100111111110110100
+11110111010011100111111110110011
+11110111010010110111111110110011
+11110111010010000111111110110011
+11110111010001010111111110110011
+11110111010000100111111110110010
+11110111001111110111111110110010
+11110111001111000111111110110010
+11110111001110010111111110110010
+11110111001101010111111110110010
+11110111001100100111111110110001
+11110111001011110111111110110001
+11110111001011000111111110110001
+11110111001010010111111110110001
+11110111001001100111111110110001
+11110111001000110111111110110000
+11110111000111110111111110110000
+11110111000111000111111110110000
+11110111000110010111111110110000
+11110111000101100111111110101111
+11110111000100110111111110101111
+11110111000100000111111110101111
+11110111000011010111111110101111
+11110111000010100111111110101111
+11110111000001100111111110101110
+11110111000000110111111110101110
+11110111000000000111111110101110
+11110110111111010111111110101110
+11110110111110100111111110101101
+11110110111101110111111110101101
+11110110111101000111111110101101
+11110110111100000111111110101101
+11110110111011010111111110101101
+11110110111010100111111110101100
+11110110111001110111111110101100
+11110110111001000111111110101100
+11110110111000010111111110101100
+11110110110111100111111110101011
+11110110110110110111111110101011
+11110110110101110111111110101011
+11110110110101000111111110101011
+11110110110100010111111110101011
+11110110110011100111111110101010
+11110110110010110111111110101010
+11110110110010000111111110101010
+11110110110001010111111110101010
+11110110110000010111111110101001
+11110110101111100111111110101001
+11110110101110110111111110101001
+11110110101110000111111110101001
+11110110101101010111111110101001
+11110110101100100111111110101000
+11110110101011110111111110101000
+11110110101011000111111110101000
+11110110101010000111111110101000
+11110110101001010111111110100111
+11110110101000100111111110100111
+11110110100111110111111110100111
+11110110100111000111111110100111
+11110110100110010111111110100110
+11110110100101100111111110100110
+11110110100100100111111110100110
+11110110100011110111111110100110
+11110110100011000111111110100110
+11110110100010010111111110100101
+11110110100001100111111110100101
+11110110100000110111111110100101
+11110110100000000111111110100101
+11110110011111010111111110100100
+11110110011110010111111110100100
+11110110011101100111111110100100
+11110110011100110111111110100100
+11110110011100000111111110100011
+11110110011011010111111110100011
+11110110011010100111111110100011
+11110110011001110111111110100011
+11110110011000110111111110100010
+11110110011000000111111110100010
+11110110010111010111111110100010
+11110110010110100111111110100010
+11110110010101110111111110100010
+11110110010101000111111110100001
+11110110010100010111111110100001
+11110110010011100111111110100001
+11110110010010100111111110100001
+11110110010001110111111110100000
+11110110010001000111111110100000
+11110110010000010111111110100000
+11110110001111100111111110100000
+11110110001110110111111110011111
+11110110001110000111111110011111
+11110110001101000111111110011111
+11110110001100010111111110011111
+11110110001011100111111110011110
+11110110001010110111111110011110
+11110110001010000111111110011110
+11110110001001010111111110011110
+11110110001000100111111110011101
+11110110000111110111111110011101
+11110110000110110111111110011101
+11110110000110000111111110011101
+11110110000101010111111110011100
+11110110000100100111111110011100
+11110110000011110111111110011100
+11110110000011000111111110011100
+11110110000010010111111110011100
+11110110000001010111111110011011
+11110110000000100111111110011011
+11110101111111110111111110011011
+11110101111111000111111110011011
+11110101111110010111111110011010
+11110101111101100111111110011010
+11110101111100110111111110011010
+11110101111100000111111110011010
+11110101111011000111111110011001
+11110101111010010111111110011001
+11110101111001100111111110011001
+11110101111000110111111110011001
+11110101111000000111111110011000
+11110101110111010111111110011000
+11110101110110100111111110011000
+11110101110101110111111110011000
+11110101110100110111111110010111
+11110101110100000111111110010111
+11110101110011010111111110010111
+11110101110010100111111110010111
+11110101110001110111111110010110
+11110101110001000111111110010110
+11110101110000010111111110010110
+11110101101111010111111110010110
+11110101101110100111111110010101
+11110101101101110111111110010101
+11110101101101000111111110010101
+11110101101100010111111110010101
+11110101101011100111111110010100
+11110101101010110111111110010100
+11110101101010000111111110010100
+11110101101001000111111110010100
+11110101101000010111111110010011
+11110101100111100111111110010011
+11110101100110110111111110010011
+11110101100110000111111110010011
+11110101100101010111111110010010
+11110101100100100111111110010010
+11110101100011110111111110010010
+11110101100010110111111110010001
+11110101100010000111111110010001
+11110101100001010111111110010001
+11110101100000100111111110010001
+11110101011111110111111110010000
+11110101011111000111111110010000
+11110101011110010111111110010000
+11110101011101010111111110010000
+11110101011100100111111110001111
+11110101011011110111111110001111
+11110101011011000111111110001111
+11110101011010010111111110001111
+11110101011001100111111110001110
+11110101011000110111111110001110
+11110101011000000111111110001110
+11110101010111000111111110001110
+11110101010110010111111110001101
+11110101010101100111111110001101
+11110101010100110111111110001101
+11110101010100000111111110001101
+11110101010011010111111110001100
+11110101010010100111111110001100
+11110101010001100111111110001100
+11110101010000110111111110001100
+11110101010000000111111110001011
+11110101001111010111111110001011
+11110101001110100111111110001011
+11110101001101110111111110001010
+11110101001101000111111110001010
+11110101001100010111111110001010
+11110101001011010111111110001010
+11110101001010100111111110001001
+11110101001001110111111110001001
+11110101001001000111111110001001
+11110101001000010111111110001001
+11110101000111100111111110001000
+11110101000110110111111110001000
+11110101000110000111111110001000
+11110101000101000111111110001000
+11110101000100010111111110000111
+11110101000011100111111110000111
+11110101000010110111111110000111
+11110101000010000111111110000110
+11110101000001010111111110000110
+11110101000000100111111110000110
+11110100111111110111111110000110
+11110100111110110111111110000101
+11110100111110000111111110000101
+11110100111101010111111110000101
+11110100111100100111111110000101
+11110100111011110111111110000100
+11110100111011000111111110000100
+11110100111010010111111110000100
+11110100111001010111111110000011
+11110100111000100111111110000011
+11110100110111110111111110000011
+11110100110111000111111110000011
+11110100110110010111111110000010
+11110100110101100111111110000010
+11110100110100110111111110000010
+11110100110100000111111110000010
+11110100110011000111111110000001
+11110100110010010111111110000001
+11110100110001100111111110000001
+11110100110000110111111110000000
+11110100110000000111111110000000
+11110100101111010111111110000000
+11110100101110100111111110000000
+11110100101101110111111101111111
+11110100101100110111111101111111
+11110100101100000111111101111111
+11110100101011010111111101111111
+11110100101010100111111101111110
+11110100101001110111111101111110
+11110100101001000111111101111110
+11110100101000010111111101111101
+11110100100111010111111101111101
+11110100100110100111111101111101
+11110100100101110111111101111101
+11110100100101000111111101111100
+11110100100100010111111101111100
+11110100100011100111111101111100
+11110100100010110111111101111011
+11110100100010000111111101111011
+11110100100001000111111101111011
+11110100100000010111111101111011
+11110100011111100111111101111010
+11110100011110110111111101111010
+11110100011110000111111101111010
+11110100011101010111111101111001
+11110100011100100111111101111001
+11110100011011110111111101111001
+11110100011010110111111101111001
+11110100011010000111111101111000
+11110100011001010111111101111000
+11110100011000100111111101111000
+11110100010111110111111101110111
+11110100010111000111111101110111
+11110100010110010111111101110111
+11110100010101100111111101110111
+11110100010100100111111101110110
+11110100010011110111111101110110
+11110100010011000111111101110110
+11110100010010010111111101110101
+11110100010001100111111101110101
+11110100010000110111111101110101
+11110100010000000111111101110101
+11110100001111010111111101110100
+11110100001110010111111101110100
+11110100001101100111111101110100
+11110100001100110111111101110011
+11110100001100000111111101110011
+11110100001011010111111101110011
+11110100001010100111111101110011
+11110100001001110111111101110010
+11110100001000110111111101110010
+11110100001000000111111101110010
+11110100000111010111111101110001
+11110100000110100111111101110001
+11110100000101110111111101110001
+11110100000101000111111101110001
+11110100000100010111111101110000
+11110100000011100111111101110000
+11110100000010100111111101110000
+11110100000001110111111101101111
+11110100000001000111111101101111
+11110100000000010111111101101111
+11110011111111100111111101101110
+11110011111110110111111101101110
+11110011111110000111111101101110
+11110011111101010111111101101110
+11110011111100010111111101101101
+11110011111011100111111101101101
+11110011111010110111111101101101
+11110011111010000111111101101100
+11110011111001010111111101101100
+11110011111000100111111101101100
+11110011110111110111111101101100
+11110011110111000111111101101011
+11110011110110000111111101101011
+11110011110101010111111101101011
+11110011110100100111111101101010
+11110011110011110111111101101010
+11110011110011000111111101101010
+11110011110010010111111101101001
+11110011110001100111111101101001
+11110011110000110111111101101001
+11110011101111110111111101101001
+11110011101111000111111101101000
+11110011101110010111111101101000
+11110011101101100111111101101000
+11110011101100110111111101100111
+11110011101100000111111101100111
+11110011101011010111111101100111
+11110011101010100111111101100110
+11110011101001100111111101100110
+11110011101000110111111101100110
+11110011101000000111111101100110
+11110011100111010111111101100101
+11110011100110100111111101100101
+11110011100101110111111101100101
+11110011100101000111111101100100
+11110011100100010111111101100100
+11110011100011010111111101100100
+11110011100010100111111101100011
+11110011100001110111111101100011
+11110011100001000111111101100011
+11110011100000010111111101100010
+11110011011111100111111101100010
+11110011011110110111111101100010
+11110011011101110111111101100010
+11110011011101000111111101100001
+11110011011100010111111101100001
+11110011011011100111111101100001
+11110011011010110111111101100000
+11110011011010000111111101100000
+11110011011001010111111101100000
+11110011011000100111111101011111
+11110011010111100111111101011111
+11110011010110110111111101011111
+11110011010110000111111101011110
+11110011010101010111111101011110
+11110011010100100111111101011110
+11110011010011110111111101011110
+11110011010011000111111101011101
+11110011010010010111111101011101
+11110011010001010111111101011101
+11110011010000100111111101011100
+11110011001111110111111101011100
+11110011001111000111111101011100
+11110011001110010111111101011011
+11110011001101100111111101011011
+11110011001100110111111101011011
+11110011001100000111111101011010
+11110011001011000111111101011010
+11110011001010010111111101011010
+11110011001001100111111101011001
+11110011001000110111111101011001
+11110011001000000111111101011001
+11110011000111010111111101011000
+11110011000110100111111101011000
+11110011000101110111111101011000
+11110011000100110111111101011000
+11110011000100000111111101010111
+11110011000011010111111101010111
+11110011000010100111111101010111
+11110011000001110111111101010110
+11110011000001000111111101010110
+11110011000000010111111101010110
+11110010111111100111111101010101
+11110010111110100111111101010101
+11110010111101110111111101010101
+11110010111101000111111101010100
+11110010111100010111111101010100
+11110010111011100111111101010100
+11110010111010110111111101010011
+11110010111010000111111101010011
+11110010111001010111111101010011
+11110010111000010111111101010010
+11110010110111100111111101010010
+11110010110110110111111101010010
+11110010110110000111111101010001
+11110010110101010111111101010001
+11110010110100100111111101010001
+11110010110011110111111101010000
+11110010110011000111111101010000
+11110010110010000111111101010000
+11110010110001010111111101010000
+11110010110000100111111101001111
+11110010101111110111111101001111
+11110010101111000111111101001111
+11110010101110010111111101001110
+11110010101101100111111101001110
+11110010101100110111111101001110
+11110010101011110111111101001101
+11110010101011000111111101001101
+11110010101010010111111101001101
+11110010101001100111111101001100
+11110010101000110111111101001100
+11110010101000000111111101001100
+11110010100111010111111101001011
+11110010100110100111111101001011
+11110010100101100111111101001011
+11110010100100110111111101001010
+11110010100100000111111101001010
+11110010100011010111111101001010
+11110010100010100111111101001001
+11110010100001110111111101001001
+11110010100001000111111101001001
+11110010100000010111111101001000
+11110010011111010111111101001000
+11110010011110100111111101001000
+11110010011101110111111101000111
+11110010011101000111111101000111
+11110010011100010111111101000111
+11110010011011100111111101000110
+11110010011010110111111101000110
+11110010011010000111111101000110
+11110010011001000111111101000101
+11110010011000010111111101000101
+11110010010111100111111101000101
+11110010010110110111111101000100
+11110010010110000111111101000100
+11110010010101010111111101000100
+11110010010100100111111101000011
+11110010010011110111111101000011
+11110010010011000111111101000011
+11110010010010000111111101000010
+11110010010001010111111101000010
+11110010010000100111111101000010
+11110010001111110111111101000001
+11110010001111000111111101000001
+11110010001110010111111101000001
+11110010001101100111111101000000
+11110010001100110111111101000000
+11110010001011110111111101000000
+11110010001011000111111100111111
+11110010001010010111111100111111
+11110010001001100111111100111111
+11110010001000110111111100111110
+11110010001000000111111100111110
+11110010000111010111111100111110
+11110010000110100111111100111101
+11110010000101100111111100111101
+11110010000100110111111100111101
+11110010000100000111111100111100
+11110010000011010111111100111100
+11110010000010100111111100111011
+11110010000001110111111100111011
+11110010000001000111111100111011
+11110010000000010111111100111010
+11110001111111010111111100111010
+11110001111110100111111100111010
+11110001111101110111111100111001
+11110001111101000111111100111001
+11110001111100010111111100111001
+11110001111011100111111100111000
+11110001111010110111111100111000
+11110001111010000111111100111000
+11110001111001000111111100110111
+11110001111000010111111100110111
+11110001110111100111111100110111
+11110001110110110111111100110110
+11110001110110000111111100110110
+11110001110101010111111100110110
+11110001110100100111111100110101
+11110001110011110111111100110101
+11110001110010110111111100110101
+11110001110010000111111100110100
+11110001110001010111111100110100
+11110001110000100111111100110100
+11110001101111110111111100110011
+11110001101111000111111100110011
+11110001101110010111111100110010
+11110001101101100111111100110010
+11110001101100110111111100110010
+11110001101011110111111100110001
+11110001101011000111111100110001
+11110001101010010111111100110001
+11110001101001100111111100110000
+11110001101000110111111100110000
+11110001101000000111111100110000
+11110001100111010111111100101111
+11110001100110100111111100101111
+11110001100101100111111100101111
+11110001100100110111111100101110
+11110001100100000111111100101110
+11110001100011010111111100101110
+11110001100010100111111100101101
+11110001100001110111111100101101
+11110001100001000111111100101100
+11110001100000010111111100101100
+11110001011111010111111100101100
+11110001011110100111111100101011
+11110001011101110111111100101011
+11110001011101000111111100101011
+11110001011100010111111100101010
+11110001011011100111111100101010
+11110001011010110111111100101010
+11110001011010000111111100101001
+11110001011001000111111100101001
+11110001011000010111111100101001
+11110001010111100111111100101000
+11110001010110110111111100101000
+11110001010110000111111100100111
+11110001010101010111111100100111
+11110001010100100111111100100111
+11110001010011110111111100100110
+11110001010011000111111100100110
+11110001010010000111111100100110
+11110001010001010111111100100101
+11110001010000100111111100100101
+11110001001111110111111100100101
+11110001001111000111111100100100
+11110001001110010111111100100100
+11110001001101100111111100100011
+11110001001100110111111100100011
+11110001001011110111111100100011
+11110001001011000111111100100010
+11110001001010010111111100100010
+11110001001001100111111100100010
+11110001001000110111111100100001
+11110001001000000111111100100001
+11110001000111010111111100100001
+11110001000110100111111100100000
+11110001000101100111111100100000
+11110001000100110111111100011111
+11110001000100000111111100011111
+11110001000011010111111100011111
+11110001000010100111111100011110
+11110001000001110111111100011110
+11110001000001000111111100011110
+11110001000000010111111100011101
+11110000111111100111111100011101
+11110000111110100111111100011101
+11110000111101110111111100011100
+11110000111101000111111100011100
+11110000111100010111111100011011
+11110000111011100111111100011011
+11110000111010110111111100011011
+11110000111010000111111100011010
+11110000111001010111111100011010
+11110000111000010111111100011010
+11110000110111100111111100011001
+11110000110110110111111100011001
+11110000110110000111111100011000
+11110000110101010111111100011000
+11110000110100100111111100011000
+11110000110011110111111100010111
+11110000110011000111111100010111
+11110000110010000111111100010111
+11110000110001010111111100010110
+11110000110000100111111100010110
+11110000101111110111111100010101
+11110000101111000111111100010101
+11110000101110010111111100010101
+11110000101101100111111100010100
+11110000101100110111111100010100
+11110000101100000111111100010100
+11110000101011000111111100010011
+11110000101010010111111100010011
+11110000101001100111111100010010
+11110000101000110111111100010010
+11110000101000000111111100010010
+11110000100111010111111100010001
+11110000100110100111111100010001
+11110000100101110111111100010001
+11110000100100110111111100010000
+11110000100100000111111100010000
+11110000100011010111111100001111
+11110000100010100111111100001111
+11110000100001110111111100001111
+11110000100001000111111100001110
+11110000100000010111111100001110
+11110000011111100111111100001110
+11110000011110110111111100001101
+11110000011101110111111100001101
+11110000011101000111111100001100
+11110000011100010111111100001100
+11110000011011100111111100001100
+11110000011010110111111100001011
+11110000011010000111111100001011
+11110000011001010111111100001011
+11110000011000100111111100001010
+11110000010111100111111100001010
+11110000010110110111111100001001
+11110000010110000111111100001001
+11110000010101010111111100001001
+11110000010100100111111100001000
+11110000010011110111111100001000
+11110000010011000111111100000111
+11110000010010010111111100000111
+11110000010001100111111100000111
+11110000010000100111111100000110
+11110000001111110111111100000110
+11110000001111000111111100000101
+11110000001110010111111100000101
+11110000001101100111111100000101
+11110000001100110111111100000100
+11110000001100000111111100000100
+11110000001011010111111100000100
+11110000001010010111111100000011
+11110000001001100111111100000011
+11110000001000110111111100000010
+11110000001000000111111100000010
+11110000000111010111111100000010
+11110000000110100111111100000001
+11110000000101110111111100000001
+11110000000101000111111100000000
+11110000000100010111111100000000
+11110000000011010111111100000000
+11110000000010100111111011111111
+11110000000001110111111011111111
+11110000000001000111111011111110
+11110000000000010111111011111110
+11101111111111100111111011111110
+11101111111110110111111011111101
+11101111111110000111111011111101
+11101111111101000111111011111101
+11101111111100010111111011111100
+11101111111011100111111011111100
+11101111111010110111111011111011
+11101111111010000111111011111011
+11101111111001010111111011111011
+11101111111000100111111011111010
+11101111110111110111111011111010
+11101111110111000111111011111001
+11101111110110000111111011111001
+11101111110101010111111011111001
+11101111110100100111111011111000
+11101111110011110111111011111000
+11101111110011000111111011110111
+11101111110010010111111011110111
+11101111110001100111111011110111
+11101111110000110111111011110110
+11101111101111110111111011110110
+11101111101111000111111011110101
+11101111101110010111111011110101
+11101111101101100111111011110101
+11101111101100110111111011110100
+11101111101100000111111011110100
+11101111101011010111111011110011
+11101111101010100111111011110011
+11101111101001110111111011110011
+11101111101000110111111011110010
+11101111101000000111111011110010
+11101111100111010111111011110001
+11101111100110100111111011110001
+11101111100101110111111011110001
+11101111100101000111111011110000
+11101111100100010111111011110000
+11101111100011100111111011101111
+11101111100010110111111011101111
+11101111100001110111111011101111
+11101111100001000111111011101110
+11101111100000010111111011101110
+11101111011111100111111011101101
+11101111011110110111111011101101
+11101111011110000111111011101101
+11101111011101010111111011101100
+11101111011100100111111011101100
+11101111011011100111111011101011
+11101111011010110111111011101011
+11101111011010000111111011101010
+11101111011001010111111011101010
+11101111011000100111111011101010
+11101111010111110111111011101001
+11101111010111000111111011101001
+11101111010110010111111011101000
+11101111010101100111111011101000
+11101111010100100111111011101000
+11101111010011110111111011100111
+11101111010011000111111011100111
+11101111010010010111111011100110
+11101111010001100111111011100110
+11101111010000110111111011100110
+11101111010000000111111011100101
+11101111001111010111111011100101
+11101111001110100111111011100100
+11101111001101100111111011100100
+11101111001100110111111011100100
+11101111001100000111111011100011
+11101111001011010111111011100011
+11101111001010100111111011100010
+11101111001001110111111011100010
+11101111001001000111111011100001
+11101111001000010111111011100001
+11101111000111100111111011100001
+11101111000110100111111011100000
+11101111000101110111111011100000
+11101111000101000111111011011111
+11101111000100010111111011011111
+11101111000011100111111011011111
+11101111000010110111111011011110
+11101111000010000111111011011110
+11101111000001010111111011011101
+11101111000000010111111011011101
+11101110111111100111111011011100
+11101110111110110111111011011100
+11101110111110000111111011011100
+11101110111101010111111011011011
+11101110111100100111111011011011
+11101110111011110111111011011010
+11101110111011000111111011011010
+11101110111010010111111011011010
+11101110111001010111111011011001
+11101110111000100111111011011001
+11101110110111110111111011011000
+11101110110111000111111011011000
+11101110110110010111111011010111
+11101110110101100111111011010111
+11101110110100110111111011010111
+11101110110100000111111011010110
+11101110110011010111111011010110
+11101110110010010111111011010101
+11101110110001100111111011010101
+11101110110000110111111011010100
+11101110110000000111111011010100
+11101110101111010111111011010100
+11101110101110100111111011010011
+11101110101101110111111011010011
+11101110101101000111111011010010
+11101110101100010111111011010010
+11101110101011010111111011010010
+11101110101010100111111011010001
+11101110101001110111111011010001
+11101110101001000111111011010000
+11101110101000010111111011010000
+11101110100111100111111011001111
+11101110100110110111111011001111
+11101110100110000111111011001111
+11101110100101010111111011001110
+11101110100100010111111011001110
+11101110100011100111111011001101
+11101110100010110111111011001101
+11101110100010000111111011001100
+11101110100001010111111011001100
+11101110100000100111111011001100
+11101110011111110111111011001011
+11101110011111000111111011001011
+11101110011110010111111011001010
+11101110011101010111111011001010
+11101110011100100111111011001001
+11101110011011110111111011001001
+11101110011011000111111011001001
+11101110011010010111111011001000
+11101110011001100111111011001000
+11101110011000110111111011000111
+11101110011000000111111011000111
+11101110010111010111111011000110
+11101110010110010111111011000110
+11101110010101100111111011000110
+11101110010100110111111011000101
+11101110010100000111111011000101
+11101110010011010111111011000100
+11101110010010100111111011000100
+11101110010001110111111011000011
+11101110010001000111111011000011
+11101110010000010111111011000010
+11101110001111010111111011000010
+11101110001110100111111011000010
+11101110001101110111111011000001
+11101110001101000111111011000001
+11101110001100010111111011000000
+11101110001011100111111011000000
+11101110001010110111111010111111
+11101110001010000111111010111111
+11101110001001010111111010111111
+11101110001000010111111010111110
+11101110000111100111111010111110
+11101110000110110111111010111101
+11101110000110000111111010111101
+11101110000101010111111010111100
+11101110000100100111111010111100
+11101110000011110111111010111011
+11101110000011000111111010111011
+11101110000010010111111010111011
+11101110000001010111111010111010
+11101110000000100111111010111010
+11101101111111110111111010111001
+11101101111111000111111010111001
+11101101111110010111111010111000
+11101101111101100111111010111000
+11101101111100110111111010110111
+11101101111100000111111010110111
+11101101111011010111111010110111
+11101101111010010111111010110110
+11101101111001100111111010110110
+11101101111000110111111010110101
+11101101111000000111111010110101
+11101101110111010111111010110100
+11101101110110100111111010110100
+11101101110101110111111010110011
+11101101110101000111111010110011
+11101101110100010111111010110011
+11101101110011010111111010110010
+11101101110010100111111010110010
+11101101110001110111111010110001
+11101101110001000111111010110001
+11101101110000010111111010110000
+11101101101111100111111010110000
+11101101101110110111111010101111
+11101101101110000111111010101111
+11101101101101010111111010101111
+11101101101100010111111010101110
+11101101101011100111111010101110
+11101101101010110111111010101101
+11101101101010000111111010101101
+11101101101001010111111010101100
+11101101101000100111111010101100
+11101101100111110111111010101011
+11101101100111000111111010101011
+11101101100110010111111010101011
+11101101100101010111111010101010
+11101101100100100111111010101010
+11101101100011110111111010101001
+11101101100011000111111010101001
+11101101100010010111111010101000
+11101101100001100111111010101000
+11101101100000110111111010100111
+11101101100000000111111010100111
+11101101011111010111111010100110
+11101101011110010111111010100110
+11101101011101100111111010100110
+11101101011100110111111010100101
+11101101011100000111111010100101
+11101101011011010111111010100100
+11101101011010100111111010100100
+11101101011001110111111010100011
+11101101011001000111111010100011
+11101101011000010111111010100010
+11101101010111100111111010100010
+11101101010110100111111010100001
+11101101010101110111111010100001
+11101101010101000111111010100000
+11101101010100010111111010100000
+11101101010011100111111010100000
+11101101010010110111111010011111
+11101101010010000111111010011111
+11101101010001010111111010011110
+11101101010000100111111010011110
+11101101001111100111111010011101
+11101101001110110111111010011101
+11101101001110000111111010011100
+11101101001101010111111010011100
+11101101001100100111111010011011
+11101101001011110111111010011011
+11101101001011000111111010011011
+11101101001010010111111010011010
+11101101001001100111111010011010
+11101101001000100111111010011001
+11101101000111110111111010011001
+11101101000111000111111010011000
+11101101000110010111111010011000
+11101101000101100111111010010111
+11101101000100110111111010010111
+11101101000100000111111010010110
+11101101000011010111111010010110
+11101101000010100111111010010101
+11101101000001110111111010010101
+11101101000000110111111010010100
+11101101000000000111111010010100
+11101100111111010111111010010100
+11101100111110100111111010010011
+11101100111101110111111010010011
+11101100111101000111111010010010
+11101100111100010111111010010010
+11101100111011100111111010010001
+11101100111010110111111010010001
+11101100111001110111111010010000
+11101100111001000111111010010000
+11101100111000010111111010001111
+11101100110111100111111010001111
+11101100110110110111111010001110
+11101100110110000111111010001110
+11101100110101010111111010001101
+11101100110100100111111010001101
+11101100110011110111111010001101
+11101100110010110111111010001100
+11101100110010000111111010001100
+11101100110001010111111010001011
+11101100110000100111111010001011
+11101100101111110111111010001010
+11101100101111000111111010001010
+11101100101110010111111010001001
+11101100101101100111111010001001
+11101100101100110111111010001000
+11101100101100000111111010001000
+11101100101011000111111010000111
+11101100101010010111111010000111
+11101100101001100111111010000110
+11101100101000110111111010000110
+11101100101000000111111010000101
+11101100100111010111111010000101
+11101100100110100111111010000100
+11101100100101110111111010000100
+11101100100101000111111010000100
+11101100100100000111111010000011
+11101100100011010111111010000011
+11101100100010100111111010000010
+11101100100001110111111010000010
+11101100100001000111111010000001
+11101100100000010111111010000001
+11101100011111100111111010000000
+11101100011110110111111010000000
+11101100011110000111111001111111
+11101100011101010111111001111111
+11101100011100010111111001111110
+11101100011011100111111001111110
+11101100011010110111111001111101
+11101100011010000111111001111101
+11101100011001010111111001111100
+11101100011000100111111001111100
+11101100010111110111111001111011
+11101100010111000111111001111011
+11101100010110010111111001111010
+11101100010101100111111001111010
+11101100010100100111111001111001
+11101100010011110111111001111001
+11101100010011000111111001111000
+11101100010010010111111001111000
+11101100010001100111111001110111
+11101100010000110111111001110111
+11101100010000000111111001110111
+11101100001111010111111001110110
+11101100001110100111111001110110
+11101100001101100111111001110101
+11101100001100110111111001110101
+11101100001100000111111001110100
+11101100001011010111111001110100
+11101100001010100111111001110011
+11101100001001110111111001110011
+11101100001001000111111001110010
+11101100001000010111111001110010
+11101100000111100111111001110001
+11101100000110110111111001110001
+11101100000101110111111001110000
+11101100000101000111111001110000
+11101100000100010111111001101111
+11101100000011100111111001101111
+11101100000010110111111001101110
+11101100000010000111111001101110
+11101100000001010111111001101101
+11101100000000100111111001101101
+11101011111111110111111001101100
+11101011111111000111111001101100
+11101011111110000111111001101011
+11101011111101010111111001101011
+11101011111100100111111001101010
+11101011111011110111111001101010
+11101011111011000111111001101001
+11101011111010010111111001101001
+11101011111001100111111001101000
+11101011111000110111111001101000
+11101011111000000111111001100111
+11101011110111000111111001100111
+11101011110110010111111001100110
+11101011110101100111111001100110
+11101011110100110111111001100101
+11101011110100000111111001100101
+11101011110011010111111001100100
+11101011110010100111111001100100
+11101011110001110111111001100011
+11101011110001000111111001100011
+11101011110000010111111001100010
+11101011101111010111111001100010
+11101011101110100111111001100001
+11101011101101110111111001100001
+11101011101101000111111001100000
+11101011101100010111111001100000
+11101011101011100111111001011111
+11101011101010110111111001011111
+11101011101010000111111001011110
+11101011101001010111111001011110
+11101011101000100111111001011101
+11101011100111100111111001011101
+11101011100110110111111001011100
+11101011100110000111111001011100
+11101011100101010111111001011011
+11101011100100100111111001011011
+11101011100011110111111001011010
+11101011100011000111111001011010
+11101011100010010111111001011001
+11101011100001100111111001011001
+11101011100000110111111001011000
+11101011011111110111111001011000
+11101011011111000111111001010111
+11101011011110010111111001010111
+11101011011101100111111001010110
+11101011011100110111111001010110
+11101011011100000111111001010101
+11101011011011010111111001010101
+11101011011010100111111001010100
+11101011011001110111111001010100
+11101011011001000111111001010011
+11101011011000000111111001010011
+11101011010111010111111001010010
+11101011010110100111111001010010
+11101011010101110111111001010001
+11101011010101000111111001010001
+11101011010100010111111001010000
+11101011010011100111111001010000
+11101011010010110111111001001111
+11101011010010000111111001001111
+11101011010001010111111001001110
+11101011010000010111111001001110
+11101011001111100111111001001101
+11101011001110110111111001001101
+11101011001110000111111001001100
+11101011001101010111111001001100
+11101011001100100111111001001011
+11101011001011110111111001001011
+11101011001011000111111001001010
+11101011001010010111111001001010
+11101011001001100111111001001001
+11101011001000100111111001001001
+11101011000111110111111001001000
+11101011000111000111111001001000
+11101011000110010111111001000111
+11101011000101100111111001000111
+11101011000100110111111001000110
+11101011000100000111111001000110
+11101011000011010111111001000101
+11101011000010100111111001000101
+11101011000001110111111001000100
+11101011000000110111111001000100
+11101011000000000111111001000011
+11101010111111010111111001000011
+11101010111110100111111001000010
+11101010111101110111111001000001
+11101010111101000111111001000001
+11101010111100010111111001000000
+11101010111011100111111001000000
+11101010111010110111111000111111
+11101010111010000111111000111111
+11101010111001000111111000111110
+11101010111000010111111000111110
+11101010110111100111111000111101
+11101010110110110111111000111101
+11101010110110000111111000111100
+11101010110101010111111000111100
+11101010110100100111111000111011
+11101010110011110111111000111011
+11101010110011000111111000111010
+11101010110010010111111000111010
+11101010110001010111111000111001
+11101010110000100111111000111001
+11101010101111110111111000111000
+11101010101111000111111000111000
+11101010101110010111111000110111
+11101010101101100111111000110111
+11101010101100110111111000110110
+11101010101100000111111000110110
+11101010101011010111111000110101
+11101010101010100111111000110100
+11101010101001100111111000110100
+11101010101000110111111000110011
+11101010101000000111111000110011
+11101010100111010111111000110010
+11101010100110100111111000110010
+11101010100101110111111000110001
+11101010100101000111111000110001
+11101010100100010111111000110000
+11101010100011100111111000110000
+11101010100010110111111000101111
+11101010100010000111111000101111
+11101010100001000111111000101110
+11101010100000010111111000101110
+11101010011111100111111000101101
+11101010011110110111111000101101
+11101010011110000111111000101100
+11101010011101010111111000101100
+11101010011100100111111000101011
+11101010011011110111111000101010
+11101010011011000111111000101010
+11101010011010010111111000101001
+11101010011001010111111000101001
+11101010011000100111111000101000
+11101010010111110111111000101000
+11101010010111000111111000100111
+11101010010110010111111000100111
+11101010010101100111111000100110
+11101010010100110111111000100110
+11101010010100000111111000100101
+11101010010011010111111000100101
+11101010010010100111111000100100
+11101010010001110111111000100100
+11101010010000110111111000100011
+11101010010000000111111000100010
+11101010001111010111111000100010
+11101010001110100111111000100001
+11101010001101110111111000100001
+11101010001101000111111000100000
+11101010001100010111111000100000
+11101010001011100111111000011111
+11101010001010110111111000011111
+11101010001010000111111000011110
+11101010001001000111111000011110
+11101010001000010111111000011101
+11101010000111100111111000011101
+11101010000110110111111000011100
+11101010000110000111111000011100
+11101010000101010111111000011011
+11101010000100100111111000011010
+11101010000011110111111000011010
+11101010000011000111111000011001
+11101010000010010111111000011001
+11101010000001100111111000011000
+11101010000000100111111000011000
+11101001111111110111111000010111
+11101001111111000111111000010111
+11101001111110010111111000010110
+11101001111101100111111000010110
+11101001111100110111111000010101
+11101001111100000111111000010101
+11101001111011010111111000010100
+11101001111010100111111000010011
+11101001111001110111111000010011
+11101001111000110111111000010010
+11101001111000000111111000010010
+11101001110111010111111000010001
+11101001110110100111111000010001
+11101001110101110111111000010000
+11101001110101000111111000010000
+11101001110100010111111000001111
+11101001110011100111111000001111
+11101001110010110111111000001110
+11101001110010000111111000001101
+11101001110001010111111000001101
+11101001110000010111111000001100
+11101001101111100111111000001100
+11101001101110110111111000001011
+11101001101110000111111000001011
+11101001101101010111111000001010
+11101001101100100111111000001010
+11101001101011110111111000001001
+11101001101011000111111000001001
+11101001101010010111111000001000
+11101001101001100111111000000111
+11101001101000100111111000000111
+11101001100111110111111000000110
+11101001100111000111111000000110
+11101001100110010111111000000101
+11101001100101100111111000000101
+11101001100100110111111000000100
+11101001100100000111111000000100
+11101001100011010111111000000011
+11101001100010100111111000000010
+11101001100001110111111000000010
+11101001100001000111111000000001
+11101001100000000111111000000001
+11101001011111010111111000000000
+11101001011110100111111000000000
+11101001011101110111110111111111
+11101001011101000111110111111111
+11101001011100010111110111111110
+11101001011011100111110111111110
+11101001011010110111110111111101
+11101001011010000111110111111100
+11101001011001010111110111111100
+11101001011000100111110111111011
+11101001010111100111110111111011
+11101001010110110111110111111010
+11101001010110000111110111111010
+11101001010101010111110111111001
+11101001010100100111110111111001
+11101001010011110111110111111000
+11101001010011000111110111110111
+11101001010010010111110111110111
+11101001010001100111110111110110
+11101001010000110111110111110110
+11101001010000000111110111110101
+11101001001111000111110111110101
+11101001001110010111110111110100
+11101001001101100111110111110011
+11101001001100110111110111110011
+11101001001100000111110111110010
+11101001001011010111110111110010
+11101001001010100111110111110001
+11101001001001110111110111110001
+11101001001001000111110111110000
+11101001001000010111110111110000
+11101001000111100111110111101111
+11101001000110100111110111101110
+11101001000101110111110111101110
+11101001000101000111110111101101
+11101001000100010111110111101101
+11101001000011100111110111101100
+11101001000010110111110111101100
+11101001000010000111110111101011
+11101001000001010111110111101011
+11101001000000100111110111101010
+11101000111111110111110111101001
+11101000111111000111110111101001
+11101000111110000111110111101000
+11101000111101010111110111101000
+11101000111100100111110111100111
+11101000111011110111110111100111
+11101000111011000111110111100110
+11101000111010010111110111100101
+11101000111001100111110111100101
+11101000111000110111110111100100
+11101000111000000111110111100100
+11101000110111010111110111100011
+11101000110110100111110111100011
+11101000110101100111110111100010
+11101000110100110111110111100001
+11101000110100000111110111100001
+11101000110011010111110111100000
+11101000110010100111110111100000
+11101000110001110111110111011111
+11101000110001000111110111011111
+11101000110000010111110111011110
+11101000101111100111110111011101
+11101000101110110111110111011101
+11101000101110000111110111011100
+11101000101101000111110111011100
+11101000101100010111110111011011
+11101000101011100111110111011011
+11101000101010110111110111011010
+11101000101010000111110111011001
+11101000101001010111110111011001
+11101000101000100111110111011000
+11101000100111110111110111011000
+11101000100111000111110111010111
+11101000100110010111110111010111
+11101000100101100111110111010110
+11101000100100110111110111010101
+11101000100011110111110111010101
+11101000100011000111110111010100
+11101000100010010111110111010100
+11101000100001100111110111010011
+11101000100000110111110111010011
+11101000100000000111110111010010
+11101000011111010111110111010001
+11101000011110100111110111010001
+11101000011101110111110111010000
+11101000011101000111110111010000
+11101000011100010111110111001111
+11101000011011010111110111001111
+11101000011010100111110111001110
+11101000011001110111110111001101
+11101000011001000111110111001101
+11101000011000010111110111001100
+11101000010111100111110111001100
+11101000010110110111110111001011
+11101000010110000111110111001010
+11101000010101010111110111001010
+11101000010100100111110111001001
+11101000010011110111110111001001
+11101000010010110111110111001000
+11101000010010000111110111001000
+11101000010001010111110111000111
+11101000010000100111110111000110
+11101000001111110111110111000110
+11101000001111000111110111000101
+11101000001110010111110111000101
+11101000001101100111110111000100
+11101000001100110111110111000011
+11101000001100000111110111000011
+11101000001011010111110111000010
+11101000001010100111110111000010
+11101000001001100111110111000001
+11101000001000110111110111000001
+11101000001000000111110111000000
+11101000000111010111110110111111
+11101000000110100111110110111111
+11101000000101110111110110111110
+11101000000101000111110110111110
+11101000000100010111110110111101
+11101000000011100111110110111100
+11101000000010110111110110111100
+11101000000010000111110110111011
+11101000000001010111110110111011
+11101000000000010111110110111010
+11100111111111100111110110111001
+11100111111110110111110110111001
+11100111111110000111110110111000
+11100111111101010111110110111000
+11100111111100100111110110110111
+11100111111011110111110110110111
+11100111111011000111110110110110
+11100111111010010111110110110101
+11100111111001100111110110110101
+11100111111000110111110110110100
+11100111110111110111110110110100
+11100111110111000111110110110011
+11100111110110010111110110110010
+11100111110101100111110110110010
+11100111110100110111110110110001
+11100111110100000111110110110001
+11100111110011010111110110110000
+11100111110010100111110110101111
+11100111110001110111110110101111
+11100111110001000111110110101110
+11100111110000010111110110101110
+11100111101111100111110110101101
+11100111101110100111110110101100
+11100111101101110111110110101100
+11100111101101000111110110101011
+11100111101100010111110110101011
+11100111101011100111110110101010
+11100111101010110111110110101001
+11100111101010000111110110101001
+11100111101001010111110110101000
+11100111101000100111110110101000
+11100111100111110111110110100111
+11100111100111000111110110100110
+11100111100110010111110110100110
+11100111100101010111110110100101
+11100111100100100111110110100101
+11100111100011110111110110100100
+11100111100011000111110110100011
+11100111100010010111110110100011
+11100111100001100111110110100010
+11100111100000110111110110100010
+11100111100000000111110110100001
+11100111011111010111110110100000
+11100111011110100111110110100000
+11100111011101110111110110011111
+11100111011101000111110110011111
+11100111011100000111110110011110
+11100111011011010111110110011101
+11100111011010100111110110011101
+11100111011001110111110110011100
+11100111011001000111110110011100
+11100111011000010111110110011011
+11100111010111100111110110011010
+11100111010110110111110110011010
+11100111010110000111110110011001
+11100111010101010111110110011001
+11100111010100100111110110011000
+11100111010011110111110110010111
+11100111010010110111110110010111
+11100111010010000111110110010110
+11100111010001010111110110010110
+11100111010000100111110110010101
+11100111001111110111110110010100
+11100111001111000111110110010100
+11100111001110010111110110010011
+11100111001101100111110110010011
+11100111001100110111110110010010
+11100111001100000111110110010001
+11100111001011010111110110010001
+11100111001010100111110110010000
+11100111001001100111110110010000
+11100111001000110111110110001111
+11100111001000000111110110001110
+11100111000111010111110110001110
+11100111000110100111110110001101
+11100111000101110111110110001100
+11100111000101000111110110001100
+11100111000100010111110110001011
+11100111000011100111110110001011
+11100111000010110111110110001010
+11100111000010000111110110001001
+11100111000001010111110110001001
+11100111000000100111110110001000
+11100110111111100111110110001000
+11100110111110110111110110000111
+11100110111110000111110110000110
+11100110111101010111110110000110
+11100110111100100111110110000101
+11100110111011110111110110000100
+11100110111011000111110110000100
+11100110111010010111110110000011
+11100110111001100111110110000011
+11100110111000110111110110000010
+11100110111000000111110110000001
+11100110110111010111110110000001
+11100110110110010111110110000000
+11100110110101100111110110000000
+11100110110100110111110101111111
+11100110110100000111110101111110
+11100110110011010111110101111110
+11100110110010100111110101111101
+11100110110001110111110101111100
+11100110110001000111110101111100
+11100110110000010111110101111011
+11100110101111100111110101111011
+11100110101110110111110101111010
+11100110101110000111110101111001
+11100110101101010111110101111001
+11100110101100010111110101111000
+11100110101011100111110101111000
+11100110101010110111110101110111
+11100110101010000111110101110110
+11100110101001010111110101110110
+11100110101000100111110101110101
+11100110100111110111110101110100
+11100110100111000111110101110100
+11100110100110010111110101110011
+11100110100101100111110101110011
+11100110100100110111110101110010
+11100110100100000111110101110001
+11100110100011000111110101110001
+11100110100010010111110101110000
+11100110100001100111110101101111
+11100110100000110111110101101111
+11100110100000000111110101101110
+11100110011111010111110101101110
+11100110011110100111110101101101
+11100110011101110111110101101100
+11100110011101000111110101101100
+11100110011100010111110101101011
+11100110011011100111110101101010
+11100110011010110111110101101010
+11100110011010000111110101101001
+11100110011001000111110101101001
+11100110011000010111110101101000
+11100110010111100111110101100111
+11100110010110110111110101100111
+11100110010110000111110101100110
+11100110010101010111110101100101
+11100110010100100111110101100101
+11100110010011110111110101100100
+11100110010011000111110101100011
+11100110010010010111110101100011
+11100110010001100111110101100010
+11100110010000110111110101100010
+11100110010000000111110101100001
+11100110001111000111110101100000
+11100110001110010111110101100000
+11100110001101100111110101011111
+11100110001100110111110101011110
+11100110001100000111110101011110
+11100110001011010111110101011101
+11100110001010100111110101011101
+11100110001001110111110101011100
+11100110001001000111110101011011
+11100110001000010111110101011011
+11100110000111100111110101011010
+11100110000110110111110101011001
+11100110000110000111110101011001
+11100110000101000111110101011000
+11100110000100010111110101010111
+11100110000011100111110101010111
+11100110000010110111110101010110
+11100110000010000111110101010110
+11100110000001010111110101010101
+11100110000000100111110101010100
+11100101111111110111110101010100
+11100101111111000111110101010011
+11100101111110010111110101010010
+11100101111101100111110101010010
+11100101111100110111110101010001
+11100101111100000111110101010000
+11100101111011000111110101010000
+11100101111010010111110101001111
+11100101111001100111110101001110
+11100101111000110111110101001110
+11100101111000000111110101001101
+11100101110111010111110101001101
+11100101110110100111110101001100
+11100101110101110111110101001011
+11100101110101000111110101001011
+11100101110100010111110101001010
+11100101110011100111110101001001
+11100101110010110111110101001001
+11100101110010000111110101001000
+11100101110001000111110101000111
+11100101110000010111110101000111
+11100101101111100111110101000110
+11100101101110110111110101000110
+11100101101110000111110101000101
+11100101101101010111110101000100
+11100101101100100111110101000100
+11100101101011110111110101000011
+11100101101011000111110101000010
+11100101101010010111110101000010
+11100101101001100111110101000001
+11100101101000110111110101000000
+11100101101000000111110101000000
+11100101100111010111110100111111
+11100101100110010111110100111110
+11100101100101100111110100111110
+11100101100100110111110100111101
+11100101100100000111110100111100
+11100101100011010111110100111100
+11100101100010100111110100111011
+11100101100001110111110100111010
+11100101100001000111110100111010
+11100101100000010111110100111001
+11100101011111100111110100111001
+11100101011110110111110100111000
+11100101011110000111110100110111
+11100101011101010111110100110111
+11100101011100010111110100110110
+11100101011011100111110100110101
+11100101011010110111110100110101
+11100101011010000111110100110100
+11100101011001010111110100110011
+11100101011000100111110100110011
+11100101010111110111110100110010
+11100101010111000111110100110001
+11100101010110010111110100110001
+11100101010101100111110100110000
+11100101010100110111110100101111
+11100101010100000111110100101111
+11100101010011010111110100101110
+11100101010010100111110100101101
+11100101010001100111110100101101
+11100101010000110111110100101100
+11100101010000000111110100101011
+11100101001111010111110100101011
+11100101001110100111110100101010
+11100101001101110111110100101010
+11100101001101000111110100101001
+11100101001100010111110100101000
+11100101001011100111110100101000
+11100101001010110111110100100111
+11100101001010000111110100100110
+11100101001001010111110100100110
+11100101001000100111110100100101
+11100101000111110111110100100100
+11100101000110110111110100100100
+11100101000110000111110100100011
+11100101000101010111110100100010
+11100101000100100111110100100010
+11100101000011110111110100100001
+11100101000011000111110100100000
+11100101000010010111110100100000
+11100101000001100111110100011111
+11100101000000110111110100011110
+11100101000000000111110100011110
+11100100111111010111110100011101
+11100100111110100111110100011100
+11100100111101110111110100011100
+11100100111101000111110100011011
+11100100111100000111110100011010
+11100100111011010111110100011010
+11100100111010100111110100011001
+11100100111001110111110100011000
+11100100111001000111110100011000
+11100100111000010111110100010111
+11100100110111100111110100010110
+11100100110110110111110100010110
+11100100110110000111110100010101
+11100100110101010111110100010100
+11100100110100100111110100010100
+11100100110011110111110100010011
+11100100110011000111110100010010
+11100100110010010111110100010010
+11100100110001100111110100010001
+11100100110000100111110100010000
+11100100101111110111110100010000
+11100100101111000111110100001111
+11100100101110010111110100001110
+11100100101101100111110100001110
+11100100101100110111110100001101
+11100100101100000111110100001100
+11100100101011010111110100001100
+11100100101010100111110100001011
+11100100101001110111110100001010
+11100100101001000111110100001010
+11100100101000010111110100001001
+11100100100111100111110100001000
+11100100100110110111110100001000
+11100100100101110111110100000111
+11100100100101000111110100000110
+11100100100100010111110100000110
+11100100100011100111110100000101
+11100100100010110111110100000100
+11100100100010000111110100000100
+11100100100001010111110100000011
+11100100100000100111110100000010
+11100100011111110111110100000010
+11100100011111000111110100000001
+11100100011110010111110100000000
+11100100011101100111110100000000
+11100100011100110111110011111111
+11100100011100000111110011111110
+11100100011011010111110011111101
+11100100011010010111110011111101
+11100100011001100111110011111100
+11100100011000110111110011111011
+11100100011000000111110011111011
+11100100010111010111110011111010
+11100100010110100111110011111001
+11100100010101110111110011111001
+11100100010101000111110011111000
+11100100010100010111110011110111
+11100100010011100111110011110111
+11100100010010110111110011110110
+11100100010010000111110011110101
+11100100010001010111110011110101
+11100100010000100111110011110100
+11100100001111110111110011110011
+11100100001110110111110011110011
+11100100001110000111110011110010
+11100100001101010111110011110001
+11100100001100100111110011110001
+11100100001011110111110011110000
+11100100001011000111110011101111
+11100100001010010111110011101111
+11100100001001100111110011101110
+11100100001000110111110011101101
+11100100001000000111110011101100
+11100100000111010111110011101100
+11100100000110100111110011101011
+11100100000101110111110011101010
+11100100000101000111110011101010
+11100100000100010111110011101001
+11100100000011010111110011101000
+11100100000010100111110011101000
+11100100000001110111110011100111
+11100100000001000111110011100110
+11100100000000010111110011100110
+11100011111111100111110011100101
+11100011111110110111110011100100
+11100011111110000111110011100100
+11100011111101010111110011100011
+11100011111100100111110011100010
+11100011111011110111110011100001
+11100011111011000111110011100001
+11100011111010010111110011100000
+11100011111001100111110011011111
+11100011111000110111110011011111
+11100011110111110111110011011110
+11100011110111000111110011011101
+11100011110110010111110011011101
+11100011110101100111110011011100
+11100011110100110111110011011011
+11100011110100000111110011011011
+11100011110011010111110011011010
+11100011110010100111110011011001
+11100011110001110111110011011000
+11100011110001000111110011011000
+11100011110000010111110011010111
+11100011101111100111110011010110
+11100011101110110111110011010110
+11100011101110000111110011010101
+11100011101101010111110011010100
+11100011101100100111110011010100
+11100011101011100111110011010011
+11100011101010110111110011010010
+11100011101010000111110011010010
+11100011101001010111110011010001
+11100011101000100111110011010000
+11100011100111110111110011001111
+11100011100111000111110011001111
+11100011100110010111110011001110
+11100011100101100111110011001101
+11100011100100110111110011001101
+11100011100100000111110011001100
+11100011100011010111110011001011
+11100011100010100111110011001011
+11100011100001110111110011001010
+11100011100001000111110011001001
+11100011100000010111110011001000
+11100011011111010111110011001000
+11100011011110100111110011000111
+11100011011101110111110011000110
+11100011011101000111110011000110
+11100011011100010111110011000101
+11100011011011100111110011000100
+11100011011010110111110011000100
+11100011011010000111110011000011
+11100011011001010111110011000010
+11100011011000100111110011000001
+11100011010111110111110011000001
+11100011010111000111110011000000
+11100011010110010111110010111111
+11100011010101100111110010111111
+11100011010100110111110010111110
+11100011010100000111110010111101
+11100011010011000111110010111101
+11100011010010010111110010111100
+11100011010001100111110010111011
+11100011010000110111110010111010
+11100011010000000111110010111010
+11100011001111010111110010111001
+11100011001110100111110010111000
+11100011001101110111110010111000
+11100011001101000111110010110111
+11100011001100010111110010110110
+11100011001011100111110010110101
+11100011001010110111110010110101
+11100011001010000111110010110100
+11100011001001010111110010110011
+11100011001000100111110010110011
+11100011000111110111110010110010
+11100011000110110111110010110001
+11100011000110000111110010110001
+11100011000101010111110010110000
+11100011000100100111110010101111
+11100011000011110111110010101110
+11100011000011000111110010101110
+11100011000010010111110010101101
+11100011000001100111110010101100
+11100011000000110111110010101100
+11100011000000000111110010101011
+11100010111111010111110010101010
+11100010111110100111110010101001
+11100010111101110111110010101001
+11100010111101000111110010101000
+11100010111100010111110010100111
+11100010111011100111110010100111
+11100010111010110111110010100110
+11100010111001110111110010100101
+11100010111001000111110010100100
+11100010111000010111110010100100
+11100010110111100111110010100011
+11100010110110110111110010100010
+11100010110110000111110010100010
+11100010110101010111110010100001
+11100010110100100111110010100000
+11100010110011110111110010011111
+11100010110011000111110010011111
+11100010110010010111110010011110
+11100010110001100111110010011101
+11100010110000110111110010011101
+11100010110000000111110010011100
+11100010101111010111110010011011
+11100010101110100111110010011010
+11100010101101110111110010011010
+11100010101100110111110010011001
+11100010101100000111110010011000
+11100010101011010111110010011000
+11100010101010100111110010010111
+11100010101001110111110010010110
+11100010101001000111110010010101
+11100010101000010111110010010101
+11100010100111100111110010010100
+11100010100110110111110010010011
+11100010100110000111110010010010
+11100010100101010111110010010010
+11100010100100100111110010010001
+11100010100011110111110010010000
+11100010100011000111110010010000
+11100010100010010111110010001111
+11100010100001100111110010001110
+11100010100000110111110010001101
+11100010011111110111110010001101
+11100010011111000111110010001100
+11100010011110010111110010001011
+11100010011101100111110010001011
+11100010011100110111110010001010
+11100010011100000111110010001001
+11100010011011010111110010001000
+11100010011010100111110010001000
+11100010011001110111110010000111
+11100010011001000111110010000110
+11100010011000010111110010000101
+11100010010111100111110010000101
+11100010010110110111110010000100
+11100010010110000111110010000011
+11100010010101010111110010000011
+11100010010100100111110010000010
+11100010010011110111110010000001
+11100010010011000111110010000000
+11100010010010000111110010000000
+11100010010001010111110001111111
+11100010010000100111110001111110
+11100010001111110111110001111101
+11100010001111000111110001111101
+11100010001110010111110001111100
+11100010001101100111110001111011
+11100010001100110111110001111011
+11100010001100000111110001111010
+11100010001011010111110001111001
+11100010001010100111110001111000
+11100010001001110111110001111000
+11100010001001000111110001110111
+11100010001000010111110001110110
+11100010000111100111110001110101
+11100010000110110111110001110101
+11100010000110000111110001110100
+11100010000101010111110001110011
+11100010000100010111110001110010
+11100010000011100111110001110010
+11100010000010110111110001110001
+11100010000010000111110001110000
+11100010000001010111110001110000
+11100010000000100111110001101111
+11100001111111110111110001101110
+11100001111111000111110001101101
+11100001111110010111110001101101
+11100001111101100111110001101100
+11100001111100110111110001101011
+11100001111100000111110001101010
+11100001111011010111110001101010
+11100001111010100111110001101001
+11100001111001110111110001101000
+11100001111001000111110001100111
+11100001111000010111110001100111
+11100001110111100111110001100110
+11100001110110110111110001100101
+11100001110101110111110001100100
+11100001110101000111110001100100
+11100001110100010111110001100011
+11100001110011100111110001100010
+11100001110010110111110001100001
+11100001110010000111110001100001
+11100001110001010111110001100000
+11100001110000100111110001011111
+11100001101111110111110001011111
+11100001101111000111110001011110
+11100001101110010111110001011101
+11100001101101100111110001011100
+11100001101100110111110001011100
+11100001101100000111110001011011
+11100001101011010111110001011010
+11100001101010100111110001011001
+11100001101001110111110001011001
+11100001101001000111110001011000
+11100001101000010111110001010111
+11100001100111010111110001010110
+11100001100110100111110001010110
+11100001100101110111110001010101
+11100001100101000111110001010100
+11100001100100010111110001010011
+11100001100011100111110001010011
+11100001100010110111110001010010
+11100001100010000111110001010001
+11100001100001010111110001010000
+11100001100000100111110001010000
+11100001011111110111110001001111
+11100001011111000111110001001110
+11100001011110010111110001001101
+11100001011101100111110001001101
+11100001011100110111110001001100
+11100001011100000111110001001011
+11100001011011010111110001001010
+11100001011010100111110001001010
+11100001011001110111110001001001
+11100001011001000111110001001000
+11100001011000000111110001000111
+11100001010111010111110001000111
+11100001010110100111110001000110
+11100001010101110111110001000101
+11100001010101000111110001000100
+11100001010100010111110001000100
+11100001010011100111110001000011
+11100001010010110111110001000010
+11100001010010000111110001000001
+11100001010001010111110001000001
+11100001010000100111110001000000
+11100001001111110111110000111111
+11100001001111000111110000111110
+11100001001110010111110000111110
+11100001001101100111110000111101
+11100001001100110111110000111100
+11100001001100000111110000111011
+11100001001011010111110000111011
+11100001001010100111110000111010
+11100001001001110111110000111001
+11100001001000110111110000111000
+11100001001000000111110000110111
+11100001000111010111110000110111
+11100001000110100111110000110110
+11100001000101110111110000110101
+11100001000101000111110000110100
+11100001000100010111110000110100
+11100001000011100111110000110011
+11100001000010110111110000110010
+11100001000010000111110000110001
+11100001000001010111110000110001
+11100001000000100111110000110000
+11100000111111110111110000101111
+11100000111111000111110000101110
+11100000111110010111110000101110
+11100000111101100111110000101101
+11100000111100110111110000101100
+11100000111100000111110000101011
+11100000111011010111110000101011
+11100000111010100111110000101010
+11100000111001110111110000101001
+11100000111000110111110000101000
+11100000111000000111110000101000
+11100000110111010111110000100111
+11100000110110100111110000100110
+11100000110101110111110000100101
+11100000110101000111110000100100
+11100000110100010111110000100100
+11100000110011100111110000100011
+11100000110010110111110000100010
+11100000110010000111110000100001
+11100000110001010111110000100001
+11100000110000100111110000100000
+11100000101111110111110000011111
+11100000101111000111110000011110
+11100000101110010111110000011110
+11100000101101100111110000011101
+11100000101100110111110000011100
+11100000101100000111110000011011
+11100000101011010111110000011010
+11100000101010100111110000011010
+11100000101001110111110000011001
+11100000101000110111110000011000
+11100000101000000111110000010111
+11100000100111010111110000010111
+11100000100110100111110000010110
+11100000100101110111110000010101
+11100000100101000111110000010100
+11100000100100010111110000010100
+11100000100011100111110000010011
+11100000100010110111110000010010
+11100000100010000111110000010001
+11100000100001010111110000010000
+11100000100000100111110000010000
+11100000011111110111110000001111
+11100000011111000111110000001110
+11100000011110010111110000001101
+11100000011101100111110000001101
+11100000011100110111110000001100
+11100000011100000111110000001011
+11100000011011010111110000001010
+11100000011010100111110000001010
+11100000011001110111110000001001
+11100000011001000111110000001000
+11100000011000010111110000000111
+11100000010111010111110000000110
+11100000010110100111110000000110
+11100000010101110111110000000101
+11100000010101000111110000000100
+11100000010100010111110000000011
+11100000010011100111110000000011
+11100000010010110111110000000010
+11100000010010000111110000000001
+11100000010001010111110000000000
+11100000010000100111101111111111
+11100000001111110111101111111111
+11100000001111000111101111111110
+11100000001110010111101111111101
+11100000001101100111101111111100
+11100000001100110111101111111100
+11100000001100000111101111111011
+11100000001011010111101111111010
+11100000001010100111101111111001
+11100000001001110111101111111000
+11100000001001000111101111111000
+11100000001000010111101111110111
+11100000000111100111101111110110
+11100000000110110111101111110101
+11100000000101110111101111110100
+11100000000101000111101111110100
+11100000000100010111101111110011
+11100000000011100111101111110010
+11100000000010110111101111110001
+11100000000010000111101111110001
+11100000000001010111101111110000
+11100000000000100111101111101111
+11011111111111110111101111101110
+11011111111111000111101111101101
+11011111111110010111101111101101
+11011111111101100111101111101100
+11011111111100110111101111101011
+11011111111100000111101111101010
+11011111111011010111101111101001
+11011111111010100111101111101001
+11011111111001110111101111101000
+11011111111001000111101111100111
+11011111111000010111101111100110
+11011111110111100111101111100110
+11011111110110110111101111100101
+11011111110110000111101111100100
+11011111110101010111101111100011
+11011111110100100111101111100010
+11011111110011100111101111100010
+11011111110010110111101111100001
+11011111110010000111101111100000
+11011111110001010111101111011111
+11011111110000100111101111011110
+11011111101111110111101111011110
+11011111101111000111101111011101
+11011111101110010111101111011100
+11011111101101100111101111011011
+11011111101100110111101111011010
+11011111101100000111101111011010
+11011111101011010111101111011001
+11011111101010100111101111011000
+11011111101001110111101111010111
+11011111101001000111101111010110
+11011111101000010111101111010110
+11011111100111100111101111010101
+11011111100110110111101111010100
+11011111100110000111101111010011
+11011111100101010111101111010011
+11011111100100100111101111010010
+11011111100011110111101111010001
+11011111100011000111101111010000
+11011111100010010111101111001111
+11011111100001100111101111001111
+11011111100000100111101111001110
+11011111011111110111101111001101
+11011111011111000111101111001100
+11011111011110010111101111001011
+11011111011101100111101111001011
+11011111011100110111101111001010
+11011111011100000111101111001001
+11011111011011010111101111001000
+11011111011010100111101111000111
+11011111011001110111101111000111
+11011111011001000111101111000110
+11011111011000010111101111000101
+11011111010111100111101111000100
+11011111010110110111101111000011
+11011111010110000111101111000011
+11011111010101010111101111000010
+11011111010100100111101111000001
+11011111010011110111101111000000
+11011111010011000111101110111111
+11011111010010010111101110111111
+11011111010001100111101110111110
+11011111010000110111101110111101
+11011111010000000111101110111100
+11011111001111010111101110111011
+11011111001110100111101110111011
+11011111001101110111101110111010
+11011111001101000111101110111001
+11011111001100000111101110111000
+11011111001011010111101110110111
+11011111001010100111101110110110
+11011111001001110111101110110110
+11011111001001000111101110110101
+11011111001000010111101110110100
+11011111000111100111101110110011
+11011111000110110111101110110010
+11011111000110000111101110110010
+11011111000101010111101110110001
+11011111000100100111101110110000
+11011111000011110111101110101111
+11011111000011000111101110101110
+11011111000010010111101110101110
+11011111000001100111101110101101
+11011111000000110111101110101100
+11011111000000000111101110101011
+11011110111111010111101110101010
+11011110111110100111101110101010
+11011110111101110111101110101001
+11011110111101000111101110101000
+11011110111100010111101110100111
+11011110111011100111101110100110
+11011110111010110111101110100110
+11011110111010000111101110100101
+11011110111001010111101110100100
+11011110111000100111101110100011
+11011110110111110111101110100010
+11011110110110110111101110100001
+11011110110110000111101110100001
+11011110110101010111101110100000
+11011110110100100111101110011111
+11011110110011110111101110011110
+11011110110011000111101110011101
+11011110110010010111101110011101
+11011110110001100111101110011100
+11011110110000110111101110011011
+11011110110000000111101110011010
+11011110101111010111101110011001
+11011110101110100111101110011000
+11011110101101110111101110011000
+11011110101101000111101110010111
+11011110101100010111101110010110
+11011110101011100111101110010101
+11011110101010110111101110010100
+11011110101010000111101110010100
+11011110101001010111101110010011
+11011110101000100111101110010010
+11011110100111110111101110010001
+11011110100111000111101110010000
+11011110100110010111101110001111
+11011110100101100111101110001111
+11011110100100110111101110001110
+11011110100100000111101110001101
+11011110100011010111101110001100
+11011110100010100111101110001011
+11011110100001110111101110001011
+11011110100001000111101110001010
+11011110100000000111101110001001
+11011110011111010111101110001000
+11011110011110100111101110000111
+11011110011101110111101110000110
+11011110011101000111101110000110
+11011110011100010111101110000101
+11011110011011100111101110000100
+11011110011010110111101110000011
+11011110011010000111101110000010
+11011110011001010111101110000001
+11011110011000100111101110000001
+11011110010111110111101110000000
+11011110010111000111101101111111
+11011110010110010111101101111110
+11011110010101100111101101111101
+11011110010100110111101101111101
+11011110010100000111101101111100
+11011110010011010111101101111011
+11011110010010100111101101111010
+11011110010001110111101101111001
+11011110010001000111101101111000
+11011110010000010111101101111000
+11011110001111100111101101110111
+11011110001110110111101101110110
+11011110001110000111101101110101
+11011110001101010111101101110100
+11011110001100100111101101110011
+11011110001011110111101101110011
+11011110001011000111101101110010
+11011110001010010111101101110001
+11011110001001100111101101110000
+11011110001000110111101101101111
+11011110001000000111101101101110
+11011110000111000111101101101110
+11011110000110010111101101101101
+11011110000101100111101101101100
+11011110000100110111101101101011
+11011110000100000111101101101010
+11011110000011010111101101101001
+11011110000010100111101101101001
+11011110000001110111101101101000
+11011110000001000111101101100111
+11011110000000010111101101100110
+11011101111111100111101101100101
+11011101111110110111101101100100
+11011101111110000111101101100100
+11011101111101010111101101100011
+11011101111100100111101101100010
+11011101111011110111101101100001
+11011101111011000111101101100000
+11011101111010010111101101011111
+11011101111001100111101101011111
+11011101111000110111101101011110
+11011101111000000111101101011101
+11011101110111010111101101011100
+11011101110110100111101101011011
+11011101110101110111101101011010
+11011101110101000111101101011010
+11011101110100010111101101011001
+11011101110011100111101101011000
+11011101110010110111101101010111
+11011101110010000111101101010110
+11011101110001010111101101010101
+11011101110000100111101101010101
+11011101101111110111101101010100
+11011101101111000111101101010011
+11011101101110010111101101010010
+11011101101101100111101101010001
+11011101101100110111101101010000
+11011101101011110111101101001111
+11011101101011000111101101001111
+11011101101010010111101101001110
+11011101101001100111101101001101
+11011101101000110111101101001100
+11011101101000000111101101001011
+11011101100111010111101101001010
+11011101100110100111101101001010
+11011101100101110111101101001001
+11011101100101000111101101001000
+11011101100100010111101101000111
+11011101100011100111101101000110
+11011101100010110111101101000101
+11011101100010000111101101000101
+11011101100001010111101101000100
+11011101100000100111101101000011
+11011101011111110111101101000010
+11011101011111000111101101000001
+11011101011110010111101101000000
+11011101011101100111101100111111
+11011101011100110111101100111111
+11011101011100000111101100111110
+11011101011011010111101100111101
+11011101011010100111101100111100
+11011101011001110111101100111011
+11011101011001000111101100111010
+11011101011000010111101100111001
+11011101010111100111101100111001
+11011101010110110111101100111000
+11011101010110000111101100110111
+11011101010101010111101100110110
+11011101010100100111101100110101
+11011101010011110111101100110100
+11011101010011000111101100110100
+11011101010010010111101100110011
+11011101010001100111101100110010
+11011101010000110111101100110001
+11011101010000000111101100110000
+11011101001111010111101100101111
+11011101001110100111101100101110
+11011101001101100111101100101110
+11011101001100110111101100101101
+11011101001100000111101100101100
+11011101001011010111101100101011
+11011101001010100111101100101010
+11011101001001110111101100101001
+11011101001001000111101100101000
+11011101001000010111101100101000
+11011101000111100111101100100111
+11011101000110110111101100100110
+11011101000110000111101100100101
+11011101000101010111101100100100
+11011101000100100111101100100011
+11011101000011110111101100100010
+11011101000011000111101100100010
+11011101000010010111101100100001
+11011101000001100111101100100000
+11011101000000110111101100011111
+11011101000000000111101100011110
+11011100111111010111101100011101
+11011100111110100111101100011100
+11011100111101110111101100011100
+11011100111101000111101100011011
+11011100111100010111101100011010
+11011100111011100111101100011001
+11011100111010110111101100011000
+11011100111010000111101100010111
+11011100111001010111101100010110
+11011100111000100111101100010110
+11011100110111110111101100010101
+11011100110111000111101100010100
+11011100110110010111101100010011
+11011100110101100111101100010010
+11011100110100110111101100010001
+11011100110100000111101100010000
+11011100110011010111101100010000
+11011100110010100111101100001111
+11011100110001110111101100001110
+11011100110001000111101100001101
+11011100110000010111101100001100
+11011100101111100111101100001011
+11011100101110110111101100001010
+11011100101110000111101100001001
+11011100101101010111101100001001
+11011100101100100111101100001000
+11011100101011110111101100000111
+11011100101011000111101100000110
+11011100101010000111101100000101
+11011100101001010111101100000100
+11011100101000100111101100000011
+11011100100111110111101100000011
+11011100100111000111101100000010
+11011100100110010111101100000001
+11011100100101100111101100000000
+11011100100100110111101011111111
+11011100100100000111101011111110
+11011100100011010111101011111101
+11011100100010100111101011111100
+11011100100001110111101011111100
+11011100100001000111101011111011
+11011100100000010111101011111010
+11011100011111100111101011111001
+11011100011110110111101011111000
+11011100011110000111101011110111
+11011100011101010111101011110110
+11011100011100100111101011110101
+11011100011011110111101011110101
+11011100011011000111101011110100
+11011100011010010111101011110011
+11011100011001100111101011110010
+11011100011000110111101011110001
+11011100011000000111101011110000
+11011100010111010111101011101111
+11011100010110100111101011101110
+11011100010101110111101011101110
+11011100010101000111101011101101
+11011100010100010111101011101100
+11011100010011100111101011101011
+11011100010010110111101011101010
+11011100010010000111101011101001
+11011100010001010111101011101000
+11011100010000100111101011100111
+11011100001111110111101011100111
+11011100001111000111101011100110
+11011100001110010111101011100101
+11011100001101100111101011100100
+11011100001100110111101011100011
+11011100001100000111101011100010
+11011100001011010111101011100001
+11011100001010100111101011100000
+11011100001001110111101011100000
+11011100001001000111101011011111
+11011100001000010111101011011110
+11011100000111100111101011011101
+11011100000110110111101011011100
+11011100000110000111101011011011
+11011100000101010111101011011010
+11011100000100100111101011011001
+11011100000011110111101011011001
+11011100000011000111101011011000
+11011100000010010111101011010111
+11011100000001100111101011010110
+11011100000000110111101011010101
+11011100000000000111101011010100
+11011011111111010111101011010011
+11011011111110100111101011010010
+11011011111101110111101011010001
+11011011111100110111101011010001
+11011011111100000111101011010000
+11011011111011010111101011001111
+11011011111010100111101011001110
+11011011111001110111101011001101
+11011011111001000111101011001100
+11011011111000010111101011001011
+11011011110111100111101011001010
+11011011110110110111101011001001
+11011011110110000111101011001001
+11011011110101010111101011001000
+11011011110100100111101011000111
+11011011110011110111101011000110
+11011011110011000111101011000101
+11011011110010010111101011000100
+11011011110001100111101011000011
+11011011110000110111101011000010
+11011011110000000111101011000001
+11011011101111010111101011000001
+11011011101110100111101011000000
+11011011101101110111101010111111
+11011011101101000111101010111110
+11011011101100010111101010111101
+11011011101011100111101010111100
+11011011101010110111101010111011
+11011011101010000111101010111010
+11011011101001010111101010111001
+11011011101000100111101010111001
+11011011100111110111101010111000
+11011011100111000111101010110111
+11011011100110010111101010110110
+11011011100101100111101010110101
+11011011100100110111101010110100
+11011011100100000111101010110011
+11011011100011010111101010110010
+11011011100010100111101010110001
+11011011100001110111101010110001
+11011011100001000111101010110000
+11011011100000010111101010101111
+11011011011111100111101010101110
+11011011011110110111101010101101
+11011011011110000111101010101100
+11011011011101010111101010101011
+11011011011100100111101010101010
+11011011011011110111101010101001
+11011011011011000111101010101000
+11011011011010010111101010101000
+11011011011001100111101010100111
+11011011011000110111101010100110
+11011011011000000111101010100101
+11011011010111010111101010100100
+11011011010110100111101010100011
+11011011010101110111101010100010
+11011011010101000111101010100001
+11011011010100010111101010100000
+11011011010011100111101010011111
+11011011010010110111101010011111
+11011011010010000111101010011110
+11011011010001010111101010011101
+11011011010000100111101010011100
+11011011001111110111101010011011
+11011011001111000111101010011010
+11011011001110010111101010011001
+11011011001101100111101010011000
+11011011001100110111101010010111
+11011011001100000111101010010110
+11011011001011010111101010010110
+11011011001010100111101010010101
+11011011001001110111101010010100
+11011011001001000111101010010011
+11011011001000010111101010010010
+11011011000111100111101010010001
+11011011000110110111101010010000
+11011011000110000111101010001111
+11011011000101010111101010001110
+11011011000100100111101010001101
+11011011000011110111101010001100
+11011011000011000111101010001100
+11011011000010010111101010001011
+11011011000001100111101010001010
+11011011000000110111101010001001
+11011011000000000111101010001000
+11011010111111010111101010000111
+11011010111110100111101010000110
+11011010111101110111101010000101
+11011010111101000111101010000100
+11011010111100010111101010000011
+11011010111011100111101010000010
+11011010111010110111101010000010
+11011010111010000111101010000001
+11011010111001010111101010000000
+11011010111000100111101001111111
+11011010110111110111101001111110
+11011010110111000111101001111101
+11011010110110010111101001111100
+11011010110101100111101001111011
+11011010110100110111101001111010
+11011010110100000111101001111001
+11011010110011010111101001111000
+11011010110010100111101001111000
+11011010110001100111101001110111
+11011010110000110111101001110110
+11011010110000000111101001110101
+11011010101111010111101001110100
+11011010101110100111101001110011
+11011010101101110111101001110010
+11011010101101000111101001110001
+11011010101100010111101001110000
+11011010101011100111101001101111
+11011010101010110111101001101110
+11011010101010000111101001101101
+11011010101001010111101001101101
+11011010101000100111101001101100
+11011010100111110111101001101011
+11011010100111000111101001101010
+11011010100110010111101001101001
+11011010100101100111101001101000
+11011010100100110111101001100111
+11011010100100000111101001100110
+11011010100011010111101001100101
+11011010100010100111101001100100
+11011010100001110111101001100011
+11011010100001000111101001100010
+11011010100000010111101001100010
+11011010011111100111101001100001
+11011010011110110111101001100000
+11011010011110000111101001011111
+11011010011101010111101001011110
+11011010011100100111101001011101
+11011010011011110111101001011100
+11011010011011000111101001011011
+11011010011010010111101001011010
+11011010011001100111101001011001
+11011010011000110111101001011000
+11011010011000000111101001010111
+11011010010111010111101001010110
+11011010010110100111101001010110
+11011010010101110111101001010101
+11011010010101000111101001010100
+11011010010100010111101001010011
+11011010010011100111101001010010
+11011010010010110111101001010001
+11011010010010000111101001010000
+11011010010001010111101001001111
+11011010010000100111101001001110
+11011010001111110111101001001101
+11011010001111000111101001001100
+11011010001110010111101001001011
+11011010001101100111101001001010
+11011010001100110111101001001010
+11011010001100000111101001001001
+11011010001011010111101001001000
+11011010001010100111101001000111
+11011010001001110111101001000110
+11011010001001000111101001000101
+11011010001000010111101001000100
+11011010000111100111101001000011
+11011010000110110111101001000010
+11011010000110000111101001000001
+11011010000101010111101001000000
+11011010000100100111101000111111
+11011010000011110111101000111110
+11011010000011000111101000111101
+11011010000010010111101000111101
+11011010000001100111101000111100
+11011010000000110111101000111011
+11011010000000000111101000111010
+11011001111111010111101000111001
+11011001111110100111101000111000
+11011001111101110111101000110111
+11011001111101000111101000110110
+11011001111100010111101000110101
+11011001111011100111101000110100
+11011001111010110111101000110011
+11011001111010000111101000110010
+11011001111001010111101000110001
+11011001111000100111101000110000
+11011001110111110111101000101111
+11011001110111000111101000101110
+11011001110110010111101000101110
+11011001110101100111101000101101
+11011001110100110111101000101100
+11011001110100000111101000101011
+11011001110011010111101000101010
+11011001110010100111101000101001
+11011001110001110111101000101000
+11011001110001000111101000100111
+11011001110000010111101000100110
+11011001101111100111101000100101
+11011001101110110111101000100100
+11011001101110000111101000100011
+11011001101101010111101000100010
+11011001101100100111101000100001
+11011001101011110111101000100000
+11011001101011000111101000011111
+11011001101010010111101000011111
+11011001101001100111101000011110
+11011001101000110111101000011101
+11011001101000000111101000011100
+11011001100111010111101000011011
+11011001100110100111101000011010
+11011001100101110111101000011001
+11011001100101000111101000011000
+11011001100100010111101000010111
+11011001100011100111101000010110
+11011001100010110111101000010101
+11011001100010000111101000010100
+11011001100001010111101000010011
+11011001100000100111101000010010
+11011001011111110111101000010001
+11011001011111000111101000010000
+11011001011110010111101000001111
+11011001011101100111101000001110
+11011001011100110111101000001110
+11011001011100000111101000001101
+11011001011011010111101000001100
+11011001011010100111101000001011
+11011001011001110111101000001010
+11011001011001000111101000001001
+11011001011000010111101000001000
+11011001010111100111101000000111
+11011001010110110111101000000110
+11011001010110000111101000000101
+11011001010101010111101000000100
+11011001010100100111101000000011
+11011001010011110111101000000010
+11011001010011000111101000000001
+11011001010010100111101000000000
+11011001010001110111100111111111
+11011001010001000111100111111110
+11011001010000010111100111111101
+11011001001111100111100111111100
+11011001001110110111100111111100
+11011001001110000111100111111011
+11011001001101010111100111111010
+11011001001100100111100111111001
+11011001001011110111100111111000
+11011001001011000111100111110111
+11011001001010010111100111110110
+11011001001001100111100111110101
+11011001001000110111100111110100
+11011001001000000111100111110011
+11011001000111010111100111110010
+11011001000110100111100111110001
+11011001000101110111100111110000
+11011001000101000111100111101111
+11011001000100010111100111101110
+11011001000011100111100111101101
+11011001000010110111100111101100
+11011001000010000111100111101011
+11011001000001010111100111101010
+11011001000000100111100111101001
+11011000111111110111100111101000
+11011000111111000111100111100111
+11011000111110010111100111100111
+11011000111101100111100111100110
+11011000111100110111100111100101
+11011000111100000111100111100100
+11011000111011010111100111100011
+11011000111010100111100111100010
+11011000111001110111100111100001
+11011000111001000111100111100000
+11011000111000010111100111011111
+11011000110111100111100111011110
+11011000110110110111100111011101
+11011000110110000111100111011100
+11011000110101010111100111011011
+11011000110100100111100111011010
+11011000110011110111100111011001
+11011000110011000111100111011000
+11011000110010010111100111010111
+11011000110001100111100111010110
+11011000110000110111100111010101
+11011000110000000111100111010100
+11011000101111010111100111010011
+11011000101110100111100111010010
+11011000101101110111100111010001
+11011000101101000111100111010000
+11011000101100010111100111001111
+11011000101011100111100111001110
+11011000101010110111100111001110
+11011000101010000111100111001101
+11011000101001010111100111001100
+11011000101000100111100111001011
+11011000100111110111100111001010
+11011000100111000111100111001001
+11011000100110010111100111001000
+11011000100101100111100111000111
+11011000100100110111100111000110
+11011000100100000111100111000101
+11011000100011010111100111000100
+11011000100010100111100111000011
+11011000100001110111100111000010
+11011000100001000111100111000001
+11011000100000010111100111000000
+11011000011111100111100110111111
+11011000011110110111100110111110
+11011000011110000111100110111101
+11011000011101010111100110111100
+11011000011100100111100110111011
+11011000011011110111100110111010
+11011000011011000111100110111001
+11011000011010010111100110111000
+11011000011001100111100110110111
+11011000011000110111100110110110
+11011000011000000111100110110101
+11011000010111010111100110110100
+11011000010110100111100110110011
+11011000010101110111100110110010
+11011000010101000111100110110001
+11011000010100010111100110110000
+11011000010011100111100110101111
+11011000010010110111100110101110
+11011000010010000111100110101110
+11011000010001010111100110101101
+11011000010000100111100110101100
+11011000001111110111100110101011
+11011000001111000111100110101010
+11011000001110010111100110101001
+11011000001101100111100110101000
+11011000001100110111100110100111
+11011000001100000111100110100110
+11011000001011010111100110100101
+11011000001010100111100110100100
+11011000001001110111100110100011
+11011000001001010111100110100010
+11011000001000100111100110100001
+11011000000111110111100110100000
+11011000000111000111100110011111
+11011000000110010111100110011110
+11011000000101100111100110011101
+11011000000100110111100110011100
+11011000000100000111100110011011
+11011000000011010111100110011010
+11011000000010100111100110011001
+11011000000001110111100110011000
+11011000000001000111100110010111
+11011000000000010111100110010110
+11010111111111100111100110010101
+11010111111110110111100110010100
+11010111111110000111100110010011
+11010111111101010111100110010010
+11010111111100100111100110010001
+11010111111011110111100110010000
+11010111111011000111100110001111
+11010111111010010111100110001110
+11010111111001100111100110001101
+11010111111000110111100110001100
+11010111111000000111100110001011
+11010111110111010111100110001010
+11010111110110100111100110001001
+11010111110101110111100110001000
+11010111110101000111100110000111
+11010111110100010111100110000110
+11010111110011100111100110000101
+11010111110010110111100110000100
+11010111110010000111100110000011
+11010111110001010111100110000010
+11010111110000100111100110000001
+11010111101111110111100110000000
+11010111101111000111100101111111
+11010111101110010111100101111110
+11010111101101100111100101111101
+11010111101100110111100101111100
+11010111101100000111100101111011
+11010111101011010111100101111010
+11010111101010100111100101111001
+11010111101001110111100101111000
+11010111101001000111100101110111
+11010111101000010111100101110110
+11010111100111100111100101110101
+11010111100110110111100101110100
+11010111100110000111100101110011
+11010111100101010111100101110011
+11010111100100100111100101110010
+11010111100011110111100101110001
+11010111100011000111100101110000
+11010111100010010111100101101111
+11010111100001100111100101101110
+11010111100000110111100101101101
+11010111100000000111100101101100
+11010111011111010111100101101011
+11010111011110110111100101101010
+11010111011110000111100101101001
+11010111011101010111100101101000
+11010111011100100111100101100111
+11010111011011110111100101100110
+11010111011011000111100101100101
+11010111011010010111100101100100
+11010111011001100111100101100011
+11010111011000110111100101100010
+11010111011000000111100101100001
+11010111010111010111100101100000
+11010111010110100111100101011111
+11010111010101110111100101011110
+11010111010101000111100101011101
+11010111010100010111100101011100
+11010111010011100111100101011011
+11010111010010110111100101011010
+11010111010010000111100101011001
+11010111010001010111100101011000
+11010111010000100111100101010111
+11010111001111110111100101010110
+11010111001111000111100101010101
+11010111001110010111100101010100
+11010111001101100111100101010011
+11010111001100110111100101010010
+11010111001100000111100101010001
+11010111001011010111100101010000
+11010111001010100111100101001111
+11010111001001110111100101001110
+11010111001001000111100101001101
+11010111001000010111100101001100
+11010111000111100111100101001011
+11010111000110110111100101001010
+11010111000110000111100101001001
+11010111000101010111100101001000
+11010111000100100111100101000111
+11010111000011110111100101000110
+11010111000011000111100101000101
+11010111000010010111100101000100
+11010111000001100111100101000011
+11010111000000110111100101000010
+11010111000000000111100101000001
+11010110111111010111100101000000
+11010110111110100111100100111111
+11010110111101110111100100111110
+11010110111101010111100100111101
+11010110111100100111100100111100
+11010110111011110111100100111011
+11010110111011000111100100111001
+11010110111010010111100100111000
+11010110111001100111100100110111
+11010110111000110111100100110110
+11010110111000000111100100110101
+11010110110111010111100100110100
+11010110110110100111100100110011
+11010110110101110111100100110010
+11010110110101000111100100110001
+11010110110100010111100100110000
+11010110110011100111100100101111
+11010110110010110111100100101110
+11010110110010000111100100101101
+11010110110001010111100100101100
+11010110110000100111100100101011
+11010110101111110111100100101010
+11010110101111000111100100101001
+11010110101110010111100100101000
+11010110101101100111100100100111
+11010110101100110111100100100110
+11010110101100000111100100100101
+11010110101011010111100100100100
+11010110101010100111100100100011
+11010110101001110111100100100010
+11010110101001000111100100100001
+11010110101000010111100100100000
+11010110100111100111100100011111
+11010110100110110111100100011110
+11010110100110000111100100011101
+11010110100101010111100100011100
+11010110100100100111100100011011
+11010110100011110111100100011010
+11010110100011000111100100011001
+11010110100010010111100100011000
+11010110100001100111100100010111
+11010110100001000111100100010110
+11010110100000010111100100010101
+11010110011111100111100100010100
+11010110011110110111100100010011
+11010110011110000111100100010010
+11010110011101010111100100010001
+11010110011100100111100100010000
+11010110011011110111100100001111
+11010110011011000111100100001110
+11010110011010010111100100001101
+11010110011001100111100100001100
+11010110011000110111100100001011
+11010110011000000111100100001010
+11010110010111010111100100001001
+11010110010110100111100100001000
+11010110010101110111100100000111
+11010110010101000111100100000110
+11010110010100010111100100000101
+11010110010011100111100100000100
+11010110010010110111100100000011
+11010110010010000111100100000010
+11010110010001010111100100000001
+11010110010000100111100100000000
+11010110001111110111100011111111
+11010110001111000111100011111110
+11010110001110010111100011111100
+11010110001101100111100011111011
+11010110001100110111100011111010
+11010110001100000111100011111001
+11010110001011010111100011111000
+11010110001010100111100011110111
+11010110001001110111100011110110
+11010110001001000111100011110101
+11010110001000010111100011110100
+11010110000111110111100011110011
+11010110000111000111100011110010
+11010110000110010111100011110001
+11010110000101100111100011110000
+11010110000100110111100011101111
+11010110000100000111100011101110
+11010110000011010111100011101101
+11010110000010100111100011101100
+11010110000001110111100011101011
+11010110000001000111100011101010
+11010110000000010111100011101001
+11010101111111100111100011101000
+11010101111110110111100011100111
+11010101111110000111100011100110
+11010101111101010111100011100101
+11010101111100100111100011100100
+11010101111011110111100011100011
+11010101111011000111100011100010
+11010101111010010111100011100001
+11010101111001100111100011100000
+11010101111000110111100011011111
+11010101111000000111100011011110
+11010101110111010111100011011101
+11010101110110100111100011011100
+11010101110101110111100011011010
+11010101110101000111100011011001
+11010101110100010111100011011000
+11010101110011100111100011010111
+11010101110010110111100011010110
+11010101110010000111100011010101
+11010101110001100111100011010100
+11010101110000110111100011010011
+11010101110000000111100011010010
+11010101101111010111100011010001
+11010101101110100111100011010000
+11010101101101110111100011001111
+11010101101101000111100011001110
+11010101101100010111100011001101
+11010101101011100111100011001100
+11010101101010110111100011001011
+11010101101010000111100011001010
+11010101101001010111100011001001
+11010101101000100111100011001000
+11010101100111110111100011000111
+11010101100111000111100011000110
+11010101100110010111100011000101
+11010101100101100111100011000100
+11010101100100110111100011000011
+11010101100100000111100011000010
+11010101100011010111100011000001
+11010101100010100111100010111111
+11010101100001110111100010111110
+11010101100001000111100010111101
+11010101100000010111100010111100
+11010101011111100111100010111011
+11010101011110110111100010111010
+11010101011110000111100010111001
+11010101011101010111100010111000
+11010101011100110111100010110111
+11010101011100000111100010110110
+11010101011011010111100010110101
+11010101011010100111100010110100
+11010101011001110111100010110011
+11010101011001000111100010110010
+11010101011000010111100010110001
+11010101010111100111100010110000
+11010101010110110111100010101111
+11010101010110000111100010101110
+11010101010101010111100010101101
+11010101010100100111100010101100
+11010101010011110111100010101011
+11010101010011000111100010101010
+11010101010010010111100010101000
+11010101010001100111100010100111
+11010101010000110111100010100110
+11010101010000000111100010100101
+11010101001111010111100010100100
+11010101001110100111100010100011
+11010101001101110111100010100010
+11010101001101000111100010100001
+11010101001100010111100010100000
+11010101001011100111100010011111
+11010101001010110111100010011110
+11010101001010000111100010011101
+11010101001001100111100010011100
+11010101001000110111100010011011
+11010101001000000111100010011010
+11010101000111010111100010011001
+11010101000110100111100010011000
+11010101000101110111100010010111
+11010101000101000111100010010110
+11010101000100010111100010010101
+11010101000011100111100010010011
+11010101000010110111100010010010
+11010101000010000111100010010001
+11010101000001010111100010010000
+11010101000000100111100010001111
+11010100111111110111100010001110
+11010100111111000111100010001101
+11010100111110010111100010001100
+11010100111101100111100010001011
+11010100111100110111100010001010
+11010100111100000111100010001001
+11010100111011010111100010001000
+11010100111010100111100010000111
+11010100111001110111100010000110
+11010100111001000111100010000101
+11010100111000010111100010000100
+11010100110111110111100010000011
+11010100110111000111100010000010
+11010100110110010111100010000000
+11010100110101100111100001111111
+11010100110100110111100001111110
+11010100110100000111100001111101
+11010100110011010111100001111100
+11010100110010100111100001111011
+11010100110001110111100001111010
+11010100110001000111100001111001
+11010100110000010111100001111000
+11010100101111100111100001110111
+11010100101110110111100001110110
+11010100101110000111100001110101
+11010100101101010111100001110100
+11010100101100100111100001110011
+11010100101011110111100001110010
+11010100101011000111100001110001
+11010100101010010111100001101111
+11010100101001100111100001101110
+11010100101000110111100001101101
+11010100101000000111100001101100
+11010100100111010111100001101011
+11010100100110110111100001101010
+11010100100110000111100001101001
+11010100100101010111100001101000
+11010100100100100111100001100111
+11010100100011110111100001100110
+11010100100011000111100001100101
+11010100100010010111100001100100
+11010100100001100111100001100011
+11010100100000110111100001100010
+11010100100000000111100001100001
+11010100011111010111100001011111
+11010100011110100111100001011110
+11010100011101110111100001011101
+11010100011101000111100001011100
+11010100011100010111100001011011
+11010100011011100111100001011010
+11010100011010110111100001011001
+11010100011010000111100001011000
+11010100011001010111100001010111
+11010100011000100111100001010110
+11010100010111110111100001010101
+11010100010111000111100001010100
+11010100010110100111100001010011
+11010100010101110111100001010010
+11010100010101000111100001010001
+11010100010100010111100001001111
+11010100010011100111100001001110
+11010100010010110111100001001101
+11010100010010000111100001001100
+11010100010001010111100001001011
+11010100010000100111100001001010
+11010100001111110111100001001001
+11010100001111000111100001001000
+11010100001110010111100001000111
+11010100001101100111100001000110
+11010100001100110111100001000101
+11010100001100000111100001000100
+11010100001011010111100001000011
+11010100001010100111100001000001
+11010100001001110111100001000000
+11010100001001000111100000111111
+11010100001000010111100000111110
+11010100000111100111100000111101
+11010100000111000111100000111100
+11010100000110010111100000111011
+11010100000101100111100000111010
+11010100000100110111100000111001
+11010100000100000111100000111000
+11010100000011010111100000110111
+11010100000010100111100000110110
+11010100000001110111100000110101
+11010100000001000111100000110011
+11010100000000010111100000110010
+11010011111111100111100000110001
+11010011111110110111100000110000
+11010011111110000111100000101111
+11010011111101010111100000101110
+11010011111100100111100000101101
+11010011111011110111100000101100
+11010011111011000111100000101011
+11010011111010010111100000101010
+11010011111001100111100000101001
+11010011111000110111100000101000
+11010011111000010111100000100110
+11010011110111100111100000100101
+11010011110110110111100000100100
+11010011110110000111100000100011
+11010011110101010111100000100010
+11010011110100100111100000100001
+11010011110011110111100000100000
+11010011110011000111100000011111
+11010011110010010111100000011110
+11010011110001100111100000011101
+11010011110000110111100000011100
+11010011110000000111100000011011
+11010011101111010111100000011001
+11010011101110100111100000011000
+11010011101101110111100000010111
+11010011101101000111100000010110
+11010011101100010111100000010101
+11010011101011100111100000010100
+11010011101010110111100000010011
+11010011101010010111100000010010
+11010011101001100111100000010001
+11010011101000110111100000010000
+11010011101000000111100000001111
+11010011100111010111100000001110
+11010011100110100111100000001100
+11010011100101110111100000001011
+11010011100101000111100000001010
+11010011100100010111100000001001
+11010011100011100111100000001000
+11010011100010110111100000000111
+11010011100010000111100000000110
+11010011100001010111100000000101
+11010011100000100111100000000100
+11010011011111110111100000000011
+11010011011111000111100000000010
+11010011011110010111100000000000
+11010011011101100111011111111111
+11010011011100110111011111111110
+11010011011100010111011111111101
+11010011011011100111011111111100
+11010011011010110111011111111011
+11010011011010000111011111111010
+11010011011001010111011111111001
+11010011011000100111011111111000
+11010011010111110111011111110111
+11010011010111000111011111110101
+11010011010110010111011111110100
+11010011010101100111011111110011
+11010011010100110111011111110010
+11010011010100000111011111110001
+11010011010011010111011111110000
+11010011010010100111011111101111
+11010011010001110111011111101110
+11010011010001000111011111101101
+11010011010000010111011111101100
+11010011001111110111011111101010
+11010011001111000111011111101001
+11010011001110010111011111101000
+11010011001101100111011111100111
+11010011001100110111011111100110
+11010011001100000111011111100101
+11010011001011010111011111100100
+11010011001010100111011111100011
+11010011001001110111011111100010
+11010011001001000111011111100001
+11010011001000010111011111100000
+11010011000111100111011111011110
+11010011000110110111011111011101
+11010011000110000111011111011100
+11010011000101010111011111011011
+11010011000100100111011111011010
+11010011000011110111011111011001
+11010011000011000111011111011000
+11010011000010100111011111010111
+11010011000001110111011111010110
+11010011000001000111011111010100
+11010011000000010111011111010011
+11010010111111100111011111010010
+11010010111110110111011111010001
+11010010111110000111011111010000
+11010010111101010111011111001111
+11010010111100100111011111001110
+11010010111011110111011111001101
+11010010111011000111011111001100
+11010010111010010111011111001011
+11010010111001100111011111001001
+11010010111000110111011111001000
+11010010111000000111011111000111
+11010010110111010111011111000110
+11010010110110100111011111000101
+11010010110110000111011111000100
+11010010110101010111011111000011
+11010010110100100111011111000010
+11010010110011110111011111000001
+11010010110011000111011110111111
+11010010110010010111011110111110
+11010010110001100111011110111101
+11010010110000110111011110111100
+11010010110000000111011110111011
+11010010101111010111011110111010
+11010010101110100111011110111001
+11010010101101110111011110111000
+11010010101101000111011110110111
+11010010101100010111011110110101
+11010010101011100111011110110100
+11010010101010110111011110110011
+11010010101010010111011110110010
+11010010101001100111011110110001
+11010010101000110111011110110000
+11010010101000000111011110101111
+11010010100111010111011110101110
+11010010100110100111011110101101
+11010010100101110111011110101011
+11010010100101000111011110101010
+11010010100100010111011110101001
+11010010100011100111011110101000
+11010010100010110111011110100111
+11010010100010000111011110100110
+11010010100001010111011110100101
+11010010100000100111011110100100
+11010010011111110111011110100011
+11010010011111000111011110100001
+11010010011110100111011110100000
+11010010011101110111011110011111
+11010010011101000111011110011110
+11010010011100010111011110011101
+11010010011011100111011110011100
+11010010011010110111011110011011
+11010010011010000111011110011010
+11010010011001010111011110011000
+11010010011000100111011110010111
+11010010010111110111011110010110
+11010010010111000111011110010101
+11010010010110010111011110010100
+11010010010101100111011110010011
+11010010010100110111011110010010
+11010010010100000111011110010001
+11010010010011100111011110001111
+11010010010010110111011110001110
+11010010010010000111011110001101
+11010010010001010111011110001100
+11010010010000100111011110001011
+11010010001111110111011110001010
+11010010001111000111011110001001
+11010010001110010111011110001000
+11010010001101100111011110000110
+11010010001100110111011110000101
+11010010001100000111011110000100
+11010010001011010111011110000011
+11010010001010100111011110000010
+11010010001001110111011110000001
+11010010001001000111011110000000
+11010010001000100111011101111111
+11010010000111110111011101111101
+11010010000111000111011101111100
+11010010000110010111011101111011
+11010010000101100111011101111010
+11010010000100110111011101111001
+11010010000100000111011101111000
+11010010000011010111011101110111
+11010010000010100111011101110110
+11010010000001110111011101110100
+11010010000001000111011101110011
+11010010000000010111011101110010
+11010001111111100111011101110001
+11010001111110110111011101110000
+11010001111110000111011101101111
+11010001111101100111011101101110
+11010001111100110111011101101101
+11010001111100000111011101101011
+11010001111011010111011101101010
+11010001111010100111011101101001
+11010001111001110111011101101000
+11010001111001000111011101100111
+11010001111000010111011101100110
+11010001110111100111011101100101
+11010001110110110111011101100100
+11010001110110000111011101100010
+11010001110101010111011101100001
+11010001110100100111011101100000
+11010001110011110111011101011111
+11010001110011010111011101011110
+11010001110010100111011101011101
+11010001110001110111011101011100
+11010001110001000111011101011010
+11010001110000010111011101011001
+11010001101111100111011101011000
+11010001101110110111011101010111
+11010001101110000111011101010110
+11010001101101010111011101010101
+11010001101100100111011101010100
+11010001101011110111011101010011
+11010001101011000111011101010001
+11010001101010010111011101010000
+11010001101001100111011101001111
+11010001101001000111011101001110
+11010001101000010111011101001101
+11010001100111100111011101001100
+11010001100110110111011101001011
+11010001100110000111011101001001
+11010001100101010111011101001000
+11010001100100100111011101000111
+11010001100011110111011101000110
+11010001100011000111011101000101
+11010001100010010111011101000100
+11010001100001100111011101000011
+11010001100000110111011101000001
+11010001100000000111011101000000
+11010001011111010111011100111111
+11010001011110110111011100111110
+11010001011110000111011100111101
+11010001011101010111011100111100
+11010001011100100111011100111011
+11010001011011110111011100111001
+11010001011011000111011100111000
+11010001011010010111011100110111
+11010001011001100111011100110110
+11010001011000110111011100110101
+11010001011000000111011100110100
+11010001010111010111011100110011
+11010001010110100111011100110001
+11010001010101110111011100110000
+11010001010101000111011100101111
+11010001010100100111011100101110
+11010001010011110111011100101101
+11010001010011000111011100101100
+11010001010010010111011100101011
+11010001010001100111011100101001
+11010001010000110111011100101000
+11010001010000000111011100100111
+11010001001111010111011100100110
+11010001001110100111011100100101
+11010001001101110111011100100100
+11010001001101000111011100100011
+11010001001100010111011100100001
+11010001001011100111011100100000
+11010001001011000111011100011111
+11010001001010010111011100011110
+11010001001001100111011100011101
+11010001001000110111011100011100
+11010001001000000111011100011010
+11010001000111010111011100011001
+11010001000110100111011100011000
+11010001000101110111011100010111
+11010001000101000111011100010110
+11010001000100010111011100010101
+11010001000011100111011100010100
+11010001000010110111011100010010
+11010001000010000111011100010001
+11010001000001100111011100010000
+11010001000000110111011100001111
+11010001000000000111011100001110
+11010000111111010111011100001101
+11010000111110100111011100001011
+11010000111101110111011100001010
+11010000111101000111011100001001
+11010000111100010111011100001000
+11010000111011100111011100000111
+11010000111010110111011100000110
+11010000111010000111011100000101
+11010000111001010111011100000011
+11010000111000100111011100000010
+11010000111000000111011100000001
+11010000110111010111011100000000
+11010000110110100111011011111111
+11010000110101110111011011111110
+11010000110101000111011011111100
+11010000110100010111011011111011
+11010000110011100111011011111010
+11010000110010110111011011111001
+11010000110010000111011011111000
+11010000110001010111011011110111
+11010000110000100111011011110110
+11010000101111110111011011110100
+11010000101111010111011011110011
+11010000101110100111011011110010
+11010000101101110111011011110001
+11010000101101000111011011110000
+11010000101100010111011011101111
+11010000101011100111011011101101
+11010000101010110111011011101100
+11010000101010000111011011101011
+11010000101001010111011011101010
+11010000101000100111011011101001
+11010000100111110111011011101000
+11010000100111000111011011100110
+11010000100110100111011011100101
+11010000100101110111011011100100
+11010000100101000111011011100011
+11010000100100010111011011100010
+11010000100011100111011011100001
+11010000100010110111011011011111
+11010000100010000111011011011110
+11010000100001010111011011011101
+11010000100000100111011011011100
+11010000011111110111011011011011
+11010000011111000111011011011010
+11010000011110010111011011011000
+11010000011101110111011011010111
+11010000011101000111011011010110
+11010000011100010111011011010101
+11010000011011100111011011010100
+11010000011010110111011011010011
+11010000011010000111011011010001
+11010000011001010111011011010000
+11010000011000100111011011001111
+11010000010111110111011011001110
+11010000010111000111011011001101
+11010000010110010111011011001100
+11010000010101100111011011001010
+11010000010101000111011011001001
+11010000010100010111011011001000
+11010000010011100111011011000111
+11010000010010110111011011000110
+11010000010010000111011011000101
+11010000010001010111011011000011
+11010000010000100111011011000010
+11010000001111110111011011000001
+11010000001111000111011011000000
+11010000001110010111011010111111
+11010000001101100111011010111110
+11010000001100110111011010111100
+11010000001100010111011010111011
+11010000001011100111011010111010
+11010000001010110111011010111001
+11010000001010000111011010111000
+11010000001001010111011010110110
+11010000001000100111011010110101
+11010000000111110111011010110100
+11010000000111000111011010110011
+11010000000110010111011010110010
+11010000000101100111011010110001
+11010000000100110111011010101111
+11010000000100000111011010101110
+11010000000011100111011010101101
+11010000000010110111011010101100
+11010000000010000111011010101011
+11010000000001010111011010101010
+11010000000000100111011010101000
+11001111111111110111011010100111
+11001111111111000111011010100110
+11001111111110010111011010100101
+11001111111101100111011010100100
+11001111111100110111011010100010
+11001111111100000111011010100001
+11001111111011100111011010100000
+11001111111010110111011010011111
+11001111111010000111011010011110
+11001111111001010111011010011101
+11001111111000100111011010011011
+11001111110111110111011010011010
+11001111110111000111011010011001
+11001111110110010111011010011000
+11001111110101100111011010010111
+11001111110100110111011010010101
+11001111110100000111011010010100
+11001111110011100111011010010011
+11001111110010110111011010010010
+11001111110010000111011010010001
+11001111110001010111011010010000
+11001111110000100111011010001110
+11001111101111110111011010001101
+11001111101111000111011010001100
+11001111101110010111011010001011
+11001111101101100111011010001010
+11001111101100110111011010001000
+11001111101100000111011010000111
+11001111101011100111011010000110
+11001111101010110111011010000101
+11001111101010000111011010000100
+11001111101001010111011010000011
+11001111101000100111011010000001
+11001111100111110111011010000000
+11001111100111000111011001111111
+11001111100110010111011001111110
+11001111100101100111011001111101
+11001111100100110111011001111011
+11001111100100000111011001111010
+11001111100011100111011001111001
+11001111100010110111011001111000
+11001111100010000111011001110111
+11001111100001010111011001110101
+11001111100000100111011001110100
+11001111011111110111011001110011
+11001111011111000111011001110010
+11001111011110010111011001110001
+11001111011101100111011001110000
+11001111011100110111011001101110
+11001111011100000111011001101101
+11001111011011100111011001101100
+11001111011010110111011001101011
+11001111011010000111011001101010
+11001111011001010111011001101000
+11001111011000100111011001100111
+11001111010111110111011001100110
+11001111010111000111011001100101
+11001111010110010111011001100100
+11001111010101100111011001100010
+11001111010100110111011001100001
+11001111010100000111011001100000
+11001111010011100111011001011111
+11001111010010110111011001011110
+11001111010010000111011001011100
+11001111010001010111011001011011
+11001111010000100111011001011010
+11001111001111110111011001011001
+11001111001111000111011001011000
+11001111001110010111011001010110
+11001111001101100111011001010101
+11001111001100110111011001010100
+11001111001100010111011001010011
+11001111001011100111011001010010
+11001111001010110111011001010000
+11001111001010000111011001001111
+11001111001001010111011001001110
+11001111001000100111011001001101
+11001111000111110111011001001100
+11001111000111000111011001001010
+11001111000110010111011001001001
+11001111000101100111011001001000
+11001111000101000111011001000111
+11001111000100010111011001000110
+11001111000011100111011001000100
+11001111000010110111011001000011
+11001111000010000111011001000010
+11001111000001010111011001000001
+11001111000000100111011001000000
+11001110111111110111011000111110
+11001110111111000111011000111101
+11001110111110010111011000111100
+11001110111101100111011000111011
+11001110111101000111011000111010
+11001110111100010111011000111000
+11001110111011100111011000110111
+11001110111010110111011000110110
+11001110111010000111011000110101
+11001110111001010111011000110100
+11001110111000100111011000110010
+11001110110111110111011000110001
+11001110110111000111011000110000
+11001110110110010111011000101111
+11001110110101110111011000101110
+11001110110101000111011000101100
+11001110110100010111011000101011
+11001110110011100111011000101010
+11001110110010110111011000101001
+11001110110010000111011000101000
+11001110110001010111011000100110
+11001110110000100111011000100101
+11001110101111110111011000100100
+11001110101111000111011000100011
+11001110101110100111011000100001
+11001110101101110111011000100000
+11001110101101000111011000011111
+11001110101100010111011000011110
+11001110101011100111011000011101
+11001110101010110111011000011011
+11001110101010000111011000011010
+11001110101001010111011000011001
+11001110101000100111011000011000
+11001110100111110111011000010111
+11001110100111010111011000010101
+11001110100110100111011000010100
+11001110100101110111011000010011
+11001110100101000111011000010010
+11001110100100010111011000010001
+11001110100011100111011000001111
+11001110100010110111011000001110
+11001110100010000111011000001101
+11001110100001010111011000001100
+11001110100000110111011000001010
+11001110100000000111011000001001
+11001110011111010111011000001000
+11001110011110100111011000000111
+11001110011101110111011000000110
+11001110011101000111011000000100
+11001110011100010111011000000011
+11001110011011100111011000000010
+11001110011010110111011000000001
+11001110011010000111011000000000
+11001110011001100111010111111110
+11001110011000110111010111111101
+11001110011000000111010111111100
+11001110010111010111010111111011
+11001110010110100111010111111001
+11001110010101110111010111111000
+11001110010101000111010111110111
+11001110010100010111010111110110
+11001110010011100111010111110101
+11001110010010110111010111110011
+11001110010010010111010111110010
+11001110010001100111010111110001
+11001110010000110111010111110000
+11001110010000000111010111101110
+11001110001111010111010111101101
+11001110001110100111010111101100
+11001110001101110111010111101011
+11001110001101000111010111101010
+11001110001100010111010111101000
+11001110001011110111010111100111
+11001110001011000111010111100110
+11001110001010010111010111100101
+11001110001001100111010111100011
+11001110001000110111010111100010
+11001110001000000111010111100001
+11001110000111010111010111100000
+11001110000110100111010111011111
+11001110000101110111010111011101
+11001110000101010111010111011100
+11001110000100100111010111011011
+11001110000011110111010111011010
+11001110000011000111010111011000
+11001110000010010111010111010111
+11001110000001100111010111010110
+11001110000000110111010111010101
+11001110000000000111010111010100
+11001101111111010111010111010010
+11001101111110100111010111010001
+11001101111110000111010111010000
+11001101111101010111010111001111
+11001101111100100111010111001101
+11001101111011110111010111001100
+11001101111011000111010111001011
+11001101111010010111010111001010
+11001101111001100111010111001000
+11001101111000110111010111000111
+11001101111000000111010111000110
+11001101110111100111010111000101
+11001101110110110111010111000100
+11001101110110000111010111000010
+11001101110101010111010111000001
+11001101110100100111010111000000
+11001101110011110111010110111111
+11001101110011000111010110111101
+11001101110010010111010110111100
+11001101110001100111010110111011
+11001101110001000111010110111010
+11001101110000010111010110111000
+11001101101111100111010110110111
+11001101101110110111010110110110
+11001101101110000111010110110101
+11001101101101010111010110110100
+11001101101100100111010110110010
+11001101101011110111010110110001
+11001101101011000111010110110000
+11001101101010100111010110101111
+11001101101001110111010110101101
+11001101101001000111010110101100
+11001101101000010111010110101011
+11001101100111100111010110101010
+11001101100110110111010110101000
+11001101100110000111010110100111
+11001101100101010111010110100110
+11001101100100100111010110100101
+11001101100100000111010110100011
+11001101100011010111010110100010
+11001101100010100111010110100001
+11001101100001110111010110100000
+11001101100001000111010110011110
+11001101100000010111010110011101
+11001101011111100111010110011100
+11001101011110110111010110011011
+11001101011110000111010110011010
+11001101011101100111010110011000
+11001101011100110111010110010111
+11001101011100000111010110010110
+11001101011011010111010110010101
+11001101011010100111010110010011
+11001101011001110111010110010010
+11001101011001000111010110010001
+11001101011000010111010110010000
+11001101010111110111010110001110
+11001101010111000111010110001101
+11001101010110010111010110001100
+11001101010101100111010110001011
+11001101010100110111010110001001
+11001101010100000111010110001000
+11001101010011010111010110000111
+11001101010010100111010110000110
+11001101010001110111010110000100
+11001101010001010111010110000011
+11001101010000100111010110000010
+11001101001111110111010110000001
+11001101001111000111010101111111
+11001101001110010111010101111110
+11001101001101100111010101111101
+11001101001100110111010101111100
+11001101001100000111010101111010
+11001101001011010111010101111001
+11001101001010110111010101111000
+11001101001010000111010101110111
+11001101001001010111010101110101
+11001101001000100111010101110100
+11001101000111110111010101110011
+11001101000111000111010101110010
+11001101000110010111010101110000
+11001101000101100111010101101111
+11001101000101000111010101101110
+11001101000100010111010101101101
+11001101000011100111010101101011
+11001101000010110111010101101010
+11001101000010000111010101101001
+11001101000001010111010101101000
+11001101000000100111010101100110
+11001100111111110111010101100101
+11001100111111000111010101100100
+11001100111110100111010101100011
+11001100111101110111010101100001
+11001100111101000111010101100000
+11001100111100010111010101011111
+11001100111011100111010101011110
+11001100111010110111010101011100
+11001100111010000111010101011011
+11001100111001010111010101011010
+11001100111000110111010101011001
+11001100111000000111010101010111
+11001100110111010111010101010110
+11001100110110100111010101010101
+11001100110101110111010101010100
+11001100110101000111010101010010
+11001100110100010111010101010001
+11001100110011100111010101010000
+11001100110011000111010101001111
+11001100110010010111010101001101
+11001100110001100111010101001100
+11001100110000110111010101001011
+11001100110000000111010101001010
+11001100101111010111010101001000
+11001100101110100111010101000111
+11001100101101110111010101000110
+11001100101101000111010101000101
+11001100101100100111010101000011
+11001100101011110111010101000010
+11001100101011000111010101000001
+11001100101010010111010101000000
+11001100101001100111010100111110
+11001100101000110111010100111101
+11001100101000000111010100111100
+11001100100111010111010100111010
+11001100100110110111010100111001
+11001100100110000111010100111000
+11001100100101010111010100110111
+11001100100100100111010100110101
+11001100100011110111010100110100
+11001100100011000111010100110011
+11001100100010010111010100110010
+11001100100001100111010100110000
+11001100100001000111010100101111
+11001100100000010111010100101110
+11001100011111100111010100101101
+11001100011110110111010100101011
+11001100011110000111010100101010
+11001100011101010111010100101001
+11001100011100100111010100101000
+11001100011011110111010100100110
+11001100011011010111010100100101
+11001100011010100111010100100100
+11001100011001110111010100100010
+11001100011001000111010100100001
+11001100011000010111010100100000
+11001100010111100111010100011111
+11001100010110110111010100011101
+11001100010110000111010100011100
+11001100010101100111010100011011
+11001100010100110111010100011010
+11001100010100000111010100011000
+11001100010011010111010100010111
+11001100010010100111010100010110
+11001100010001110111010100010101
+11001100010001000111010100010011
+11001100010000010111010100010010
+11001100001111110111010100010001
+11001100001111000111010100001111
+11001100001110010111010100001110
+11001100001101100111010100001101
+11001100001100110111010100001100
+11001100001100000111010100001010
+11001100001011010111010100001001
+11001100001010100111010100001000
+11001100001010000111010100000111
+11001100001001010111010100000101
+11001100001000100111010100000100
+11001100000111110111010100000011
+11001100000111000111010100000001
+11001100000110010111010100000000
+11001100000101100111010011111111
+11001100000101000111010011111110
+11001100000100010111010011111100
+11001100000011100111010011111011
+11001100000010110111010011111010
+11001100000010000111010011111001
+11001100000001010111010011110111
+11001100000000100111010011110110
+11001011111111110111010011110101
+11001011111111010111010011110011
+11001011111110100111010011110010
+11001011111101110111010011110001
+11001011111101000111010011110000
+11001011111100010111010011101110
+11001011111011100111010011101101
+11001011111010110111010011101100
+11001011111010000111010011101010
+11001011111001100111010011101001
+11001011111000110111010011101000
+11001011111000000111010011100111
+11001011110111010111010011100101
+11001011110110100111010011100100
+11001011110101110111010011100011
+11001011110101000111010011100010
+11001011110100010111010011100000
+11001011110011110111010011011111
+11001011110011000111010011011110
+11001011110010010111010011011100
+11001011110001100111010011011011
+11001011110000110111010011011010
+11001011110000000111010011011001
+11001011101111010111010011010111
+11001011101110110111010011010110
+11001011101110000111010011010101
+11001011101101010111010011010011
+11001011101100100111010011010010
+11001011101011110111010011010001
+11001011101011000111010011010000
+11001011101010010111010011001110
+11001011101001100111010011001101
+11001011101001000111010011001100
+11001011101000010111010011001010
+11001011100111100111010011001001
+11001011100110110111010011001000
+11001011100110000111010011000111
+11001011100101010111010011000101
+11001011100100100111010011000100
+11001011100100000111010011000011
+11001011100011010111010011000001
+11001011100010100111010011000000
+11001011100001110111010010111111
+11001011100001000111010010111110
+11001011100000010111010010111100
+11001011011111100111010010111011
+11001011011110110111010010111010
+11001011011110010111010010111000
+11001011011101100111010010110111
+11001011011100110111010010110110
+11001011011100000111010010110101
+11001011011011010111010010110011
+11001011011010100111010010110010
+11001011011001110111010010110001
+11001011011001010111010010101111
+11001011011000100111010010101110
+11001011010111110111010010101101
+11001011010111000111010010101100
+11001011010110010111010010101010
+11001011010101100111010010101001
+11001011010100110111010010101000
+11001011010100010111010010100110
+11001011010011100111010010100101
+11001011010010110111010010100100
+11001011010010000111010010100010
+11001011010001010111010010100001
+11001011010000100111010010100000
+11001011001111110111010010011111
+11001011001111010111010010011101
+11001011001110100111010010011100
+11001011001101110111010010011011
+11001011001101000111010010011001
+11001011001100010111010010011000
+11001011001011100111010010010111
+11001011001010110111010010010101
+11001011001010000111010010010100
+11001011001001100111010010010011
+11001011001000110111010010010010
+11001011001000000111010010010000
+11001011000111010111010010001111
+11001011000110100111010010001110
+11001011000101110111010010001100
+11001011000101000111010010001011
+11001011000100100111010010001010
+11001011000011110111010010001001
+11001011000011000111010010000111
+11001011000010010111010010000110
+11001011000001100111010010000101
+11001011000000110111010010000011
+11001011000000000111010010000010
+11001010111111100111010010000001
+11001010111110110111010001111111
+11001010111110000111010001111110
+11001010111101010111010001111101
+11001010111100100111010001111100
+11001010111011110111010001111010
+11001010111011000111010001111001
+11001010111010100111010001111000
+11001010111001110111010001110110
+11001010111001000111010001110101
+11001010111000010111010001110100
+11001010110111100111010001110010
+11001010110110110111010001110001
+11001010110110000111010001110000
+11001010110101100111010001101110
+11001010110100110111010001101101
+11001010110100000111010001101100
+11001010110011010111010001101011
+11001010110010100111010001101001
+11001010110001110111010001101000
+11001010110001000111010001100111
+11001010110000100111010001100101
+11001010101111110111010001100100
+11001010101111000111010001100011
+11001010101110010111010001100001
+11001010101101100111010001100000
+11001010101100110111010001011111
+11001010101100000111010001011101
+11001010101011100111010001011100
+11001010101010110111010001011011
+11001010101010000111010001011010
+11001010101001010111010001011000
+11001010101000100111010001010111
+11001010100111110111010001010110
+11001010100111000111010001010100
+11001010100110100111010001010011
+11001010100101110111010001010010
+11001010100101000111010001010000
+11001010100100010111010001001111
+11001010100011100111010001001110
+11001010100010110111010001001100
+11001010100010000111010001001011
+11001010100001100111010001001010
+11001010100000110111010001001001
+11001010100000000111010001000111
+11001010011111010111010001000110
+11001010011110100111010001000101
+11001010011101110111010001000011
+11001010011101000111010001000010
+11001010011100100111010001000001
+11001010011011110111010000111111
+11001010011011000111010000111110
+11001010011010010111010000111101
+11001010011001100111010000111011
+11001010011000110111010000111010
+11001010011000000111010000111001
+11001010010111100111010000110111
+11001010010110110111010000110110
+11001010010110000111010000110101
+11001010010101010111010000110011
+11001010010100100111010000110010
+11001010010011110111010000110001
+11001010010011010111010000110000
+11001010010010100111010000101110
+11001010010001110111010000101101
+11001010010001000111010000101100
+11001010010000010111010000101010
+11001010001111100111010000101001
+11001010001110110111010000101000
+11001010001110010111010000100110
+11001010001101100111010000100101
+11001010001100110111010000100100
+11001010001100000111010000100010
+11001010001011010111010000100001
+11001010001010100111010000100000
+11001010001001110111010000011110
+11001010001001010111010000011101
+11001010001000100111010000011100
+11001010000111110111010000011010
+11001010000111000111010000011001
+11001010000110010111010000011000
+11001010000101100111010000010110
+11001010000101000111010000010101
+11001010000100010111010000010100
+11001010000011100111010000010010
+11001010000010110111010000010001
+11001010000010000111010000010000
+11001010000001010111010000001110
+11001010000000100111010000001101
+11001010000000000111010000001100
+11001001111111010111010000001011
+11001001111110100111010000001001
+11001001111101110111010000001000
+11001001111101000111010000000111
+11001001111100010111010000000101
+11001001111011110111010000000100
+11001001111011000111010000000011
+11001001111010010111010000000001
+11001001111001100111010000000000
+11001001111000110111001111111111
+11001001111000000111001111111101
+11001001110111010111001111111100
+11001001110110110111001111111011
+11001001110110000111001111111001
+11001001110101010111001111111000
+11001001110100100111001111110111
+11001001110011110111001111110101
+11001001110011000111001111110100
+11001001110010010111001111110011
+11001001110001110111001111110001
+11001001110001000111001111110000
+11001001110000010111001111101111
+11001001101111100111001111101101
+11001001101110110111001111101100
+11001001101110000111001111101011
+11001001101101100111001111101001
+11001001101100110111001111101000
+11001001101100000111001111100111
+11001001101011010111001111100101
+11001001101010100111001111100100
+11001001101001110111001111100011
+11001001101001010111001111100001
+11001001101000100111001111100000
+11001001100111110111001111011111
+11001001100111000111001111011101
+11001001100110010111001111011100
+11001001100101100111001111011011
+11001001100100110111001111011001
+11001001100100010111001111011000
+11001001100011100111001111010111
+11001001100010110111001111010101
+11001001100010000111001111010100
+11001001100001010111001111010011
+11001001100000100111001111010001
+11001001100000000111001111010000
+11001001011111010111001111001111
+11001001011110100111001111001101
+11001001011101110111001111001100
+11001001011101000111001111001011
+11001001011100010111001111001001
+11001001011011100111001111001000
+11001001011011000111001111000111
+11001001011010010111001111000101
+11001001011001100111001111000100
+11001001011000110111001111000011
+11001001011000000111001111000001
+11001001010111010111001111000000
+11001001010110110111001110111111
+11001001010110000111001110111101
+11001001010101010111001110111100
+11001001010100100111001110111010
+11001001010011110111001110111001
+11001001010011000111001110111000
+11001001010010100111001110110110
+11001001010001110111001110110101
+11001001010001000111001110110100
+11001001010000010111001110110010
+11001001001111100111001110110001
+11001001001110110111001110110000
+11001001001110010111001110101110
+11001001001101100111001110101101
+11001001001100110111001110101100
+11001001001100000111001110101010
+11001001001011010111001110101001
+11001001001010100111001110101000
+11001001001001110111001110100110
+11001001001001010111001110100101
+11001001001000100111001110100100
+11001001000111110111001110100010
+11001001000111000111001110100001
+11001001000110010111001110100000
+11001001000101100111001110011110
+11001001000101000111001110011101
+11001001000100010111001110011100
+11001001000011100111001110011010
+11001001000010110111001110011001
+11001001000010000111001110010111
+11001001000001010111001110010110
+11001001000000110111001110010101
+11001001000000000111001110010011
+11001000111111010111001110010010
+11001000111110100111001110010001
+11001000111101110111001110001111
+11001000111101000111001110001110
+11001000111100100111001110001101
+11001000111011110111001110001011
+11001000111011000111001110001010
+11001000111010010111001110001001
+11001000111001100111001110000111
+11001000111000110111001110000110
+11001000111000010111001110000101
+11001000110111100111001110000011
+11001000110110110111001110000010
+11001000110110000111001110000001
+11001000110101010111001101111111
+11001000110100100111001101111110
+11001000110100000111001101111100
+11001000110011010111001101111011
+11001000110010100111001101111010
+11001000110001110111001101111000
+11001000110001000111001101110111
+11001000110000010111001101110110
+11001000101111110111001101110100
+11001000101111000111001101110011
+11001000101110010111001101110010
+11001000101101100111001101110000
+11001000101100110111001101101111
+11001000101100000111001101101110
+11001000101011100111001101101100
+11001000101010110111001101101011
+11001000101010000111001101101001
+11001000101001010111001101101000
+11001000101000100111001101100111
+11001000100111110111001101100101
+11001000100111010111001101100100
+11001000100110100111001101100011
+11001000100101110111001101100001
+11001000100101000111001101100000
+11001000100100010111001101011111
+11001000100011100111001101011101
+11001000100011000111001101011100
+11001000100010010111001101011011
+11001000100001100111001101011001
+11001000100000110111001101011000
+11001000100000000111001101010110
+11001000011111010111001101010101
+11001000011110110111001101010100
+11001000011110000111001101010010
+11001000011101010111001101010001
+11001000011100100111001101010000
+11001000011011110111001101001110
+11001000011011000111001101001101
+11001000011010100111001101001100
+11001000011001110111001101001010
+11001000011001000111001101001001
+11001000011000010111001101000111
+11001000010111100111001101000110
+11001000010110110111001101000101
+11001000010110010111001101000011
+11001000010101100111001101000010
+11001000010100110111001101000001
+11001000010100000111001100111111
+11001000010011010111001100111110
+11001000010010110111001100111100
+11001000010010000111001100111011
+11001000010001010111001100111010
+11001000010000100111001100111000
+11001000001111110111001100110111
+11001000001111000111001100110110
+11001000001110100111001100110100
+11001000001101110111001100110011
+11001000001101000111001100110010
+11001000001100010111001100110000
+11001000001011100111001100101111
+11001000001010110111001100101101
+11001000001010010111001100101100
+11001000001001100111001100101011
+11001000001000110111001100101001
+11001000001000000111001100101000
+11001000000111010111001100100111
+11001000000110100111001100100101
+11001000000110000111001100100100
+11001000000101010111001100100010
+11001000000100100111001100100001
+11001000000011110111001100100000
+11001000000011000111001100011110
+11001000000010010111001100011101
+11001000000001110111001100011100
+11001000000001000111001100011010
+11001000000000010111001100011001
+11000111111111100111001100010111
+11000111111110110111001100010110
+11000111111110010111001100010101
+11000111111101100111001100010011
+11000111111100110111001100010010
+11000111111100000111001100010001
+11000111111011010111001100001111
+11000111111010100111001100001110
+11000111111010000111001100001100
+11000111111001010111001100001011
+11000111111000100111001100001010
+11000111110111110111001100001000
+11000111110111000111001100000111
+11000111110110010111001100000110
+11000111110101110111001100000100
+11000111110101000111001100000011
+11000111110100010111001100000001
+11000111110011100111001100000000
+11000111110010110111001011111111
+11000111110010010111001011111101
+11000111110001100111001011111100
+11000111110000110111001011111011
+11000111110000000111001011111001
+11000111101111010111001011111000
+11000111101110100111001011110110
+11000111101110000111001011110101
+11000111101101010111001011110100
+11000111101100100111001011110010
+11000111101011110111001011110001
+11000111101011000111001011110000
+11000111101010100111001011101110
+11000111101001110111001011101101
+11000111101001000111001011101011
+11000111101000010111001011101010
+11000111100111100111001011101001
+11000111100110110111001011100111
+11000111100110010111001011100110
+11000111100101100111001011100100
+11000111100100110111001011100011
+11000111100100000111001011100010
+11000111100011010111001011100000
+11000111100010100111001011011111
+11000111100010000111001011011110
+11000111100001010111001011011100
+11000111100000100111001011011011
+11000111011111110111001011011001
+11000111011111000111001011011000
+11000111011110100111001011010111
+11000111011101110111001011010101
+11000111011101000111001011010100
+11000111011100010111001011010010
+11000111011011100111001011010001
+11000111011010110111001011010000
+11000111011010010111001011001110
+11000111011001100111001011001101
+11000111011000110111001011001011
+11000111011000000111001011001010
+11000111010111010111001011001001
+11000111010110110111001011000111
+11000111010110000111001011000110
+11000111010101010111001011000101
+11000111010100100111001011000011
+11000111010011110111001011000010
+11000111010011000111001011000000
+11000111010010100111001010111111
+11000111010001110111001010111110
+11000111010001000111001010111100
+11000111010000010111001010111011
+11000111001111100111001010111001
+11000111001111000111001010111000
+11000111001110010111001010110111
+11000111001101100111001010110101
+11000111001100110111001010110100
+11000111001100000111001010110010
+11000111001011100111001010110001
+11000111001010110111001010110000
+11000111001010000111001010101110
+11000111001001010111001010101101
+11000111001000100111001010101011
+11000111000111110111001010101010
+11000111000111010111001010101001
+11000111000110100111001010100111
+11000111000101110111001010100110
+11000111000101000111001010100100
+11000111000100010111001010100011
+11000111000011110111001010100010
+11000111000011000111001010100000
+11000111000010010111001010011111
+11000111000001100111001010011101
+11000111000000110111001010011100
+11000111000000010111001010011011
+11000110111111100111001010011001
+11000110111110110111001010011000
+11000110111110000111001010010110
+11000110111101010111001010010101
+11000110111100100111001010010100
+11000110111100000111001010010010
+11000110111011010111001010010001
+11000110111010100111001010001111
+11000110111001110111001010001110
+11000110111001000111001010001101
+11000110111000100111001010001011
+11000110110111110111001010001010
+11000110110111000111001010001000
+11000110110110010111001010000111
+11000110110101100111001010000110
+11000110110101000111001010000100
+11000110110100010111001010000011
+11000110110011100111001010000001
+11000110110010110111001010000000
+11000110110010000111001001111111
+11000110110001010111001001111101
+11000110110000110111001001111100
+11000110110000000111001001111010
+11000110101111010111001001111001
+11000110101110100111001001111000
+11000110101101110111001001110110
+11000110101101010111001001110101
+11000110101100100111001001110011
+11000110101011110111001001110010
+11000110101011000111001001110001
+11000110101010010111001001101111
+11000110101001110111001001101110
+11000110101001000111001001101100
+11000110101000010111001001101011
+11000110100111100111001001101010
+11000110100110110111001001101000
+11000110100110010111001001100111
+11000110100101100111001001100101
+11000110100100110111001001100100
+11000110100100000111001001100010
+11000110100011010111001001100001
+11000110100010100111001001100000
+11000110100010000111001001011110
+11000110100001010111001001011101
+11000110100000100111001001011011
+11000110011111110111001001011010
+11000110011111000111001001011001
+11000110011110100111001001010111
+11000110011101110111001001010110
+11000110011101000111001001010100
+11000110011100010111001001010011
+11000110011011100111001001010010
+11000110011011000111001001010000
+11000110011010010111001001001111
+11000110011001100111001001001101
+11000110011000110111001001001100
+11000110011000000111001001001010
+11000110010111100111001001001001
+11000110010110110111001001001000
+11000110010110000111001001000110
+11000110010101010111001001000101
+11000110010100100111001001000011
+11000110010100000111001001000010
+11000110010011010111001001000001
+11000110010010100111001000111111
+11000110010001110111001000111110
+11000110010001000111001000111100
+11000110010000100111001000111011
+11000110001111110111001000111010
+11000110001111000111001000111000
+11000110001110010111001000110111
+11000110001101100111001000110101
+11000110001101000111001000110100
+11000110001100010111001000110010
+11000110001011100111001000110001
+11000110001010110111001000110000
+11000110001010000111001000101110
+11000110001001100111001000101101
+11000110001000110111001000101011
+11000110001000000111001000101010
+11000110000111010111001000101000
+11000110000110100111001000100111
+11000110000110000111001000100110
+11000110000101010111001000100100
+11000110000100100111001000100011
+11000110000011110111001000100001
+11000110000011000111001000100000
+11000110000010100111001000011111
+11000110000001110111001000011101
+11000110000001000111001000011100
+11000110000000010111001000011010
+11000101111111100111001000011001
+11000101111111000111001000010111
+11000101111110010111001000010110
+11000101111101100111001000010101
+11000101111100110111001000010011
+11000101111100000111001000010010
+11000101111011100111001000010000
+11000101111010110111001000001111
+11000101111010000111001000001101
+11000101111001010111001000001100
+11000101111000100111001000001011
+11000101111000000111001000001001
+11000101110111010111001000001000
+11000101110110100111001000000110
+11000101110101110111001000000101
+11000101110101000111001000000011
+11000101110100100111001000000010
+11000101110011110111001000000001
+11000101110011000111000111111111
+11000101110010010111000111111110
+11000101110001100111000111111100
+11000101110001000111000111111011
+11000101110000010111000111111001
+11000101101111100111000111111000
+11000101101110110111000111110111
+11000101101110000111000111110101
+11000101101101100111000111110100
+11000101101100110111000111110010
+11000101101100000111000111110001
+11000101101011010111000111101111
+11000101101010100111000111101110
+11000101101010000111000111101101
+11000101101001010111000111101011
+11000101101000100111000111101010
+11000101100111110111000111101000
+11000101100111000111000111100111
+11000101100110100111000111100101
+11000101100101110111000111100100
+11000101100101000111000111100011
+11000101100100010111000111100001
+11000101100011100111000111100000
+11000101100011000111000111011110
+11000101100010010111000111011101
+11000101100001100111000111011011
+11000101100000110111000111011010
+11000101100000000111000111011000
+11000101011111100111000111010111
+11000101011110110111000111010110
+11000101011110000111000111010100
+11000101011101010111000111010011
+11000101011100100111000111010001
+11000101011100000111000111010000
+11000101011011010111000111001110
+11000101011010100111000111001101
+11000101011001110111000111001100
+11000101011001000111000111001010
+11000101011000100111000111001001
+11000101010111110111000111000111
+11000101010111000111000111000110
+11000101010110010111000111000100
+11000101010101110111000111000011
+11000101010101000111000111000001
+11000101010100010111000111000000
+11000101010011100111000110111111
+11000101010010110111000110111101
+11000101010010010111000110111100
+11000101010001100111000110111010
+11000101010000110111000110111001
+11000101010000000111000110110111
+11000101001111010111000110110110
+11000101001110110111000110110101
+11000101001110000111000110110011
+11000101001101010111000110110010
+11000101001100100111000110110000
+11000101001011110111000110101111
+11000101001011010111000110101101
+11000101001010100111000110101100
+11000101001001110111000110101010
+11000101001001000111000110101001
+11000101001000100111000110101000
+11000101000111110111000110100110
+11000101000111000111000110100101
+11000101000110010111000110100011
+11000101000101100111000110100010
+11000101000101000111000110100000
+11000101000100010111000110011111
+11000101000011100111000110011101
+11000101000010110111000110011100
+11000101000010000111000110011011
+11000101000001100111000110011001
+11000101000000110111000110011000
+11000101000000000111000110010110
+11000100111111010111000110010101
+11000100111110100111000110010011
+11000100111110000111000110010010
+11000100111101010111000110010000
+11000100111100100111000110001111
+11000100111011110111000110001101
+11000100111011010111000110001100
+11000100111010100111000110001011
+11000100111001110111000110001001
+11000100111001000111000110001000
+11000100111000010111000110000110
+11000100110111110111000110000101
+11000100110111000111000110000011
+11000100110110010111000110000010
+11000100110101100111000110000000
+11000100110100110111000101111111
+11000100110100010111000101111110
+11000100110011100111000101111100
+11000100110010110111000101111011
+11000100110010000111000101111001
+11000100110001100111000101111000
+11000100110000110111000101110110
+11000100110000000111000101110101
+11000100101111010111000101110011
+11000100101110100111000101110010
+11000100101110000111000101110000
+11000100101101010111000101101111
+11000100101100100111000101101110
+11000100101011110111000101101100
+11000100101011000111000101101011
+11000100101010100111000101101001
+11000100101001110111000101101000
+11000100101001000111000101100110
+11000100101000010111000101100101
+11000100100111110111000101100011
+11000100100111000111000101100010
+11000100100110010111000101100000
+11000100100101100111000101011111
+11000100100100110111000101011101
+11000100100100010111000101011100
+11000100100011100111000101011011
+11000100100010110111000101011001
+11000100100010000111000101011000
+11000100100001100111000101010110
+11000100100000110111000101010101
+11000100100000000111000101010011
+11000100011111010111000101010010
+11000100011110100111000101010000
+11000100011110000111000101001111
+11000100011101010111000101001101
+11000100011100100111000101001100
+11000100011011110111000101001011
+11000100011011000111000101001001
+11000100011010100111000101001000
+11000100011001110111000101000110
+11000100011001000111000101000101
+11000100011000010111000101000011
+11000100010111110111000101000010
+11000100010111000111000101000000
+11000100010110010111000100111111
+11000100010101100111000100111101
+11000100010100110111000100111100
+11000100010100010111000100111010
+11000100010011100111000100111001
+11000100010010110111000100110111
+11000100010010000111000100110110
+11000100010001100111000100110101
+11000100010000110111000100110011
+11000100010000000111000100110010
+11000100001111010111000100110000
+11000100001110100111000100101111
+11000100001110000111000100101101
+11000100001101010111000100101100
+11000100001100100111000100101010
+11000100001011110111000100101001
+11000100001011010111000100100111
+11000100001010100111000100100110
+11000100001001110111000100100100
+11000100001001000111000100100011
+11000100001000010111000100100001
+11000100000111110111000100100000
+11000100000111000111000100011111
+11000100000110010111000100011101
+11000100000101100111000100011100
+11000100000101000111000100011010
+11000100000100010111000100011001
+11000100000011100111000100010111
+11000100000010110111000100010110
+11000100000010000111000100010100
+11000100000001100111000100010011
+11000100000000110111000100010001
+11000100000000000111000100010000
+11000011111111010111000100001110
+11000011111110110111000100001101
+11000011111110000111000100001011
+11000011111101010111000100001010
+11000011111100100111000100001000
+11000011111100000111000100000111
+11000011111011010111000100000101
+11000011111010100111000100000100
+11000011111001110111000100000011
+11000011111001000111000100000001
+11000011111000100111000100000000
+11000011110111110111000011111110
+11000011110111000111000011111101
+11000011110110010111000011111011
+11000011110101110111000011111010
+11000011110101000111000011111000
+11000011110100010111000011110111
+11000011110011100111000011110101
+11000011110010110111000011110100
+11000011110010010111000011110010
+11000011110001100111000011110001
+11000011110000110111000011101111
+11000011110000000111000011101110
+11000011101111100111000011101100
+11000011101110110111000011101011
+11000011101110000111000011101001
+11000011101101010111000011101000
+11000011101100110111000011100110
+11000011101100000111000011100101
+11000011101011010111000011100100
+11000011101010100111000011100010
+11000011101001110111000011100001
+11000011101001010111000011011111
+11000011101000100111000011011110
+11000011100111110111000011011100
+11000011100111000111000011011011
+11000011100110100111000011011001
+11000011100101110111000011011000
+11000011100101000111000011010110
+11000011100100010111000011010101
+11000011100011110111000011010011
+11000011100011000111000011010010
+11000011100010010111000011010000
+11000011100001100111000011001111
+11000011100000110111000011001101
+11000011100000010111000011001100
+11000011011111100111000011001010
+11000011011110110111000011001001
+11000011011110000111000011000111
+11000011011101100111000011000110
+11000011011100110111000011000100
+11000011011100000111000011000011
+11000011011011010111000011000001
+11000011011010110111000011000000
+11000011011010000111000010111110
+11000011011001010111000010111101
+11000011011000100111000010111011
+11000011010111110111000010111010
+11000011010111010111000010111000
+11000011010110100111000010110111
+11000011010101110111000010110101
+11000011010101000111000010110100
+11000011010100100111000010110011
+11000011010011110111000010110001
+11000011010011000111000010110000
+11000011010010010111000010101110
+11000011010001110111000010101101
+11000011010001000111000010101011
+11000011010000010111000010101010
+11000011001111100111000010101000
+11000011001111000111000010100111
+11000011001110010111000010100101
+11000011001101100111000010100100
+11000011001100110111000010100010
+11000011001100000111000010100001
+11000011001011100111000010011111
+11000011001010110111000010011110
+11000011001010000111000010011100
+11000011001001010111000010011011
+11000011001000110111000010011001
+11000011001000000111000010011000
+11000011000111010111000010010110
+11000011000110100111000010010101
+11000011000110000111000010010011
+11000011000101010111000010010010
+11000011000100100111000010010000
+11000011000011110111000010001111
+11000011000011010111000010001101
+11000011000010100111000010001100
+11000011000001110111000010001010
+11000011000001000111000010001001
+11000011000000010111000010000111
+11000010111111110111000010000110
+11000010111111000111000010000100
+11000010111110010111000010000011
+11000010111101100111000010000001
+11000010111101000111000010000000
+11000010111100010111000001111110
+11000010111011100111000001111101
+11000010111010110111000001111011
+11000010111010010111000001111010
+11000010111001100111000001111000
+11000010111000110111000001110111
+11000010111000000111000001110101
+11000010110111100111000001110100
+11000010110110110111000001110010
+11000010110110000111000001110001
+11000010110101010111000001101111
+11000010110100110111000001101110
+11000010110100000111000001101100
+11000010110011010111000001101011
+11000010110010100111000001101001
+11000010110010000111000001101000
+11000010110001010111000001100110
+11000010110000100111000001100101
+11000010101111110111000001100011
+11000010101111000111000001100010
+11000010101110100111000001100000
+11000010101101110111000001011111
+11000010101101000111000001011101
+11000010101100010111000001011100
+11000010101011110111000001011010
+11000010101011000111000001011001
+11000010101010010111000001010111
+11000010101001100111000001010110
+11000010101001000111000001010100
+11000010101000010111000001010011
+11000010100111100111000001010001
+11000010100110110111000001010000
+11000010100110010111000001001110
+11000010100101100111000001001101
+11000010100100110111000001001011
+11000010100100000111000001001010
+11000010100011100111000001001000
+11000010100010110111000001000111
+11000010100010000111000001000101
+11000010100001010111000001000100
+11000010100000110111000001000010
+11000010100000000111000001000001
+11000010011111010111000000111111
+11000010011110100111000000111110
+11000010011110000111000000111100
+11000010011101010111000000111011
+11000010011100100111000000111001
+11000010011011110111000000110111
+11000010011011010111000000110110
+11000010011010100111000000110100
+11000010011001110111000000110011
+11000010011001000111000000110001
+11000010011000100111000000110000
+11000010010111110111000000101110
+11000010010111000111000000101101
+11000010010110010111000000101011
+11000010010101110111000000101010
+11000010010101000111000000101000
+11000010010100010111000000100111
+11000010010011100111000000100101
+11000010010011000111000000100100
+11000010010010010111000000100010
+11000010010001100111000000100001
+11000010010000110111000000011111
+11000010010000010111000000011110
+11000010001111100111000000011100
+11000010001110110111000000011011
+11000010001110000111000000011001
+11000010001101100111000000011000
+11000010001100110111000000010110
+11000010001100000111000000010101
+11000010001011010111000000010011
+11000010001010110111000000010010
+11000010001010000111000000010000
+11000010001001010111000000001111
+11000010001000100111000000001101
+11000010001000000111000000001100
+11000010000111010111000000001010
+11000010000110100111000000001001
+11000010000101110111000000000111
+11000010000101010111000000000101
+11000010000100100111000000000100
+11000010000011110111000000000010
+11000010000011000111000000000001
+11000010000010100110111111111111
+11000010000001110110111111111110
+11000010000001000110111111111100
+11000010000000010110111111111011
+11000001111111110110111111111001
+11000001111111000110111111111000
+11000001111110010110111111110110
+11000001111101100110111111110101
+11000001111101000110111111110011
+11000001111100010110111111110010
+11000001111011100110111111110000
+11000001111010110110111111101111
+11000001111010010110111111101101
+11000001111001100110111111101100
+11000001111000110110111111101010
+11000001111000000110111111101001
+11000001110111100110111111100111
+11000001110110110110111111100110
+11000001110110000110111111100100
+11000001110101010110111111100010
+11000001110100110110111111100001
+11000001110100000110111111011111
+11000001110011010110111111011110
+11000001110010100110111111011100
+11000001110010000110111111011011
+11000001110001010110111111011001
+11000001110000100110111111011000
+11000001101111110110111111010110
+11000001101111010110111111010101
+11000001101110100110111111010011
+11000001101101110110111111010010
+11000001101101000110111111010000
+11000001101100100110111111001111
+11000001101011110110111111001101
+11000001101011000110111111001100
+11000001101010010110111111001010
+11000001101001110110111111001000
+11000001101001000110111111000111
+11000001101000010110111111000101
+11000001100111100110111111000100
+11000001100111000110111111000010
+11000001100110010110111111000001
+11000001100101100110111110111111
+11000001100100110110111110111110
+11000001100100010110111110111100
+11000001100011100110111110111011
+11000001100010110110111110111001
+11000001100010000110111110111000
+11000001100001100110111110110110
+11000001100000110110111110110101
+11000001100000000110111110110011
+11000001011111100110111110110010
+11000001011110110110111110110000
+11000001011110000110111110101110
+11000001011101010110111110101101
+11000001011100110110111110101011
+11000001011100000110111110101010
+11000001011011010110111110101000
+11000001011010100110111110100111
+11000001011010000110111110100101
+11000001011001010110111110100100
+11000001011000100110111110100010
+11000001010111110110111110100001
+11000001010111010110111110011111
+11000001010110100110111110011110
+11000001010101110110111110011100
+11000001010101000110111110011010
+11000001010100100110111110011001
+11000001010011110110111110010111
+11000001010011000110111110010110
+11000001010010010110111110010100
+11000001010001110110111110010011
+11000001010001000110111110010001
+11000001010000010110111110010000
+11000001001111110110111110001110
+11000001001111000110111110001101
+11000001001110010110111110001011
+11000001001101100110111110001010
+11000001001101000110111110001000
+11000001001100010110111110000110
+11000001001011100110111110000101
+11000001001010110110111110000011
+11000001001010010110111110000010
+11000001001001100110111110000000
+11000001001000110110111101111111
+11000001001000000110111101111101
+11000001000111100110111101111100
+11000001000110110110111101111010
+11000001000110000110111101111001
+11000001000101010110111101110111
+11000001000100110110111101110101
+11000001000100000110111101110100
+11000001000011010110111101110010
+11000001000010110110111101110001
+11000001000010000110111101101111
+11000001000001010110111101101110
+11000001000000100110111101101100
+11000001000000000110111101101011
+11000000111111010110111101101001
+11000000111110100110111101101000
+11000000111101110110111101100110
+11000000111101010110111101100100
+11000000111100100110111101100011
+11000000111011110110111101100001
+11000000111011000110111101100000
+11000000111010100110111101011110
+11000000111001110110111101011101
+11000000111001000110111101011011
+11000000111000010110111101011010
+11000000110111110110111101011000
+11000000110111000110111101010111
+11000000110110010110111101010101
+11000000110101110110111101010011
+11000000110101000110111101010010
+11000000110100010110111101010000
+11000000110011100110111101001111
+11000000110011000110111101001101
+11000000110010010110111101001100
+11000000110001100110111101001010
+11000000110000110110111101001001
+11000000110000010110111101000111
+11000000101111100110111101000101
+11000000101110110110111101000100
+11000000101110010110111101000010
+11000000101101100110111101000001
+11000000101100110110111100111111
+11000000101100000110111100111110
+11000000101011100110111100111100
+11000000101010110110111100111011
+11000000101010000110111100111001
+11000000101001010110111100110111
+11000000101000110110111100110110
+11000000101000000110111100110100
+11000000100111010110111100110011
+11000000100110100110111100110001
+11000000100110000110111100110000
+11000000100101010110111100101110
+11000000100100100110111100101101
+11000000100100000110111100101011
+11000000100011010110111100101001
+11000000100010100110111100101000
+11000000100001110110111100100110
+11000000100001010110111100100101
+11000000100000100110111100100011
+11000000011111110110111100100010
+11000000011111000110111100100000
+11000000011110100110111100011111
+11000000011101110110111100011101
+11000000011101000110111100011011
+11000000011100100110111100011010
+11000000011011110110111100011000
+11000000011011000110111100010111
+11000000011010010110111100010101
+11000000011001110110111100010100
+11000000011001000110111100010010
+11000000011000010110111100010001
+11000000010111100110111100001111
+11000000010111000110111100001101
+11000000010110010110111100001100
+11000000010101100110111100001010
+11000000010101000110111100001001
+11000000010100010110111100000111
+11000000010011100110111100000110
+11000000010010110110111100000100
+11000000010010010110111100000010
+11000000010001100110111100000001
+11000000010000110110111011111111
+11000000010000010110111011111110
+11000000001111100110111011111100
+11000000001110110110111011111011
+11000000001110000110111011111001
+11000000001101100110111011111000
+11000000001100110110111011110110
+11000000001100000110111011110100
+11000000001011010110111011110011
+11000000001010110110111011110001
+11000000001010000110111011110000
+11000000001001010110111011101110
+11000000001000110110111011101101
+11000000001000000110111011101011
+11000000000111010110111011101001
+11000000000110100110111011101000
+11000000000110000110111011100110
+11000000000101010110111011100101
+11000000000100100110111011100011
+11000000000100000110111011100010
+11000000000011010110111011100000
+11000000000010100110111011011110
+11000000000001110110111011011101
+11000000000001010110111011011011
+11000000000000100110111011011010
+10111111111111100110111011011000
+10111111111110110110111011010111
+10111111111110010110111011010101
+10111111111101100110111011010011
+10111111111100110110111011010010
+10111111111100010110111011010000
+10111111111011100110111011001111
+10111111111010110110111011001101
+10111111111010000110111011001100
+10111111111001100110111011001010
+10111111111000110110111011001000
+10111111111000000110111011000111
+10111111110111100110111011000101
+10111111110110110110111011000100
+10111111110110000110111011000010
+10111111110101010110111011000001
+10111111110100110110111010111111
+10111111110100000110111010111101
+10111111110011010110111010111100
+10111111110010110110111010111010
+10111111110010000110111010111001
+10111111110001010110111010110111
+10111111110000100110111010110110
+10111111110000000110111010110100
+10111111101111010110111010110010
+10111111101110100110111010110001
+10111111101110000110111010101111
+10111111101101010110111010101110
+10111111101100100110111010101100
+10111111101011110110111010101010
+10111111101011010110111010101001
+10111111101010100110111010100111
+10111111101001110110111010100110
+10111111101001000110111010100100
+10111111101000100110111010100011
+10111111100111110110111010100001
+10111111100111000110111010011111
+10111111100110100110111010011110
+10111111100101110110111010011100
+10111111100101000110111010011011
+10111111100100010110111010011001
+10111111100011110110111010011000
+10111111100011000110111010010110
+10111111100010010110111010010100
+10111111100001110110111010010011
+10111111100001000110111010010001
+10111111100000010110111010010000
+10111111011111100110111010001110
+10111111011111000110111010001100
+10111111011110010110111010001011
+10111111011101100110111010001001
+10111111011101000110111010001000
+10111111011100010110111010000110
+10111111011011100110111010000101
+10111111011011000110111010000011
+10111111011010010110111010000001
+10111111011001100110111010000000
+10111111011000110110111001111110
+10111111011000010110111001111101
+10111111010111100110111001111011
+10111111010110110110111001111001
+10111111010110010110111001111000
+10111111010101100110111001110110
+10111111010100110110111001110101
+10111111010100000110111001110011
+10111111010011100110111001110001
+10111111010010110110111001110000
+10111111010010000110111001101110
+10111111010001100110111001101101
+10111111010000110110111001101011
+10111111010000000110111001101010
+10111111001111010110111001101000
+10111111001110110110111001100110
+10111111001110000110111001100101
+10111111001101010110111001100011
+10111111001100110110111001100010
+10111111001100000110111001100000
+10111111001011010110111001011110
+10111111001010100110111001011101
+10111111001010000110111001011011
+10111111001001010110111001011010
+10111111001000100110111001011000
+10111111001000000110111001010110
+10111111000111010110111001010101
+10111111000110100110111001010011
+10111111000101110110111001010010
+10111111000101010110111001010000
+10111111000100100110111001001110
+10111111000011110110111001001101
+10111111000011010110111001001011
+10111111000010100110111001001010
+10111111000001110110111001001000
+10111111000001010110111001000111
+10111111000000100110111001000101
+10111110111111110110111001000011
+10111110111111000110111001000010
+10111110111110100110111001000000
+10111110111101110110111000111111
+10111110111101000110111000111101
+10111110111100100110111000111011
+10111110111011110110111000111010
+10111110111011000110111000111000
+10111110111010010110111000110111
+10111110111001110110111000110101
+10111110111001000110111000110011
+10111110111000010110111000110010
+10111110110111110110111000110000
+10111110110111000110111000101111
+10111110110110010110111000101101
+10111110110101110110111000101011
+10111110110101000110111000101010
+10111110110100010110111000101000
+10111110110011100110111000100111
+10111110110011000110111000100101
+10111110110010010110111000100011
+10111110110001100110111000100010
+10111110110001000110111000100000
+10111110110000010110111000011111
+10111110101111100110111000011101
+10111110101111000110111000011011
+10111110101110010110111000011010
+10111110101101100110111000011000
+10111110101100110110111000010111
+10111110101100010110111000010101
+10111110101011100110111000010011
+10111110101010110110111000010010
+10111110101010010110111000010000
+10111110101001100110111000001111
+10111110101000110110111000001101
+10111110101000010110111000001011
+10111110100111100110111000001010
+10111110100110110110111000001000
+10111110100110000110111000000111
+10111110100101100110111000000101
+10111110100100110110111000000011
+10111110100100000110111000000010
+10111110100011100110111000000000
+10111110100010110110110111111110
+10111110100010000110110111111101
+10111110100001100110110111111011
+10111110100000110110110111111010
+10111110100000000110110111111000
+10111110011111010110110111110110
+10111110011110110110110111110101
+10111110011110000110110111110011
+10111110011101010110110111110010
+10111110011100110110110111110000
+10111110011100000110110111101110
+10111110011011010110110111101101
+10111110011010110110110111101011
+10111110011010000110110111101010
+10111110011001010110110111101000
+10111110011000100110110111100110
+10111110011000000110110111100101
+10111110010111010110110111100011
+10111110010110100110110111100010
+10111110010110000110110111100000
+10111110010101010110110111011110
+10111110010100100110110111011101
+10111110010100000110110111011011
+10111110010011010110110111011001
+10111110010010100110110111011000
+10111110010001110110110111010110
+10111110010001010110110111010101
+10111110010000100110110111010011
+10111110001111110110110111010001
+10111110001111010110110111010000
+10111110001110100110110111001110
+10111110001101110110110111001101
+10111110001101010110110111001011
+10111110001100100110110111001001
+10111110001011110110110111001000
+10111110001011010110110111000110
+10111110001010100110110111000100
+10111110001001110110110111000011
+10111110001001000110110111000001
+10111110001000100110110111000000
+10111110000111110110110110111110
+10111110000111000110110110111100
+10111110000110100110110110111011
+10111110000101110110110110111001
+10111110000101000110110110111000
+10111110000100100110110110110110
+10111110000011110110110110110100
+10111110000011000110110110110011
+10111110000010100110110110110001
+10111110000001110110110110101111
+10111110000001000110110110101110
+10111110000000010110110110101100
+10111101111111110110110110101011
+10111101111111000110110110101001
+10111101111110010110110110100111
+10111101111101110110110110100110
+10111101111101000110110110100100
+10111101111100010110110110100011
+10111101111011110110110110100001
+10111101111011000110110110011111
+10111101111010010110110110011110
+10111101111001110110110110011100
+10111101111001000110110110011010
+10111101111000010110110110011001
+10111101110111100110110110010111
+10111101110111000110110110010110
+10111101110110010110110110010100
+10111101110101100110110110010010
+10111101110101000110110110010001
+10111101110100010110110110001111
+10111101110011100110110110001101
+10111101110011000110110110001100
+10111101110010010110110110001010
+10111101110001100110110110001001
+10111101110001000110110110000111
+10111101110000010110110110000101
+10111101101111100110110110000100
+10111101101111000110110110000010
+10111101101110010110110110000000
+10111101101101100110110101111111
+10111101101100110110110101111101
+10111101101100010110110101111100
+10111101101011100110110101111010
+10111101101010110110110101111000
+10111101101010010110110101110111
+10111101101001100110110101110101
+10111101101000110110110101110011
+10111101101000010110110101110010
+10111101100111100110110101110000
+10111101100110110110110101101110
+10111101100110010110110101101101
+10111101100101100110110101101011
+10111101100100110110110101101010
+10111101100100010110110101101000
+10111101100011100110110101100110
+10111101100010110110110101100101
+10111101100010000110110101100011
+10111101100001100110110101100001
+10111101100000110110110101100000
+10111101100000000110110101011110
+10111101011111100110110101011101
+10111101011110110110110101011011
+10111101011110000110110101011001
+10111101011101100110110101011000
+10111101011100110110110101010110
+10111101011100000110110101010100
+10111101011011100110110101010011
+10111101011010110110110101010001
+10111101011010000110110101001111
+10111101011001100110110101001110
+10111101011000110110110101001100
+10111101011000000110110101001011
+10111101010111100110110101001001
+10111101010110110110110101000111
+10111101010110000110110101000110
+10111101010101010110110101000100
+10111101010100110110110101000010
+10111101010100000110110101000001
+10111101010011010110110100111111
+10111101010010110110110100111101
+10111101010010000110110100111100
+10111101010001010110110100111010
+10111101010000110110110100111001
+10111101010000000110110100110111
+10111101001111010110110100110101
+10111101001110110110110100110100
+10111101001110000110110100110010
+10111101001101010110110100110000
+10111101001100110110110100101111
+10111101001100000110110100101101
+10111101001011010110110100101011
+10111101001010110110110100101010
+10111101001010000110110100101000
+10111101001001010110110100100111
+10111101001000110110110100100101
+10111101001000000110110100100011
+10111101000111010110110100100010
+10111101000110110110110100100000
+10111101000110000110110100011110
+10111101000101010110110100011101
+10111101000100100110110100011011
+10111101000100000110110100011001
+10111101000011010110110100011000
+10111101000010100110110100010110
+10111101000010000110110100010100
+10111101000001010110110100010011
+10111101000000100110110100010001
+10111101000000000110110100010000
+10111100111111010110110100001110
+10111100111110100110110100001100
+10111100111110000110110100001011
+10111100111101010110110100001001
+10111100111100100110110100000111
+10111100111100000110110100000110
+10111100111011010110110100000100
+10111100111010100110110100000010
+10111100111010000110110100000001
+10111100111001010110110011111111
+10111100111000100110110011111101
+10111100111000000110110011111100
+10111100110111010110110011111010
+10111100110110100110110011111001
+10111100110110000110110011110111
+10111100110101010110110011110101
+10111100110100100110110011110100
+10111100110100000110110011110010
+10111100110011010110110011110000
+10111100110010100110110011101111
+10111100110010000110110011101101
+10111100110001010110110011101011
+10111100110000100110110011101010
+10111100110000000110110011101000
+10111100101111010110110011100110
+10111100101110100110110011100101
+10111100101110000110110011100011
+10111100101101010110110011100001
+10111100101100100110110011100000
+10111100101100000110110011011110
+10111100101011010110110011011100
+10111100101010100110110011011011
+10111100101010000110110011011001
+10111100101001010110110011010111
+10111100101000100110110011010110
+10111100100111110110110011010100
+10111100100111010110110011010011
+10111100100110100110110011010001
+10111100100101110110110011001111
+10111100100101010110110011001110
+10111100100100100110110011001100
+10111100100011110110110011001010
+10111100100011010110110011001001
+10111100100010100110110011000111
+10111100100001110110110011000101
+10111100100001010110110011000100
+10111100100000100110110011000010
+10111100011111110110110011000000
+10111100011111010110110010111111
+10111100011110100110110010111101
+10111100011101110110110010111011
+10111100011101010110110010111010
+10111100011100100110110010111000
+10111100011011110110110010110110
+10111100011011010110110010110101
+10111100011010100110110010110011
+10111100011001110110110010110001
+10111100011001010110110010110000
+10111100011000100110110010101110
+10111100010111110110110010101100
+10111100010111010110110010101011
+10111100010110100110110010101001
+10111100010101110110110010100111
+10111100010101010110110010100110
+10111100010100100110110010100100
+10111100010011110110110010100010
+10111100010011010110110010100001
+10111100010010100110110010011111
+10111100010001110110110010011101
+10111100010001010110110010011100
+10111100010000100110110010011010
+10111100001111110110110010011000
+10111100001111010110110010010111
+10111100001110100110110010010101
+10111100001101110110110010010100
+10111100001101010110110010010010
+10111100001100100110110010010000
+10111100001011110110110010001111
+10111100001011010110110010001101
+10111100001010100110110010001011
+10111100001001110110110010001010
+10111100001001010110110010001000
+10111100001000100110110010000110
+10111100000111110110110010000101
+10111100000111010110110010000011
+10111100000110100110110010000001
+10111100000101110110110010000000
+10111100000101010110110001111110
+10111100000100100110110001111100
+10111100000011110110110001111011
+10111100000011010110110001111001
+10111100000010100110110001110111
+10111100000010000110110001110110
+10111100000001010110110001110100
+10111100000000100110110001110010
+10111100000000000110110001110001
+10111011111111010110110001101111
+10111011111110100110110001101101
+10111011111110000110110001101100
+10111011111101010110110001101010
+10111011111100100110110001101000
+10111011111100000110110001100110
+10111011111011010110110001100101
+10111011111010100110110001100011
+10111011111010000110110001100001
+10111011111001010110110001100000
+10111011111000100110110001011110
+10111011111000000110110001011100
+10111011110111010110110001011011
+10111011110110100110110001011001
+10111011110110000110110001010111
+10111011110101010110110001010110
+10111011110100100110110001010100
+10111011110100000110110001010010
+10111011110011010110110001010001
+10111011110010100110110001001111
+10111011110010000110110001001101
+10111011110001010110110001001100
+10111011110000100110110001001010
+10111011110000000110110001001000
+10111011101111010110110001000111
+10111011101110100110110001000101
+10111011101110000110110001000011
+10111011101101010110110001000010
+10111011101100100110110001000000
+10111011101100000110110000111110
+10111011101011010110110000111101
+10111011101010100110110000111011
+10111011101010000110110000111001
+10111011101001010110110000111000
+10111011101000100110110000110110
+10111011101000000110110000110100
+10111011100111010110110000110011
+10111011100110110110110000110001
+10111011100110000110110000101111
+10111011100101010110110000101110
+10111011100100110110110000101100
+10111011100100000110110000101010
+10111011100011010110110000101001
+10111011100010110110110000100111
+10111011100010000110110000100101
+10111011100001010110110000100011
+10111011100000110110110000100010
+10111011100000000110110000100000
+10111011011111010110110000011110
+10111011011110110110110000011101
+10111011011110000110110000011011
+10111011011101010110110000011001
+10111011011100110110110000011000
+10111011011100000110110000010110
+10111011011011010110110000010100
+10111011011010110110110000010011
+10111011011010000110110000010001
+10111011011001010110110000001111
+10111011011000110110110000001110
+10111011011000000110110000001100
+10111011010111010110110000001010
+10111011010110110110110000001001
+10111011010110000110110000000111
+10111011010101100110110000000101
+10111011010100110110110000000011
+10111011010100000110110000000010
+10111011010011100110110000000000
+10111011010010110110101111111110
+10111011010010000110101111111101
+10111011010001100110101111111011
+10111011010000110110101111111001
+10111011010000000110101111111000
+10111011001111100110101111110110
+10111011001110110110101111110100
+10111011001110000110101111110011
+10111011001101100110101111110001
+10111011001100110110101111101111
+10111011001100000110101111101110
+10111011001011100110101111101100
+10111011001010110110101111101010
+10111011001010000110101111101000
+10111011001001100110101111100111
+10111011001000110110101111100101
+10111011001000010110101111100011
+10111011000111100110101111100010
+10111011000110110110101111100000
+10111011000110010110101111011110
+10111011000101100110101111011101
+10111011000100110110101111011011
+10111011000100010110101111011001
+10111011000011100110101111011000
+10111011000010110110101111010110
+10111011000010010110101111010100
+10111011000001100110101111010011
+10111011000000110110101111010001
+10111011000000010110101111001111
+10111010111111100110101111001101
+10111010111110110110101111001100
+10111010111110010110101111001010
+10111010111101100110101111001000
+10111010111101000110101111000111
+10111010111100010110101111000101
+10111010111011100110101111000011
+10111010111011000110101111000010
+10111010111010010110101111000000
+10111010111001100110101110111110
+10111010111001000110101110111100
+10111010111000010110101110111011
+10111010110111100110101110111001
+10111010110111000110101110110111
+10111010110110010110101110110110
+10111010110101100110101110110100
+10111010110101000110101110110010
+10111010110100010110101110110001
+10111010110011110110101110101111
+10111010110011000110101110101101
+10111010110010010110101110101100
+10111010110001110110101110101010
+10111010110001000110101110101000
+10111010110000010110101110100110
+10111010101111110110101110100101
+10111010101111000110101110100011
+10111010101110010110101110100001
+10111010101101110110101110100000
+10111010101101000110101110011110
+10111010101100010110101110011100
+10111010101011110110101110011011
+10111010101011000110101110011001
+10111010101010100110101110010111
+10111010101001110110101110010101
+10111010101001000110101110010100
+10111010101000100110101110010010
+10111010100111110110101110010000
+10111010100111000110101110001111
+10111010100110100110101110001101
+10111010100101110110101110001011
+10111010100101000110101110001001
+10111010100100100110101110001000
+10111010100011110110101110000110
+10111010100011010110101110000100
+10111010100010100110101110000011
+10111010100001110110101110000001
+10111010100001010110101101111111
+10111010100000100110101101111110
+10111010011111110110101101111100
+10111010011111010110101101111010
+10111010011110100110101101111000
+10111010011101110110101101110111
+10111010011101010110101101110101
+10111010011100100110101101110011
+10111010011011110110101101110010
+10111010011011010110101101110000
+10111010011010100110101101101110
+10111010011010000110101101101100
+10111010011001010110101101101011
+10111010011000100110101101101001
+10111010011000000110101101100111
+10111010010111010110101101100110
+10111010010110100110101101100100
+10111010010110000110101101100010
+10111010010101010110101101100001
+10111010010100100110101101011111
+10111010010100000110101101011101
+10111010010011010110101101011011
+10111010010010110110101101011010
+10111010010010000110101101011000
+10111010010001010110101101010110
+10111010010000110110101101010101
+10111010010000000110101101010011
+10111010001111010110101101010001
+10111010001110110110101101001111
+10111010001110000110101101001110
+10111010001101100110101101001100
+10111010001100110110101101001010
+10111010001100000110101101001001
+10111010001011100110101101000111
+10111010001010110110101101000101
+10111010001010000110101101000011
+10111010001001100110101101000010
+10111010001000110110101101000000
+10111010001000000110101100111110
+10111010000111100110101100111101
+10111010000110110110101100111011
+10111010000110010110101100111001
+10111010000101100110101100110111
+10111010000100110110101100110110
+10111010000100010110101100110100
+10111010000011100110101100110010
+10111010000010110110101100110001
+10111010000010010110101100101111
+10111010000001100110101100101101
+10111010000001000110101100101011
+10111010000000010110101100101010
+10111001111111100110101100101000
+10111001111111000110101100100110
+10111001111110010110101100100101
+10111001111101100110101100100011
+10111001111101000110101100100001
+10111001111100010110101100011111
+10111001111011100110101100011110
+10111001111011000110101100011100
+10111001111010010110101100011010
+10111001111001110110101100011000
+10111001111001000110101100010111
+10111001111000010110101100010101
+10111001110111110110101100010011
+10111001110111000110101100010010
+10111001110110010110101100010000
+10111001110101110110101100001110
+10111001110101000110101100001100
+10111001110100100110101100001011
+10111001110011110110101100001001
+10111001110011000110101100000111
+10111001110010100110101100000110
+10111001110001110110101100000100
+10111001110001000110101100000010
+10111001110000100110101100000000
+10111001101111110110101011111111
+10111001101111010110101011111101
+10111001101110100110101011111011
+10111001101101110110101011111001
+10111001101101010110101011111000
+10111001101100100110101011110110
+10111001101011110110101011110100
+10111001101011010110101011110011
+10111001101010100110101011110001
+10111001101010000110101011101111
+10111001101001010110101011101101
+10111001101000100110101011101100
+10111001101000000110101011101010
+10111001100111010110101011101000
+10111001100110100110101011100110
+10111001100110000110101011100101
+10111001100101010110101011100011
+10111001100100110110101011100001
+10111001100100000110101011100000
+10111001100011010110101011011110
+10111001100010110110101011011100
+10111001100010000110101011011010
+10111001100001010110101011011001
+10111001100000110110101011010111
+10111001100000000110101011010101
+10111001011111100110101011010011
+10111001011110110110101011010010
+10111001011110000110101011010000
+10111001011101100110101011001110
+10111001011100110110101011001101
+10111001011100000110101011001011
+10111001011011100110101011001001
+10111001011010110110101011000111
+10111001011010010110101011000110
+10111001011001100110101011000100
+10111001011000110110101011000010
+10111001011000010110101011000000
+10111001010111100110101010111111
+10111001010111000110101010111101
+10111001010110010110101010111011
+10111001010101100110101010111001
+10111001010101000110101010111000
+10111001010100010110101010110110
+10111001010011100110101010110100
+10111001010011000110101010110011
+10111001010010010110101010110001
+10111001010001110110101010101111
+10111001010001000110101010101101
+10111001010000010110101010101100
+10111001001111110110101010101010
+10111001001111000110101010101000
+10111001001110010110101010100110
+10111001001101110110101010100101
+10111001001101000110101010100011
+10111001001100100110101010100001
+10111001001011110110101010011111
+10111001001011000110101010011110
+10111001001010100110101010011100
+10111001001001110110101010011010
+10111001001001010110101010011000
+10111001001000100110101010010111
+10111001000111110110101010010101
+10111001000111010110101010010011
+10111001000110100110101010010010
+10111001000101110110101010010000
+10111001000101010110101010001110
+10111001000100100110101010001100
+10111001000100000110101010001011
+10111001000011010110101010001001
+10111001000010100110101010000111
+10111001000010000110101010000101
+10111001000001010110101010000100
+10111001000000110110101010000010
+10111001000000000110101010000000
+10111000111111010110101001111110
+10111000111110110110101001111101
+10111000111110000110101001111011
+10111000111101010110101001111001
+10111000111100110110101001110111
+10111000111100000110101001110110
+10111000111011100110101001110100
+10111000111010110110101001110010
+10111000111010000110101001110000
+10111000111001100110101001101111
+10111000111000110110101001101101
+10111000111000010110101001101011
+10111000110111100110101001101001
+10111000110110110110101001101000
+10111000110110010110101001100110
+10111000110101100110101001100100
+10111000110101000110101001100010
+10111000110100010110101001100001
+10111000110011100110101001011111
+10111000110011000110101001011101
+10111000110010010110101001011011
+10111000110001100110101001011010
+10111000110001000110101001011000
+10111000110000010110101001010110
+10111000101111110110101001010100
+10111000101111000110101001010011
+10111000101110010110101001010001
+10111000101101110110101001001111
+10111000101101000110101001001101
+10111000101100100110101001001100
+10111000101011110110101001001010
+10111000101011000110101001001000
+10111000101010100110101001000110
+10111000101001110110101001000101
+10111000101001010110101001000011
+10111000101000100110101001000001
+10111000100111110110101000111111
+10111000100111010110101000111110
+10111000100110100110101000111100
+10111000100110000110101000111010
+10111000100101010110101000111000
+10111000100100100110101000110111
+10111000100100000110101000110101
+10111000100011010110101000110011
+10111000100010100110101000110001
+10111000100010000110101000110000
+10111000100001010110101000101110
+10111000100000110110101000101100
+10111000100000000110101000101010
+10111000011111010110101000101001
+10111000011110110110101000100111
+10111000011110000110101000100101
+10111000011101100110101000100011
+10111000011100110110101000100010
+10111000011100000110101000100000
+10111000011011100110101000011110
+10111000011010110110101000011100
+10111000011010010110101000011011
+10111000011001100110101000011001
+10111000011000110110101000010111
+10111000011000010110101000010101
+10111000010111100110101000010100
+10111000010111000110101000010010
+10111000010110010110101000010000
+10111000010101100110101000001110
+10111000010101000110101000001101
+10111000010100010110101000001011
+10111000010011110110101000001001
+10111000010011000110101000000111
+10111000010010010110101000000110
+10111000010001110110101000000100
+10111000010001000110101000000010
+10111000010000100110101000000000
+10111000001111110110100111111110
+10111000001111000110100111111101
+10111000001110100110100111111011
+10111000001101110110100111111001
+10111000001101010110100111110111
+10111000001100100110100111110110
+10111000001011110110100111110100
+10111000001011010110100111110010
+10111000001010100110100111110000
+10111000001010000110100111101111
+10111000001001010110100111101101
+10111000001000100110100111101011
+10111000001000000110100111101001
+10111000000111010110100111101000
+10111000000110110110100111100110
+10111000000110000110100111100100
+10111000000101010110100111100010
+10111000000100110110100111100001
+10111000000100000110100111011111
+10111000000011100110100111011101
+10111000000010110110100111011011
+10111000000010000110100111011001
+10111000000001100110100111011000
+10111000000000110110100111010110
+10111000000000010110100111010100
+10110111111111100110100111010010
+10110111111110110110100111010001
+10110111111110010110100111001111
+10110111111101100110100111001101
+10110111111101000110100111001011
+10110111111100010110100111001010
+10110111111011100110100111001000
+10110111111011000110100111000110
+10110111111010010110100111000100
+10110111111001110110100111000010
+10110111111001000110100111000001
+10110111111000010110100110111111
+10110111110111110110100110111101
+10110111110111000110100110111011
+10110111110110100110100110111010
+10110111110101110110100110111000
+10110111110101000110100110110110
+10110111110100100110100110110100
+10110111110011110110100110110011
+10110111110011010110100110110001
+10110111110010100110100110101111
+10110111110001110110100110101101
+10110111110001010110100110101011
+10110111110000100110100110101010
+10110111110000000110100110101000
+10110111101111010110100110100110
+10110111101110110110100110100100
+10110111101110000110100110100011
+10110111101101010110100110100001
+10110111101100110110100110011111
+10110111101100000110100110011101
+10110111101011100110100110011011
+10110111101010110110100110011010
+10110111101010000110100110011000
+10110111101001100110100110010110
+10110111101000110110100110010100
+10110111101000010110100110010011
+10110111100111100110100110010001
+10110111100110110110100110001111
+10110111100110010110100110001101
+10110111100101100110100110001011
+10110111100101000110100110001010
+10110111100100010110100110001000
+10110111100011100110100110000110
+10110111100011000110100110000100
+10110111100010010110100110000011
+10110111100001110110100110000001
+10110111100001000110100101111111
+10110111100000100110100101111101
+10110111011111110110100101111011
+10110111011111000110100101111010
+10110111011110100110100101111000
+10110111011101110110100101110110
+10110111011101010110100101110100
+10110111011100100110100101110011
+10110111011011110110100101110001
+10110111011011010110100101101111
+10110111011010100110100101101101
+10110111011010000110100101101011
+10110111011001010110100101101010
+10110111011000100110100101101000
+10110111011000000110100101100110
+10110111010111010110100101100100
+10110111010110110110100101100011
+10110111010110000110100101100001
+10110111010101100110100101011111
+10110111010100110110100101011101
+10110111010100000110100101011011
+10110111010011100110100101011010
+10110111010010110110100101011000
+10110111010010010110100101010110
+10110111010001100110100101010100
+10110111010000110110100101010010
+10110111010000010110100101010001
+10110111001111100110100101001111
+10110111001111000110100101001101
+10110111001110010110100101001011
+10110111001101110110100101001010
+10110111001101000110100101001000
+10110111001100010110100101000110
+10110111001011110110100101000100
+10110111001011000110100101000010
+10110111001010100110100101000001
+10110111001001110110100100111111
+10110111001001000110100100111101
+10110111001000100110100100111011
+10110111000111110110100100111001
+10110111000111010110100100111000
+10110111000110100110100100110110
+10110111000110000110100100110100
+10110111000101010110100100110010
+10110111000100100110100100110001
+10110111000100000110100100101111
+10110111000011010110100100101101
+10110111000010110110100100101011
+10110111000010000110100100101001
+10110111000001010110100100101000
+10110111000000110110100100100110
+10110111000000000110100100100100
+10110110111111100110100100100010
+10110110111110110110100100100000
+10110110111110010110100100011111
+10110110111101100110100100011101
+10110110111100110110100100011011
+10110110111100010110100100011001
+10110110111011100110100100010111
+10110110111011000110100100010110
+10110110111010010110100100010100
+10110110111001100110100100010010
+10110110111001000110100100010000
+10110110111000010110100100001110
+10110110110111110110100100001101
+10110110110111000110100100001011
+10110110110110100110100100001001
+10110110110101110110100100000111
+10110110110101000110100100000110
+10110110110100100110100100000100
+10110110110011110110100100000010
+10110110110011010110100100000000
+10110110110010100110100011111110
+10110110110010000110100011111101
+10110110110001010110100011111011
+10110110110000100110100011111001
+10110110110000000110100011110111
+10110110101111010110100011110101
+10110110101110110110100011110100
+10110110101110000110100011110010
+10110110101101100110100011110000
+10110110101100110110100011101110
+10110110101100000110100011101100
+10110110101011100110100011101011
+10110110101010110110100011101001
+10110110101010010110100011100111
+10110110101001100110100011100101
+10110110101000110110100011100011
+10110110101000010110100011100010
+10110110100111100110100011100000
+10110110100111000110100011011110
+10110110100110010110100011011100
+10110110100101110110100011011010
+10110110100101000110100011011001
+10110110100100010110100011010111
+10110110100011110110100011010101
+10110110100011000110100011010011
+10110110100010100110100011010001
+10110110100001110110100011010000
+10110110100001010110100011001110
+10110110100000100110100011001100
+10110110011111110110100011001010
+10110110011111010110100011001000
+10110110011110100110100011000111
+10110110011110000110100011000101
+10110110011101010110100011000011
+10110110011100110110100011000001
+10110110011100000110100010111111
+10110110011011010110100010111101
+10110110011010110110100010111100
+10110110011010000110100010111010
+10110110011001100110100010111000
+10110110011000110110100010110110
+10110110011000010110100010110100
+10110110010111100110100010110011
+10110110010110110110100010110001
+10110110010110010110100010101111
+10110110010101100110100010101101
+10110110010101000110100010101011
+10110110010100010110100010101010
+10110110010011110110100010101000
+10110110010011000110100010100110
+10110110010010100110100010100100
+10110110010001110110100010100010
+10110110010001000110100010100001
+10110110010000100110100010011111
+10110110001111110110100010011101
+10110110001111010110100010011011
+10110110001110100110100010011001
+10110110001110000110100010011000
+10110110001101010110100010010110
+10110110001100100110100010010100
+10110110001100000110100010010010
+10110110001011010110100010010000
+10110110001010110110100010001110
+10110110001010000110100010001101
+10110110001001100110100010001011
+10110110001000110110100010001001
+10110110001000000110100010000111
+10110110000111100110100010000101
+10110110000110110110100010000100
+10110110000110010110100010000010
+10110110000101100110100010000000
+10110110000101000110100001111110
+10110110000100010110100001111100
+10110110000011100110100001111011
+10110110000011000110100001111001
+10110110000010010110100001110111
+10110110000001110110100001110101
+10110110000001000110100001110011
+10110110000000100110100001110001
+10110101111111110110100001110000
+10110101111111010110100001101110
+10110101111110100110100001101100
+10110101111101110110100001101010
+10110101111101010110100001101000
+10110101111100100110100001100111
+10110101111100000110100001100101
+10110101111011010110100001100011
+10110101111010110110100001100001
+10110101111010000110100001011111
+10110101111001010110100001011101
+10110101111000110110100001011100
+10110101111000000110100001011010
+10110101110111100110100001011000
+10110101110110110110100001010110
+10110101110110010110100001010100
+10110101110101100110100001010011
+10110101110101000110100001010001
+10110101110100010110100001001111
+10110101110011100110100001001101
+10110101110011000110100001001011
+10110101110010010110100001001001
+10110101110001110110100001001000
+10110101110001000110100001000110
+10110101110000100110100001000100
+10110101101111110110100001000010
+10110101101111010110100001000000
+10110101101110100110100000111110
+10110101101101110110100000111101
+10110101101101010110100000111011
+10110101101100100110100000111001
+10110101101100000110100000110111
+10110101101011010110100000110101
+10110101101010110110100000110100
+10110101101010000110100000110010
+10110101101001010110100000110000
+10110101101000110110100000101110
+10110101101000000110100000101100
+10110101100111100110100000101010
+10110101100110110110100000101001
+10110101100110010110100000100111
+10110101100101100110100000100101
+10110101100101000110100000100011
+10110101100100010110100000100001
+10110101100011100110100000011111
+10110101100011000110100000011110
+10110101100010010110100000011100
+10110101100001110110100000011010
+10110101100001000110100000011000
+10110101100000100110100000010110
+10110101011111110110100000010100
+10110101011111010110100000010011
+10110101011110100110100000010001
+10110101011101110110100000001111
+10110101011101010110100000001101
+10110101011100100110100000001011
+10110101011100000110100000001010
+10110101011011010110100000001000
+10110101011010110110100000000110
+10110101011010000110100000000100
+10110101011001100110100000000010
+10110101011000110110100000000000
+10110101011000010110011111111111
+10110101010111100110011111111101
+10110101010110110110011111111011
+10110101010110010110011111111001
+10110101010101100110011111110111
+10110101010101000110011111110101
+10110101010100010110011111110100
+10110101010011110110011111110010
+10110101010011000110011111110000
+10110101010010100110011111101110
+10110101010001110110011111101100
+10110101010001000110011111101010
+10110101010000100110011111101001
+10110101001111110110011111100111
+10110101001111010110011111100101
+10110101001110100110011111100011
+10110101001110000110011111100001
+10110101001101010110011111011111
+10110101001100110110011111011110
+10110101001100000110011111011100
+10110101001011100110011111011010
+10110101001010110110011111011000
+10110101001010000110011111010110
+10110101001001100110011111010100
+10110101001000110110011111010011
+10110101001000010110011111010001
+10110101000111100110011111001111
+10110101000111000110011111001101
+10110101000110010110011111001011
+10110101000101110110011111001001
+10110101000101000110011111000111
+10110101000100010110011111000110
+10110101000011110110011111000100
+10110101000011000110011111000010
+10110101000010100110011111000000
+10110101000001110110011110111110
+10110101000001010110011110111100
+10110101000000100110011110111011
+10110101000000000110011110111001
+10110100111111010110011110110111
+10110100111110110110011110110101
+10110100111110000110011110110011
+10110100111101010110011110110001
+10110100111100110110011110110000
+10110100111100000110011110101110
+10110100111011100110011110101100
+10110100111010110110011110101010
+10110100111010010110011110101000
+10110100111001100110011110100110
+10110100111001000110011110100100
+10110100111000010110011110100011
+10110100110111110110011110100001
+10110100110111000110011110011111
+10110100110110010110011110011101
+10110100110101110110011110011011
+10110100110101000110011110011001
+10110100110100100110011110011000
+10110100110011110110011110010110
+10110100110011010110011110010100
+10110100110010100110011110010010
+10110100110010000110011110010000
+10110100110001010110011110001110
+10110100110000110110011110001101
+10110100110000000110011110001011
+10110100101111100110011110001001
+10110100101110110110011110000111
+10110100101110000110011110000101
+10110100101101100110011110000011
+10110100101100110110011110000001
+10110100101100010110011110000000
+10110100101011100110011101111110
+10110100101011000110011101111100
+10110100101010010110011101111010
+10110100101001110110011101111000
+10110100101001000110011101110110
+10110100101000100110011101110100
+10110100100111110110011101110011
+10110100100111010110011101110001
+10110100100110100110011101101111
+10110100100101110110011101101101
+10110100100101010110011101101011
+10110100100100100110011101101001
+10110100100100000110011101101000
+10110100100011010110011101100110
+10110100100010110110011101100100
+10110100100010000110011101100010
+10110100100001100110011101100000
+10110100100000110110011101011110
+10110100100000010110011101011100
+10110100011111100110011101011011
+10110100011111000110011101011001
+10110100011110010110011101010111
+10110100011101100110011101010101
+10110100011101000110011101010011
+10110100011100010110011101010001
+10110100011011110110011101001111
+10110100011011000110011101001110
+10110100011010100110011101001100
+10110100011001110110011101001010
+10110100011001010110011101001000
+10110100011000100110011101000110
+10110100011000000110011101000100
+10110100010111010110011101000010
+10110100010110110110011101000001
+10110100010110000110011100111111
+10110100010101010110011100111101
+10110100010100110110011100111011
+10110100010100000110011100111001
+10110100010011100110011100110111
+10110100010010110110011100110101
+10110100010010010110011100110100
+10110100010001100110011100110010
+10110100010001000110011100110000
+10110100010000010110011100101110
+10110100001111110110011100101100
+10110100001111000110011100101010
+10110100001110100110011100101000
+10110100001101110110011100100111
+10110100001101010110011100100101
+10110100001100100110011100100011
+10110100001100000110011100100001
+10110100001011010110011100011111
+10110100001010100110011100011101
+10110100001010000110011100011011
+10110100001001010110011100011010
+10110100001000110110011100011000
+10110100001000000110011100010110
+10110100000111100110011100010100
+10110100000110110110011100010010
+10110100000110010110011100010000
+10110100000101100110011100001110
+10110100000101000110011100001101
+10110100000100010110011100001011
+10110100000011110110011100001001
+10110100000011000110011100000111
+10110100000010100110011100000101
+10110100000001110110011100000011
+10110100000001010110011100000001
+10110100000000100110011011111111
+10110011111111110110011011111110
+10110011111111010110011011111100
+10110011111110100110011011111010
+10110011111110000110011011111000
+10110011111101010110011011110110
+10110011111100110110011011110100
+10110011111100000110011011110010
+10110011111011100110011011110001
+10110011111010110110011011101111
+10110011111010010110011011101101
+10110011111001100110011011101011
+10110011111001000110011011101001
+10110011111000010110011011100111
+10110011110111110110011011100101
+10110011110111000110011011100011
+10110011110110100110011011100010
+10110011110101110110011011100000
+10110011110101010110011011011110
+10110011110100100110011011011100
+10110011110011110110011011011010
+10110011110011010110011011011000
+10110011110010100110011011010110
+10110011110010000110011011010101
+10110011110001010110011011010011
+10110011110000110110011011010001
+10110011110000000110011011001111
+10110011101111100110011011001101
+10110011101110110110011011001011
+10110011101110010110011011001001
+10110011101101100110011011000111
+10110011101101000110011011000110
+10110011101100010110011011000100
+10110011101011110110011011000010
+10110011101011000110011011000000
+10110011101010100110011010111110
+10110011101001110110011010111100
+10110011101001010110011010111010
+10110011101000100110011010111000
+10110011101000000110011010110111
+10110011100111010110011010110101
+10110011100110100110011010110011
+10110011100110000110011010110001
+10110011100101010110011010101111
+10110011100100110110011010101101
+10110011100100000110011010101011
+10110011100011100110011010101001
+10110011100010110110011010101000
+10110011100010010110011010100110
+10110011100001100110011010100100
+10110011100001000110011010100010
+10110011100000010110011010100000
+10110011011111110110011010011110
+10110011011111000110011010011100
+10110011011110100110011010011010
+10110011011101110110011010011001
+10110011011101010110011010010111
+10110011011100100110011010010101
+10110011011100000110011010010011
+10110011011011010110011010010001
+10110011011010110110011010001111
+10110011011010000110011010001101
+10110011011001100110011010001011
+10110011011000110110011010001001
+10110011011000010110011010001000
+10110011010111100110011010000110
+10110011010111000110011010000100
+10110011010110010110011010000010
+10110011010101110110011010000000
+10110011010101000110011001111110
+10110011010100010110011001111100
+10110011010011110110011001111010
+10110011010011000110011001111001
+10110011010010100110011001110111
+10110011010001110110011001110101
+10110011010001010110011001110011
+10110011010000100110011001110001
+10110011010000000110011001101111
+10110011001111010110011001101101
+10110011001110110110011001101011
+10110011001110000110011001101001
+10110011001101100110011001101000
+10110011001100110110011001100110
+10110011001100010110011001100100
+10110011001011100110011001100010
+10110011001011000110011001100000
+10110011001010010110011001011110
+10110011001001110110011001011100
+10110011001001000110011001011010
+10110011001000100110011001011001
+10110011000111110110011001010111
+10110011000111010110011001010101
+10110011000110100110011001010011
+10110011000110000110011001010001
+10110011000101010110011001001111
+10110011000100110110011001001101
+10110011000100000110011001001011
+10110011000011100110011001001001
+10110011000010110110011001001000
+10110011000010010110011001000110
+10110011000001100110011001000100
+10110011000001000110011001000010
+10110011000000010110011001000000
+10110010111111110110011000111110
+10110010111111000110011000111100
+10110010111110100110011000111010
+10110010111101110110011000111000
+10110010111101010110011000110111
+10110010111100100110011000110101
+10110010111100000110011000110011
+10110010111011010110011000110001
+10110010111010100110011000101111
+10110010111010000110011000101101
+10110010111001010110011000101011
+10110010111000110110011000101001
+10110010111000000110011000100111
+10110010110111100110011000100110
+10110010110110110110011000100100
+10110010110110010110011000100010
+10110010110101100110011000100000
+10110010110101000110011000011110
+10110010110100010110011000011100
+10110010110011110110011000011010
+10110010110011000110011000011000
+10110010110010100110011000010110
+10110010110001110110011000010100
+10110010110001010110011000010011
+10110010110000100110011000010001
+10110010110000000110011000001111
+10110010101111010110011000001101
+10110010101110110110011000001011
+10110010101110000110011000001001
+10110010101101100110011000000111
+10110010101100110110011000000101
+10110010101100010110011000000011
+10110010101011100110011000000001
+10110010101011000110011000000000
+10110010101010010110010111111110
+10110010101001110110010111111100
+10110010101001000110010111111010
+10110010101000100110010111111000
+10110010100111110110010111110110
+10110010100111010110010111110100
+10110010100110100110010111110010
+10110010100110000110010111110000
+10110010100101010110010111101111
+10110010100100110110010111101101
+10110010100100000110010111101011
+10110010100011100110010111101001
+10110010100010110110010111100111
+10110010100010010110010111100101
+10110010100001100110010111100011
+10110010100001000110010111100001
+10110010100000010110010111011111
+10110010011111110110010111011101
+10110010011111000110010111011011
+10110010011110100110010111011010
+10110010011101110110010111011000
+10110010011101010110010111010110
+10110010011100100110010111010100
+10110010011100000110010111010010
+10110010011011010110010111010000
+10110010011010110110010111001110
+10110010011010000110010111001100
+10110010011001100110010111001010
+10110010011000110110010111001000
+10110010011000010110010111000111
+10110010010111100110010111000101
+10110010010111000110010111000011
+10110010010110010110010111000001
+10110010010101110110010110111111
+10110010010101000110010110111101
+10110010010100100110010110111011
+10110010010011110110010110111001
+10110010010011010110010110110111
+10110010010010100110010110110101
+10110010010010000110010110110011
+10110010010001010110010110110010
+10110010010000110110010110110000
+10110010010000000110010110101110
+10110010001111100110010110101100
+10110010001110110110010110101010
+10110010001110010110010110101000
+10110010001101100110010110100110
+10110010001101000110010110100100
+10110010001100010110010110100010
+10110010001011110110010110100000
+10110010001011000110010110011110
+10110010001010100110010110011101
+10110010001001110110010110011011
+10110010001001010110010110011001
+10110010001000100110010110010111
+10110010001000000110010110010101
+10110010000111010110010110010011
+10110010000110110110010110010001
+10110010000110000110010110001111
+10110010000101100110010110001101
+10110010000100110110010110001011
+10110010000100010110010110001001
+10110010000011110110010110001000
+10110010000011000110010110000110
+10110010000010100110010110000100
+10110010000001110110010110000010
+10110010000001010110010110000000
+10110010000000100110010101111110
+10110010000000000110010101111100
+10110001111111010110010101111010
+10110001111110110110010101111000
+10110001111110000110010101110110
+10110001111101100110010101110100
+10110001111100110110010101110010
+10110001111100010110010101110001
+10110001111011100110010101101111
+10110001111011000110010101101101
+10110001111010010110010101101011
+10110001111001110110010101101001
+10110001111001000110010101100111
+10110001111000100110010101100101
+10110001110111110110010101100011
+10110001110111010110010101100001
+10110001110110100110010101011111
+10110001110110000110010101011101
+10110001110101010110010101011011
+10110001110100110110010101011010
+10110001110100000110010101011000
+10110001110011100110010101010110
+10110001110010110110010101010100
+10110001110010010110010101010010
+10110001110001100110010101010000
+10110001110001000110010101001110
+10110001110000010110010101001100
+10110001101111110110010101001010
+10110001101111000110010101001000
+10110001101110100110010101000110
+10110001101101110110010101000100
+10110001101101010110010101000011
+10110001101100100110010101000001
+10110001101100000110010100111111
+10110001101011010110010100111101
+10110001101010110110010100111011
+10110001101010000110010100111001
+10110001101001100110010100110111
+10110001101001000110010100110101
+10110001101000010110010100110011
+10110001100111110110010100110001
+10110001100111000110010100101111
+10110001100110100110010100101101
+10110001100101110110010100101011
+10110001100101010110010100101010
+10110001100100100110010100101000
+10110001100100000110010100100110
+10110001100011010110010100100100
+10110001100010110110010100100010
+10110001100010000110010100100000
+10110001100001100110010100011110
+10110001100000110110010100011100
+10110001100000010110010100011010
+10110001011111100110010100011000
+10110001011111000110010100010110
+10110001011110010110010100010100
+10110001011101110110010100010010
+10110001011101000110010100010000
+10110001011100100110010100001111
+10110001011011110110010100001101
+10110001011011010110010100001011
+10110001011010100110010100001001
+10110001011010000110010100000111
+10110001011001010110010100000101
+10110001011000110110010100000011
+10110001011000010110010100000001
+10110001010111100110010011111111
+10110001010111000110010011111101
+10110001010110010110010011111011
+10110001010101110110010011111001
+10110001010101000110010011110111
+10110001010100100110010011110101
+10110001010011110110010011110100
+10110001010011010110010011110010
+10110001010010100110010011110000
+10110001010010000110010011101110
+10110001010001010110010011101100
+10110001010000110110010011101010
+10110001010000000110010011101000
+10110001001111100110010011100110
+10110001001110110110010011100100
+10110001001110010110010011100010
+10110001001101100110010011100000
+10110001001101000110010011011110
+10110001001100010110010011011100
+10110001001011110110010011011010
+10110001001011010110010011011000
+10110001001010100110010011010111
+10110001001010000110010011010101
+10110001001001010110010011010011
+10110001001000110110010011010001
+10110001001000000110010011001111
+10110001000111100110010011001101
+10110001000110110110010011001011
+10110001000110010110010011001001
+10110001000101100110010011000111
+10110001000101000110010011000101
+10110001000100010110010011000011
+10110001000011110110010011000001
+10110001000011000110010010111111
+10110001000010100110010010111101
+10110001000001110110010010111011
+10110001000001010110010010111010
+10110001000000100110010010111000
+10110001000000000110010010110110
+10110000111111100110010010110100
+10110000111110110110010010110010
+10110000111110010110010010110000
+10110000111101100110010010101110
+10110000111101000110010010101100
+10110000111100010110010010101010
+10110000111011110110010010101000
+10110000111011000110010010100110
+10110000111010100110010010100100
+10110000111001110110010010100010
+10110000111001010110010010100000
+10110000111000100110010010011110
+10110000111000000110010010011100
+10110000110111010110010010011010
+10110000110110110110010010011001
+10110000110110000110010010010111
+10110000110101100110010010010101
+10110000110101000110010010010011
+10110000110100010110010010010001
+10110000110011110110010010001111
+10110000110011000110010010001101
+10110000110010100110010010001011
+10110000110001110110010010001001
+10110000110001010110010010000111
+10110000110000100110010010000101
+10110000110000000110010010000011
+10110000101111010110010010000001
+10110000101110110110010001111111
+10110000101110000110010001111101
+10110000101101100110010001111011
+10110000101100110110010001111001
+10110000101100010110010001110111
+10110000101011110110010001110110
+10110000101011000110010001110100
+10110000101010100110010001110010
+10110000101001110110010001110000
+10110000101001010110010001101110
+10110000101000100110010001101100
+10110000101000000110010001101010
+10110000100111010110010001101000
+10110000100110110110010001100110
+10110000100110000110010001100100
+10110000100101100110010001100010
+10110000100100110110010001100000
+10110000100100010110010001011110
+10110000100011100110010001011100
+10110000100011000110010001011010
+10110000100010100110010001011000
+10110000100001110110010001010110
+10110000100001010110010001010100
+10110000100000100110010001010010
+10110000100000000110010001010000
+10110000011111010110010001001111
+10110000011110110110010001001101
+10110000011110000110010001001011
+10110000011101100110010001001001
+10110000011100110110010001000111
+10110000011100010110010001000101
+10110000011011100110010001000011
+10110000011011000110010001000001
+10110000011010100110010000111111
+10110000011001110110010000111101
+10110000011001010110010000111011
+10110000011000100110010000111001
+10110000011000000110010000110111
+10110000010111010110010000110101
+10110000010110110110010000110011
+10110000010110000110010000110001
+10110000010101100110010000101111
+10110000010100110110010000101101
+10110000010100010110010000101011
+10110000010011110110010000101001
+10110000010011000110010000100111
+10110000010010100110010000100110
+10110000010001110110010000100100
+10110000010001010110010000100010
+10110000010000100110010000100000
+10110000010000000110010000011110
+10110000001111010110010000011100
+10110000001110110110010000011010
+10110000001110000110010000011000
+10110000001101100110010000010110
+10110000001100110110010000010100
+10110000001100010110010000010010
+10110000001011110110010000010000
+10110000001011000110010000001110
+10110000001010100110010000001100
+10110000001001110110010000001010
+10110000001001010110010000001000
+10110000001000100110010000000110
+10110000001000000110010000000100
+10110000000111010110010000000010
+10110000000110110110010000000000
+10110000000110000110001111111110
+10110000000101100110001111111100
+10110000000101000110001111111010
+10110000000100010110001111111000
+10110000000011110110001111110110
+10110000000011000110001111110101
+10110000000010100110001111110011
+10110000000001110110001111110001
+10110000000001010110001111101111
+10110000000000100110001111101101
+10110000000000000110001111101011
+10101111111111010110001111101001
+10101111111110110110001111100111
+10101111111110010110001111100101
+10101111111101100110001111100011
+10101111111101000110001111100001
+10101111111100010110001111011111
+10101111111011110110001111011101
+10101111111011000110001111011011
+10101111111010100110001111011001
+10101111111001110110001111010111
+10101111111001010110001111010101
+10101111111000110110001111010011
+10101111111000000110001111010001
+10101111110111100110001111001111
+10101111110110110110001111001101
+10101111110110010110001111001011
+10101111110101100110001111001001
+10101111110101000110001111000111
+10101111110100010110001111000101
+10101111110011110110001111000011
+10101111110011000110001111000001
+10101111110010100110001110111111
+10101111110010000110001110111101
+10101111110001010110001110111100
+10101111110000110110001110111010
+10101111110000000110001110111000
+10101111101111100110001110110110
+10101111101110110110001110110100
+10101111101110010110001110110010
+10101111101101100110001110110000
+10101111101101000110001110101110
+10101111101100100110001110101100
+10101111101011110110001110101010
+10101111101011010110001110101000
+10101111101010100110001110100110
+10101111101010000110001110100100
+10101111101001010110001110100010
+10101111101000110110001110100000
+10101111101000000110001110011110
+10101111100111100110001110011100
+10101111100111000110001110011010
+10101111100110010110001110011000
+10101111100101110110001110010110
+10101111100101000110001110010100
+10101111100100100110001110010010
+10101111100011110110001110010000
+10101111100011010110001110001110
+10101111100010100110001110001100
+10101111100010000110001110001010
+10101111100001100110001110001000
+10101111100000110110001110000110
+10101111100000010110001110000100
+10101111011111100110001110000010
+10101111011111000110001110000000
+10101111011110010110001101111110
+10101111011101110110001101111100
+10101111011101000110001101111010
+10101111011100100110001101111000
+10101111011100000110001101110110
+10101111011011010110001101110100
+10101111011010110110001101110011
+10101111011010000110001101110001
+10101111011001100110001101101111
+10101111011000110110001101101101
+10101111011000010110001101101011
+10101111010111110110001101101001
+10101111010111000110001101100111
+10101111010110100110001101100101
+10101111010101110110001101100011
+10101111010101010110001101100001
+10101111010100100110001101011111
+10101111010100000110001101011101
+10101111010011010110001101011011
+10101111010010110110001101011001
+10101111010010010110001101010111
+10101111010001100110001101010101
+10101111010001000110001101010011
+10101111010000010110001101010001
+10101111001111110110001101001111
+10101111001111000110001101001101
+10101111001110100110001101001011
+10101111001110000110001101001001
+10101111001101010110001101000111
+10101111001100110110001101000101
+10101111001100000110001101000011
+10101111001011100110001101000001
+10101111001010110110001100111111
+10101111001010010110001100111101
+10101111001001100110001100111011
+10101111001001000110001100111001
+10101111001000100110001100110111
+10101111000111110110001100110101
+10101111000111010110001100110011
+10101111000110100110001100110001
+10101111000110000110001100101111
+10101111000101010110001100101101
+10101111000100110110001100101011
+10101111000100010110001100101001
+10101111000011100110001100100111
+10101111000011000110001100100101
+10101111000010010110001100100011
+10101111000001110110001100100001
+10101111000001000110001100011111
+10101111000000100110001100011101
+10101111000000000110001100011011
+10101110111111010110001100011001
+10101110111110110110001100010111
+10101110111110000110001100010101
+10101110111101100110001100010011
+10101110111100110110001100010001
+10101110111100010110001100001111
+10101110111011100110001100001101
+10101110111011000110001100001011
+10101110111010100110001100001001
+10101110111001110110001100000111
+10101110111001010110001100000101
+10101110111000100110001100000011
+10101110111000000110001100000001
+10101110110111010110001011111111
+10101110110110110110001011111101
+10101110110110010110001011111011
+10101110110101100110001011111001
+10101110110101000110001011110111
+10101110110100010110001011110101
+10101110110011110110001011110011
+10101110110011000110001011110001
+10101110110010100110001011101111
+10101110110010000110001011101101
+10101110110001010110001011101011
+10101110110000110110001011101001
+10101110110000000110001011100111
+10101110101111100110001011100101
+10101110101110110110001011100100
+10101110101110010110001011100010
+10101110101101110110001011100000
+10101110101101000110001011011110
+10101110101100100110001011011100
+10101110101011110110001011011010
+10101110101011010110001011011000
+10101110101010100110001011010110
+10101110101010000110001011010100
+10101110101001100110001011010010
+10101110101000110110001011010000
+10101110101000010110001011001110
+10101110100111100110001011001100
+10101110100111000110001011001010
+10101110100110100110001011001000
+10101110100101110110001011000110
+10101110100101010110001011000100
+10101110100100100110001011000010
+10101110100100000110001011000000
+10101110100011010110001010111110
+10101110100010110110001010111100
+10101110100010010110001010111010
+10101110100001100110001010111000
+10101110100001000110001010110110
+10101110100000010110001010110100
+10101110011111110110001010110010
+10101110011111000110001010110000
+10101110011110100110001010101110
+10101110011110000110001010101100
+10101110011101010110001010101010
+10101110011100110110001010101000
+10101110011100000110001010100110
+10101110011011100110001010100100
+10101110011010110110001010100010
+10101110011010010110001010100000
+10101110011001110110001010011110
+10101110011001000110001010011100
+10101110011000100110001010011010
+10101110010111110110001010011000
+10101110010111010110001010010110
+10101110010110110110001010010100
+10101110010110000110001010010010
+10101110010101100110001010010000
+10101110010100110110001010001110
+10101110010100010110001010001100
+10101110010011100110001010001010
+10101110010011000110001010001000
+10101110010010100110001010000110
+10101110010001110110001010000100
+10101110010001010110001010000001
+10101110010000100110001001111111
+10101110010000000110001001111101
+10101110001111100110001001111011
+10101110001110110110001001111001
+10101110001110010110001001110111
+10101110001101100110001001110101
+10101110001101000110001001110011
+10101110001100010110001001110001
+10101110001011110110001001101111
+10101110001011010110001001101101
+10101110001010100110001001101011
+10101110001010000110001001101001
+10101110001001010110001001100111
+10101110001000110110001001100101
+10101110001000010110001001100011
+10101110000111100110001001100001
+10101110000111000110001001011111
+10101110000110010110001001011101
+10101110000101110110001001011011
+10101110000101000110001001011001
+10101110000100100110001001010111
+10101110000100000110001001010101
+10101110000011010110001001010011
+10101110000010110110001001010001
+10101110000010000110001001001111
+10101110000001100110001001001101
+10101110000001000110001001001011
+10101110000000010110001001001001
+10101101111111110110001001000111
+10101101111111000110001001000101
+10101101111110100110001001000011
+10101101111110000110001001000001
+10101101111101010110001000111111
+10101101111100110110001000111101
+10101101111100000110001000111011
+10101101111011100110001000111001
+10101101111010110110001000110111
+10101101111010010110001000110101
+10101101111001110110001000110011
+10101101111001000110001000110001
+10101101111000100110001000101111
+10101101110111110110001000101101
+10101101110111010110001000101011
+10101101110110110110001000101001
+10101101110110000110001000100111
+10101101110101100110001000100101
+10101101110100110110001000100011
+10101101110100010110001000100001
+10101101110011110110001000011111
+10101101110011000110001000011101
+10101101110010100110001000011011
+10101101110001110110001000011001
+10101101110001010110001000010111
+10101101110000110110001000010101
+10101101110000000110001000010011
+10101101101111100110001000010001
+10101101101110110110001000001111
+10101101101110010110001000001101
+10101101101101100110001000001011
+10101101101101000110001000001001
+10101101101100100110001000000111
+10101101101011110110001000000101
+10101101101011010110001000000011
+10101101101010100110001000000001
+10101101101010000110000111111111
+10101101101001100110000111111101
+10101101101000110110000111111011
+10101101101000010110000111111001
+10101101100111100110000111110111
+10101101100111000110000111110101
+10101101100110100110000111110010
+10101101100101110110000111110000
+10101101100101010110000111101110
+10101101100100100110000111101100
+10101101100100000110000111101010
+10101101100011100110000111101000
+10101101100010110110000111100110
+10101101100010010110000111100100
+10101101100001100110000111100010
+10101101100001000110000111100000
+10101101100000100110000111011110
+10101101011111110110000111011100
+10101101011111010110000111011010
+10101101011110100110000111011000
+10101101011110000110000111010110
+10101101011101100110000111010100
+10101101011100110110000111010010
+10101101011100010110000111010000
+10101101011011100110000111001110
+10101101011011000110000111001100
+10101101011010100110000111001010
+10101101011001110110000111001000
+10101101011001010110000111000110
+10101101011000100110000111000100
+10101101011000000110000111000010
+10101101010111100110000111000000
+10101101010110110110000110111110
+10101101010110010110000110111100
+10101101010101100110000110111010
+10101101010101000110000110111000
+10101101010100100110000110110110
+10101101010011110110000110110100
+10101101010011010110000110110010
+10101101010010100110000110110000
+10101101010010000110000110101110
+10101101010001100110000110101100
+10101101010000110110000110101010
+10101101010000010110000110101000
+10101101001111100110000110100101
+10101101001111000110000110100011
+10101101001110100110000110100001
+10101101001101110110000110011111
+10101101001101010110000110011101
+10101101001100100110000110011011
+10101101001100000110000110011001
+10101101001011100110000110010111
+10101101001010110110000110010101
+10101101001010010110000110010011
+10101101001001100110000110010001
+10101101001001000110000110001111
+10101101001000100110000110001101
+10101101000111110110000110001011
+10101101000111010110000110001001
+10101101000110100110000110000111
+10101101000110000110000110000101
+10101101000101100110000110000011
+10101101000100110110000110000001
+10101101000100010110000101111111
+10101101000011110110000101111101
+10101101000011000110000101111011
+10101101000010100110000101111001
+10101101000001110110000101110111
+10101101000001010110000101110101
+10101101000000110110000101110011
+10101101000000000110000101110001
+10101100111111100110000101101111
+10101100111110110110000101101101
+10101100111110010110000101101010
+10101100111101110110000101101000
+10101100111101000110000101100110
+10101100111100100110000101100100
+10101100111011110110000101100010
+10101100111011010110000101100000
+10101100111010110110000101011110
+10101100111010000110000101011100
+10101100111001100110000101011010
+10101100111000110110000101011000
+10101100111000010110000101010110
+10101100110111110110000101010100
+10101100110111000110000101010010
+10101100110110100110000101010000
+10101100110110000110000101001110
+10101100110101010110000101001100
+10101100110100110110000101001010
+10101100110100000110000101001000
+10101100110011100110000101000110
+10101100110011000110000101000100
+10101100110010010110000101000010
+10101100110001110110000101000000
+10101100110001000110000100111110
+10101100110000100110000100111100
+10101100110000000110000100111010
+10101100101111010110000100110111
+10101100101110110110000100110101
+10101100101110010110000100110011
+10101100101101100110000100110001
+10101100101101000110000100101111
+10101100101100010110000100101101
+10101100101011110110000100101011
+10101100101011010110000100101001
+10101100101010100110000100100111
+10101100101010000110000100100101
+10101100101001010110000100100011
+10101100101000110110000100100001
+10101100101000010110000100011111
+10101100100111100110000100011101
+10101100100111000110000100011011
+10101100100110100110000100011001
+10101100100101110110000100010111
+10101100100101010110000100010101
+10101100100100100110000100010011
+10101100100100000110000100010001
+10101100100011100110000100001111
+10101100100010110110000100001101
+10101100100010010110000100001010
+10101100100001100110000100001000
+10101100100001000110000100000110
+10101100100000100110000100000100
+10101100011111110110000100000010
+10101100011111010110000100000000
+10101100011110110110000011111110
+10101100011110000110000011111100
+10101100011101100110000011111010
+10101100011100110110000011111000
+10101100011100010110000011110110
+10101100011011110110000011110100
+10101100011011000110000011110010
+10101100011010100110000011110000
+10101100011010000110000011101110
+10101100011001010110000011101100
+10101100011000110110000011101010
+10101100011000000110000011101000
+10101100010111100110000011100110
+10101100010111000110000011100011
+10101100010110010110000011100001
+10101100010101110110000011011111
+10101100010101000110000011011101
+10101100010100100110000011011011
+10101100010100000110000011011001
+10101100010011010110000011010111
+10101100010010110110000011010101
+10101100010010010110000011010011
+10101100010001100110000011010001
+10101100010001000110000011001111
+10101100010000010110000011001101
+10101100001111110110000011001011
+10101100001111010110000011001001
+10101100001110100110000011000111
+10101100001110000110000011000101
+10101100001101100110000011000011
+10101100001100110110000011000001
+10101100001100010110000010111111
+10101100001011100110000010111100
+10101100001011000110000010111010
+10101100001010100110000010111000
+10101100001001110110000010110110
+10101100001001010110000010110100
+10101100001000110110000010110010
+10101100001000000110000010110000
+10101100000111100110000010101110
+10101100000110110110000010101100
+10101100000110010110000010101010
+10101100000101110110000010101000
+10101100000101000110000010100110
+10101100000100100110000010100100
+10101100000100000110000010100010
+10101100000011010110000010100000
+10101100000010110110000010011110
+10101100000010010110000010011100
+10101100000001100110000010011001
+10101100000001000110000010010111
+10101100000000010110000010010101
+10101011111111110110000010010011
+10101011111111010110000010010001
+10101011111110100110000010001111
+10101011111110000110000010001101
+10101011111101100110000010001011
+10101011111100110110000010001001
+10101011111100010110000010000111
+10101011111011100110000010000101
+10101011111011000110000010000011
+10101011111010100110000010000001
+10101011111001110110000001111111
+10101011111001010110000001111101
+10101011111000110110000001111011
+10101011111000000110000001111000
+10101011110111100110000001110110
+10101011110111000110000001110100
+10101011110110010110000001110010
+10101011110101110110000001110000
+10101011110101000110000001101110
+10101011110100100110000001101100
+10101011110100000110000001101010
+10101011110011010110000001101000
+10101011110010110110000001100110
+10101011110010010110000001100100
+10101011110001100110000001100010
+10101011110001000110000001100000
+10101011110000010110000001011110
+10101011101111110110000001011100
+10101011101111010110000001011001
+10101011101110100110000001010111
+10101011101110000110000001010101
+10101011101101100110000001010011
+10101011101100110110000001010001
+10101011101100010110000001001111
+10101011101011110110000001001101
+10101011101011000110000001001011
+10101011101010100110000001001001
+10101011101001110110000001000111
+10101011101001010110000001000101
+10101011101000110110000001000011
+10101011101000000110000001000001
+10101011100111100110000000111111
+10101011100111000110000000111100
+10101011100110010110000000111010
+10101011100101110110000000111000
+10101011100101010110000000110110
+10101011100100100110000000110100
+10101011100100000110000000110010
+10101011100011010110000000110000
+10101011100010110110000000101110
+10101011100010010110000000101100
+10101011100001100110000000101010
+10101011100001000110000000101000
+10101011100000100110000000100110
+10101011011111110110000000100100
+10101011011111010110000000100010
+10101011011110110110000000011111
+10101011011110000110000000011101
+10101011011101100110000000011011
+10101011011101000110000000011001
+10101011011100010110000000010111
+10101011011011110110000000010101
+10101011011011000110000000010011
+10101011011010100110000000010001
+10101011011010000110000000001111
+10101011011001010110000000001101
+10101011011000110110000000001011
+10101011011000010110000000001001
+10101011010111100110000000000111
+10101011010111000110000000000100
+10101011010110100110000000000010
+10101011010101110110000000000000
+10101011010101010101111111111110
+10101011010100110101111111111100
+10101011010100000101111111111010
+10101011010011100101111111111000
+10101011010010110101111111110110
+10101011010010010101111111110100
+10101011010001110101111111110010
+10101011010001000101111111110000
+10101011010000100101111111101110
+10101011010000000101111111101100
+10101011001111010101111111101001
+10101011001110110101111111100111
+10101011001110010101111111100101
+10101011001101100101111111100011
+10101011001101000101111111100001
+10101011001100100101111111011111
+10101011001011110101111111011101
+10101011001011010101111111011011
+10101011001010110101111111011001
+10101011001010000101111111010111
+10101011001001100101111111010101
+10101011001000110101111111010011
+10101011001000010101111111010000
+10101011000111110101111111001110
+10101011000111000101111111001100
+10101011000110100101111111001010
+10101011000110000101111111001000
+10101011000101010101111111000110
+10101011000100110101111111000100
+10101011000100010101111111000010
+10101011000011100101111111000000
+10101011000011000101111110111110
+10101011000010100101111110111100
+10101011000001110101111110111010
+10101011000001010101111110110111
+10101011000000110101111110110101
+10101011000000000101111110110011
+10101010111111100101111110110001
+10101010111111000101111110101111
+10101010111110010101111110101101
+10101010111101110101111110101011
+10101010111101000101111110101001
+10101010111100100101111110100111
+10101010111100000101111110100101
+10101010111011010101111110100011
+10101010111010110101111110100000
+10101010111010010101111110011110
+10101010111001100101111110011100
+10101010111001000101111110011010
+10101010111000100101111110011000
+10101010110111110101111110010110
+10101010110111010101111110010100
+10101010110110110101111110010010
+10101010110110000101111110010000
+10101010110101100101111110001110
+10101010110101000101111110001100
+10101010110100010101111110001010
+10101010110011110101111110000111
+10101010110011010101111110000101
+10101010110010100101111110000011
+10101010110010000101111110000001
+10101010110001100101111101111111
+10101010110000110101111101111101
+10101010110000010101111101111011
+10101010101111110101111101111001
+10101010101111000101111101110111
+10101010101110100101111101110101
+10101010101101110101111101110011
+10101010101101010101111101110000
+10101010101100110101111101101110
+10101010101100000101111101101100
+10101010101011100101111101101010
+10101010101011000101111101101000
+10101010101010010101111101100110
+10101010101001110101111101100100
+10101010101001010101111101100010
+10101010101000100101111101100000
+10101010101000000101111101011110
+10101010100111100101111101011011
+10101010100110110101111101011001
+10101010100110010101111101010111
+10101010100101110101111101010101
+10101010100101000101111101010011
+10101010100100100101111101010001
+10101010100100000101111101001111
+10101010100011010101111101001101
+10101010100010110101111101001011
+10101010100010010101111101001001
+10101010100001100101111101000111
+10101010100001000101111101000100
+10101010100000100101111101000010
+10101010011111110101111101000000
+10101010011111010101111100111110
+10101010011110110101111100111100
+10101010011110000101111100111010
+10101010011101100101111100111000
+10101010011101000101111100110110
+10101010011100010101111100110100
+10101010011011110101111100110010
+10101010011011010101111100101111
+10101010011010100101111100101101
+10101010011010000101111100101011
+10101010011001100101111100101001
+10101010011000110101111100100111
+10101010011000010101111100100101
+10101010010111110101111100100011
+10101010010111000101111100100001
+10101010010110100101111100011111
+10101010010110000101111100011101
+10101010010101010101111100011010
+10101010010100110101111100011000
+10101010010100010101111100010110
+10101010010011100101111100010100
+10101010010011000101111100010010
+10101010010010100101111100010000
+10101010010001110101111100001110
+10101010010001010101111100001100
+10101010010000110101111100001010
+10101010010000000101111100000111
+10101010001111100101111100000101
+10101010001111000101111100000011
+10101010001110010101111100000001
+10101010001101110101111011111111
+10101010001101010101111011111101
+10101010001100100101111011111011
+10101010001100000101111011111001
+10101010001011100101111011110111
+10101010001010110101111011110101
+10101010001010010101111011110010
+10101010001001110101111011110000
+10101010001001000101111011101110
+10101010001000100101111011101100
+10101010001000000101111011101010
+10101010000111010101111011101000
+10101010000110110101111011100110
+10101010000110010101111011100100
+10101010000101100101111011100010
+10101010000101000101111011011111
+10101010000100100101111011011101
+10101010000011110101111011011011
+10101010000011010101111011011001
+10101010000010110101111011010111
+10101010000010000101111011010101
+10101010000001100101111011010011
+10101010000001000101111011010001
+10101010000000010101111011001111
+10101001111111110101111011001100
+10101001111111010101111011001010
+10101001111110100101111011001000
+10101001111110000101111011000110
+10101001111101100101111011000100
+10101001111100110101111011000010
+10101001111100010101111011000000
+10101001111011110101111010111110
+10101001111011000101111010111100
+10101001111010100101111010111001
+10101001111010000101111010110111
+10101001111001010101111010110101
+10101001111000110101111010110011
+10101001111000010101111010110001
+10101001110111110101111010101111
+10101001110111000101111010101101
+10101001110110100101111010101011
+10101001110110000101111010101001
+10101001110101010101111010100110
+10101001110100110101111010100100
+10101001110100010101111010100010
+10101001110011100101111010100000
+10101001110011000101111010011110
+10101001110010100101111010011100
+10101001110001110101111010011010
+10101001110001010101111010011000
+10101001110000110101111010010101
+10101001110000000101111010010011
+10101001101111100101111010010001
+10101001101111000101111010001111
+10101001101110010101111010001101
+10101001101101110101111010001011
+10101001101101010101111010001001
+10101001101100100101111010000111
+10101001101100000101111010000101
+10101001101011100101111010000010
+10101001101010110101111010000000
+10101001101010010101111001111110
+10101001101001110101111001111100
+10101001101001000101111001111010
+10101001101000100101111001111000
+10101001101000000101111001110110
+10101001100111100101111001110100
+10101001100110110101111001110001
+10101001100110010101111001101111
+10101001100101110101111001101101
+10101001100101000101111001101011
+10101001100100100101111001101001
+10101001100100000101111001100111
+10101001100011010101111001100101
+10101001100010110101111001100011
+10101001100010010101111001100001
+10101001100001100101111001011110
+10101001100001000101111001011100
+10101001100000100101111001011010
+10101001011111110101111001011000
+10101001011111010101111001010110
+10101001011110110101111001010100
+10101001011110000101111001010010
+10101001011101100101111001010000
+10101001011101000101111001001101
+10101001011100100101111001001011
+10101001011011110101111001001001
+10101001011011010101111001000111
+10101001011010110101111001000101
+10101001011010000101111001000011
+10101001011001100101111001000001
+10101001011001000101111000111111
+10101001011000010101111000111100
+10101001010111110101111000111010
+10101001010111010101111000111000
+10101001010110100101111000110110
+10101001010110000101111000110100
+10101001010101100101111000110010
+10101001010100110101111000110000
+10101001010100010101111000101110
+10101001010011110101111000101011
+10101001010011010101111000101001
+10101001010010100101111000100111
+10101001010010000101111000100101
+10101001010001100101111000100011
+10101001010000110101111000100001
+10101001010000010101111000011111
+10101001001111110101111000011100
+10101001001111000101111000011010
+10101001001110100101111000011000
+10101001001110000101111000010110
+10101001001101010101111000010100
+10101001001100110101111000010010
+10101001001100010101111000010000
+10101001001011100101111000001110
+10101001001011000101111000001011
+10101001001010100101111000001001
+10101001001010000101111000000111
+10101001001001010101111000000101
+10101001001000110101111000000011
+10101001001000010101111000000001
+10101001000111100101110111111111
+10101001000111000101110111111101
+10101001000110100101110111111010
+10101001000101110101110111111000
+10101001000101010101110111110110
+10101001000100110101110111110100
+10101001000100000101110111110010
+10101001000011100101110111110000
+10101001000011000101110111101110
+10101001000010100101110111101011
+10101001000001110101110111101001
+10101001000001010101110111100111
+10101001000000110101110111100101
+10101001000000000101110111100011
+10101000111111100101110111100001
+10101000111111000101110111011111
+10101000111110010101110111011101
+10101000111101110101110111011010
+10101000111101010101110111011000
+10101000111100110101110111010110
+10101000111100000101110111010100
+10101000111011100101110111010010
+10101000111011000101110111010000
+10101000111010010101110111001110
+10101000111001110101110111001011
+10101000111001010101110111001001
+10101000111000100101110111000111
+10101000111000000101110111000101
+10101000110111100101110111000011
+10101000110111000101110111000001
+10101000110110010101110110111111
+10101000110101110101110110111100
+10101000110101010101110110111010
+10101000110100100101110110111000
+10101000110100000101110110110110
+10101000110011100101110110110100
+10101000110010110101110110110010
+10101000110010010101110110110000
+10101000110001110101110110101101
+10101000110001010101110110101011
+10101000110000100101110110101001
+10101000110000000101110110100111
+10101000101111100101110110100101
+10101000101110110101110110100011
+10101000101110010101110110100001
+10101000101101110101110110011110
+10101000101101000101110110011100
+10101000101100100101110110011010
+10101000101100000101110110011000
+10101000101011100101110110010110
+10101000101010110101110110010100
+10101000101010010101110110010010
+10101000101001110101110110001111
+10101000101001000101110110001101
+10101000101000100101110110001011
+10101000101000000101110110001001
+10101000100111010101110110000111
+10101000100110110101110110000101
+10101000100110010101110110000011
+10101000100101110101110110000000
+10101000100101000101110101111110
+10101000100100100101110101111100
+10101000100100000101110101111010
+10101000100011010101110101111000
+10101000100010110101110101110110
+10101000100010010101110101110100
+10101000100001110101110101110001
+10101000100001000101110101101111
+10101000100000100101110101101101
+10101000100000000101110101101011
+10101000011111010101110101101001
+10101000011110110101110101100111
+10101000011110010101110101100101
+10101000011101100101110101100010
+10101000011101000101110101100000
+10101000011100100101110101011110
+10101000011100000101110101011100
+10101000011011010101110101011010
+10101000011010110101110101011000
+10101000011010010101110101010110
+10101000011001100101110101010011
+10101000011001000101110101010001
+10101000011000100101110101001111
+10101000011000000101110101001101
+10101000010111010101110101001011
+10101000010110110101110101001001
+10101000010110010101110101000110
+10101000010101100101110101000100
+10101000010101000101110101000010
+10101000010100100101110101000000
+10101000010100000101110100111110
+10101000010011010101110100111100
+10101000010010110101110100111010
+10101000010010010101110100110111
+10101000010001100101110100110101
+10101000010001000101110100110011
+10101000010000100101110100110001
+10101000010000000101110100101111
+10101000001111010101110100101101
+10101000001110110101110100101010
+10101000001110010101110100101000
+10101000001101100101110100100110
+10101000001101000101110100100100
+10101000001100100101110100100010
+10101000001100000101110100100000
+10101000001011010101110100011110
+10101000001010110101110100011011
+10101000001010010101110100011001
+10101000001001100101110100010111
+10101000001001000101110100010101
+10101000001000100101110100010011
+10101000001000000101110100010001
+10101000000111010101110100001110
+10101000000110110101110100001100
+10101000000110010101110100001010
+10101000000101100101110100001000
+10101000000101000101110100000110
+10101000000100100101110100000100
+10101000000100000101110100000010
+10101000000011010101110011111111
+10101000000010110101110011111101
+10101000000010010101110011111011
+10101000000001100101110011111001
+10101000000001000101110011110111
+10101000000000100101110011110101
+10101000000000000101110011110010
+10100111111111010101110011110000
+10100111111110110101110011101110
+10100111111110010101110011101100
+10100111111101100101110011101010
+10100111111101000101110011101000
+10100111111100100101110011100101
+10100111111100000101110011100011
+10100111111011010101110011100001
+10100111111010110101110011011111
+10100111111010010101110011011101
+10100111111001110101110011011011
+10100111111001000101110011011000
+10100111111000100101110011010110
+10100111111000000101110011010100
+10100111110111010101110011010010
+10100111110110110101110011010000
+10100111110110010101110011001110
+10100111110101110101110011001011
+10100111110101000101110011001001
+10100111110100100101110011000111
+10100111110100000101110011000101
+10100111110011010101110011000011
+10100111110010110101110011000001
+10100111110010010101110010111111
+10100111110001110101110010111100
+10100111110001000101110010111010
+10100111110000100101110010111000
+10100111110000000101110010110110
+10100111101111100101110010110100
+10100111101110110101110010110010
+10100111101110010101110010101111
+10100111101101110101110010101101
+10100111101101000101110010101011
+10100111101100100101110010101001
+10100111101100000101110010100111
+10100111101011100101110010100101
+10100111101010110101110010100010
+10100111101010010101110010100000
+10100111101001110101110010011110
+10100111101001010101110010011100
+10100111101000100101110010011010
+10100111101000000101110010011000
+10100111100111100101110010010101
+10100111100110110101110010010011
+10100111100110010101110010010001
+10100111100101110101110010001111
+10100111100101010101110010001101
+10100111100100100101110010001010
+10100111100100000101110010001000
+10100111100011100101110010000110
+10100111100011000101110010000100
+10100111100010010101110010000010
+10100111100001110101110010000000
+10100111100001010101110001111101
+10100111100000100101110001111011
+10100111100000000101110001111001
+10100111011111100101110001110111
+10100111011111000101110001110101
+10100111011110010101110001110011
+10100111011101110101110001110000
+10100111011101010101110001101110
+10100111011100110101110001101100
+10100111011100000101110001101010
+10100111011011100101110001101000
+10100111011011000101110001100110
+10100111011010010101110001100011
+10100111011001110101110001100001
+10100111011001010101110001011111
+10100111011000110101110001011101
+10100111011000000101110001011011
+10100111010111100101110001011001
+10100111010111000101110001010110
+10100111010110100101110001010100
+10100111010101110101110001010010
+10100111010101010101110001010000
+10100111010100110101110001001110
+10100111010100010101110001001011
+10100111010011100101110001001001
+10100111010011000101110001000111
+10100111010010100101110001000101
+10100111010001110101110001000011
+10100111010001010101110001000001
+10100111010000110101110000111110
+10100111010000010101110000111100
+10100111001111100101110000111010
+10100111001111000101110000111000
+10100111001110100101110000110110
+10100111001110000101110000110011
+10100111001101010101110000110001
+10100111001100110101110000101111
+10100111001100010101110000101101
+10100111001011110101110000101011
+10100111001011000101110000101001
+10100111001010100101110000100110
+10100111001010000101110000100100
+10100111001001100101110000100010
+10100111001000110101110000100000
+10100111001000010101110000011110
+10100111000111110101110000011100
+10100111000111010101110000011001
+10100111000110100101110000010111
+10100111000110000101110000010101
+10100111000101100101110000010011
+10100111000100110101110000010001
+10100111000100010101110000001110
+10100111000011110101110000001100
+10100111000011010101110000001010
+10100111000010100101110000001000
+10100111000010000101110000000110
+10100111000001100101110000000100
+10100111000001000101110000000001
+10100111000000010101101111111111
+10100110111111110101101111111101
+10100110111111010101101111111011
+10100110111110110101101111111001
+10100110111110000101101111110110
+10100110111101100101101111110100
+10100110111101000101101111110010
+10100110111100100101101111110000
+10100110111011110101101111101110
+10100110111011010101101111101011
+10100110111010110101101111101001
+10100110111010010101101111100111
+10100110111001100101101111100101
+10100110111001000101101111100011
+10100110111000100101101111100001
+10100110111000000101101111011110
+10100110110111010101101111011100
+10100110110110110101101111011010
+10100110110110010101101111011000
+10100110110101110101101111010110
+10100110110101000101101111010011
+10100110110100100101101111010001
+10100110110100000101101111001111
+10100110110011100101101111001101
+10100110110010110101101111001011
+10100110110010010101101111001000
+10100110110001110101101111000110
+10100110110001010101101111000100
+10100110110000100101101111000010
+10100110110000000101101111000000
+10100110101111100101101110111110
+10100110101111000101101110111011
+10100110101110010101101110111001
+10100110101101110101101110110111
+10100110101101010101101110110101
+10100110101100100101101110110011
+10100110101100000101101110110000
+10100110101011100101101110101110
+10100110101011000101101110101100
+10100110101010010101101110101010
+10100110101001110101101110101000
+10100110101001010101101110100101
+10100110101000110101101110100011
+10100110101000010101101110100001
+10100110100111100101101110011111
+10100110100111000101101110011101
+10100110100110100101101110011010
+10100110100110000101101110011000
+10100110100101010101101110010110
+10100110100100110101101110010100
+10100110100100010101101110010010
+10100110100011110101101110001111
+10100110100011000101101110001101
+10100110100010100101101110001011
+10100110100010000101101110001001
+10100110100001100101101110000111
+10100110100000110101101110000100
+10100110100000010101101110000010
+10100110011111110101101110000000
+10100110011111010101101101111110
+10100110011110100101101101111100
+10100110011110000101101101111010
+10100110011101100101101101110111
+10100110011101000101101101110101
+10100110011100010101101101110011
+10100110011011110101101101110001
+10100110011011010101101101101111
+10100110011010110101101101101100
+10100110011010000101101101101010
+10100110011001100101101101101000
+10100110011001000101101101100110
+10100110011000100101101101100100
+10100110010111110101101101100001
+10100110010111010101101101011111
+10100110010110110101101101011101
+10100110010110010101101101011011
+10100110010101100101101101011001
+10100110010101000101101101010110
+10100110010100100101101101010100
+10100110010100000101101101010010
+10100110010011010101101101010000
+10100110010010110101101101001110
+10100110010010010101101101001011
+10100110010001110101101101001001
+10100110010001000101101101000111
+10100110010000100101101101000101
+10100110010000000101101101000011
+10100110001111100101101101000000
+10100110001111000101101100111110
+10100110001110010101101100111100
+10100110001101110101101100111010
+10100110001101010101101100110111
+10100110001100110101101100110101
+10100110001100000101101100110011
+10100110001011100101101100110001
+10100110001011000101101100101111
+10100110001010100101101100101100
+10100110001001110101101100101010
+10100110001001010101101100101000
+10100110001000110101101100100110
+10100110001000010101101100100100
+10100110000111100101101100100001
+10100110000111000101101100011111
+10100110000110100101101100011101
+10100110000110000101101100011011
+10100110000101010101101100011001
+10100110000100110101101100010110
+10100110000100010101101100010100
+10100110000011110101101100010010
+10100110000011010101101100010000
+10100110000010100101101100001110
+10100110000010000101101100001011
+10100110000001100101101100001001
+10100110000001000101101100000111
+10100110000000010101101100000101
+10100101111111110101101100000011
+10100101111111010101101100000000
+10100101111110110101101011111110
+10100101111110000101101011111100
+10100101111101100101101011111010
+10100101111101000101101011110111
+10100101111100100101101011110101
+10100101111100000101101011110011
+10100101111011010101101011110001
+10100101111010110101101011101111
+10100101111010010101101011101100
+10100101111001110101101011101010
+10100101111001000101101011101000
+10100101111000100101101011100110
+10100101111000000101101011100100
+10100101110111100101101011100001
+10100101110110110101101011011111
+10100101110110010101101011011101
+10100101110101110101101011011011
+10100101110101010101101011011001
+10100101110100110101101011010110
+10100101110100000101101011010100
+10100101110011100101101011010010
+10100101110011000101101011010000
+10100101110010100101101011001101
+10100101110001110101101011001011
+10100101110001010101101011001001
+10100101110000110101101011000111
+10100101110000010101101011000101
+10100101101111100101101011000010
+10100101101111000101101011000000
+10100101101110100101101010111110
+10100101101110000101101010111100
+10100101101101100101101010111010
+10100101101100110101101010110111
+10100101101100010101101010110101
+10100101101011110101101010110011
+10100101101011010101101010110001
+10100101101010100101101010101110
+10100101101010000101101010101100
+10100101101001100101101010101010
+10100101101001000101101010101000
+10100101101000100101101010100110
+10100101100111110101101010100011
+10100101100111010101101010100001
+10100101100110110101101010011111
+10100101100110010101101010011101
+10100101100101100101101010011010
+10100101100101000101101010011000
+10100101100100100101101010010110
+10100101100100000101101010010100
+10100101100011010101101010010010
+10100101100010110101101010001111
+10100101100010010101101010001101
+10100101100001110101101010001011
+10100101100001010101101010001001
+10100101100000100101101010000111
+10100101100000000101101010000100
+10100101011111100101101010000010
+10100101011111000101101010000000
+10100101011110010101101001111110
+10100101011101110101101001111011
+10100101011101010101101001111001
+10100101011100110101101001110111
+10100101011100010101101001110101
+10100101011011100101101001110011
+10100101011011000101101001110000
+10100101011010100101101001101110
+10100101011010000101101001101100
+10100101011001100101101001101010
+10100101011000110101101001100111
+10100101011000010101101001100101
+10100101010111110101101001100011
+10100101010111010101101001100001
+10100101010110100101101001011110
+10100101010110000101101001011100
+10100101010101100101101001011010
+10100101010101000101101001011000
+10100101010100100101101001010110
+10100101010011110101101001010011
+10100101010011010101101001010001
+10100101010010110101101001001111
+10100101010010010101101001001101
+10100101010001100101101001001010
+10100101010001000101101001001000
+10100101010000100101101001000110
+10100101010000000101101001000100
+10100101001111100101101001000010
+10100101001110110101101000111111
+10100101001110010101101000111101
+10100101001101110101101000111011
+10100101001101010101101000111001
+10100101001100110101101000110110
+10100101001100000101101000110100
+10100101001011100101101000110010
+10100101001011000101101000110000
+10100101001010100101101000101101
+10100101001001110101101000101011
+10100101001001010101101000101001
+10100101001000110101101000100111
+10100101001000010101101000100101
+10100101000111110101101000100010
+10100101000111000101101000100000
+10100101000110100101101000011110
+10100101000110000101101000011100
+10100101000101100101101000011001
+10100101000101000101101000010111
+10100101000100010101101000010101
+10100101000011110101101000010011
+10100101000011010101101000010000
+10100101000010110101101000001110
+10100101000010010101101000001100
+10100101000001100101101000001010
+10100101000001000101101000001000
+10100101000000100101101000000101
+10100101000000000101101000000011
+10100100111111010101101000000001
+10100100111110110101100111111111
+10100100111110010101100111111100
+10100100111101110101100111111010
+10100100111101010101100111111000
+10100100111100100101100111110110
+10100100111100000101100111110011
+10100100111011100101100111110001
+10100100111011000101100111101111
+10100100111010100101100111101101
+10100100111001110101100111101011
+10100100111001010101100111101000
+10100100111000110101100111100110
+10100100111000010101100111100100
+10100100110111110101100111100010
+10100100110111000101100111011111
+10100100110110100101100111011101
+10100100110110000101100111011011
+10100100110101100101100111011001
+10100100110101000101100111010110
+10100100110100010101100111010100
+10100100110011110101100111010010
+10100100110011010101100111010000
+10100100110010110101100111001101
+10100100110010010101100111001011
+10100100110001100101100111001001
+10100100110001000101100111000111
+10100100110000100101100111000100
+10100100110000000101100111000010
+10100100101111010101100111000000
+10100100101110110101100110111110
+10100100101110010101100110111100
+10100100101101110101100110111001
+10100100101101010101100110110111
+10100100101100100101100110110101
+10100100101100000101100110110011
+10100100101011100101100110110000
+10100100101011000101100110101110
+10100100101010100101100110101100
+10100100101001110101100110101010
+10100100101001010101100110100111
+10100100101000110101100110100101
+10100100101000010101100110100011
+10100100100111110101100110100001
+10100100100111000101100110011110
+10100100100110100101100110011100
+10100100100110000101100110011010
+10100100100101100101100110011000
+10100100100101000101100110010101
+10100100100100010101100110010011
+10100100100011110101100110010001
+10100100100011010101100110001111
+10100100100010110101100110001100
+10100100100010010101100110001010
+10100100100001100101100110001000
+10100100100001000101100110000110
+10100100100000100101100110000011
+10100100100000000101100110000001
+10100100011111100101100101111111
+10100100011111000101100101111101
+10100100011110010101100101111010
+10100100011101110101100101111000
+10100100011101010101100101110110
+10100100011100110101100101110100
+10100100011100010101100101110001
+10100100011011100101100101101111
+10100100011011000101100101101101
+10100100011010100101100101101011
+10100100011010000101100101101000
+10100100011001100101100101100110
+10100100011000110101100101100100
+10100100011000010101100101100010
+10100100010111110101100101011111
+10100100010111010101100101011101
+10100100010110110101100101011011
+10100100010110000101100101011001
+10100100010101100101100101010111
+10100100010101000101100101010100
+10100100010100100101100101010010
+10100100010100000101100101010000
+10100100010011010101100101001110
+10100100010010110101100101001011
+10100100010010010101100101001001
+10100100010001110101100101000111
+10100100010001010101100101000100
+10100100010000100101100101000010
+10100100010000000101100101000000
+10100100001111100101100100111110
+10100100001111000101100100111011
+10100100001110100101100100111001
+10100100001110000101100100110111
+10100100001101010101100100110101
+10100100001100110101100100110010
+10100100001100010101100100110000
+10100100001011110101100100101110
+10100100001011010101100100101100
+10100100001010100101100100101001
+10100100001010000101100100100111
+10100100001001100101100100100101
+10100100001001000101100100100011
+10100100001000100101100100100000
+10100100000111110101100100011110
+10100100000111010101100100011100
+10100100000110110101100100011010
+10100100000110010101100100010111
+10100100000101110101100100010101
+10100100000101010101100100010011
+10100100000100100101100100010001
+10100100000100000101100100001110
+10100100000011100101100100001100
+10100100000011000101100100001010
+10100100000010100101100100001000
+10100100000001110101100100000101
+10100100000001010101100100000011
+10100100000000110101100100000001
+10100100000000010101100011111111
+10100011111111110101100011111100
+10100011111111000101100011111010
+10100011111110100101100011111000
+10100011111110000101100011110110
+10100011111101100101100011110011
+10100011111101000101100011110001
+10100011111100100101100011101111
+10100011111011110101100011101101
+10100011111011010101100011101010
+10100011111010110101100011101000
+10100011111010010101100011100110
+10100011111001110101100011100011
+10100011111001000101100011100001
+10100011111000100101100011011111
+10100011111000000101100011011101
+10100011110111100101100011011010
+10100011110111000101100011011000
+10100011110110100101100011010110
+10100011110101110101100011010100
+10100011110101010101100011010001
+10100011110100110101100011001111
+10100011110100010101100011001101
+10100011110011110101100011001011
+10100011110011010101100011001000
+10100011110010100101100011000110
+10100011110010000101100011000100
+10100011110001100101100011000010
+10100011110001000101100010111111
+10100011110000100101100010111101
+10100011101111110101100010111011
+10100011101111010101100010111001
+10100011101110110101100010110110
+10100011101110010101100010110100
+10100011101101110101100010110010
+10100011101101010101100010101111
+10100011101100100101100010101101
+10100011101100000101100010101011
+10100011101011100101100010101001
+10100011101011000101100010100110
+10100011101010100101100010100100
+10100011101001110101100010100010
+10100011101001010101100010100000
+10100011101000110101100010011101
+10100011101000010101100010011011
+10100011100111110101100010011001
+10100011100111010101100010010111
+10100011100110100101100010010100
+10100011100110000101100010010010
+10100011100101100101100010010000
+10100011100101000101100010001101
+10100011100100100101100010001011
+10100011100100000101100010001001
+10100011100011010101100010000111
+10100011100010110101100010000100
+10100011100010010101100010000010
+10100011100001110101100010000000
+10100011100001010101100001111110
+10100011100000110101100001111011
+10100011100000000101100001111001
+10100011011111100101100001110111
+10100011011111000101100001110100
+10100011011110100101100001110010
+10100011011110000101100001110000
+10100011011101100101100001101110
+10100011011100110101100001101011
+10100011011100010101100001101001
+10100011011011110101100001100111
+10100011011011010101100001100101
+10100011011010110101100001100010
+10100011011010000101100001100000
+10100011011001100101100001011110
+10100011011001000101100001011011
+10100011011000100101100001011001
+10100011011000000101100001010111
+10100011010111100101100001010101
+10100011010110110101100001010010
+10100011010110010101100001010000
+10100011010101110101100001001110
+10100011010101010101100001001100
+10100011010100110101100001001001
+10100011010100010101100001000111
+10100011010011100101100001000101
+10100011010011000101100001000010
+10100011010010100101100001000000
+10100011010010000101100000111110
+10100011010001100101100000111100
+10100011010001000101100000111001
+10100011010000010101100000110111
+10100011001111110101100000110101
+10100011001111010101100000110011
+10100011001110110101100000110000
+10100011001110010101100000101110
+10100011001101110101100000101100
+10100011001101010101100000101001
+10100011001100100101100000100111
+10100011001100000101100000100101
+10100011001011100101100000100011
+10100011001011000101100000100000
+10100011001010100101100000011110
+10100011001010000101100000011100
+10100011001001010101100000011001
+10100011001000110101100000010111
+10100011001000010101100000010101
+10100011000111110101100000010011
+10100011000111010101100000010000
+10100011000110110101100000001110
+10100011000110000101100000001100
+10100011000101100101100000001010
+10100011000101000101100000000111
+10100011000100100101100000000101
+10100011000100000101100000000011
+10100011000011100101100000000000
+10100011000010110101011111111110
+10100011000010010101011111111100
+10100011000001110101011111111010
+10100011000001010101011111110111
+10100011000000110101011111110101
+10100011000000010101011111110011
+10100010111111100101011111110000
+10100010111111000101011111101110
+10100010111110100101011111101100
+10100010111110000101011111101010
+10100010111101100101011111100111
+10100010111101000101011111100101
+10100010111100100101011111100011
+10100010111011110101011111100000
+10100010111011010101011111011110
+10100010111010110101011111011100
+10100010111010010101011111011010
+10100010111001110101011111010111
+10100010111001010101011111010101
+10100010111000100101011111010011
+10100010111000000101011111010000
+10100010110111100101011111001110
+10100010110111000101011111001100
+10100010110110100101011111001010
+10100010110110000101011111000111
+10100010110101100101011111000101
+10100010110100110101011111000011
+10100010110100010101011111000000
+10100010110011110101011110111110
+10100010110011010101011110111100
+10100010110010110101011110111010
+10100010110010010101011110110111
+10100010110001100101011110110101
+10100010110001000101011110110011
+10100010110000100101011110110000
+10100010110000000101011110101110
+10100010101111100101011110101100
+10100010101111000101011110101010
+10100010101110100101011110100111
+10100010101101110101011110100101
+10100010101101010101011110100011
+10100010101100110101011110100000
+10100010101100010101011110011110
+10100010101011110101011110011100
+10100010101011010101011110011010
+10100010101010100101011110010111
+10100010101010000101011110010101
+10100010101001100101011110010011
+10100010101001000101011110010000
+10100010101000100101011110001110
+10100010101000000101011110001100
+10100010100111100101011110001010
+10100010100110110101011110000111
+10100010100110010101011110000101
+10100010100101110101011110000011
+10100010100101010101011110000000
+10100010100100110101011101111110
+10100010100100010101011101111100
+10100010100011110101011101111001
+10100010100011000101011101110111
+10100010100010100101011101110101
+10100010100010000101011101110011
+10100010100001100101011101110000
+10100010100001000101011101101110
+10100010100000100101011101101100
+10100010100000000101011101101001
+10100010011111010101011101100111
+10100010011110110101011101100101
+10100010011110010101011101100011
+10100010011101110101011101100000
+10100010011101010101011101011110
+10100010011100110101011101011100
+10100010011100010101011101011001
+10100010011011100101011101010111
+10100010011011000101011101010101
+10100010011010100101011101010010
+10100010011010000101011101010000
+10100010011001100101011101001110
+10100010011001000101011101001100
+10100010011000100101011101001001
+10100010010111110101011101000111
+10100010010111010101011101000101
+10100010010110110101011101000010
+10100010010110010101011101000000
+10100010010101110101011100111110
+10100010010101010101011100111011
+10100010010100110101011100111001
+10100010010100000101011100110111
+10100010010011100101011100110101
+10100010010011000101011100110010
+10100010010010100101011100110000
+10100010010010000101011100101110
+10100010010001100101011100101011
+10100010010001000101011100101001
+10100010010000010101011100100111
+10100010001111110101011100100100
+10100010001111010101011100100010
+10100010001110110101011100100000
+10100010001110010101011100011110
+10100010001101110101011100011011
+10100010001101010101011100011001
+10100010001100100101011100010111
+10100010001100000101011100010100
+10100010001011100101011100010010
+10100010001011000101011100010000
+10100010001010100101011100001101
+10100010001010000101011100001011
+10100010001001100101011100001001
+10100010001000110101011100000111
+10100010001000010101011100000100
+10100010000111110101011100000010
+10100010000111010101011100000000
+10100010000110110101011011111101
+10100010000110010101011011111011
+10100010000101110101011011111001
+10100010000101010101011011110110
+10100010000100100101011011110100
+10100010000100000101011011110010
+10100010000011100101011011110000
+10100010000011000101011011101101
+10100010000010100101011011101011
+10100010000010000101011011101001
+10100010000001100101011011100110
+10100010000000110101011011100100
+10100010000000010101011011100010
+10100001111111110101011011011111
+10100001111111010101011011011101
+10100001111110110101011011011011
+10100001111110010101011011011000
+10100001111101110101011011010110
+10100001111101010101011011010100
+10100001111100100101011011010010
+10100001111100000101011011001111
+10100001111011100101011011001101
+10100001111011000101011011001011
+10100001111010100101011011001000
+10100001111010000101011011000110
+10100001111001100101011011000100
+10100001111001000101011011000001
+10100001111000010101011010111111
+10100001110111110101011010111101
+10100001110111010101011010111010
+10100001110110110101011010111000
+10100001110110010101011010110110
+10100001110101110101011010110011
+10100001110101010101011010110001
+10100001110100100101011010101111
+10100001110100000101011010101101
+10100001110011100101011010101010
+10100001110011000101011010101000
+10100001110010100101011010100110
+10100001110010000101011010100011
+10100001110001100101011010100001
+10100001110001000101011010011111
+10100001110000010101011010011100
+10100001101111110101011010011010
+10100001101111010101011010011000
+10100001101110110101011010010101
+10100001101110010101011010010011
+10100001101101110101011010010001
+10100001101101010101011010001110
+10100001101100110101011010001100
+10100001101100000101011010001010
+10100001101011100101011010001000
+10100001101011000101011010000101
+10100001101010100101011010000011
+10100001101010000101011010000001
+10100001101001100101011001111110
+10100001101001000101011001111100
+10100001101000100101011001111010
+10100001100111110101011001110111
+10100001100111010101011001110101
+10100001100110110101011001110011
+10100001100110010101011001110000
+10100001100101110101011001101110
+10100001100101010101011001101100
+10100001100100110101011001101001
+10100001100100010101011001100111
+10100001100011110101011001100101
+10100001100011000101011001100010
+10100001100010100101011001100000
+10100001100010000101011001011110
+10100001100001100101011001011100
+10100001100001000101011001011001
+10100001100000100101011001010111
+10100001100000000101011001010101
+10100001011111100101011001010010
+10100001011110110101011001010000
+10100001011110010101011001001110
+10100001011101110101011001001011
+10100001011101010101011001001001
+10100001011100110101011001000111
+10100001011100010101011001000100
+10100001011011110101011001000010
+10100001011011010101011001000000
+10100001011010110101011000111101
+10100001011010000101011000111011
+10100001011001100101011000111001
+10100001011001000101011000110110
+10100001011000100101011000110100
+10100001011000000101011000110010
+10100001010111100101011000101111
+10100001010111000101011000101101
+10100001010110100101011000101011
+10100001010101110101011000101000
+10100001010101010101011000100110
+10100001010100110101011000100100
+10100001010100010101011000100001
+10100001010011110101011000011111
+10100001010011010101011000011101
+10100001010010110101011000011011
+10100001010010010101011000011000
+10100001010001110101011000010110
+10100001010001000101011000010100
+10100001010000100101011000010001
+10100001010000000101011000001111
+10100001001111100101011000001101
+10100001001111000101011000001010
+10100001001110100101011000001000
+10100001001110000101011000000110
+10100001001101100101011000000011
+10100001001101000101011000000001
+10100001001100010101010111111111
+10100001001011110101010111111100
+10100001001011010101010111111010
+10100001001010110101010111111000
+10100001001010010101010111110101
+10100001001001110101010111110011
+10100001001001010101010111110001
+10100001001000110101010111101110
+10100001001000010101010111101100
+10100001000111100101010111101010
+10100001000111000101010111100111
+10100001000110100101010111100101
+10100001000110000101010111100011
+10100001000101100101010111100000
+10100001000101000101010111011110
+10100001000100100101010111011100
+10100001000100000101010111011001
+10100001000011100101010111010111
+10100001000010110101010111010101
+10100001000010010101010111010010
+10100001000001110101010111010000
+10100001000001010101010111001110
+10100001000000110101010111001011
+10100001000000010101010111001001
+10100000111111110101010111000111
+10100000111111010101010111000100
+10100000111110110101010111000010
+10100000111110010101010111000000
+10100000111101100101010110111101
+10100000111101000101010110111011
+10100000111100100101010110111001
+10100000111100000101010110110110
+10100000111011100101010110110100
+10100000111011000101010110110010
+10100000111010100101010110101111
+10100000111010000101010110101101
+10100000111001100101010110101011
+10100000111000110101010110101000
+10100000111000010101010110100110
+10100000110111110101010110100100
+10100000110111010101010110100001
+10100000110110110101010110011111
+10100000110110010101010110011101
+10100000110101110101010110011010
+10100000110101010101010110011000
+10100000110100110101010110010110
+10100000110100010101010110010011
+10100000110011100101010110010001
+10100000110011000101010110001111
+10100000110010100101010110001100
+10100000110010000101010110001010
+10100000110001100101010110001000
+10100000110001000101010110000101
+10100000110000100101010110000011
+10100000110000000101010110000001
+10100000101111100101010101111110
+10100000101111000101010101111100
+10100000101110010101010101111010
+10100000101101110101010101110111
+10100000101101010101010101110101
+10100000101100110101010101110011
+10100000101100010101010101110000
+10100000101011110101010101101110
+10100000101011010101010101101100
+10100000101010110101010101101001
+10100000101010010101010101100111
+10100000101001110101010101100101
+10100000101001010101010101100010
+10100000101000100101010101100000
+10100000101000000101010101011110
+10100000100111100101010101011011
+10100000100111000101010101011001
+10100000100110100101010101010111
+10100000100110000101010101010100
+10100000100101100101010101010010
+10100000100101000101010101010000
+10100000100100100101010101001101
+10100000100100000101010101001011
+10100000100011010101010101001001
+10100000100010110101010101000110
+10100000100010010101010101000100
+10100000100001110101010101000001
+10100000100001010101010100111111
+10100000100000110101010100111101
+10100000100000010101010100111010
+10100000011111110101010100111000
+10100000011111010101010100110110
+10100000011110110101010100110011
+10100000011110010101010100110001
+10100000011101100101010100101111
+10100000011101000101010100101100
+10100000011100100101010100101010
+10100000011100000101010100101000
+10100000011011100101010100100101
+10100000011011000101010100100011
+10100000011010100101010100100001
+10100000011010000101010100011110
+10100000011001100101010100011100
+10100000011001000101010100011010
+10100000011000100101010100010111
+10100000011000000101010100010101
+10100000010111010101010100010011
+10100000010110110101010100010000
+10100000010110010101010100001110
+10100000010101110101010100001100
+10100000010101010101010100001001
+10100000010100110101010100000111
+10100000010100010101010100000100
+10100000010011110101010100000010
+10100000010011010101010100000000
+10100000010010110101010011111101
+10100000010010010101010011111011
+10100000010001100101010011111001
+10100000010001000101010011110110
+10100000010000100101010011110100
+10100000010000000101010011110010
+10100000001111100101010011101111
+10100000001111000101010011101101
+10100000001110100101010011101011
+10100000001110000101010011101000
+10100000001101100101010011100110
+10100000001101000101010011100100
+10100000001100100101010011100001
+10100000001100000101010011011111
+10100000001011010101010011011101
+10100000001010110101010011011010
+10100000001010010101010011011000
+10100000001001110101010011010101
+10100000001001010101010011010011
+10100000001000110101010011010001
+10100000001000010101010011001110
+10100000000111110101010011001100
+10100000000111010101010011001010
+10100000000110110101010011000111
+10100000000110010101010011000101
+10100000000101110101010011000011
+10100000000101000101010011000000
+10100000000100100101010010111110
+10100000000100000101010010111100
+10100000000011100101010010111001
+10100000000011000101010010110111
+10100000000010100101010010110101
+10100000000010000101010010110010
+10100000000001100101010010110000
+10100000000001000101010010101101
+10100000000000100101010010101011
+10100000000000000101010010101001
+10011111111111100101010010100110
+10011111111111000101010010100100
+10011111111110010101010010100010
+10011111111101110101010010011111
+10011111111101010101010010011101
+10011111111100110101010010011011
+10011111111100010101010010011000
+10011111111011110101010010010110
+10011111111011010101010010010100
+10011111111010110101010010010001
+10011111111010010101010010001111
+10011111111001110101010010001100
+10011111111001010101010010001010
+10011111111000110101010010001000
+10011111111000010101010010000101
+10011111110111100101010010000011
+10011111110111000101010010000001
+10011111110110100101010001111110
+10011111110110000101010001111100
+10011111110101100101010001111010
+10011111110101000101010001110111
+10011111110100100101010001110101
+10011111110100000101010001110011
+10011111110011100101010001110000
+10011111110011000101010001101110
+10011111110010100101010001101011
+10011111110010000101010001101001
+10011111110001100101010001100111
+10011111110001000101010001100100
+10011111110000010101010001100010
+10011111101111110101010001100000
+10011111101111010101010001011101
+10011111101110110101010001011011
+10011111101110010101010001011001
+10011111101101110101010001010110
+10011111101101010101010001010100
+10011111101100110101010001010001
+10011111101100010101010001001111
+10011111101011110101010001001101
+10011111101011010101010001001010
+10011111101010110101010001001000
+10011111101010010101010001000110
+10011111101001110101010001000011
+10011111101001000101010001000001
+10011111101000100101010000111111
+10011111101000000101010000111100
+10011111100111100101010000111010
+10011111100111000101010000110111
+10011111100110100101010000110101
+10011111100110000101010000110011
+10011111100101100101010000110000
+10011111100101000101010000101110
+10011111100100100101010000101100
+10011111100100000101010000101001
+10011111100011100101010000100111
+10011111100011000101010000100100
+10011111100010100101010000100010
+10011111100010000101010000100000
+10011111100001010101010000011101
+10011111100000110101010000011011
+10011111100000010101010000011001
+10011111011111110101010000010110
+10011111011111010101010000010100
+10011111011110110101010000010010
+10011111011110010101010000001111
+10011111011101110101010000001101
+10011111011101010101010000001010
+10011111011100110101010000001000
+10011111011100010101010000000110
+10011111011011110101010000000011
+10011111011011010101010000000001
+10011111011010110101001111111111
+10011111011010010101001111111100
+10011111011001110101001111111010
+10011111011001000101001111110111
+10011111011000100101001111110101
+10011111011000000101001111110011
+10011111010111100101001111110000
+10011111010111000101001111101110
+10011111010110100101001111101100
+10011111010110000101001111101001
+10011111010101100101001111100111
+10011111010101000101001111100101
+10011111010100100101001111100010
+10011111010100000101001111100000
+10011111010011100101001111011101
+10011111010011000101001111011011
+10011111010010100101001111011001
+10011111010010000101001111010110
+10011111010001100101001111010100
+10011111010001000101001111010010
+10011111010000010101001111001111
+10011111001111110101001111001101
+10011111001111010101001111001010
+10011111001110110101001111001000
+10011111001110010101001111000110
+10011111001101110101001111000011
+10011111001101010101001111000001
+10011111001100110101001110111111
+10011111001100010101001110111100
+10011111001011110101001110111010
+10011111001011010101001110110111
+10011111001010110101001110110101
+10011111001010010101001110110011
+10011111001001110101001110110000
+10011111001001010101001110101110
+10011111001000110101001110101100
+10011111001000010101001110101001
+10011111000111110101001110100111
+10011111000111010101001110100100
+10011111000110100101001110100010
+10011111000110000101001110100000
+10011111000101100101001110011101
+10011111000101000101001110011011
+10011111000100100101001110011000
+10011111000100000101001110010110
+10011111000011100101001110010100
+10011111000011000101001110010001
+10011111000010100101001110001111
+10011111000010000101001110001101
+10011111000001100101001110001010
+10011111000001000101001110001000
+10011111000000100101001110000101
+10011111000000000101001110000011
+10011110111111100101001110000001
+10011110111111000101001101111110
+10011110111110100101001101111100
+10011110111110000101001101111010
+10011110111101100101001101110111
+10011110111100110101001101110101
+10011110111100010101001101110010
+10011110111011110101001101110000
+10011110111011010101001101101110
+10011110111010110101001101101011
+10011110111010010101001101101001
+10011110111001110101001101100110
+10011110111001010101001101100100
+10011110111000110101001101100010
+10011110111000010101001101011111
+10011110110111110101001101011101
+10011110110111010101001101011011
+10011110110110110101001101011000
+10011110110110010101001101010110
+10011110110101110101001101010011
+10011110110101010101001101010001
+10011110110100110101001101001111
+10011110110100010101001101001100
+10011110110011110101001101001010
+10011110110011010101001101000111
+10011110110010110101001101000101
+10011110110010010101001101000011
+10011110110001100101001101000000
+10011110110001000101001100111110
+10011110110000100101001100111100
+10011110110000000101001100111001
+10011110101111100101001100110111
+10011110101111000101001100110100
+10011110101110100101001100110010
+10011110101110000101001100110000
+10011110101101100101001100101101
+10011110101101000101001100101011
+10011110101100100101001100101000
+10011110101100000101001100100110
+10011110101011100101001100100100
+10011110101011000101001100100001
+10011110101010100101001100011111
+10011110101010000101001100011101
+10011110101001100101001100011010
+10011110101001000101001100011000
+10011110101000100101001100010101
+10011110101000000101001100010011
+10011110100111100101001100010001
+10011110100111000101001100001110
+10011110100110100101001100001100
+10011110100110000101001100001001
+10011110100101100101001100000111
+10011110100100110101001100000101
+10011110100100010101001100000010
+10011110100011110101001100000000
+10011110100011010101001011111101
+10011110100010110101001011111011
+10011110100010010101001011111001
+10011110100001110101001011110110
+10011110100001010101001011110100
+10011110100000110101001011110001
+10011110100000010101001011101111
+10011110011111110101001011101101
+10011110011111010101001011101010
+10011110011110110101001011101000
+10011110011110010101001011100110
+10011110011101110101001011100011
+10011110011101010101001011100001
+10011110011100110101001011011110
+10011110011100010101001011011100
+10011110011011110101001011011010
+10011110011011010101001011010111
+10011110011010110101001011010101
+10011110011010010101001011010010
+10011110011001110101001011010000
+10011110011001010101001011001110
+10011110011000110101001011001011
+10011110011000010101001011001001
+10011110010111110101001011000110
+10011110010111010101001011000100
+10011110010110110101001011000010
+10011110010110000101001010111111
+10011110010101100101001010111101
+10011110010101000101001010111010
+10011110010100100101001010111000
+10011110010100000101001010110110
+10011110010011100101001010110011
+10011110010011000101001010110001
+10011110010010100101001010101110
+10011110010010000101001010101100
+10011110010001100101001010101010
+10011110010001000101001010100111
+10011110010000100101001010100101
+10011110010000000101001010100010
+10011110001111100101001010100000
+10011110001111000101001010011110
+10011110001110100101001010011011
+10011110001110000101001010011001
+10011110001101100101001010010110
+10011110001101000101001010010100
+10011110001100100101001010010010
+10011110001100000101001010001111
+10011110001011100101001010001101
+10011110001011000101001010001010
+10011110001010100101001010001000
+10011110001010000101001010000110
+10011110001001100101001010000011
+10011110001001000101001010000001
+10011110001000100101001001111110
+10011110001000000101001001111100
+10011110000111100101001001111010
+10011110000111000101001001110111
+10011110000110100101001001110101
+10011110000110000101001001110010
+10011110000101100101001001110000
+10011110000101000101001001101110
+10011110000100100101001001101011
+10011110000100000101001001101001
+10011110000011100101001001100110
+10011110000010110101001001100100
+10011110000010010101001001100010
+10011110000001110101001001011111
+10011110000001010101001001011101
+10011110000000110101001001011010
+10011110000000010101001001011000
+10011101111111110101001001010110
+10011101111111010101001001010011
+10011101111110110101001001010001
+10011101111110010101001001001110
+10011101111101110101001001001100
+10011101111101010101001001001010
+10011101111100110101001001000111
+10011101111100010101001001000101
+10011101111011110101001001000010
+10011101111011010101001001000000
+10011101111010110101001000111101
+10011101111010010101001000111011
+10011101111001110101001000111001
+10011101111001010101001000110110
+10011101111000110101001000110100
+10011101111000010101001000110001
+10011101110111110101001000101111
+10011101110111010101001000101101
+10011101110110110101001000101010
+10011101110110010101001000101000
+10011101110101110101001000100101
+10011101110101010101001000100011
+10011101110100110101001000100001
+10011101110100010101001000011110
+10011101110011110101001000011100
+10011101110011010101001000011001
+10011101110010110101001000010111
+10011101110010010101001000010101
+10011101110001110101001000010010
+10011101110001010101001000010000
+10011101110000110101001000001101
+10011101110000010101001000001011
+10011101101111110101001000001000
+10011101101111010101001000000110
+10011101101110110101001000000100
+10011101101110010101001000000001
+10011101101101110101000111111111
+10011101101101010101000111111100
+10011101101100110101000111111010
+10011101101100010101000111111000
+10011101101011110101000111110101
+10011101101011010101000111110011
+10011101101010110101000111110000
+10011101101010010101000111101110
+10011101101001110101000111101100
+10011101101001010101000111101001
+10011101101000110101000111100111
+10011101101000010101000111100100
+10011101100111110101000111100010
+10011101100111010101000111011111
+10011101100110110101000111011101
+10011101100110010101000111011011
+10011101100101110101000111011000
+10011101100101010101000111010110
+10011101100100110101000111010011
+10011101100100010101000111010001
+10011101100011110101000111001111
+10011101100011010101000111001100
+10011101100010110101000111001010
+10011101100010010101000111000111
+10011101100001110101000111000101
+10011101100001010101000111000010
+10011101100000110101000111000000
+10011101100000010101000110111110
+10011101011111110101000110111011
+10011101011111000101000110111001
+10011101011110100101000110110110
+10011101011110000101000110110100
+10011101011101100101000110110010
+10011101011101000101000110101111
+10011101011100100101000110101101
+10011101011100000101000110101010
+10011101011011100101000110101000
+10011101011011000101000110100101
+10011101011010100101000110100011
+10011101011010000101000110100001
+10011101011001100101000110011110
+10011101011001000101000110011100
+10011101011000100101000110011001
+10011101011000000101000110010111
+10011101010111100101000110010101
+10011101010111000101000110010010
+10011101010110100101000110010000
+10011101010110000101000110001101
+10011101010101100101000110001011
+10011101010101000101000110001000
+10011101010100100101000110000110
+10011101010100000101000110000100
+10011101010011100101000110000001
+10011101010011000101000101111111
+10011101010010100101000101111100
+10011101010010000101000101111010
+10011101010001100101000101110111
+10011101010001000101000101110101
+10011101010000100101000101110011
+10011101010000000101000101110000
+10011101001111100101000101101110
+10011101001111000101000101101011
+10011101001110100101000101101001
+10011101001110000101000101100110
+10011101001101100101000101100100
+10011101001101000101000101100010
+10011101001100100101000101011111
+10011101001100000101000101011101
+10011101001011100101000101011010
+10011101001011000101000101011000
+10011101001010100101000101010110
+10011101001010000101000101010011
+10011101001001100101000101010001
+10011101001001000101000101001110
+10011101001000100101000101001100
+10011101001000000101000101001001
+10011101000111100101000101000111
+10011101000111000101000101000101
+10011101000110110101000101000010
+10011101000110010101000101000000
+10011101000101110101000100111101
+10011101000101010101000100111011
+10011101000100110101000100111000
+10011101000100010101000100110110
+10011101000011110101000100110100
+10011101000011010101000100110001
+10011101000010110101000100101111
+10011101000010010101000100101100
+10011101000001110101000100101010
+10011101000001010101000100100111
+10011101000000110101000100100101
+10011101000000010101000100100011
+10011100111111110101000100100000
+10011100111111010101000100011110
+10011100111110110101000100011011
+10011100111110010101000100011001
+10011100111101110101000100010110
+10011100111101010101000100010100
+10011100111100110101000100010010
+10011100111100010101000100001111
+10011100111011110101000100001101
+10011100111011010101000100001010
+10011100111010110101000100001000
+10011100111010010101000100000101
+10011100111001110101000100000011
+10011100111001010101000100000000
+10011100111000110101000011111110
+10011100111000010101000011111100
+10011100110111110101000011111001
+10011100110111010101000011110111
+10011100110110110101000011110100
+10011100110110010101000011110010
+10011100110101110101000011101111
+10011100110101010101000011101101
+10011100110100110101000011101011
+10011100110100010101000011101000
+10011100110011110101000011100110
+10011100110011010101000011100011
+10011100110010110101000011100001
+10011100110010010101000011011110
+10011100110001110101000011011100
+10011100110001010101000011011010
+10011100110000110101000011010111
+10011100110000010101000011010101
+10011100101111110101000011010010
+10011100101111010101000011010000
+10011100101110110101000011001101
+10011100101110010101000011001011
+10011100101101110101000011001000
+10011100101101010101000011000110
+10011100101100110101000011000100
+10011100101100010101000011000001
+10011100101011110101000010111111
+10011100101011010101000010111100
+10011100101010110101000010111010
+10011100101010010101000010110111
+10011100101001110101000010110101
+10011100101001010101000010110011
+10011100101000110101000010110000
+10011100101000010101000010101110
+10011100100111110101000010101011
+10011100100111010101000010101001
+10011100100110110101000010100110
+10011100100110010101000010100100
+10011100100101110101000010100001
+10011100100101010101000010011111
+10011100100100110101000010011101
+10011100100100010101000010011010
+10011100100011110101000010011000
+10011100100011010101000010010101
+10011100100011000101000010010011
+10011100100010100101000010010000
+10011100100010000101000010001110
+10011100100001100101000010001100
+10011100100001000101000010001001
+10011100100000100101000010000111
+10011100100000000101000010000100
+10011100011111100101000010000010
+10011100011111000101000001111111
+10011100011110100101000001111101
+10011100011110000101000001111010
+10011100011101100101000001111000
+10011100011101000101000001110110
+10011100011100100101000001110011
+10011100011100000101000001110001
+10011100011011100101000001101110
+10011100011011000101000001101100
+10011100011010100101000001101001
+10011100011010000101000001100111
+10011100011001100101000001100100
+10011100011001000101000001100010
+10011100011000100101000001100000
+10011100011000000101000001011101
+10011100010111100101000001011011
+10011100010111000101000001011000
+10011100010110100101000001010110
+10011100010110000101000001010011
+10011100010101100101000001010001
+10011100010101000101000001001110
+10011100010100100101000001001100
+10011100010100000101000001001010
+10011100010011100101000001000111
+10011100010011000101000001000101
+10011100010010100101000001000010
+10011100010010000101000001000000
+10011100010001100101000000111101
+10011100010001000101000000111011
+10011100010000110101000000111000
+10011100010000010101000000110110
+10011100001111110101000000110100
+10011100001111010101000000110001
+10011100001110110101000000101111
+10011100001110010101000000101100
+10011100001101110101000000101010
+10011100001101010101000000100111
+10011100001100110101000000100101
+10011100001100010101000000100010
+10011100001011110101000000100000
+10011100001011010101000000011101
+10011100001010110101000000011011
+10011100001010010101000000011001
+10011100001001110101000000010110
+10011100001001010101000000010100
+10011100001000110101000000010001
+10011100001000010101000000001111
+10011100000111110101000000001100
+10011100000111010101000000001010
+10011100000110110101000000000111
+10011100000110010101000000000101
+10011100000101110101000000000011
+10011100000101010101000000000000
+10011100000100110100111111111110
+10011100000100010100111111111011
+10011100000011110100111111111001
+10011100000011010100111111110110
+10011100000010110100111111110100
+10011100000010100100111111110001
+10011100000010000100111111101111
+10011100000001100100111111101100
+10011100000001000100111111101010
+10011100000000100100111111101000
+10011100000000000100111111100101
+10011011111111100100111111100011
+10011011111111000100111111100000
+10011011111110100100111111011110
+10011011111110000100111111011011
+10011011111101100100111111011001
+10011011111101000100111111010110
+10011011111100100100111111010100
+10011011111100000100111111010001
+10011011111011100100111111001111
+10011011111011000100111111001101
+10011011111010100100111111001010
+10011011111010000100111111001000
+10011011111001100100111111000101
+10011011111001000100111111000011
+10011011111000100100111111000000
+10011011111000000100111110111110
+10011011110111100100111110111011
+10011011110111000100111110111001
+10011011110110100100111110110110
+10011011110110010100111110110100
+10011011110101110100111110110001
+10011011110101010100111110101111
+10011011110100110100111110101101
+10011011110100010100111110101010
+10011011110011110100111110101000
+10011011110011010100111110100101
+10011011110010110100111110100011
+10011011110010010100111110100000
+10011011110001110100111110011110
+10011011110001010100111110011011
+10011011110000110100111110011001
+10011011110000010100111110010110
+10011011101111110100111110010100
+10011011101111010100111110010010
+10011011101110110100111110001111
+10011011101110010100111110001101
+10011011101101110100111110001010
+10011011101101010100111110001000
+10011011101100110100111110000101
+10011011101100010100111110000011
+10011011101100000100111110000000
+10011011101011100100111101111110
+10011011101011000100111101111011
+10011011101010100100111101111001
+10011011101010000100111101110110
+10011011101001100100111101110100
+10011011101001000100111101110010
+10011011101000100100111101101111
+10011011101000000100111101101101
+10011011100111100100111101101010
+10011011100111000100111101101000
+10011011100110100100111101100101
+10011011100110000100111101100011
+10011011100101100100111101100000
+10011011100101000100111101011110
+10011011100100100100111101011011
+10011011100100000100111101011001
+10011011100011100100111101010110
+10011011100011000100111101010100
+10011011100010100100111101010001
+10011011100010010100111101001111
+10011011100001110100111101001101
+10011011100001010100111101001010
+10011011100000110100111101001000
+10011011100000010100111101000101
+10011011011111110100111101000011
+10011011011111010100111101000000
+10011011011110110100111100111110
+10011011011110010100111100111011
+10011011011101110100111100111001
+10011011011101010100111100110110
+10011011011100110100111100110100
+10011011011100010100111100110001
+10011011011011110100111100101111
+10011011011011010100111100101100
+10011011011010110100111100101010
+10011011011010010100111100101000
+10011011011001110100111100100101
+10011011011001100100111100100011
+10011011011001000100111100100000
+10011011011000100100111100011110
+10011011011000000100111100011011
+10011011010111100100111100011001
+10011011010111000100111100010110
+10011011010110100100111100010100
+10011011010110000100111100010001
+10011011010101100100111100001111
+10011011010101000100111100001100
+10011011010100100100111100001010
+10011011010100000100111100000111
+10011011010011100100111100000101
+10011011010011000100111100000010
+10011011010010100100111100000000
+10011011010010000100111011111110
+10011011010001100100111011111011
+10011011010001010100111011111001
+10011011010000110100111011110110
+10011011010000010100111011110100
+10011011001111110100111011110001
+10011011001111010100111011101111
+10011011001110110100111011101100
+10011011001110010100111011101010
+10011011001101110100111011100111
+10011011001101010100111011100101
+10011011001100110100111011100010
+10011011001100010100111011100000
+10011011001011110100111011011101
+10011011001011010100111011011011
+10011011001010110100111011011000
+10011011001010010100111011010110
+10011011001010000100111011010011
+10011011001001100100111011010001
+10011011001001000100111011001111
+10011011001000100100111011001100
+10011011001000000100111011001010
+10011011000111100100111011000111
+10011011000111000100111011000101
+10011011000110100100111011000010
+10011011000110000100111011000000
+10011011000101100100111010111101
+10011011000101000100111010111011
+10011011000100100100111010111000
+10011011000100000100111010110110
+10011011000011100100111010110011
+10011011000011000100111010110001
+10011011000010110100111010101110
+10011011000010010100111010101100
+10011011000001110100111010101001
+10011011000001010100111010100111
+10011011000000110100111010100100
+10011011000000010100111010100010
+10011010111111110100111010011111
+10011010111111010100111010011101
+10011010111110110100111010011011
+10011010111110010100111010011000
+10011010111101110100111010010110
+10011010111101010100111010010011
+10011010111100110100111010010001
+10011010111100010100111010001110
+10011010111100000100111010001100
+10011010111011100100111010001001
+10011010111011000100111010000111
+10011010111010100100111010000100
+10011010111010000100111010000010
+10011010111001100100111001111111
+10011010111001000100111001111101
+10011010111000100100111001111010
+10011010111000000100111001111000
+10011010110111100100111001110101
+10011010110111000100111001110011
+10011010110110100100111001110000
+10011010110110000100111001101110
+10011010110101100100111001101011
+10011010110101010100111001101001
+10011010110100110100111001100110
+10011010110100010100111001100100
+10011010110011110100111001100001
+10011010110011010100111001011111
+10011010110010110100111001011100
+10011010110010010100111001011010
+10011010110001110100111001011000
+10011010110001010100111001010101
+10011010110000110100111001010011
+10011010110000010100111001010000
+10011010101111110100111001001110
+10011010101111010100111001001011
+10011010101111000100111001001001
+10011010101110100100111001000110
+10011010101110000100111001000100
+10011010101101100100111001000001
+10011010101101000100111000111111
+10011010101100100100111000111100
+10011010101100000100111000111010
+10011010101011100100111000110111
+10011010101011000100111000110101
+10011010101010100100111000110010
+10011010101010000100111000110000
+10011010101001100100111000101101
+10011010101001010100111000101011
+10011010101000110100111000101000
+10011010101000010100111000100110
+10011010100111110100111000100011
+10011010100111010100111000100001
+10011010100110110100111000011110
+10011010100110010100111000011100
+10011010100101110100111000011001
+10011010100101010100111000010111
+10011010100100110100111000010100
+10011010100100010100111000010010
+10011010100011110100111000001111
+10011010100011100100111000001101
+10011010100011000100111000001010
+10011010100010100100111000001000
+10011010100010000100111000000101
+10011010100001100100111000000011
+10011010100001000100111000000000
+10011010100000100100110111111110
+10011010100000000100110111111011
+10011010011111100100110111111001
+10011010011111000100110111110110
+10011010011110100100110111110100
+10011010011110000100110111110001
+10011010011101110100110111101111
+10011010011101010100110111101101
+10011010011100110100110111101010
+10011010011100010100110111101000
+10011010011011110100110111100101
+10011010011011010100110111100011
+10011010011010110100110111100000
+10011010011010010100110111011110
+10011010011001110100110111011011
+10011010011001010100110111011001
+10011010011000110100110111010110
+10011010011000100100110111010100
+10011010011000000100110111010001
+10011010010111100100110111001111
+10011010010111000100110111001100
+10011010010110100100110111001010
+10011010010110000100110111000111
+10011010010101100100110111000101
+10011010010101000100110111000010
+10011010010100100100110111000000
+10011010010100000100110110111101
+10011010010011100100110110111011
+10011010010011010100110110111000
+10011010010010110100110110110110
+10011010010010010100110110110011
+10011010010001110100110110110001
+10011010010001010100110110101110
+10011010010000110100110110101100
+10011010010000010100110110101001
+10011010001111110100110110100111
+10011010001111010100110110100100
+10011010001110110100110110100010
+10011010001110010100110110011111
+10011010001110000100110110011101
+10011010001101100100110110011010
+10011010001101000100110110011000
+10011010001100100100110110010101
+10011010001100000100110110010011
+10011010001011100100110110010000
+10011010001011000100110110001110
+10011010001010100100110110001011
+10011010001010000100110110001001
+10011010001001100100110110000110
+10011010001001010100110110000100
+10011010001000110100110110000001
+10011010001000010100110101111111
+10011010000111110100110101111100
+10011010000111010100110101111010
+10011010000110110100110101110111
+10011010000110010100110101110101
+10011010000101110100110101110010
+10011010000101010100110101110000
+10011010000100110100110101101101
+10011010000100010100110101101011
+10011010000100000100110101101000
+10011010000011100100110101100110
+10011010000011000100110101100011
+10011010000010100100110101100001
+10011010000010000100110101011110
+10011010000001100100110101011100
+10011010000001000100110101011001
+10011010000000100100110101010111
+10011010000000000100110101010100
+10011001111111110100110101010010
+10011001111111010100110101001111
+10011001111110110100110101001101
+10011001111110010100110101001010
+10011001111101110100110101001000
+10011001111101010100110101000101
+10011001111100110100110101000011
+10011001111100010100110101000000
+10011001111011110100110100111110
+10011001111011010100110100111011
+10011001111011000100110100111001
+10011001111010100100110100110110
+10011001111010000100110100110100
+10011001111001100100110100110001
+10011001111001000100110100101111
+10011001111000100100110100101100
+10011001111000000100110100101010
+10011001110111100100110100100111
+10011001110111000100110100100101
+10011001110110100100110100100010
+10011001110110010100110100100000
+10011001110101110100110100011101
+10011001110101010100110100011011
+10011001110100110100110100011000
+10011001110100010100110100010110
+10011001110011110100110100010011
+10011001110011010100110100010000
+10011001110010110100110100001110
+10011001110010010100110100001011
+10011001110010000100110100001001
+10011001110001100100110100000110
+10011001110001000100110100000100
+10011001110000100100110100000001
+10011001110000000100110011111111
+10011001101111100100110011111100
+10011001101111000100110011111010
+10011001101110100100110011110111
+10011001101110000100110011110101
+10011001101101110100110011110010
+10011001101101010100110011110000
+10011001101100110100110011101101
+10011001101100010100110011101011
+10011001101011110100110011101000
+10011001101011010100110011100110
+10011001101010110100110011100011
+10011001101010010100110011100001
+10011001101001110100110011011110
+10011001101001100100110011011100
+10011001101001000100110011011001
+10011001101000100100110011010111
+10011001101000000100110011010100
+10011001100111100100110011010010
+10011001100111000100110011001111
+10011001100110100100110011001101
+10011001100110000100110011001010
+10011001100101110100110011001000
+10011001100101010100110011000101
+10011001100100110100110011000011
+10011001100100010100110011000000
+10011001100011110100110010111110
+10011001100011010100110010111011
+10011001100010110100110010111001
+10011001100010010100110010110110
+10011001100001110100110010110100
+10011001100001100100110010110001
+10011001100001000100110010101111
+10011001100000100100110010101100
+10011001100000000100110010101001
+10011001011111100100110010100111
+10011001011111000100110010100100
+10011001011110100100110010100010
+10011001011110000100110010011111
+10011001011101110100110010011101
+10011001011101010100110010011010
+10011001011100110100110010011000
+10011001011100010100110010010101
+10011001011011110100110010010011
+10011001011011010100110010010000
+10011001011010110100110010001110
+10011001011010010100110010001011
+10011001011001110100110010001001
+10011001011001100100110010000110
+10011001011001000100110010000100
+10011001011000100100110010000001
+10011001011000000100110001111111
+10011001010111100100110001111100
+10011001010111000100110001111010
+10011001010110100100110001110111
+10011001010110000100110001110101
+10011001010101110100110001110010
+10011001010101010100110001110000
+10011001010100110100110001101101
+10011001010100010100110001101011
+10011001010011110100110001101000
+10011001010011010100110001100110
+10011001010010110100110001100011
+10011001010010010100110001100000
+10011001010010000100110001011110
+10011001010001100100110001011011
+10011001010001000100110001011001
+10011001010000100100110001010110
+10011001010000000100110001010100
+10011001001111100100110001010001
+10011001001111000100110001001111
+10011001001110100100110001001100
+10011001001110010100110001001010
+10011001001101110100110001000111
+10011001001101010100110001000101
+10011001001100110100110001000010
+10011001001100010100110001000000
+10011001001011110100110000111101
+10011001001011010100110000111011
+10011001001010110100110000111000
+10011001001010100100110000110110
+10011001001010000100110000110011
+10011001001001100100110000110001
+10011001001001000100110000101110
+10011001001000100100110000101011
+10011001001000000100110000101001
+10011001000111100100110000100110
+10011001000111010100110000100100
+10011001000110110100110000100001
+10011001000110010100110000011111
+10011001000101110100110000011100
+10011001000101010100110000011010
+10011001000100110100110000010111
+10011001000100010100110000010101
+10011001000011110100110000010010
+10011001000011100100110000010000
+10011001000011000100110000001101
+10011001000010100100110000001011
+10011001000010000100110000001000
+10011001000001100100110000000110
+10011001000001000100110000000011
+10011001000000100100110000000001
+10011001000000010100101111111110
+10011000111111110100101111111011
+10011000111111010100101111111001
+10011000111110110100101111110110
+10011000111110010100101111110100
+10011000111101110100101111110001
+10011000111101010100101111101111
+10011000111100110100101111101100
+10011000111100100100101111101010
+10011000111100000100101111100111
+10011000111011100100101111100101
+10011000111011000100101111100010
+10011000111010100100101111100000
+10011000111010000100101111011101
+10011000111001100100101111011011
+10011000111001010100101111011000
+10011000111000110100101111010110
+10011000111000010100101111010011
+10011000110111110100101111010000
+10011000110111010100101111001110
+10011000110110110100101111001011
+10011000110110010100101111001001
+10011000110110000100101111000110
+10011000110101100100101111000100
+10011000110101000100101111000001
+10011000110100100100101110111111
+10011000110100000100101110111100
+10011000110011100100101110111010
+10011000110011000100101110110111
+10011000110010110100101110110101
+10011000110010010100101110110010
+10011000110001110100101110110000
+10011000110001010100101110101101
+10011000110000110100101110101011
+10011000110000010100101110101000
+10011000101111110100101110100101
+10011000101111100100101110100011
+10011000101111000100101110100000
+10011000101110100100101110011110
+10011000101110000100101110011011
+10011000101101100100101110011001
+10011000101101000100101110010110
+10011000101100100100101110010100
+10011000101100010100101110010001
+10011000101011110100101110001111
+10011000101011010100101110001100
+10011000101010110100101110001010
+10011000101010010100101110000111
+10011000101001110100101110000100
+10011000101001010100101110000010
+10011000101001000100101101111111
+10011000101000100100101101111101
+10011000101000000100101101111010
+10011000100111100100101101111000
+10011000100111000100101101110101
+10011000100110100100101101110011
+10011000100110000100101101110000
+10011000100101110100101101101110
+10011000100101010100101101101011
+10011000100100110100101101101001
+10011000100100010100101101100110
+10011000100011110100101101100011
+10011000100011010100101101100001
+10011000100011000100101101011110
+10011000100010100100101101011100
+10011000100010000100101101011001
+10011000100001100100101101010111
+10011000100001000100101101010100
+10011000100000100100101101010010
+10011000100000000100101101001111
+10011000011111110100101101001101
+10011000011111010100101101001010
+10011000011110110100101101001000
+10011000011110010100101101000101
+10011000011101110100101101000010
+10011000011101010100101101000000
+10011000011100110100101100111101
+10011000011100100100101100111011
+10011000011100000100101100111000
+10011000011011100100101100110110
+10011000011011000100101100110011
+10011000011010100100101100110001
+10011000011010000100101100101110
+10011000011001110100101100101100
+10011000011001010100101100101001
+10011000011000110100101100100111
+10011000011000010100101100100100
+10011000010111110100101100100001
+10011000010111010100101100011111
+10011000010111000100101100011100
+10011000010110100100101100011010
+10011000010110000100101100010111
+10011000010101100100101100010101
+10011000010101000100101100010010
+10011000010100100100101100010000
+10011000010100000100101100001101
+10011000010011110100101100001011
+10011000010011010100101100001000
+10011000010010110100101100000101
+10011000010010010100101100000011
+10011000010001110100101100000000
+10011000010001010100101011111110
+10011000010001000100101011111011
+10011000010000100100101011111001
+10011000010000000100101011110110
+10011000001111100100101011110100
+10011000001111000100101011110001
+10011000001110100100101011101111
+10011000001110010100101011101100
+10011000001101110100101011101001
+10011000001101010100101011100111
+10011000001100110100101011100100
+10011000001100010100101011100010
+10011000001011110100101011011111
+10011000001011010100101011011101
+10011000001011000100101011011010
+10011000001010100100101011011000
+10011000001010000100101011010101
+10011000001001100100101011010010
+10011000001001000100101011010000
+10011000001000100100101011001101
+10011000001000010100101011001011
+10011000000111110100101011001000
+10011000000111010100101011000110
+10011000000110110100101011000011
+10011000000110010100101011000001
+10011000000101110100101010111110
+10011000000101100100101010111100
+10011000000101000100101010111001
+10011000000100100100101010110110
+10011000000100000100101010110100
+10011000000011100100101010110001
+10011000000011000100101010101111
+10011000000010110100101010101100
+10011000000010010100101010101010
+10011000000001110100101010100111
+10011000000001010100101010100101
+10011000000000110100101010100010
+10011000000000010100101010011111
+10011000000000000100101010011101
+10010111111111100100101010011010
+10010111111111000100101010011000
+10010111111110100100101010010101
+10010111111110000100101010010011
+10010111111101100100101010010000
+10010111111101010100101010001110
+10010111111100110100101010001011
+10010111111100010100101010001001
+10010111111011110100101010000110
+10010111111011010100101010000011
+10010111111011000100101010000001
+10010111111010100100101001111110
+10010111111010000100101001111100
+10010111111001100100101001111001
+10010111111001000100101001110111
+10010111111000100100101001110100
+10010111111000010100101001110010
+10010111110111110100101001101111
+10010111110111010100101001101100
+10010111110110110100101001101010
+10010111110110010100101001100111
+10010111110101110100101001100101
+10010111110101100100101001100010
+10010111110101000100101001100000
+10010111110100100100101001011101
+10010111110100000100101001011011
+10010111110011100100101001011000
+10010111110011000100101001010101
+10010111110010110100101001010011
+10010111110010010100101001010000
+10010111110001110100101001001110
+10010111110001010100101001001011
+10010111110000110100101001001001
+10010111110000100100101001000110
+10010111110000000100101001000011
+10010111101111100100101001000001
+10010111101111000100101000111110
+10010111101110100100101000111100
+10010111101110000100101000111001
+10010111101101110100101000110111
+10010111101101010100101000110100
+10010111101100110100101000110010
+10010111101100010100101000101111
+10010111101011110100101000101100
+10010111101011010100101000101010
+10010111101011000100101000100111
+10010111101010100100101000100101
+10010111101010000100101000100010
+10010111101001100100101000100000
+10010111101001000100101000011101
+10010111101000110100101000011011
+10010111101000010100101000011000
+10010111100111110100101000010101
+10010111100111010100101000010011
+10010111100110110100101000010000
+10010111100110010100101000001110
+10010111100110000100101000001011
+10010111100101100100101000001001
+10010111100101000100101000000110
+10010111100100100100101000000011
+10010111100100000100101000000001
+10010111100011110100100111111110
+10010111100011010100100111111100
+10010111100010110100100111111001
+10010111100010010100100111110111
+10010111100001110100100111110100
+10010111100001010100100111110010
+10010111100001000100100111101111
+10010111100000100100100111101100
+10010111100000000100100111101010
+10010111011111100100100111100111
+10010111011111000100100111100101
+10010111011110110100100111100010
+10010111011110010100100111100000
+10010111011101110100100111011101
+10010111011101010100100111011010
+10010111011100110100100111011000
+10010111011100100100100111010101
+10010111011100000100100111010011
+10010111011011100100100111010000
+10010111011011000100100111001110
+10010111011010100100100111001011
+10010111011010000100100111001000
+10010111011001110100100111000110
+10010111011001010100100111000011
+10010111011000110100100111000001
+10010111011000010100100110111110
+10010111010111110100100110111100
+10010111010111100100100110111001
+10010111010111000100100110110110
+10010111010110100100100110110100
+10010111010110000100100110110001
+10010111010101100100100110101111
+10010111010101010100100110101100
+10010111010100110100100110101010
+10010111010100010100100110100111
+10010111010011110100100110100101
+10010111010011010100100110100010
+10010111010011000100100110011111
+10010111010010100100100110011101
+10010111010010000100100110011010
+10010111010001100100100110011000
+10010111010001000100100110010101
+10010111010000110100100110010011
+10010111010000010100100110010000
+10010111001111110100100110001101
+10010111001111010100100110001011
+10010111001110110100100110001000
+10010111001110010100100110000110
+10010111001110000100100110000011
+10010111001101100100100110000001
+10010111001101000100100101111110
+10010111001100100100100101111011
+10010111001100000100100101111001
+10010111001011110100100101110110
+10010111001011010100100101110100
+10010111001010110100100101110001
+10010111001010010100100101101111
+10010111001001110100100101101100
+10010111001001100100100101101001
+10010111001001000100100101100111
+10010111001000100100100101100100
+10010111001000000100100101100010
+10010111000111100100100101011111
+10010111000111010100100101011101
+10010111000110110100100101011010
+10010111000110010100100101010111
+10010111000101110100100101010101
+10010111000101010100100101010010
+10010111000101000100100101010000
+10010111000100100100100101001101
+10010111000100000100100101001010
+10010111000011100100100101001000
+10010111000011000100100101000101
+10010111000010110100100101000011
+10010111000010010100100101000000
+10010111000001110100100100111110
+10010111000001010100100100111011
+10010111000000110100100100111000
+10010111000000100100100100110110
+10010111000000000100100100110011
+10010110111111100100100100110001
+10010110111111000100100100101110
+10010110111110100100100100101100
+10010110111110010100100100101001
+10010110111101110100100100100110
+10010110111101010100100100100100
+10010110111100110100100100100001
+10010110111100100100100100011111
+10010110111100000100100100011100
+10010110111011100100100100011010
+10010110111011000100100100010111
+10010110111010100100100100010100
+10010110111010010100100100010010
+10010110111001110100100100001111
+10010110111001010100100100001101
+10010110111000110100100100001010
+10010110111000010100100100000111
+10010110111000000100100100000101
+10010110110111100100100100000010
+10010110110111000100100100000000
+10010110110110100100100011111101
+10010110110110000100100011111011
+10010110110101110100100011111000
+10010110110101010100100011110101
+10010110110100110100100011110011
+10010110110100010100100011110000
+10010110110011110100100011101110
+10010110110011100100100011101011
+10010110110011000100100011101000
+10010110110010100100100011100110
+10010110110010000100100011100011
+10010110110001110100100011100001
+10010110110001010100100011011110
+10010110110000110100100011011100
+10010110110000010100100011011001
+10010110101111110100100011010110
+10010110101111100100100011010100
+10010110101111000100100011010001
+10010110101110100100100011001111
+10010110101110000100100011001100
+10010110101101100100100011001001
+10010110101101010100100011000111
+10010110101100110100100011000100
+10010110101100010100100011000010
+10010110101011110100100010111111
+10010110101011100100100010111101
+10010110101011000100100010111010
+10010110101010100100100010110111
+10010110101010000100100010110101
+10010110101001100100100010110010
+10010110101001010100100010110000
+10010110101000110100100010101101
+10010110101000010100100010101010
+10010110100111110100100010101000
+10010110100111010100100010100101
+10010110100111000100100010100011
+10010110100110100100100010100000
+10010110100110000100100010011110
+10010110100101100100100010011011
+10010110100101010100100010011000
+10010110100100110100100010010110
+10010110100100010100100010010011
+10010110100011110100100010010001
+10010110100011010100100010001110
+10010110100011000100100010001011
+10010110100010100100100010001001
+10010110100010000100100010000110
+10010110100001100100100010000100
+10010110100001010100100010000001
+10010110100000110100100001111110
+10010110100000010100100001111100
+10010110011111110100100001111001
+10010110011111010100100001110111
+10010110011111000100100001110100
+10010110011110100100100001110010
+10010110011110000100100001101111
+10010110011101100100100001101100
+10010110011101010100100001101010
+10010110011100110100100001100111
+10010110011100010100100001100101
+10010110011011110100100001100010
+10010110011011010100100001011111
+10010110011011000100100001011101
+10010110011010100100100001011010
+10010110011010000100100001011000
+10010110011001100100100001010101
+10010110011001010100100001010010
+10010110011000110100100001010000
+10010110011000010100100001001101
+10010110010111110100100001001011
+10010110010111010100100001001000
+10010110010111000100100001000101
+10010110010110100100100001000011
+10010110010110000100100001000000
+10010110010101100100100000111110
+10010110010101010100100000111011
+10010110010100110100100000111001
+10010110010100010100100000110110
+10010110010011110100100000110011
+10010110010011010100100000110001
+10010110010011000100100000101110
+10010110010010100100100000101100
+10010110010010000100100000101001
+10010110010001100100100000100110
+10010110010001010100100000100100
+10010110010000110100100000100001
+10010110010000010100100000011111
+10010110001111110100100000011100
+10010110001111100100100000011001
+10010110001111000100100000010111
+10010110001110100100100000010100
+10010110001110000100100000010010
+10010110001101100100100000001111
+10010110001101010100100000001100
+10010110001100110100100000001010
+10010110001100010100100000000111
+10010110001011110100100000000101
+10010110001011100100100000000010
+10010110001011000100011111111111
+10010110001010100100011111111101
+10010110001010000100011111111010
+10010110001001110100011111111000
+10010110001001010100011111110101
+10010110001000110100011111110010
+10010110001000010100011111110000
+10010110000111110100011111101101
+10010110000111100100011111101011
+10010110000111000100011111101000
+10010110000110100100011111100101
+10010110000110000100011111100011
+10010110000101110100011111100000
+10010110000101010100011111011110
+10010110000100110100011111011011
+10010110000100010100011111011000
+10010110000100000100011111010110
+10010110000011100100011111010011
+10010110000011000100011111010001
+10010110000010100100011111001110
+10010110000010010100011111001011
+10010110000001110100011111001001
+10010110000001010100011111000110
+10010110000000110100011111000100
+10010110000000100100011111000001
+10010110000000000100011110111110
+10010101111111100100011110111100
+10010101111111000100011110111001
+10010101111110100100011110110111
+10010101111110010100011110110100
+10010101111101110100011110110001
+10010101111101010100011110101111
+10010101111100110100011110101100
+10010101111100100100011110101010
+10010101111100000100011110100111
+10010101111011100100011110100100
+10010101111011000100011110100010
+10010101111010110100011110011111
+10010101111010010100011110011101
+10010101111001110100011110011010
+10010101111001010100011110010111
+10010101111001000100011110010101
+10010101111000100100011110010010
+10010101111000000100011110010000
+10010101110111100100011110001101
+10010101110111010100011110001010
+10010101110110110100011110001000
+10010101110110010100011110000101
+10010101110101110100011110000011
+10010101110101100100011110000000
+10010101110101000100011101111101
+10010101110100100100011101111011
+10010101110100000100011101111000
+10010101110011110100011101110110
+10010101110011010100011101110011
+10010101110010110100011101110000
+10010101110010010100011101101110
+10010101110010000100011101101011
+10010101110001100100011101101000
+10010101110001000100011101100110
+10010101110000100100011101100011
+10010101110000010100011101100001
+10010101101111110100011101011110
+10010101101111010100011101011011
+10010101101110110100011101011001
+10010101101110100100011101010110
+10010101101110000100011101010100
+10010101101101100100011101010001
+10010101101101000100011101001110
+10010101101100110100011101001100
+10010101101100010100011101001001
+10010101101011110100011101000111
+10010101101011010100011101000100
+10010101101011000100011101000001
+10010101101010100100011100111111
+10010101101010000100011100111100
+10010101101001100100011100111010
+10010101101001010100011100110111
+10010101101000110100011100110100
+10010101101000010100011100110010
+10010101100111110100011100101111
+10010101100111100100011100101100
+10010101100111000100011100101010
+10010101100110100100011100100111
+10010101100110000100011100100101
+10010101100101110100011100100010
+10010101100101010100011100011111
+10010101100100110100011100011101
+10010101100100010100011100011010
+10010101100100000100011100011000
+10010101100011100100011100010101
+10010101100011000100011100010010
+10010101100010100100011100010000
+10010101100010010100011100001101
+10010101100001110100011100001011
+10010101100001010100011100001000
+10010101100000110100011100000101
+10010101100000100100011100000011
+10010101100000000100011100000000
+10010101011111100100011011111101
+10010101011111000100011011111011
+10010101011110110100011011111000
+10010101011110010100011011110110
+10010101011101110100011011110011
+10010101011101010100011011110000
+10010101011101000100011011101110
+10010101011100100100011011101011
+10010101011100000100011011101001
+10010101011011100100011011100110
+10010101011011010100011011100011
+10010101011010110100011011100001
+10010101011010010100011011011110
+10010101011010000100011011011011
+10010101011001100100011011011001
+10010101011001000100011011010110
+10010101011000100100011011010100
+10010101011000010100011011010001
+10010101010111110100011011001110
+10010101010111010100011011001100
+10010101010110110100011011001001
+10010101010110100100011011000111
+10010101010110000100011011000100
+10010101010101100100011011000001
+10010101010101000100011010111111
+10010101010100110100011010111100
+10010101010100010100011010111001
+10010101010011110100011010110111
+10010101010011010100011010110100
+10010101010011000100011010110010
+10010101010010100100011010101111
+10010101010010000100011010101100
+10010101010001110100011010101010
+10010101010001010100011010100111
+10010101010000110100011010100100
+10010101010000010100011010100010
+10010101010000000100011010011111
+10010101001111100100011010011101
+10010101001111000100011010011010
+10010101001110100100011010010111
+10010101001110010100011010010101
+10010101001101110100011010010010
+10010101001101010100011010010000
+10010101001100110100011010001101
+10010101001100100100011010001010
+10010101001100000100011010001000
+10010101001011100100011010000101
+10010101001011010100011010000010
+10010101001010110100011010000000
+10010101001010010100011001111101
+10010101001001110100011001111011
+10010101001001100100011001111000
+10010101001001000100011001110101
+10010101001000100100011001110011
+10010101001000000100011001110000
+10010101000111110100011001101101
+10010101000111010100011001101011
+10010101000110110100011001101000
+10010101000110100100011001100110
+10010101000110000100011001100011
+10010101000101100100011001100000
+10010101000101000100011001011110
+10010101000100110100011001011011
+10010101000100010100011001011000
+10010101000011110100011001010110
+10010101000011010100011001010011
+10010101000011000100011001010001
+10010101000010100100011001001110
+10010101000010000100011001001011
+10010101000001110100011001001001
+10010101000001010100011001000110
+10010101000000110100011001000011
+10010101000000010100011001000001
+10010101000000000100011000111110
+10010100111111100100011000111100
+10010100111111000100011000111001
+10010100111110100100011000110110
+10010100111110010100011000110100
+10010100111101110100011000110001
+10010100111101010100011000101110
+10010100111101000100011000101100
+10010100111100100100011000101001
+10010100111100000100011000100111
+10010100111011100100011000100100
+10010100111011010100011000100001
+10010100111010110100011000011111
+10010100111010010100011000011100
+10010100111010000100011000011001
+10010100111001100100011000010111
+10010100111001000100011000010100
+10010100111000100100011000010010
+10010100111000010100011000001111
+10010100110111110100011000001100
+10010100110111010100011000001010
+10010100110110110100011000000111
+10010100110110100100011000000100
+10010100110110000100011000000010
+10010100110101100100010111111111
+10010100110101010100010111111100
+10010100110100110100010111111010
+10010100110100010100010111110111
+10010100110011110100010111110101
+10010100110011100100010111110010
+10010100110011000100010111101111
+10010100110010100100010111101101
+10010100110010010100010111101010
+10010100110001110100010111100111
+10010100110001010100010111100101
+10010100110000110100010111100010
+10010100110000100100010111100000
+10010100110000000100010111011101
+10010100101111100100010111011010
+10010100101111010100010111011000
+10010100101110110100010111010101
+10010100101110010100010111010010
+10010100101101110100010111010000
+10010100101101100100010111001101
+10010100101101000100010111001010
+10010100101100100100010111001000
+10010100101100010100010111000101
+10010100101011110100010111000011
+10010100101011010100010111000000
+10010100101010110100010110111101
+10010100101010100100010110111011
+10010100101010000100010110111000
+10010100101001100100010110110101
+10010100101001010100010110110011
+10010100101000110100010110110000
+10010100101000010100010110101110
+10010100100111110100010110101011
+10010100100111100100010110101000
+10010100100111000100010110100110
+10010100100110100100010110100011
+10010100100110010100010110100000
+10010100100101110100010110011110
+10010100100101010100010110011011
+10010100100101000100010110011000
+10010100100100100100010110010110
+10010100100100000100010110010011
+10010100100011100100010110010001
+10010100100011010100010110001110
+10010100100010110100010110001011
+10010100100010010100010110001001
+10010100100010000100010110000110
+10010100100001100100010110000011
+10010100100001000100010110000001
+10010100100000100100010101111110
+10010100100000010100010101111011
+10010100011111110100010101111001
+10010100011111010100010101110110
+10010100011111000100010101110011
+10010100011110100100010101110001
+10010100011110000100010101101110
+10010100011101110100010101101100
+10010100011101010100010101101001
+10010100011100110100010101100110
+10010100011100010100010101100100
+10010100011100000100010101100001
+10010100011011100100010101011110
+10010100011011000100010101011100
+10010100011010110100010101011001
+10010100011010010100010101010110
+10010100011001110100010101010100
+10010100011001010100010101010001
+10010100011001000100010101001111
+10010100011000100100010101001100
+10010100011000000100010101001001
+10010100010111110100010101000111
+10010100010111010100010101000100
+10010100010110110100010101000001
+10010100010110100100010100111111
+10010100010110000100010100111100
+10010100010101100100010100111001
+10010100010101000100010100110111
+10010100010100110100010100110100
+10010100010100010100010100110001
+10010100010011110100010100101111
+10010100010011100100010100101100
+10010100010011000100010100101010
+10010100010010100100010100100111
+10010100010010010100010100100100
+10010100010001110100010100100010
+10010100010001010100010100011111
+10010100010001000100010100011100
+10010100010000100100010100011010
+10010100010000000100010100010111
+10010100001111100100010100010100
+10010100001111010100010100010010
+10010100001110110100010100001111
+10010100001110010100010100001100
+10010100001110000100010100001010
+10010100001101100100010100000111
+10010100001101000100010100000101
+10010100001100110100010100000010
+10010100001100010100010011111111
+10010100001011110100010011111101
+10010100001011010100010011111010
+10010100001011000100010011110111
+10010100001010100100010011110101
+10010100001010000100010011110010
+10010100001001110100010011101111
+10010100001001010100010011101101
+10010100001000110100010011101010
+10010100001000100100010011100111
+10010100001000000100010011100101
+10010100000111100100010011100010
+10010100000111010100010011011111
+10010100000110110100010011011101
+10010100000110010100010011011010
+10010100000110000100010011011000
+10010100000101100100010011010101
+10010100000101000100010011010010
+10010100000100100100010011010000
+10010100000100010100010011001101
+10010100000011110100010011001010
+10010100000011010100010011001000
+10010100000011000100010011000101
+10010100000010100100010011000010
+10010100000010000100010011000000
+10010100000001110100010010111101
+10010100000001010100010010111010
+10010100000000110100010010111000
+10010100000000100100010010110101
+10010100000000000100010010110010
+10010011111111100100010010110000
+10010011111111010100010010101101
+10010011111110110100010010101010
+10010011111110010100010010101000
+10010011111101110100010010100101
+10010011111101100100010010100011
+10010011111101000100010010100000
+10010011111100100100010010011101
+10010011111100010100010010011011
+10010011111011110100010010011000
+10010011111011010100010010010101
+10010011111011000100010010010011
+10010011111010100100010010010000
+10010011111010000100010010001101
+10010011111001110100010010001011
+10010011111001010100010010001000
+10010011111000110100010010000101
+10010011111000100100010010000011
+10010011111000000100010010000000
+10010011110111100100010001111101
+10010011110111010100010001111011
+10010011110110110100010001111000
+10010011110110010100010001110101
+10010011110101110100010001110011
+10010011110101100100010001110000
+10010011110101000100010001101101
+10010011110100100100010001101011
+10010011110100010100010001101000
+10010011110011110100010001100101
+10010011110011010100010001100011
+10010011110011000100010001100000
+10010011110010100100010001011110
+10010011110010000100010001011011
+10010011110001110100010001011000
+10010011110001010100010001010110
+10010011110000110100010001010011
+10010011110000100100010001010000
+10010011110000000100010001001110
+10010011101111100100010001001011
+10010011101111010100010001001000
+10010011101110110100010001000110
+10010011101110010100010001000011
+10010011101110000100010001000000
+10010011101101100100010000111110
+10010011101101000100010000111011
+10010011101100110100010000111000
+10010011101100010100010000110110
+10010011101011110100010000110011
+10010011101011100100010000110000
+10010011101011000100010000101110
+10010011101010100100010000101011
+10010011101010010100010000101000
+10010011101001110100010000100110
+10010011101001010100010000100011
+10010011101001000100010000100000
+10010011101000100100010000011110
+10010011101000000100010000011011
+10010011100111110100010000011000
+10010011100111010100010000010110
+10010011100110110100010000010011
+10010011100110100100010000010000
+10010011100110000100010000001110
+10010011100101100100010000001011
+10010011100101000100010000001000
+10010011100100110100010000000110
+10010011100100010100010000000011
+10010011100011110100010000000000
+10010011100011100100001111111110
+10010011100011000100001111111011
+10010011100010100100001111111000
+10010011100010010100001111110110
+10010011100001110100001111110011
+10010011100001010100001111110001
+10010011100001000100001111101110
+10010011100000100100001111101011
+10010011100000000100001111101001
+10010011011111110100001111100110
+10010011011111010100001111100011
+10010011011110110100001111100001
+10010011011110100100001111011110
+10010011011110000100001111011011
+10010011011101100100001111011001
+10010011011101010100001111010110
+10010011011100110100001111010011
+10010011011100010100001111010001
+10010011011100000100001111001110
+10010011011011100100001111001011
+10010011011011000100001111001001
+10010011011010110100001111000110
+10010011011010010100001111000011
+10010011011010000100001111000001
+10010011011001100100001110111110
+10010011011001000100001110111011
+10010011011000110100001110111001
+10010011011000010100001110110110
+10010011010111110100001110110011
+10010011010111100100001110110001
+10010011010111000100001110101110
+10010011010110100100001110101011
+10010011010110010100001110101001
+10010011010101110100001110100110
+10010011010101010100001110100011
+10010011010101000100001110100001
+10010011010100100100001110011110
+10010011010100000100001110011011
+10010011010011110100001110011001
+10010011010011010100001110010110
+10010011010010110100001110010011
+10010011010010100100001110010001
+10010011010010000100001110001110
+10010011010001100100001110001011
+10010011010001010100001110001001
+10010011010000110100001110000110
+10010011010000010100001110000011
+10010011010000000100001110000001
+10010011001111100100001101111110
+10010011001111000100001101111011
+10010011001110110100001101111001
+10010011001110010100001101110110
+10010011001101110100001101110011
+10010011001101100100001101110001
+10010011001101000100001101101110
+10010011001100100100001101101011
+10010011001100010100001101101001
+10010011001011110100001101100110
+10010011001011010100001101100011
+10010011001011000100001101100001
+10010011001010100100001101011110
+10010011001010010100001101011011
+10010011001001110100001101011000
+10010011001001010100001101010110
+10010011001001000100001101010011
+10010011001000100100001101010000
+10010011001000000100001101001110
+10010011000111110100001101001011
+10010011000111010100001101001000
+10010011000110110100001101000110
+10010011000110100100001101000011
+10010011000110000100001101000000
+10010011000101100100001100111110
+10010011000101010100001100111011
+10010011000100110100001100111000
+10010011000100010100001100110110
+10010011000100000100001100110011
+10010011000011100100001100110000
+10010011000011000100001100101110
+10010011000010110100001100101011
+10010011000010010100001100101000
+10010011000001110100001100100110
+10010011000001100100001100100011
+10010011000001000100001100100000
+10010011000000110100001100011110
+10010011000000010100001100011011
+10010010111111110100001100011000
+10010010111111100100001100010110
+10010010111111000100001100010011
+10010010111110100100001100010000
+10010010111110010100001100001110
+10010010111101110100001100001011
+10010010111101010100001100001000
+10010010111101000100001100000110
+10010010111100100100001100000011
+10010010111100000100001100000000
+10010010111011110100001011111110
+10010010111011010100001011111011
+10010010111011000100001011111000
+10010010111010100100001011110110
+10010010111010000100001011110011
+10010010111001110100001011110000
+10010010111001010100001011101110
+10010010111000110100001011101011
+10010010111000100100001011101000
+10010010111000000100001011100101
+10010010110111100100001011100011
+10010010110111010100001011100000
+10010010110110110100001011011101
+10010010110110010100001011011011
+10010010110110000100001011011000
+10010010110101100100001011010101
+10010010110101010100001011010011
+10010010110100110100001011010000
+10010010110100010100001011001101
+10010010110100000100001011001011
+10010010110011100100001011001000
+10010010110011000100001011000101
+10010010110010110100001011000011
+10010010110010010100001011000000
+10010010110001110100001010111101
+10010010110001100100001010111011
+10010010110001000100001010111000
+10010010110000110100001010110101
+10010010110000010100001010110011
+10010010101111110100001010110000
+10010010101111100100001010101101
+10010010101111000100001010101011
+10010010101110100100001010101000
+10010010101110010100001010100101
+10010010101101110100001010100010
+10010010101101010100001010100000
+10010010101101000100001010011101
+10010010101100100100001010011010
+10010010101100010100001010011000
+10010010101011110100001010010101
+10010010101011010100001010010010
+10010010101011000100001010010000
+10010010101010100100001010001101
+10010010101010000100001010001010
+10010010101001110100001010001000
+10010010101001010100001010000101
+10010010101000110100001010000010
+10010010101000100100001010000000
+10010010101000000100001001111101
+10010010100111110100001001111010
+10010010100111010100001001111000
+10010010100110110100001001110101
+10010010100110100100001001110010
+10010010100110000100001001101111
+10010010100101100100001001101101
+10010010100101010100001001101010
+10010010100100110100001001100111
+10010010100100100100001001100101
+10010010100100000100001001100010
+10010010100011100100001001011111
+10010010100011010100001001011101
+10010010100010110100001001011010
+10010010100010010100001001010111
+10010010100010000100001001010101
+10010010100001100100001001010010
+10010010100001000100001001001111
+10010010100000110100001001001101
+10010010100000010100001001001010
+10010010100000000100001001000111
+10010010011111100100001001000100
+10010010011111000100001001000010
+10010010011110110100001000111111
+10010010011110010100001000111100
+10010010011101110100001000111010
+10010010011101100100001000110111
+10010010011101000100001000110100
+10010010011100110100001000110010
+10010010011100010100001000101111
+10010010011011110100001000101100
+10010010011011100100001000101010
+10010010011011000100001000100111
+10010010011010100100001000100100
+10010010011010010100001000100010
+10010010011001110100001000011111
+10010010011001100100001000011100
+10010010011001000100001000011001
+10010010011000100100001000010111
+10010010011000010100001000010100
+10010010010111110100001000010001
+10010010010111010100001000001111
+10010010010111000100001000001100
+10010010010110100100001000001001
+10010010010110010100001000000111
+10010010010101110100001000000100
+10010010010101010100001000000001
+10010010010101000100000111111111
+10010010010100100100000111111100
+10010010010100010100000111111001
+10010010010011110100000111110110
+10010010010011010100000111110100
+10010010010011000100000111110001
+10010010010010100100000111101110
+10010010010010000100000111101100
+10010010010001110100000111101001
+10010010010001010100000111100110
+10010010010001000100000111100100
+10010010010000100100000111100001
+10010010010000000100000111011110
+10010010001111110100000111011100
+10010010001111010100000111011001
+10010010001111000100000111010110
+10010010001110100100000111010011
+10010010001110000100000111010001
+10010010001101110100000111001110
+10010010001101010100000111001011
+10010010001100110100000111001001
+10010010001100100100000111000110
+10010010001100000100000111000011
+10010010001011110100000111000001
+10010010001011010100000110111110
+10010010001010110100000110111011
+10010010001010100100000110111001
+10010010001010000100000110110110
+10010010001001110100000110110011
+10010010001001010100000110110000
+10010010001000110100000110101110
+10010010001000100100000110101011
+10010010001000000100000110101000
+10010010000111100100000110100110
+10010010000111010100000110100011
+10010010000110110100000110100000
+10010010000110100100000110011110
+10010010000110000100000110011011
+10010010000101100100000110011000
+10010010000101010100000110010101
+10010010000100110100000110010011
+10010010000100100100000110010000
+10010010000100000100000110001101
+10010010000011100100000110001011
+10010010000011010100000110001000
+10010010000010110100000110000101
+10010010000010100100000110000011
+10010010000010000100000110000000
+10010010000001100100000101111101
+10010010000001010100000101111010
+10010010000000110100000101111000
+10010010000000100100000101110101
+10010010000000000100000101110010
+10010001111111100100000101110000
+10010001111111010100000101101101
+10010001111110110100000101101010
+10010001111110010100000101101000
+10010001111110000100000101100101
+10010001111101100100000101100010
+10010001111101010100000101011111
+10010001111100110100000101011101
+10010001111100010100000101011010
+10010001111100000100000101010111
+10010001111011100100000101010101
+10010001111011010100000101010010
+10010001111010110100000101001111
+10010001111010010100000101001101
+10010001111010000100000101001010
+10010001111001100100000101000111
+10010001111001010100000101000100
+10010001111000110100000101000010
+10010001111000010100000100111111
+10010001111000000100000100111100
+10010001110111100100000100111010
+10010001110111010100000100110111
+10010001110110110100000100110100
+10010001110110010100000100110010
+10010001110110000100000100101111
+10010001110101100100000100101100
+10010001110101010100000100101001
+10010001110100110100000100100111
+10010001110100010100000100100100
+10010001110100000100000100100001
+10010001110011100100000100011111
+10010001110011010100000100011100
+10010001110010110100000100011001
+10010001110010010100000100010111
+10010001110010000100000100010100
+10010001110001100100000100010001
+10010001110001010100000100001110
+10010001110000110100000100001100
+10010001110000010100000100001001
+10010001110000000100000100000110
+10010001101111100100000100000100
+10010001101111010100000100000001
+10010001101110110100000011111110
+10010001101110010100000011111011
+10010001101110000100000011111001
+10010001101101100100000011110110
+10010001101101010100000011110011
+10010001101100110100000011110001
+10010001101100100100000011101110
+10010001101100000100000011101011
+10010001101011100100000011101001
+10010001101011010100000011100110
+10010001101010110100000011100011
+10010001101010100100000011100000
+10010001101010000100000011011110
+10010001101001100100000011011011
+10010001101001010100000011011000
+10010001101000110100000011010110
+10010001101000100100000011010011
+10010001101000000100000011010000
+10010001100111100100000011001101
+10010001100111010100000011001011
+10010001100110110100000011001000
+10010001100110100100000011000101
+10010001100110000100000011000011
+10010001100101100100000011000000
+10010001100101010100000010111101
+10010001100100110100000010111010
+10010001100100100100000010111000
+10010001100100000100000010110101
+10010001100011110100000010110010
+10010001100011010100000010110000
+10010001100010110100000010101101
+10010001100010100100000010101010
+10010001100010000100000010100111
+10010001100001110100000010100101
+10010001100001010100000010100010
+10010001100000110100000010011111
+10010001100000100100000010011101
+10010001100000000100000010011010
+10010001011111110100000010010111
+10010001011111010100000010010100
+10010001011110110100000010010010
+10010001011110100100000010001111
+10010001011110000100000010001100
+10010001011101110100000010001010
+10010001011101010100000010000111
+10010001011101000100000010000100
+10010001011100100100000010000010
+10010001011100000100000001111111
+10010001011011110100000001111100
+10010001011011010100000001111001
+10010001011011000100000001110111
+10010001011010100100000001110100
+10010001011010000100000001110001
+10010001011001110100000001101111
+10010001011001010100000001101100
+10010001011001000100000001101001
+10010001011000100100000001100110
+10010001011000010100000001100100
+10010001010111110100000001100001
+10010001010111010100000001011110
+10010001010111000100000001011100
+10010001010110100100000001011001
+10010001010110010100000001010110
+10010001010101110100000001010011
+10010001010101100100000001010001
+10010001010101000100000001001110
+10010001010100100100000001001011
+10010001010100010100000001001000
+10010001010011110100000001000110
+10010001010011100100000001000011
+10010001010011000100000001000000
+10010001010010100100000000111110
+10010001010010010100000000111011
+10010001010001110100000000111000
+10010001010001100100000000110101
+10010001010001000100000000110011
+10010001010000110100000000110000
+10010001010000010100000000101101
+10010001001111110100000000101011
+10010001001111100100000000101000
+10010001001111000100000000100101
+10010001001110110100000000100010
+10010001001110010100000000100000
+10010001001110000100000000011101
+10010001001101100100000000011010
+10010001001101000100000000011000
+10010001001100110100000000010101
+10010001001100010100000000010010
+10010001001100000100000000001111
+10010001001011100100000000001101
+10010001001011010100000000001010
+10010001001010110100000000000111
+10010001001010010100000000000101
+10010001001010000100000000000010
+10010001001001100011111111111110
+10010001001001010011111111111011
+10010001001000110011111111111001
+10010001001000100011111111110110
+10010001001000000011111111110011
+10010001000111100011111111110000
+10010001000111010011111111101110
+10010001000110110011111111101011
+10010001000110100011111111101000
+10010001000110000011111111100110
+10010001000101110011111111100011
+10010001000101010011111111100000
+10010001000100110011111111011101
+10010001000100100011111111011011
+10010001000100000011111111011000
+10010001000011110011111111010101
+10010001000011010011111111010011
+10010001000011000011111111010000
+10010001000010100011111111001101
+10010001000010000011111111001010
+10010001000001110011111111001000
+10010001000001010011111111000101
+10010001000001000011111111000010
+10010001000000100011111110111111
+10010001000000010011111110111101
+10010000111111110011111110111010
+10010000111111100011111110110111
+10010000111111000011111110110101
+10010000111110100011111110110010
+10010000111110010011111110101111
+10010000111101110011111110101100
+10010000111101100011111110101010
+10010000111101000011111110100111
+10010000111100110011111110100100
+10010000111100010011111110100010
+10010000111011110011111110011111
+10010000111011100011111110011100
+10010000111011000011111110011001
+10010000111010110011111110010111
+10010000111010010011111110010100
+10010000111010000011111110010001
+10010000111001100011111110001110
+10010000111001010011111110001100
+10010000111000110011111110001001
+10010000111000010011111110000110
+10010000111000000011111110000100
+10010000110111100011111110000001
+10010000110111010011111101111110
+10010000110110110011111101111011
+10010000110110100011111101111001
+10010000110110000011111101110110
+10010000110101110011111101110011
+10010000110101010011111101110000
+10010000110100110011111101101110
+10010000110100100011111101101011
+10010000110100000011111101101000
+10010000110011110011111101100110
+10010000110011010011111101100011
+10010000110011000011111101100000
+10010000110010100011111101011101
+10010000110010010011111101011011
+10010000110001110011111101011000
+10010000110001010011111101010101
+10010000110001000011111101010010
+10010000110000100011111101010000
+10010000110000010011111101001101
+10010000101111110011111101001010
+10010000101111100011111101000111
+10010000101111000011111101000101
+10010000101110110011111101000010
+10010000101110010011111100111111
+10010000101101110011111100111101
+10010000101101100011111100111010
+10010000101101000011111100110111
+10010000101100110011111100110100
+10010000101100010011111100110010
+10010000101100000011111100101111
+10010000101011100011111100101100
+10010000101011010011111100101001
+10010000101010110011111100100111
+10010000101010010011111100100100
+10010000101010000011111100100001
+10010000101001100011111100011111
+10010000101001010011111100011100
+10010000101000110011111100011001
+10010000101000100011111100010110
+10010000101000000011111100010100
+10010000100111110011111100010001
+10010000100111010011111100001110
+10010000100111000011111100001011
+10010000100110100011111100001001
+10010000100110000011111100000110
+10010000100101110011111100000011
+10010000100101010011111100000000
+10010000100101000011111011111110
+10010000100100100011111011111011
+10010000100100010011111011111000
+10010000100011110011111011110101
+10010000100011100011111011110011
+10010000100011000011111011110000
+10010000100010110011111011101101
+10010000100010010011111011101011
+10010000100001110011111011101000
+10010000100001100011111011100101
+10010000100001000011111011100010
+10010000100000110011111011100000
+10010000100000010011111011011101
+10010000100000000011111011011010
+10010000011111100011111011010111
+10010000011111010011111011010101
+10010000011110110011111011010010
+10010000011110100011111011001111
+10010000011110000011111011001100
+10010000011101100011111011001010
+10010000011101010011111011000111
+10010000011100110011111011000100
+10010000011100100011111011000001
+10010000011100000011111010111111
+10010000011011110011111010111100
+10010000011011010011111010111001
+10010000011011000011111010110111
+10010000011010100011111010110100
+10010000011010010011111010110001
+10010000011001110011111010101110
+10010000011001100011111010101100
+10010000011001000011111010101001
+10010000011000100011111010100110
+10010000011000010011111010100011
+10010000010111110011111010100001
+10010000010111100011111010011110
+10010000010111000011111010011011
+10010000010110110011111010011000
+10010000010110010011111010010110
+10010000010110000011111010010011
+10010000010101100011111010010000
+10010000010101010011111010001101
+10010000010100110011111010001011
+10010000010100100011111010001000
+10010000010100000011111010000101
+10010000010011100011111010000010
+10010000010011010011111010000000
+10010000010010110011111001111101
+10010000010010100011111001111010
+10010000010010000011111001111000
+10010000010001110011111001110101
+10010000010001010011111001110010
+10010000010001000011111001101111
+10010000010000100011111001101101
+10010000010000010011111001101010
+10010000001111110011111001100111
+10010000001111100011111001100100
+10010000001111000011111001100010
+10010000001110110011111001011111
+10010000001110010011111001011100
+10010000001110000011111001011001
+10010000001101100011111001010111
+10010000001101000011111001010100
+10010000001100110011111001010001
+10010000001100010011111001001110
+10010000001100000011111001001100
+10010000001011100011111001001001
+10010000001011010011111001000110
+10010000001010110011111001000011
+10010000001010100011111001000001
+10010000001010000011111000111110
+10010000001001110011111000111011
+10010000001001010011111000111000
+10010000001001000011111000110110
+10010000001000100011111000110011
+10010000001000010011111000110000
+10010000000111110011111000101101
+10010000000111100011111000101011
+10010000000111000011111000101000
+10010000000110100011111000100101
+10010000000110010011111000100010
+10010000000101110011111000100000
+10010000000101100011111000011101
+10010000000101000011111000011010
+10010000000100110011111000010111
+10010000000100010011111000010101
+10010000000100000011111000010010
+10010000000011100011111000001111
+10010000000011010011111000001100
+10010000000010110011111000001010
+10010000000010100011111000000111
+10010000000010000011111000000100
+10010000000001110011111000000001
+10010000000001010011110111111111
+10010000000001000011110111111100
+10010000000000100011110111111001
+10010000000000010011110111110110
+10001111111111110011110111110100
+10001111111111100011110111110001
+10001111111111000011110111101110
+10001111111110110011110111101011
+10001111111110010011110111101001
+10001111111101110011110111100110
+10001111111101100011110111100011
+10001111111101000011110111100000
+10001111111100110011110111011110
+10001111111100010011110111011011
+10001111111100000011110111011000
+10001111111011100011110111010101
+10001111111011010011110111010011
+10001111111010110011110111010000
+10001111111010100011110111001101
+10001111111010000011110111001010
+10001111111001110011110111001000
+10001111111001010011110111000101
+10001111111001000011110111000010
+10001111111000100011110110111111
+10001111111000010011110110111101
+10001111110111110011110110111010
+10001111110111100011110110110111
+10001111110111000011110110110100
+10001111110110110011110110110010
+10001111110110010011110110101111
+10001111110110000011110110101100
+10001111110101100011110110101001
+10001111110101010011110110100111
+10001111110100110011110110100100
+10001111110100100011110110100001
+10001111110100000011110110011110
+10001111110011110011110110011100
+10001111110011010011110110011001
+10001111110011000011110110010110
+10001111110010100011110110010011
+10001111110010010011110110010001
+10001111110001110011110110001110
+10001111110001010011110110001011
+10001111110001000011110110001000
+10001111110000100011110110000110
+10001111110000010011110110000011
+10001111101111110011110110000000
+10001111101111100011110101111101
+10001111101111000011110101111011
+10001111101110110011110101111000
+10001111101110010011110101110101
+10001111101110000011110101110010
+10001111101101100011110101110000
+10001111101101010011110101101101
+10001111101100110011110101101010
+10001111101100100011110101100111
+10001111101100000011110101100101
+10001111101011110011110101100010
+10001111101011010011110101011111
+10001111101011000011110101011100
+10001111101010100011110101011010
+10001111101010010011110101010111
+10001111101001110011110101010100
+10001111101001100011110101010001
+10001111101001000011110101001111
+10001111101000110011110101001100
+10001111101000010011110101001001
+10001111101000000011110101000110
+10001111100111100011110101000100
+10001111100111010011110101000001
+10001111100110110011110100111110
+10001111100110100011110100111011
+10001111100110000011110100111000
+10001111100101110011110100110110
+10001111100101010011110100110011
+10001111100101000011110100110000
+10001111100100100011110100101101
+10001111100100010011110100101011
+10001111100011110011110100101000
+10001111100011100011110100100101
+10001111100011000011110100100010
+10001111100010110011110100100000
+10001111100010010011110100011101
+10001111100010000011110100011010
+10001111100001100011110100010111
+10001111100001010011110100010101
+10001111100000110011110100010010
+10001111100000100011110100001111
+10001111100000000011110100001100
+10001111011111110011110100001010
+10001111011111010011110100000111
+10001111011111000011110100000100
+10001111011110100011110100000001
+10001111011110010011110011111111
+10001111011101110011110011111100
+10001111011101100011110011111001
+10001111011101000011110011110110
+10001111011100110011110011110011
+10001111011100010011110011110001
+10001111011100000011110011101110
+10001111011011100011110011101011
+10001111011011010011110011101000
+10001111011010110011110011100110
+10001111011010100011110011100011
+10001111011010000011110011100000
+10001111011001110011110011011101
+10001111011001010011110011011011
+10001111011001000011110011011000
+10001111011000100011110011010101
+10001111011000010011110011010010
+10001111010111110011110011010000
+10001111010111100011110011001101
+10001111010111000011110011001010
+10001111010110110011110011000111
+10001111010110010011110011000100
+10001111010110000011110011000010
+10001111010101100011110010111111
+10001111010101010011110010111100
+10001111010100110011110010111001
+10001111010100100011110010110111
+10001111010100000011110010110100
+10001111010011110011110010110001
+10001111010011010011110010101110
+10001111010011000011110010101100
+10001111010010110011110010101001
+10001111010010010011110010100110
+10001111010010000011110010100011
+10001111010001100011110010100001
+10001111010001010011110010011110
+10001111010000110011110010011011
+10001111010000100011110010011000
+10001111010000000011110010010101
+10001111001111110011110010010011
+10001111001111010011110010010000
+10001111001111000011110010001101
+10001111001110100011110010001010
+10001111001110010011110010001000
+10001111001101110011110010000101
+10001111001101100011110010000010
+10001111001101000011110001111111
+10001111001100110011110001111101
+10001111001100010011110001111010
+10001111001100000011110001110111
+10001111001011100011110001110100
+10001111001011010011110001110001
+10001111001010110011110001101111
+10001111001010100011110001101100
+10001111001010000011110001101001
+10001111001001110011110001100110
+10001111001001010011110001100100
+10001111001001000011110001100001
+10001111001000100011110001011110
+10001111001000010011110001011011
+10001111000111110011110001011001
+10001111000111100011110001010110
+10001111000111000011110001010011
+10001111000110110011110001010000
+10001111000110100011110001001101
+10001111000110000011110001001011
+10001111000101110011110001001000
+10001111000101010011110001000101
+10001111000101000011110001000010
+10001111000100100011110001000000
+10001111000100010011110000111101
+10001111000011110011110000111010
+10001111000011100011110000110111
+10001111000011000011110000110101
+10001111000010110011110000110010
+10001111000010010011110000101111
+10001111000010000011110000101100
+10001111000001100011110000101001
+10001111000001010011110000100111
+10001111000000110011110000100100
+10001111000000100011110000100001
+10001111000000000011110000011110
+10001110111111110011110000011100
+10001110111111010011110000011001
+10001110111111000011110000010110
+10001110111110110011110000010011
+10001110111110010011110000010000
+10001110111110000011110000001110
+10001110111101100011110000001011
+10001110111101010011110000001000
+10001110111100110011110000000101
+10001110111100100011110000000011
+10001110111100000011110000000000
+10001110111011110011101111111101
+10001110111011010011101111111010
+10001110111011000011101111111000
+10001110111010100011101111110101
+10001110111010010011101111110010
+10001110111001110011101111101111
+10001110111001100011101111101100
+10001110111001000011101111101010
+10001110111000110011101111100111
+10001110111000010011101111100100
+10001110111000000011101111100001
+10001110110111110011101111011111
+10001110110111010011101111011100
+10001110110111000011101111011001
+10001110110110100011101111010110
+10001110110110010011101111010011
+10001110110101110011101111010001
+10001110110101100011101111001110
+10001110110101000011101111001011
+10001110110100110011101111001000
+10001110110100010011101111000110
+10001110110100000011101111000011
+10001110110011100011101111000000
+10001110110011010011101110111101
+10001110110010110011101110111010
+10001110110010100011101110111000
+10001110110010010011101110110101
+10001110110001110011101110110010
+10001110110001100011101110101111
+10001110110001000011101110101101
+10001110110000110011101110101010
+10001110110000010011101110100111
+10001110110000000011101110100100
+10001110101111100011101110100001
+10001110101111010011101110011111
+10001110101110110011101110011100
+10001110101110100011101110011001
+10001110101110000011101110010110
+10001110101101110011101110010100
+10001110101101010011101110010001
+10001110101101000011101110001110
+10001110101100110011101110001011
+10001110101100010011101110001000
+10001110101100000011101110000110
+10001110101011100011101110000011
+10001110101011010011101110000000
+10001110101010110011101101111101
+10001110101010100011101101111010
+10001110101010000011101101111000
+10001110101001110011101101110101
+10001110101001010011101101110010
+10001110101001000011101101101111
+10001110101000110011101101101101
+10001110101000010011101101101010
+10001110101000000011101101100111
+10001110100111100011101101100100
+10001110100111010011101101100001
+10001110100110110011101101011111
+10001110100110100011101101011100
+10001110100110000011101101011001
+10001110100101110011101101010110
+10001110100101010011101101010100
+10001110100101000011101101010001
+10001110100100100011101101001110
+10001110100100010011101101001011
+10001110100100000011101101001000
+10001110100011100011101101000110
+10001110100011010011101101000011
+10001110100010110011101101000000
+10001110100010100011101100111101
+10001110100010000011101100111010
+10001110100001110011101100111000
+10001110100001010011101100110101
+10001110100001000011101100110010
+10001110100000100011101100101111
+10001110100000010011101100101101
+10001110100000000011101100101010
+10001110011111100011101100100111
+10001110011111010011101100100100
+10001110011110110011101100100001
+10001110011110100011101100011111
+10001110011110000011101100011100
+10001110011101110011101100011001
+10001110011101010011101100010110
+10001110011101000011101100010011
+10001110011100110011101100010001
+10001110011100010011101100001110
+10001110011100000011101100001011
+10001110011011100011101100001000
+10001110011011010011101100000110
+10001110011010110011101100000011
+10001110011010100011101100000000
+10001110011010000011101011111101
+10001110011001110011101011111010
+10001110011001010011101011111000
+10001110011001000011101011110101
+10001110011000110011101011110010
+10001110011000010011101011101111
+10001110011000000011101011101100
+10001110010111100011101011101010
+10001110010111010011101011100111
+10001110010110110011101011100100
+10001110010110100011101011100001
+10001110010110000011101011011110
+10001110010101110011101011011100
+10001110010101100011101011011001
+10001110010101000011101011010110
+10001110010100110011101011010011
+10001110010100010011101011010001
+10001110010100000011101011001110
+10001110010011100011101011001011
+10001110010011010011101011001000
+10001110010010110011101011000101
+10001110010010100011101011000011
+10001110010010010011101011000000
+10001110010001110011101010111101
+10001110010001100011101010111010
+10001110010001000011101010110111
+10001110010000110011101010110101
+10001110010000010011101010110010
+10001110010000000011101010101111
+10001110001111110011101010101100
+10001110001111010011101010101001
+10001110001111000011101010100111
+10001110001110100011101010100100
+10001110001110010011101010100001
+10001110001101110011101010011110
+10001110001101100011101010011100
+10001110001101000011101010011001
+10001110001100110011101010010110
+10001110001100100011101010010011
+10001110001100000011101010010000
+10001110001011110011101010001110
+10001110001011010011101010001011
+10001110001011000011101010001000
+10001110001010100011101010000101
+10001110001010010011101010000010
+10001110001010000011101010000000
+10001110001001100011101001111101
+10001110001001010011101001111010
+10001110001000110011101001110111
+10001110001000100011101001110100
+10001110001000000011101001110010
+10001110000111110011101001101111
+10001110000111010011101001101100
+10001110000111000011101001101001
+10001110000110110011101001100110
+10001110000110010011101001100100
+10001110000110000011101001100001
+10001110000101100011101001011110
+10001110000101010011101001011011
+10001110000100110011101001011000
+10001110000100100011101001010110
+10001110000100010011101001010011
+10001110000011110011101001010000
+10001110000011100011101001001101
+10001110000011000011101001001010
+10001110000010110011101001001000
+10001110000010010011101001000101
+10001110000010000011101001000010
+10001110000001110011101000111111
+10001110000001010011101000111100
+10001110000001000011101000111010
+10001110000000100011101000110111
+10001110000000010011101000110100
+10001101111111110011101000110001
+10001101111111100011101000101110
+10001101111111010011101000101100
+10001101111110110011101000101001
+10001101111110100011101000100110
+10001101111110000011101000100011
+10001101111101110011101000100000
+10001101111101010011101000011110
+10001101111101000011101000011011
+10001101111100110011101000011000
+10001101111100010011101000010101
+10001101111100000011101000010010
+10001101111011100011101000010000
+10001101111011010011101000001101
+10001101111010110011101000001010
+10001101111010100011101000000111
+10001101111010010011101000000100
+10001101111001110011101000000010
+10001101111001100011100111111111
+10001101111001000011100111111100
+10001101111000110011100111111001
+10001101111000010011100111110110
+10001101111000000011100111110100
+10001101110111110011100111110001
+10001101110111010011100111101110
+10001101110111000011100111101011
+10001101110110100011100111101000
+10001101110110010011100111100110
+10001101110110000011100111100011
+10001101110101100011100111100000
+10001101110101010011100111011101
+10001101110100110011100111011010
+10001101110100100011100111011000
+10001101110100000011100111010101
+10001101110011110011100111010010
+10001101110011100011100111001111
+10001101110011000011100111001100
+10001101110010110011100111001010
+10001101110010010011100111000111
+10001101110010000011100111000100
+10001101110001100011100111000001
+10001101110001010011100110111110
+10001101110001000011100110111100
+10001101110000100011100110111001
+10001101110000010011100110110110
+10001101101111110011100110110011
+10001101101111100011100110110000
+10001101101111010011100110101110
+10001101101110110011100110101011
+10001101101110100011100110101000
+10001101101110000011100110100101
+10001101101101110011100110100010
+10001101101101100011100110100000
+10001101101101000011100110011101
+10001101101100110011100110011010
+10001101101100010011100110010111
+10001101101100000011100110010100
+10001101101011100011100110010010
+10001101101011010011100110001111
+10001101101011000011100110001100
+10001101101010100011100110001001
+10001101101010010011100110000110
+10001101101001110011100110000100
+10001101101001100011100110000001
+10001101101001010011100101111110
+10001101101000110011100101111011
+10001101101000100011100101111000
+10001101101000000011100101110110
+10001101100111110011100101110011
+10001101100111100011100101110000
+10001101100111000011100101101101
+10001101100110110011100101101010
+10001101100110010011100101100111
+10001101100110000011100101100101
+10001101100101100011100101100010
+10001101100101010011100101011111
+10001101100101000011100101011100
+10001101100100100011100101011001
+10001101100100010011100101010111
+10001101100011110011100101010100
+10001101100011100011100101010001
+10001101100011010011100101001110
+10001101100010110011100101001011
+10001101100010100011100101001001
+10001101100010000011100101000110
+10001101100001110011100101000011
+10001101100001100011100101000000
+10001101100001000011100100111101
+10001101100000110011100100111011
+10001101100000010011100100111000
+10001101100000000011100100110101
+10001101011111110011100100110010
+10001101011111010011100100101111
+10001101011111000011100100101100
+10001101011110100011100100101010
+10001101011110010011100100100111
+10001101011110000011100100100100
+10001101011101100011100100100001
+10001101011101010011100100011110
+10001101011100110011100100011100
+10001101011100100011100100011001
+10001101011100010011100100010110
+10001101011011110011100100010011
+10001101011011100011100100010000
+10001101011011000011100100001110
+10001101011010110011100100001011
+10001101011010100011100100001000
+10001101011010000011100100000101
+10001101011001110011100100000010
+10001101011001010011100011111111
+10001101011001000011100011111101
+10001101011000110011100011111010
+10001101011000010011100011110111
+10001101011000000011100011110100
+10001101010111100011100011110001
+10001101010111010011100011101111
+10001101010111000011100011101100
+10001101010110100011100011101001
+10001101010110010011100011100110
+10001101010101110011100011100011
+10001101010101100011100011100001
+10001101010101010011100011011110
+10001101010100110011100011011011
+10001101010100100011100011011000
+10001101010100000011100011010101
+10001101010011110011100011010010
+10001101010011100011100011010000
+10001101010011000011100011001101
+10001101010010110011100011001010
+10001101010010010011100011000111
+10001101010010000011100011000100
+10001101010001110011100011000010
+10001101010001010011100010111111
+10001101010001000011100010111100
+10001101010000100011100010111001
+10001101010000010011100010110110
+10001101010000000011100010110100
+10001101001111100011100010110001
+10001101001111010011100010101110
+10001101001110110011100010101011
+10001101001110100011100010101000
+10001101001110010011100010100101
+10001101001101110011100010100011
+10001101001101100011100010100000
+10001101001101010011100010011101
+10001101001100110011100010011010
+10001101001100100011100010010111
+10001101001100000011100010010101
+10001101001011110011100010010010
+10001101001011100011100010001111
+10001101001011000011100010001100
+10001101001010110011100010001001
+10001101001010010011100010000110
+10001101001010000011100010000100
+10001101001001110011100010000001
+10001101001001010011100001111110
+10001101001001000011100001111011
+10001101001000100011100001111000
+10001101001000010011100001110110
+10001101001000000011100001110011
+10001101000111100011100001110000
+10001101000111010011100001101101
+10001101000111000011100001101010
+10001101000110100011100001100111
+10001101000110010011100001100101
+10001101000101110011100001100010
+10001101000101100011100001011111
+10001101000101010011100001011100
+10001101000100110011100001011001
+10001101000100100011100001010110
+10001101000100000011100001010100
+10001101000011110011100001010001
+10001101000011100011100001001110
+10001101000011000011100001001011
+10001101000010110011100001001000
+10001101000010100011100001000110
+10001101000010000011100001000011
+10001101000001110011100001000000
+10001101000001010011100000111101
+10001101000001000011100000111010
+10001101000000110011100000110111
+10001101000000010011100000110101
+10001101000000000011100000110010
+10001100111111110011100000101111
+10001100111111010011100000101100
+10001100111111000011100000101001
+10001100111110100011100000100111
+10001100111110010011100000100100
+10001100111110000011100000100001
+10001100111101100011100000011110
+10001100111101010011100000011011
+10001100111101000011100000011000
+10001100111100100011100000010110
+10001100111100010011100000010011
+10001100111011110011100000010000
+10001100111011100011100000001101
+10001100111011010011100000001010
+10001100111010110011100000000111
+10001100111010100011100000000101
+10001100111010010011100000000010
+10001100111001110011011111111111
+10001100111001100011011111111100
+10001100111001000011011111111001
+10001100111000110011011111110111
+10001100111000100011011111110100
+10001100111000000011011111110001
+10001100110111110011011111101110
+10001100110111100011011111101011
+10001100110111000011011111101000
+10001100110110110011011111100110
+10001100110110010011011111100011
+10001100110110000011011111100000
+10001100110101110011011111011101
+10001100110101010011011111011010
+10001100110101000011011111010111
+10001100110100110011011111010101
+10001100110100010011011111010010
+10001100110100000011011111001111
+10001100110011100011011111001100
+10001100110011010011011111001001
+10001100110011000011011111000110
+10001100110010100011011111000100
+10001100110010010011011111000001
+10001100110010000011011110111110
+10001100110001100011011110111011
+10001100110001010011011110111000
+10001100110001000011011110110101
+10001100110000100011011110110011
+10001100110000010011011110110000
+10001100101111110011011110101101
+10001100101111100011011110101010
+10001100101111010011011110100111
+10001100101110110011011110100101
+10001100101110100011011110100010
+10001100101110010011011110011111
+10001100101101110011011110011100
+10001100101101100011011110011001
+10001100101101000011011110010110
+10001100101100110011011110010100
+10001100101100100011011110010001
+10001100101100000011011110001110
+10001100101011110011011110001011
+10001100101011100011011110001000
+10001100101011000011011110000101
+10001100101010110011011110000011
+10001100101010100011011110000000
+10001100101010000011011101111101
+10001100101001110011011101111010
+10001100101001010011011101110111
+10001100101001000011011101110100
+10001100101000110011011101110010
+10001100101000010011011101101111
+10001100101000000011011101101100
+10001100100111110011011101101001
+10001100100111010011011101100110
+10001100100111000011011101100011
+10001100100110110011011101100001
+10001100100110010011011101011110
+10001100100110000011011101011011
+10001100100101110011011101011000
+10001100100101010011011101010101
+10001100100101000011011101010010
+10001100100100100011011101010000
+10001100100100010011011101001101
+10001100100100000011011101001010
+10001100100011100011011101000111
+10001100100011010011011101000100
+10001100100011000011011101000001
+10001100100010100011011100111111
+10001100100010010011011100111100
+10001100100010000011011100111001
+10001100100001100011011100110110
+10001100100001010011011100110011
+10001100100001000011011100110000
+10001100100000100011011100101110
+10001100100000010011011100101011
+10001100011111110011011100101000
+10001100011111100011011100100101
+10001100011111010011011100100010
+10001100011110110011011100011111
+10001100011110100011011100011101
+10001100011110010011011100011010
+10001100011101110011011100010111
+10001100011101100011011100010100
+10001100011101010011011100010001
+10001100011100110011011100001110
+10001100011100100011011100001100
+10001100011100010011011100001001
+10001100011011110011011100000110
+10001100011011100011011100000011
+10001100011011010011011100000000
+10001100011010110011011011111101
+10001100011010100011011011111011
+10001100011010010011011011111000
+10001100011001110011011011110101
+10001100011001100011011011110010
+10001100011001000011011011101111
+10001100011000110011011011101100
+10001100011000100011011011101010
+10001100011000000011011011100111
+10001100010111110011011011100100
+10001100010111100011011011100001
+10001100010111000011011011011110
+10001100010110110011011011011011
+10001100010110100011011011011001
+10001100010110000011011011010110
+10001100010101110011011011010011
+10001100010101100011011011010000
+10001100010101000011011011001101
+10001100010100110011011011001010
+10001100010100100011011011000111
+10001100010100000011011011000101
+10001100010011110011011011000010
+10001100010011100011011010111111
+10001100010011000011011010111100
+10001100010010110011011010111001
+10001100010010100011011010110110
+10001100010010000011011010110100
+10001100010001110011011010110001
+10001100010001100011011010101110
+10001100010001000011011010101011
+10001100010000110011011010101000
+10001100010000010011011010100101
+10001100010000000011011010100011
+10001100001111110011011010100000
+10001100001111010011011010011101
+10001100001111000011011010011010
+10001100001110110011011010010111
+10001100001110010011011010010100
+10001100001110000011011010010010
+10001100001101110011011010001111
+10001100001101010011011010001100
+10001100001101000011011010001001
+10001100001100110011011010000110
+10001100001100010011011010000011
+10001100001100000011011010000000
+10001100001011110011011001111110
+10001100001011010011011001111011
+10001100001011000011011001111000
+10001100001010110011011001110101
+10001100001010010011011001110010
+10001100001010000011011001101111
+10001100001001110011011001101101
+10001100001001010011011001101010
+10001100001001000011011001100111
+10001100001000110011011001100100
+10001100001000010011011001100001
+10001100001000000011011001011110
+10001100000111110011011001011011
+10001100000111010011011001011001
+10001100000111000011011001010110
+10001100000110110011011001010011
+10001100000110010011011001010000
+10001100000110000011011001001101
+10001100000101110011011001001010
+10001100000101010011011001001000
+10001100000101000011011001000101
+10001100000100110011011001000010
+10001100000100010011011000111111
+10001100000100000011011000111100
+10001100000011110011011000111001
+10001100000011010011011000110111
+10001100000011000011011000110100
+10001100000010110011011000110001
+10001100000010010011011000101110
+10001100000010000011011000101011
+10001100000001110011011000101000
+10001100000001010011011000100101
+10001100000001000011011000100011
+10001100000000110011011000100000
+10001100000000010011011000011101
+10001100000000000011011000011010
+10001011111111110011011000010111
+10001011111111010011011000010100
+10001011111111000011011000010001
+10001011111110110011011000001111
+10001011111110010011011000001100
+10001011111110000011011000001001
+10001011111101110011011000000110
+10001011111101010011011000000011
+10001011111101000011011000000000
+10001011111100110011010111111110
+10001011111100100011010111111011
+10001011111100000011010111111000
+10001011111011110011010111110101
+10001011111011100011010111110010
+10001011111011000011010111101111
+10001011111010110011010111101100
+10001011111010100011010111101010
+10001011111010000011010111100111
+10001011111001110011010111100100
+10001011111001100011010111100001
+10001011111001000011010111011110
+10001011111000110011010111011011
+10001011111000100011010111011001
+10001011111000000011010111010110
+10001011110111110011010111010011
+10001011110111100011010111010000
+10001011110111000011010111001101
+10001011110110110011010111001010
+10001011110110100011010111000111
+10001011110110000011010111000101
+10001011110101110011010111000010
+10001011110101100011010110111111
+10001011110101000011010110111100
+10001011110100110011010110111001
+10001011110100100011010110110110
+10001011110100000011010110110011
+10001011110011110011010110110001
+10001011110011100011010110101110
+10001011110011010011010110101011
+10001011110010110011010110101000
+10001011110010100011010110100101
+10001011110010010011010110100010
+10001011110001110011010110100000
+10001011110001100011010110011101
+10001011110001010011010110011010
+10001011110000110011010110010111
+10001011110000100011010110010100
+10001011110000010011010110010001
+10001011101111110011010110001110
+10001011101111100011010110001100
+10001011101111010011010110001001
+10001011101110110011010110000110
+10001011101110100011010110000011
+10001011101110010011010110000000
+10001011101101110011010101111101
+10001011101101100011010101111010
+10001011101101010011010101111000
+10001011101101000011010101110101
+10001011101100100011010101110010
+10001011101100010011010101101111
+10001011101100000011010101101100
+10001011101011100011010101101001
+10001011101011010011010101100110
+10001011101011000011010101100100
+10001011101010100011010101100001
+10001011101010010011010101011110
+10001011101010000011010101011011
+10001011101001100011010101011000
+10001011101001010011010101010101
+10001011101001000011010101010010
+10001011101000110011010101010000
+10001011101000010011010101001101
+10001011101000000011010101001010
+10001011100111110011010101000111
+10001011100111010011010101000100
+10001011100111000011010101000001
+10001011100110110011010100111110
+10001011100110010011010100111100
+10001011100110000011010100111001
+10001011100101110011010100110110
+10001011100101010011010100110011
+10001011100101000011010100110000
+10001011100100110011010100101101
+10001011100100100011010100101010
+10001011100100000011010100101000
+10001011100011110011010100100101
+10001011100011100011010100100010
+10001011100011000011010100011111
+10001011100010110011010100011100
+10001011100010100011010100011001
+10001011100010000011010100010110
+10001011100001110011010100010100
+10001011100001100011010100010001
+10001011100001000011010100001110
+10001011100000110011010100001011
+10001011100000100011010100001000
+10001011100000010011010100000101
+10001011011111110011010100000010
+10001011011111100011010100000000
+10001011011111010011010011111101
+10001011011110110011010011111010
+10001011011110100011010011110111
+10001011011110010011010011110100
+10001011011101110011010011110001
+10001011011101100011010011101110
+10001011011101010011010011101100
+10001011011101000011010011101001
+10001011011100100011010011100110
+10001011011100010011010011100011
+10001011011100000011010011100000
+10001011011011100011010011011101
+10001011011011010011010011011010
+10001011011011000011010011011000
+10001011011010110011010011010101
+10001011011010010011010011010010
+10001011011010000011010011001111
+10001011011001110011010011001100
+10001011011001010011010011001001
+10001011011001000011010011000110
+10001011011000110011010011000011
+10001011011000010011010011000001
+10001011011000000011010010111110
+10001011010111110011010010111011
+10001011010111100011010010111000
+10001011010111000011010010110101
+10001011010110110011010010110010
+10001011010110100011010010101111
+10001011010110000011010010101101
+10001011010101110011010010101010
+10001011010101100011010010100111
+10001011010101000011010010100100
+10001011010100110011010010100001
+10001011010100100011010010011110
+10001011010100010011010010011011
+10001011010011110011010010011001
+10001011010011100011010010010110
+10001011010011010011010010010011
+10001011010010110011010010010000
+10001011010010100011010010001101
+10001011010010010011010010001010
+10001011010010000011010010000111
+10001011010001100011010010000101
+10001011010001010011010010000010
+10001011010001000011010001111111
+10001011010000100011010001111100
+10001011010000010011010001111001
+10001011010000000011010001110110
+10001011001111110011010001110011
+10001011001111010011010001110000
+10001011001111000011010001101110
+10001011001110110011010001101011
+10001011001110010011010001101000
+10001011001110000011010001100101
+10001011001101110011010001100010
+10001011001101100011010001011111
+10001011001101000011010001011100
+10001011001100110011010001011010
+10001011001100100011010001010111
+10001011001100000011010001010100
+10001011001011110011010001010001
+10001011001011100011010001001110
+10001011001011010011010001001011
+10001011001010110011010001001000
+10001011001010100011010001000101
+10001011001010010011010001000011
+10001011001001110011010001000000
+10001011001001100011010000111101
+10001011001001010011010000111010
+10001011001001000011010000110111
+10001011001000100011010000110100
+10001011001000010011010000110001
+10001011001000000011010000101111
+10001011000111100011010000101100
+10001011000111010011010000101001
+10001011000111000011010000100110
+10001011000110110011010000100011
+10001011000110010011010000100000
+10001011000110000011010000011101
+10001011000101110011010000011010
+10001011000101100011010000011000
+10001011000101000011010000010101
+10001011000100110011010000010010
+10001011000100100011010000001111
+10001011000100000011010000001100
+10001011000011110011010000001001
+10001011000011100011010000000110
+10001011000011010011010000000011
+10001011000010110011010000000001
+10001011000010100011001111111110
+10001011000010010011001111111011
+10001011000001110011001111111000
+10001011000001100011001111110101
+10001011000001010011001111110010
+10001011000001000011001111101111
+10001011000000100011001111101100
+10001011000000010011001111101010
+10001011000000000011001111100111
+10001010111111110011001111100100
+10001010111111010011001111100001
+10001010111111000011001111011110
+10001010111110110011001111011011
+10001010111110010011001111011000
+10001010111110000011001111010110
+10001010111101110011001111010011
+10001010111101100011001111010000
+10001010111101000011001111001101
+10001010111100110011001111001010
+10001010111100100011001111000111
+10001010111100010011001111000100
+10001010111011110011001111000001
+10001010111011100011001110111111
+10001010111011010011001110111100
+10001010111010110011001110111001
+10001010111010100011001110110110
+10001010111010010011001110110011
+10001010111010000011001110110000
+10001010111001100011001110101101
+10001010111001010011001110101010
+10001010111001000011001110101000
+10001010111000110011001110100101
+10001010111000010011001110100010
+10001010111000000011001110011111
+10001010110111110011001110011100
+10001010110111100011001110011001
+10001010110111000011001110010110
+10001010110110110011001110010011
+10001010110110100011001110010001
+10001010110110000011001110001110
+10001010110101110011001110001011
+10001010110101100011001110001000
+10001010110101010011001110000101
+10001010110100110011001110000010
+10001010110100100011001101111111
+10001010110100010011001101111100
+10001010110100000011001101111010
+10001010110011100011001101110111
+10001010110011010011001101110100
+10001010110011000011001101110001
+10001010110010110011001101101110
+10001010110010010011001101101011
+10001010110010000011001101101000
+10001010110001110011001101100101
+10001010110001100011001101100011
+10001010110001000011001101100000
+10001010110000110011001101011101
+10001010110000100011001101011010
+10001010110000000011001101010111
+10001010101111110011001101010100
+10001010101111100011001101010001
+10001010101111010011001101001110
+10001010101110110011001101001100
+10001010101110100011001101001001
+10001010101110010011001101000110
+10001010101110000011001101000011
+10001010101101100011001101000000
+10001010101101010011001100111101
+10001010101101000011001100111010
+10001010101100110011001100110111
+10001010101100010011001100110100
+10001010101100000011001100110010
+10001010101011110011001100101111
+10001010101011100011001100101100
+10001010101011000011001100101001
+10001010101010110011001100100110
+10001010101010100011001100100011
+10001010101010010011001100100000
+10001010101001110011001100011101
+10001010101001100011001100011011
+10001010101001010011001100011000
+10001010101001000011001100010101
+10001010101000100011001100010010
+10001010101000010011001100001111
+10001010101000000011001100001100
+10001010100111110011001100001001
+10001010100111010011001100000110
+10001010100111000011001100000100
+10001010100110110011001100000001
+10001010100110100011001011111110
+10001010100110000011001011111011
+10001010100101110011001011111000
+10001010100101100011001011110101
+10001010100101010011001011110010
+10001010100100110011001011101111
+10001010100100100011001011101100
+10001010100100010011001011101010
+10001010100100000011001011100111
+10001010100011100011001011100100
+10001010100011010011001011100001
+10001010100011000011001011011110
+10001010100010110011001011011011
+10001010100010010011001011011000
+10001010100010000011001011010101
+10001010100001110011001011010011
+10001010100001100011001011010000
+10001010100001000011001011001101
+10001010100000110011001011001010
+10001010100000100011001011000111
+10001010100000010011001011000100
+10001010011111110011001011000001
+10001010011111100011001010111110
+10001010011111010011001010111011
+10001010011111000011001010111001
+10001010011110100011001010110110
+10001010011110010011001010110011
+10001010011110000011001010110000
+10001010011101110011001010101101
+10001010011101010011001010101010
+10001010011101000011001010100111
+10001010011100110011001010100100
+10001010011100100011001010100001
+10001010011100000011001010011111
+10001010011011110011001010011100
+10001010011011100011001010011001
+10001010011011010011001010010110
+10001010011010110011001010010011
+10001010011010100011001010010000
+10001010011010010011001010001101
+10001010011010000011001010001010
+10001010011001100011001010001000
+10001010011001010011001010000101
+10001010011001000011001010000010
+10001010011000110011001001111111
+10001010011000100011001001111100
+10001010011000000011001001111001
+10001010010111110011001001110110
+10001010010111100011001001110011
+10001010010111010011001001110000
+10001010010110110011001001101110
+10001010010110100011001001101011
+10001010010110010011001001101000
+10001010010110000011001001100101
+10001010010101100011001001100010
+10001010010101010011001001011111
+10001010010101000011001001011100
+10001010010100110011001001011001
+10001010010100010011001001010110
+10001010010100000011001001010100
+10001010010011110011001001010001
+10001010010011100011001001001110
+10001010010011000011001001001011
+10001010010010110011001001001000
+10001010010010100011001001000101
+10001010010010010011001001000010
+10001010010010000011001000111111
+10001010010001100011001000111100
+10001010010001010011001000111010
+10001010010001000011001000110111
+10001010010000110011001000110100
+10001010010000010011001000110001
+10001010010000000011001000101110
+10001010001111110011001000101011
+10001010001111100011001000101000
+10001010001111000011001000100101
+10001010001110110011001000100010
+10001010001110100011001000100000
+10001010001110010011001000011101
+10001010001110000011001000011010
+10001010001101100011001000010111
+10001010001101010011001000010100
+10001010001101000011001000010001
+10001010001100110011001000001110
+10001010001100010011001000001011
+10001010001100000011001000001000
+10001010001011110011001000000110
+10001010001011100011001000000011
+10001010001011000011001000000000
+10001010001010110011000111111101
+10001010001010100011000111111010
+10001010001010010011000111110111
+10001010001010000011000111110100
+10001010001001100011000111110001
+10001010001001010011000111101110
+10001010001001000011000111101011
+10001010001000110011000111101001
+10001010001000010011000111100110
+10001010001000000011000111100011
+10001010000111110011000111100000
+10001010000111100011000111011101
+10001010000111010011000111011010
+10001010000110110011000111010111
+10001010000110100011000111010100
+10001010000110010011000111010001
+10001010000110000011000111001111
+10001010000101100011000111001100
+10001010000101010011000111001001
+10001010000101000011000111000110
+10001010000100110011000111000011
+10001010000100100011000111000000
+10001010000100000011000110111101
+10001010000011110011000110111010
+10001010000011100011000110110111
+10001010000011010011000110110101
+10001010000010110011000110110010
+10001010000010100011000110101111
+10001010000010010011000110101100
+10001010000010000011000110101001
+10001010000001110011000110100110
+10001010000001010011000110100011
+10001010000001000011000110100000
+10001010000000110011000110011101
+10001010000000100011000110011010
+10001010000000000011000110011000
+10001001111111110011000110010101
+10001001111111100011000110010010
+10001001111111010011000110001111
+10001001111111000011000110001100
+10001001111110100011000110001001
+10001001111110010011000110000110
+10001001111110000011000110000011
+10001001111101110011000110000000
+10001001111101100011000101111101
+10001001111101000011000101111011
+10001001111100110011000101111000
+10001001111100100011000101110101
+10001001111100010011000101110010
+10001001111011110011000101101111
+10001001111011100011000101101100
+10001001111011010011000101101001
+10001001111011000011000101100110
+10001001111010110011000101100011
+10001001111010010011000101100001
+10001001111010000011000101011110
+10001001111001110011000101011011
+10001001111001100011000101011000
+10001001111001010011000101010101
+10001001111000110011000101010010
+10001001111000100011000101001111
+10001001111000010011000101001100
+10001001111000000011000101001001
+10001001110111110011000101000110
+10001001110111010011000101000100
+10001001110111000011000101000001
+10001001110110110011000100111110
+10001001110110100011000100111011
+10001001110110000011000100111000
+10001001110101110011000100110101
+10001001110101100011000100110010
+10001001110101010011000100101111
+10001001110101000011000100101100
+10001001110100100011000100101001
+10001001110100010011000100100111
+10001001110100000011000100100100
+10001001110011110011000100100001
+10001001110011100011000100011110
+10001001110011000011000100011011
+10001001110010110011000100011000
+10001001110010100011000100010101
+10001001110010010011000100010010
+10001001110010000011000100001111
+10001001110001100011000100001100
+10001001110001010011000100001010
+10001001110001000011000100000111
+10001001110000110011000100000100
+10001001110000100011000100000001
+10001001110000000011000011111110
+10001001101111110011000011111011
+10001001101111100011000011111000
+10001001101111010011000011110101
+10001001101111000011000011110010
+10001001101110100011000011101111
+10001001101110010011000011101100
+10001001101110000011000011101010
+10001001101101110011000011100111
+10001001101101100011000011100100
+10001001101101000011000011100001
+10001001101100110011000011011110
+10001001101100100011000011011011
+10001001101100010011000011011000
+10001001101100000011000011010101
+10001001101011100011000011010010
+10001001101011010011000011001111
+10001001101011000011000011001101
+10001001101010110011000011001010
+10001001101010100011000011000111
+10001001101010000011000011000100
+10001001101001110011000011000001
+10001001101001100011000010111110
+10001001101001010011000010111011
+10001001101001000011000010111000
+10001001101000100011000010110101
+10001001101000010011000010110010
+10001001101000000011000010110000
+10001001100111110011000010101101
+10001001100111100011000010101010
+10001001100111000011000010100111
+10001001100110110011000010100100
+10001001100110100011000010100001
+10001001100110010011000010011110
+10001001100110000011000010011011
+10001001100101100011000010011000
+10001001100101010011000010010101
+10001001100101000011000010010010
+10001001100100110011000010010000
+10001001100100100011000010001101
+10001001100100000011000010001010
+10001001100011110011000010000111
+10001001100011100011000010000100
+10001001100011010011000010000001
+10001001100011000011000001111110
+10001001100010110011000001111011
+10001001100010010011000001111000
+10001001100010000011000001110101
+10001001100001110011000001110010
+10001001100001100011000001110000
+10001001100001010011000001101101
+10001001100000110011000001101010
+10001001100000100011000001100111
+10001001100000010011000001100100
+10001001100000000011000001100001
+10001001011111110011000001011110
+10001001011111010011000001011011
+10001001011111000011000001011000
+10001001011110110011000001010101
+10001001011110100011000001010010
+10001001011110010011000001010000
+10001001011110000011000001001101
+10001001011101100011000001001010
+10001001011101010011000001000111
+10001001011101000011000001000100
+10001001011100110011000001000001
+10001001011100100011000000111110
+10001001011100000011000000111011
+10001001011011110011000000111000
+10001001011011100011000000110101
+10001001011011010011000000110010
+10001001011011000011000000110000
+10001001011010110011000000101101
+10001001011010010011000000101010
+10001001011010000011000000100111
+10001001011001110011000000100100
+10001001011001100011000000100001
+10001001011001010011000000011110
+10001001011000110011000000011011
+10001001011000100011000000011000
+10001001011000010011000000010101
+10001001011000000011000000010010
+10001001010111110011000000010000
+10001001010111100011000000001101
+10001001010111000011000000001010
+10001001010110110011000000000111
+10001001010110100011000000000100
+10001001010110010011000000000001
+10001001010110000010111111111110
+10001001010101100010111111111011
+10001001010101010010111111111000
+10001001010101000010111111110101
+10001001010100110010111111110010
+10001001010100100010111111110000
+10001001010100010010111111101101
+10001001010011110010111111101010
+10001001010011100010111111100111
+10001001010011010010111111100100
+10001001010011000010111111100001
+10001001010010110010111111011110
+10001001010010100010111111011011
+10001001010010000010111111011000
+10001001010001110010111111010101
+10001001010001100010111111010010
+10001001010001010010111111001111
+10001001010001000010111111001101
+10001001010000100010111111001010
+10001001010000010010111111000111
+10001001010000000010111111000100
+10001001001111110010111111000001
+10001001001111100010111110111110
+10001001001111010010111110111011
+10001001001110110010111110111000
+10001001001110100010111110110101
+10001001001110010010111110110010
+10001001001110000010111110101111
+10001001001101110010111110101100
+10001001001101100010111110101010
+10001001001101000010111110100111
+10001001001100110010111110100100
+10001001001100100010111110100001
+10001001001100010010111110011110
+10001001001100000010111110011011
+10001001001011110010111110011000
+10001001001011010010111110010101
+10001001001011000010111110010010
+10001001001010110010111110001111
+10001001001010100010111110001100
+10001001001010010010111110001001
+10001001001010000010111110000111
+10001001001001100010111110000100
+10001001001001010010111110000001
+10001001001001000010111101111110
+10001001001000110010111101111011
+10001001001000100010111101111000
+10001001001000010010111101110101
+10001001000111110010111101110010
+10001001000111100010111101101111
+10001001000111010010111101101100
+10001001000111000010111101101001
+10001001000110110010111101100110
+10001001000110100010111101100100
+10001001000110000010111101100001
+10001001000101110010111101011110
+10001001000101100010111101011011
+10001001000101010010111101011000
+10001001000101000010111101010101
+10001001000100110010111101010010
+10001001000100010010111101001111
+10001001000100000010111101001100
+10001001000011110010111101001001
+10001001000011100010111101000110
+10001001000011010010111101000011
+10001001000011000010111101000001
+10001001000010100010111100111110
+10001001000010010010111100111011
+10001001000010000010111100111000
+10001001000001110010111100110101
+10001001000001100010111100110010
+10001001000001010010111100101111
+10001001000001000010111100101100
+10001001000000100010111100101001
+10001001000000010010111100100110
+10001001000000000010111100100011
+10001000111111110010111100100000
+10001000111111100010111100011110
+10001000111111010010111100011011
+10001000111110110010111100011000
+10001000111110100010111100010101
+10001000111110010010111100010010
+10001000111110000010111100001111
+10001000111101110010111100001100
+10001000111101100010111100001001
+10001000111101010010111100000110
+10001000111100110010111100000011
+10001000111100100010111100000000
+10001000111100010010111011111101
+10001000111100000010111011111010
+10001000111011110010111011111000
+10001000111011100010111011110101
+10001000111011000010111011110010
+10001000111010110010111011101111
+10001000111010100010111011101100
+10001000111010010010111011101001
+10001000111010000010111011100110
+10001000111001110010111011100011
+10001000111001100010111011100000
+10001000111001000010111011011101
+10001000111000110010111011011010
+10001000111000100010111011010111
+10001000111000010010111011010100
+10001000111000000010111011010010
+10001000110111110010111011001111
+10001000110111010010111011001100
+10001000110111000010111011001001
+10001000110110110010111011000110
+10001000110110100010111011000011
+10001000110110010010111011000000
+10001000110110000010111010111101
+10001000110101110010111010111010
+10001000110101010010111010110111
+10001000110101000010111010110100
+10001000110100110010111010110001
+10001000110100100010111010101110
+10001000110100010010111010101100
+10001000110100000010111010101001
+10001000110011110010111010100110
+10001000110011010010111010100011
+10001000110011000010111010100000
+10001000110010110010111010011101
+10001000110010100010111010011010
+10001000110010010010111010010111
+10001000110010000010111010010100
+10001000110001110010111010010001
+10001000110001010010111010001110
+10001000110001000010111010001011
+10001000110000110010111010001000
+10001000110000100010111010000101
+10001000110000010010111010000011
+10001000110000000010111010000000
+10001000101111110010111001111101
+10001000101111010010111001111010
+10001000101111000010111001110111
+10001000101110110010111001110100
+10001000101110100010111001110001
+10001000101110010010111001101110
+10001000101110000010111001101011
+10001000101101110010111001101000
+10001000101101010010111001100101
+10001000101101000010111001100010
+10001000101100110010111001011111
+10001000101100100010111001011100
+10001000101100010010111001011010
+10001000101100000010111001010111
+10001000101011110010111001010100
+10001000101011010010111001010001
+10001000101011000010111001001110
+10001000101010110010111001001011
+10001000101010100010111001001000
+10001000101010010010111001000101
+10001000101010000010111001000010
+10001000101001110010111000111111
+10001000101001100010111000111100
+10001000101001000010111000111001
+10001000101000110010111000110110
+10001000101000100010111000110011
+10001000101000010010111000110001
+10001000101000000010111000101110
+10001000100111110010111000101011
+10001000100111100010111000101000
+10001000100111000010111000100101
+10001000100110110010111000100010
+10001000100110100010111000011111
+10001000100110010010111000011100
+10001000100110000010111000011001
+10001000100101110010111000010110
+10001000100101100010111000010011
+10001000100101010010111000010000
+10001000100100110010111000001101
+10001000100100100010111000001010
+10001000100100010010111000001000
+10001000100100000010111000000101
+10001000100011110010111000000010
+10001000100011100010110111111111
+10001000100011010010110111111100
+10001000100011000010110111111001
+10001000100010100010110111110110
+10001000100010010010110111110011
+10001000100010000010110111110000
+10001000100001110010110111101101
+10001000100001100010110111101010
+10001000100001010010110111100111
+10001000100001000010110111100100
+10001000100000110010110111100001
+10001000100000010010110111011110
+10001000100000000010110111011100
+10001000011111110010110111011001
+10001000011111100010110111010110
+10001000011111010010110111010011
+10001000011111000010110111010000
+10001000011110110010110111001101
+10001000011110100010110111001010
+10001000011110000010110111000111
+10001000011101110010110111000100
+10001000011101100010110111000001
+10001000011101010010110110111110
+10001000011101000010110110111011
+10001000011100110010110110111000
+10001000011100100010110110110101
+10001000011100010010110110110010
+10001000011011110010110110110000
+10001000011011100010110110101101
+10001000011011010010110110101010
+10001000011011000010110110100111
+10001000011010110010110110100100
+10001000011010100010110110100001
+10001000011010010010110110011110
+10001000011010000010110110011011
+10001000011001100010110110011000
+10001000011001010010110110010101
+10001000011001000010110110010010
+10001000011000110010110110001111
+10001000011000100010110110001100
+10001000011000010010110110001001
+10001000011000000010110110000110
+10001000010111110010110110000100
+10001000010111010010110110000001
+10001000010111000010110101111110
+10001000010110110010110101111011
+10001000010110100010110101111000
+10001000010110010010110101110101
+10001000010110000010110101110010
+10001000010101110010110101101111
+10001000010101100010110101101100
+10001000010101010010110101101001
+10001000010100110010110101100110
+10001000010100100010110101100011
+10001000010100010010110101100000
+10001000010100000010110101011101
+10001000010011110010110101011010
+10001000010011100010110101010111
+10001000010011010010110101010101
+10001000010011000010110101010010
+10001000010010110010110101001111
+10001000010010010010110101001100
+10001000010010000010110101001001
+10001000010001110010110101000110
+10001000010001100010110101000011
+10001000010001010010110101000000
+10001000010001000010110100111101
+10001000010000110010110100111010
+10001000010000100010110100110111
+10001000010000010010110100110100
+10001000001111110010110100110001
+10001000001111100010110100101110
+10001000001111010010110100101011
+10001000001111000010110100101000
+10001000001110110010110100100110
+10001000001110100010110100100011
+10001000001110010010110100100000
+10001000001110000010110100011101
+10001000001101110010110100011010
+10001000001101010010110100010111
+10001000001101000010110100010100
+10001000001100110010110100010001
+10001000001100100010110100001110
+10001000001100010010110100001011
+10001000001100000010110100001000
+10001000001011110010110100000101
+10001000001011100010110100000010
+10001000001011010010110011111111
+10001000001011000010110011111100
+10001000001010100010110011111001
+10001000001010010010110011110110
+10001000001010000010110011110100
+10001000001001110010110011110001
+10001000001001100010110011101110
+10001000001001010010110011101011
+10001000001001000010110011101000
+10001000001000110010110011100101
+10001000001000100010110011100010
+10001000001000000010110011011111
+10001000000111110010110011011100
+10001000000111100010110011011001
+10001000000111010010110011010110
+10001000000111000010110011010011
+10001000000110110010110011010000
+10001000000110100010110011001101
+10001000000110010010110011001010
+10001000000110000010110011000111
+10001000000101110010110011000100
+10001000000101100010110011000001
+10001000000101000010110010111111
+10001000000100110010110010111100
+10001000000100100010110010111001
+10001000000100010010110010110110
+10001000000100000010110010110011
+10001000000011110010110010110000
+10001000000011100010110010101101
+10001000000011010010110010101010
+10001000000011000010110010100111
+10001000000010110010110010100100
+10001000000010010010110010100001
+10001000000010000010110010011110
+10001000000001110010110010011011
+10001000000001100010110010011000
+10001000000001010010110010010101
+10001000000001000010110010010010
+10001000000000110010110010001111
+10001000000000100010110010001101
+10001000000000010010110010001010
+10001000000000000010110010000111
+10000111111111100010110010000100
+10000111111111010010110010000001
+10000111111111000010110001111110
+10000111111110110010110001111011
+10000111111110100010110001111000
+10000111111110010010110001110101
+10000111111110000010110001110010
+10000111111101110010110001101111
+10000111111101100010110001101100
+10000111111101010010110001101001
+10000111111101000010110001100110
+10000111111100100010110001100011
+10000111111100010010110001100000
+10000111111100000010110001011101
+10000111111011110010110001011010
+10000111111011100010110001010111
+10000111111011010010110001010101
+10000111111011000010110001010010
+10000111111010110010110001001111
+10000111111010100010110001001100
+10000111111010010010110001001001
+10000111111010000010110001000110
+10000111111001110010110001000011
+10000111111001010010110001000000
+10000111111001000010110000111101
+10000111111000110010110000111010
+10000111111000100010110000110111
+10000111111000010010110000110100
+10000111111000000010110000110001
+10000111110111110010110000101110
+10000111110111100010110000101011
+10000111110111010010110000101000
+10000111110111000010110000100101
+10000111110110110010110000100010
+10000111110110100010110000011111
+10000111110110000010110000011101
+10000111110101110010110000011010
+10000111110101100010110000010111
+10000111110101010010110000010100
+10000111110101000010110000010001
+10000111110100110010110000001110
+10000111110100100010110000001011
+10000111110100010010110000001000
+10000111110100000010110000000101
+10000111110011110010110000000010
+10000111110011100010101111111111
+10000111110011010010101111111100
+10000111110010110010101111111001
+10000111110010100010101111110110
+10000111110010010010101111110011
+10000111110010000010101111110000
+10000111110001110010101111101101
+10000111110001100010101111101010
+10000111110001010010101111100111
+10000111110001000010101111100100
+10000111110000110010101111100010
+10000111110000100010101111011111
+10000111110000010010101111011100
+10000111110000000010101111011001
+10000111101111110010101111010110
+10000111101111010010101111010011
+10000111101111000010101111010000
+10000111101110110010101111001101
+10000111101110100010101111001010
+10000111101110010010101111000111
+10000111101110000010101111000100
+10000111101101110010101111000001
+10000111101101100010101110111110
+10000111101101010010101110111011
+10000111101101000010101110111000
+10000111101100110010101110110101
+10000111101100100010101110110010
+10000111101100010010101110101111
+10000111101011110010101110101100
+10000111101011100010101110101001
+10000111101011010010101110100110
+10000111101011000010101110100100
+10000111101010110010101110100001
+10000111101010100010101110011110
+10000111101010010010101110011011
+10000111101010000010101110011000
+10000111101001110010101110010101
+10000111101001100010101110010010
+10000111101001010010101110001111
+10000111101001000010101110001100
+10000111101000110010101110001001
+10000111101000100010101110000110
+10000111101000010010101110000011
+10000111100111110010101110000000
+10000111100111100010101101111101
+10000111100111010010101101111010
+10000111100111000010101101110111
+10000111100110110010101101110100
+10000111100110100010101101110001
+10000111100110010010101101101110
+10000111100110000010101101101011
+10000111100101110010101101101000
+10000111100101100010101101100101
+10000111100101010010101101100011
+10000111100101000010101101100000
+10000111100100110010101101011101
+10000111100100100010101101011010
+10000111100100010010101101010111
+10000111100011110010101101010100
+10000111100011100010101101010001
+10000111100011010010101101001110
+10000111100011000010101101001011
+10000111100010110010101101001000
+10000111100010100010101101000101
+10000111100010010010101101000010
+10000111100010000010101100111111
+10000111100001110010101100111100
+10000111100001100010101100111001
+10000111100001010010101100110110
+10000111100001000010101100110011
+10000111100000110010101100110000
+10000111100000100010101100101101
+10000111100000010010101100101010
+10000111100000000010101100100111
+10000111011111100010101100100100
+10000111011111010010101100100001
+10000111011111000010101100011111
+10000111011110110010101100011100
+10000111011110100010101100011001
+10000111011110010010101100010110
+10000111011110000010101100010011
+10000111011101110010101100010000
+10000111011101100010101100001101
+10000111011101010010101100001010
+10000111011101000010101100000111
+10000111011100110010101100000100
+10000111011100100010101100000001
+10000111011100010010101011111110
+10000111011100000010101011111011
+10000111011011110010101011111000
+10000111011011100010101011110101
+10000111011011010010101011110010
+10000111011010110010101011101111
+10000111011010100010101011101100
+10000111011010010010101011101001
+10000111011010000010101011100110
+10000111011001110010101011100011
+10000111011001100010101011100000
+10000111011001010010101011011101
+10000111011001000010101011011010
+10000111011000110010101011011000
+10000111011000100010101011010101
+10000111011000010010101011010010
+10000111011000000010101011001111
+10000111010111110010101011001100
+10000111010111100010101011001001
+10000111010111010010101011000110
+10000111010111000010101011000011
+10000111010110110010101011000000
+10000111010110100010101010111101
+10000111010110010010101010111010
+10000111010110000010101010110111
+10000111010101100010101010110100
+10000111010101010010101010110001
+10000111010101000010101010101110
+10000111010100110010101010101011
+10000111010100100010101010101000
+10000111010100010010101010100101
+10000111010100000010101010100010
+10000111010011110010101010011111
+10000111010011100010101010011100
+10000111010011010010101010011001
+10000111010011000010101010010110
+10000111010010110010101010010011
+10000111010010100010101010010000
+10000111010010010010101010001101
+10000111010010000010101010001011
+10000111010001110010101010001000
+10000111010001100010101010000101
+10000111010001010010101010000010
+10000111010001000010101001111111
+10000111010000110010101001111100
+10000111010000100010101001111001
+10000111010000010010101001110110
+10000111001111110010101001110011
+10000111001111100010101001110000
+10000111001111010010101001101101
+10000111001111000010101001101010
+10000111001110110010101001100111
+10000111001110100010101001100100
+10000111001110010010101001100001
+10000111001110000010101001011110
+10000111001101110010101001011011
+10000111001101100010101001011000
+10000111001101010010101001010101
+10000111001101000010101001010010
+10000111001100110010101001001111
+10000111001100100010101001001100
+10000111001100010010101001001001
+10000111001100000010101001000110
+10000111001011110010101001000011
+10000111001011100010101001000000
+10000111001011010010101000111101
+10000111001011000010101000111010
+10000111001010110010101000111000
+10000111001010100010101000110101
+10000111001010010010101000110010
+10000111001010000010101000101111
+10000111001001110010101000101100
+10000111001001100010101000101001
+10000111001001000010101000100110
+10000111001000110010101000100011
+10000111001000100010101000100000
+10000111001000010010101000011101
+10000111001000000010101000011010
+10000111000111110010101000010111
+10000111000111100010101000010100
+10000111000111010010101000010001
+10000111000111000010101000001110
+10000111000110110010101000001011
+10000111000110100010101000001000
+10000111000110010010101000000101
+10000111000110000010101000000010
+10000111000101110010100111111111
+10000111000101100010100111111100
+10000111000101010010100111111001
+10000111000101000010100111110110
+10000111000100110010100111110011
+10000111000100100010100111110000
+10000111000100010010100111101101
+10000111000100000010100111101010
+10000111000011110010100111100111
+10000111000011100010100111100100
+10000111000011010010100111100001
+10000111000011000010100111011111
+10000111000010110010100111011100
+10000111000010100010100111011001
+10000111000010010010100111010110
+10000111000010000010100111010011
+10000111000001110010100111010000
+10000111000001100010100111001101
+10000111000001010010100111001010
+10000111000001000010100111000111
+10000111000000100010100111000100
+10000111000000010010100111000001
+10000111000000000010100110111110
+10000110111111110010100110111011
+10000110111111100010100110111000
+10000110111111010010100110110101
+10000110111111000010100110110010
+10000110111110110010100110101111
+10000110111110100010100110101100
+10000110111110010010100110101001
+10000110111110000010100110100110
+10000110111101110010100110100011
+10000110111101100010100110100000
+10000110111101010010100110011101
+10000110111101000010100110011010
+10000110111100110010100110010111
+10000110111100100010100110010100
+10000110111100010010100110010001
+10000110111100000010100110001110
+10000110111011110010100110001011
+10000110111011100010100110001000
+10000110111011010010100110000101
+10000110111011000010100110000010
+10000110111010110010100101111111
+10000110111010100010100101111100
+10000110111010010010100101111010
+10000110111010000010100101110111
+10000110111001110010100101110100
+10000110111001100010100101110001
+10000110111001010010100101101110
+10000110111001000010100101101011
+10000110111000110010100101101000
+10000110111000100010100101100101
+10000110111000010010100101100010
+10000110111000000010100101011111
+10000110110111110010100101011100
+10000110110111100010100101011001
+10000110110111010010100101010110
+10000110110111000010100101010011
+10000110110110110010100101010000
+10000110110110100010100101001101
+10000110110110010010100101001010
+10000110110110000010100101000111
+10000110110101110010100101000100
+10000110110101100010100101000001
+10000110110101010010100100111110
+10000110110101000010100100111011
+10000110110100110010100100111000
+10000110110100100010100100110101
+10000110110100010010100100110010
+10000110110100000010100100101111
+10000110110011110010100100101100
+10000110110011100010100100101001
+10000110110011010010100100100110
+10000110110011000010100100100011
+10000110110010110010100100100000
+10000110110010100010100100011101
+10000110110010010010100100011010
+10000110110010000010100100010111
+10000110110001110010100100010100
+10000110110001010010100100010001
+10000110110001000010100100001110
+10000110110000110010100100001011
+10000110110000100010100100001001
+10000110110000010010100100000110
+10000110110000000010100100000011
+10000110101111110010100100000000
+10000110101111100010100011111101
+10000110101111010010100011111010
+10000110101111000010100011110111
+10000110101110110010100011110100
+10000110101110100010100011110001
+10000110101110010010100011101110
+10000110101110000010100011101011
+10000110101101110010100011101000
+10000110101101100010100011100101
+10000110101101010010100011100010
+10000110101101000010100011011111
+10000110101100110010100011011100
+10000110101100100010100011011001
+10000110101100010010100011010110
+10000110101100000010100011010011
+10000110101011110010100011010000
+10000110101011100010100011001101
+10000110101011010010100011001010
+10000110101011000010100011000111
+10000110101010110010100011000100
+10000110101010100010100011000001
+10000110101010010010100010111110
+10000110101010000010100010111011
+10000110101001110010100010111000
+10000110101001100010100010110101
+10000110101001010010100010110010
+10000110101001000010100010101111
+10000110101000110010100010101100
+10000110101000100010100010101001
+10000110101000010010100010100110
+10000110101000000010100010100011
+10000110100111110010100010100000
+10000110100111100010100010011101
+10000110100111010010100010011010
+10000110100111000010100010010111
+10000110100110110010100010010100
+10000110100110100010100010010001
+10000110100110010010100010001110
+10000110100110000010100010001011
+10000110100101110010100010001000
+10000110100101100010100010000101
+10000110100101010010100010000011
+10000110100101000010100010000000
+10000110100100110010100001111101
+10000110100100100010100001111010
+10000110100100010010100001110111
+10000110100100000010100001110100
+10000110100011110010100001110001
+10000110100011100010100001101110
+10000110100011010010100001101011
+10000110100011010010100001101000
+10000110100011000010100001100101
+10000110100010110010100001100010
+10000110100010100010100001011111
+10000110100010010010100001011100
+10000110100010000010100001011001
+10000110100001110010100001010110
+10000110100001100010100001010011
+10000110100001010010100001010000
+10000110100001000010100001001101
+10000110100000110010100001001010
+10000110100000100010100001000111
+10000110100000010010100001000100
+10000110100000000010100001000001
+10000110011111110010100000111110
+10000110011111100010100000111011
+10000110011111010010100000111000
+10000110011111000010100000110101
+10000110011110110010100000110010
+10000110011110100010100000101111
+10000110011110010010100000101100
+10000110011110000010100000101001
+10000110011101110010100000100110
+10000110011101100010100000100011
+10000110011101010010100000100000
+10000110011101000010100000011101
+10000110011100110010100000011010
+10000110011100100010100000010111
+10000110011100010010100000010100
+10000110011100000010100000010001
+10000110011011110010100000001110
+10000110011011100010100000001011
+10000110011011010010100000001000
+10000110011011000010100000000101
+10000110011010110010100000000010
+10000110011010100010011111111111
+10000110011010010010011111111100
+10000110011010000010011111111001
+10000110011001110010011111110110
+10000110011001100010011111110011
+10000110011001010010011111110000
+10000110011001000010011111101101
+10000110011000110010011111101010
+10000110011000100010011111100111
+10000110011000010010011111100100
+10000110011000000010011111100001
+10000110010111110010011111011110
+10000110010111100010011111011011
+10000110010111010010011111011001
+10000110010111000010011111010110
+10000110010110110010011111010011
+10000110010110100010011111010000
+10000110010110010010011111001101
+10000110010110000010011111001010
+10000110010101110010011111000111
+10000110010101100010011111000100
+10000110010101010010011111000001
+10000110010101000010011110111110
+10000110010100110010011110111011
+10000110010100100010011110111000
+10000110010100100010011110110101
+10000110010100010010011110110010
+10000110010100000010011110101111
+10000110010011110010011110101100
+10000110010011100010011110101001
+10000110010011010010011110100110
+10000110010011000010011110100011
+10000110010010110010011110100000
+10000110010010100010011110011101
+10000110010010010010011110011010
+10000110010010000010011110010111
+10000110010001110010011110010100
+10000110010001100010011110010001
+10000110010001010010011110001110
+10000110010001000010011110001011
+10000110010000110010011110001000
+10000110010000100010011110000101
+10000110010000010010011110000010
+10000110010000000010011101111111
+10000110001111110010011101111100
+10000110001111100010011101111001
+10000110001111010010011101110110
+10000110001111000010011101110011
+10000110001110110010011101110000
+10000110001110100010011101101101
+10000110001110010010011101101010
+10000110001110000010011101100111
+10000110001101110010011101100100
+10000110001101100010011101100001
+10000110001101010010011101011110
+10000110001101000010011101011011
+10000110001100110010011101011000
+10000110001100100010011101010101
+10000110001100100010011101010010
+10000110001100010010011101001111
+10000110001100000010011101001100
+10000110001011110010011101001001
+10000110001011100010011101000110
+10000110001011010010011101000011
+10000110001011000010011101000000
+10000110001010110010011100111101
+10000110001010100010011100111010
+10000110001010010010011100110111
+10000110001010000010011100110100
+10000110001001110010011100110001
+10000110001001100010011100101110
+10000110001001010010011100101011
+10000110001001000010011100101000
+10000110001000110010011100100101
+10000110001000100010011100100010
+10000110001000010010011100011111
+10000110001000000010011100011100
+10000110000111110010011100011001
+10000110000111100010011100010110
+10000110000111010010011100010011
+10000110000111000010011100010000
+10000110000110110010011100001101
+10000110000110100010011100001010
+10000110000110010010011100000111
+10000110000110010010011100000100
+10000110000110000010011100000001
+10000110000101110010011011111110
+10000110000101100010011011111011
+10000110000101010010011011111000
+10000110000101000010011011110101
+10000110000100110010011011110010
+10000110000100100010011011101111
+10000110000100010010011011101100
+10000110000100000010011011101001
+10000110000011110010011011100110
+10000110000011100010011011100011
+10000110000011010010011011100000
+10000110000011000010011011011101
+10000110000010110010011011011010
+10000110000010100010011011010111
+10000110000010010010011011010100
+10000110000010000010011011010001
+10000110000001110010011011001110
+10000110000001100010011011001011
+10000110000001010010011011001000
+10000110000001000010011011000101
+10000110000001000010011011000010
+10000110000000110010011010111111
+10000110000000100010011010111100
+10000110000000010010011010111001
+10000110000000000010011010110110
+10000101111111110010011010110100
+10000101111111100010011010110001
+10000101111111010010011010101110
+10000101111111000010011010101011
+10000101111110110010011010101000
+10000101111110100010011010100101
+10000101111110010010011010100010
+10000101111110000010011010011111
+10000101111101110010011010011100
+10000101111101100010011010011001
+10000101111101010010011010010110
+10000101111101000010011010010011
+10000101111100110010011010010000
+10000101111100100010011010001101
+10000101111100100010011010001010
+10000101111100010010011010000111
+10000101111100000010011010000100
+10000101111011110010011010000001
+10000101111011100010011001111110
+10000101111011010010011001111011
+10000101111011000010011001111000
+10000101111010110010011001110101
+10000101111010100010011001110010
+10000101111010010010011001101111
+10000101111010000010011001101100
+10000101111001110010011001101001
+10000101111001100010011001100110
+10000101111001010010011001100011
+10000101111001000010011001100000
+10000101111000110010011001011101
+10000101111000100010011001011010
+10000101111000010010011001010111
+10000101111000010010011001010100
+10000101111000000010011001010001
+10000101110111110010011001001110
+10000101110111100010011001001011
+10000101110111010010011001001000
+10000101110111000010011001000101
+10000101110110110010011001000010
+10000101110110100010011000111111
+10000101110110010010011000111100
+10000101110110000010011000111001
+10000101110101110010011000110110
+10000101110101100010011000110011
+10000101110101010010011000110000
+10000101110101000010011000101101
+10000101110100110010011000101010
+10000101110100100010011000100111
+10000101110100100010011000100100
+10000101110100010010011000100001
+10000101110100000010011000011110
+10000101110011110010011000011011
+10000101110011100010011000011000
+10000101110011010010011000010101
+10000101110011000010011000010010
+10000101110010110010011000001111
+10000101110010100010011000001100
+10000101110010010010011000001001
+10000101110010000010011000000110
+10000101110001110010011000000011
+10000101110001100010011000000000
+10000101110001010010010111111101
+10000101110001000010010111111010
+10000101110000110010010111110111
+10000101110000110010010111110100
+10000101110000100010010111110001
+10000101110000010010010111101110
+10000101110000000010010111101011
+10000101101111110010010111101000
+10000101101111100010010111100101
+10000101101111010010010111100010
+10000101101111000010010111011111
+10000101101110110010010111011100
+10000101101110100010010111011001
+10000101101110010010010111010110
+10000101101110000010010111010011
+10000101101101110010010111010000
+10000101101101100010010111001101
+10000101101101100010010111001010
+10000101101101010010010111000111
+10000101101101000010010111000100
+10000101101100110010010111000001
+10000101101100100010010110111110
+10000101101100010010010110111011
+10000101101100000010010110111000
+10000101101011110010010110110101
+10000101101011100010010110110010
+10000101101011010010010110101111
+10000101101011000010010110101100
+10000101101010110010010110101001
+10000101101010100010010110100110
+10000101101010100010010110100011
+10000101101010010010010110100000
+10000101101010000010010110011101
+10000101101001110010010110011010
+10000101101001100010010110010111
+10000101101001010010010110010100
+10000101101001000010010110010001
+10000101101000110010010110001110
+10000101101000100010010110001011
+10000101101000010010010110001000
+10000101101000000010010110000101
+10000101100111110010010110000010
+10000101100111100010010101111111
+10000101100111100010010101111100
+10000101100111010010010101111001
+10000101100111000010010101110110
+10000101100110110010010101110011
+10000101100110100010010101110000
+10000101100110010010010101101101
+10000101100110000010010101101010
+10000101100101110010010101100111
+10000101100101100010010101100100
+10000101100101010010010101100001
+10000101100101000010010101011110
+10000101100100110010010101011011
+10000101100100110010010101011000
+10000101100100100010010101010101
+10000101100100010010010101010010
+10000101100100000010010101001111
+10000101100011110010010101001100
+10000101100011100010010101001001
+10000101100011010010010101000110
+10000101100011000010010101000011
+10000101100010110010010101000000
+10000101100010100010010100111101
+10000101100010010010010100111010
+10000101100010000010010100110110
+10000101100010000010010100110011
+10000101100001110010010100110000
+10000101100001100010010100101101
+10000101100001010010010100101010
+10000101100001000010010100100111
+10000101100000110010010100100100
+10000101100000100010010100100001
+10000101100000010010010100011110
+10000101100000000010010100011011
+10000101011111110010010100011000
+10000101011111100010010100010101
+10000101011111100010010100010010
+10000101011111010010010100001111
+10000101011111000010010100001100
+10000101011110110010010100001001
+10000101011110100010010100000110
+10000101011110010010010100000011
+10000101011110000010010100000000
+10000101011101110010010011111101
+10000101011101100010010011111010
+10000101011101010010010011110111
+10000101011101000010010011110100
+10000101011101000010010011110001
+10000101011100110010010011101110
+10000101011100100010010011101011
+10000101011100010010010011101000
+10000101011100000010010011100101
+10000101011011110010010011100010
+10000101011011100010010011011111
+10000101011011010010010011011100
+10000101011011000010010011011001
+10000101011010110010010011010110
+10000101011010100010010011010011
+10000101011010100010010011010000
+10000101011010010010010011001101
+10000101011010000010010011001010
+10000101011001110010010011000111
+10000101011001100010010011000100
+10000101011001010010010011000001
+10000101011001000010010010111110
+10000101011000110010010010111011
+10000101011000100010010010111000
+10000101011000010010010010110101
+10000101011000010010010010110010
+10000101011000000010010010101111
+10000101010111110010010010101100
+10000101010111100010010010101001
+10000101010111010010010010100110
+10000101010111000010010010100011
+10000101010110110010010010100000
+10000101010110100010010010011101
+10000101010110010010010010011010
+10000101010110000010010010010111
+10000101010110000010010010010100
+10000101010101110010010010010001
+10000101010101100010010010001110
+10000101010101010010010010001011
+10000101010101000010010010001000
+10000101010100110010010010000101
+10000101010100100010010010000010
+10000101010100010010010001111111
+10000101010100000010010001111100
+10000101010011110010010001111001
+10000101010011110010010001110110
+10000101010011100010010001110011
+10000101010011010010010001110000
+10000101010011000010010001101101
+10000101010010110010010001101010
+10000101010010100010010001100111
+10000101010010010010010001100100
+10000101010010000010010001100001
+10000101010001110010010001011110
+10000101010001110010010001011011
+10000101010001100010010001011000
+10000101010001010010010001010101
+10000101010001000010010001010010
+10000101010000110010010001001111
+10000101010000100010010001001100
+10000101010000010010010001001001
+10000101010000000010010001000110
+10000101001111110010010001000011
+10000101001111110010010001000000
+10000101001111100010010000111101
+10000101001111010010010000111010
+10000101001111000010010000110111
+10000101001110110010010000110100
+10000101001110100010010000110001
+10000101001110010010010000101110
+10000101001110000010010000101011
+10000101001101110010010000101000
+10000101001101110010010000100101
+10000101001101100010010000100010
+10000101001101010010010000011111
+10000101001101000010010000011100
+10000101001100110010010000011001
+10000101001100100010010000010110
+10000101001100010010010000010011
+10000101001100000010010000010000
+10000101001011110010010000001101
+10000101001011110010010000001001
+10000101001011100010010000000110
+10000101001011010010010000000011
+10000101001011000010010000000000
+10000101001010110010001111111101
+10000101001010100010001111111010
+10000101001010010010001111110111
+10000101001010000010001111110100
+10000101001001110010001111110001
+10000101001001110010001111101110
+10000101001001100010001111101011
+10000101001001010010001111101000
+10000101001001000010001111100101
+10000101001000110010001111100010
+10000101001000100010001111011111
+10000101001000010010001111011100
+10000101001000000010001111011001
+10000101001000000010001111010110
+10000101000111110010001111010011
+10000101000111100010001111010000
+10000101000111010010001111001101
+10000101000111000010001111001010
+10000101000110110010001111000111
+10000101000110100010001111000100
+10000101000110010010001111000001
+10000101000110010010001110111110
+10000101000110000010001110111011
+10000101000101110010001110111000
+10000101000101100010001110110101
+10000101000101010010001110110010
+10000101000101000010001110101111
+10000101000100110010001110101100
+10000101000100100010001110101001
+10000101000100100010001110100110
+10000101000100010010001110100011
+10000101000100000010001110100000
+10000101000011110010001110011101
+10000101000011100010001110011010
+10000101000011010010001110010111
+10000101000011000010001110010100
+10000101000010110010001110010001
+10000101000010110010001110001110
+10000101000010100010001110001011
+10000101000010010010001110001000
+10000101000010000010001110000101
+10000101000001110010001110000010
+10000101000001100010001101111111
+10000101000001010010001101111100
+10000101000001000010001101111001
+10000101000001000010001101110110
+10000101000000110010001101110011
+10000101000000100010001101110000
+10000101000000010010001101101101
+10000101000000000010001101101010
+10000100111111110010001101100111
+10000100111111100010001101100100
+10000100111111010010001101100001
+10000100111111010010001101011110
+10000100111111000010001101011011
+10000100111110110010001101011000
+10000100111110100010001101010100
+10000100111110010010001101010001
+10000100111110000010001101001110
+10000100111101110010001101001011
+10000100111101110010001101001000
+10000100111101100010001101000101
+10000100111101010010001101000010
+10000100111101000010001100111111
+10000100111100110010001100111100
+10000100111100100010001100111001
+10000100111100010010001100110110
+10000100111100000010001100110011
+10000100111100000010001100110000
+10000100111011110010001100101101
+10000100111011100010001100101010
+10000100111011010010001100100111
+10000100111011000010001100100100
+10000100111010110010001100100001
+10000100111010100010001100011110
+10000100111010100010001100011011
+10000100111010010010001100011000
+10000100111010000010001100010101
+10000100111001110010001100010010
+10000100111001100010001100001111
+10000100111001010010001100001100
+10000100111001000010001100001001
+10000100111001000010001100000110
+10000100111000110010001100000011
+10000100111000100010001100000000
+10000100111000010010001011111101
+10000100111000000010001011111010
+10000100110111110010001011110111
+10000100110111100010001011110100
+10000100110111100010001011110001
+10000100110111010010001011101110
+10000100110111000010001011101011
+10000100110110110010001011101000
+10000100110110100010001011100101
+10000100110110010010001011100010
+10000100110110000010001011011111
+10000100110110000010001011011100
+10000100110101110010001011011001
+10000100110101100010001011010110
+10000100110101010010001011010011
+10000100110101000010001011010000
+10000100110100110010001011001101
+10000100110100100010001011001010
+10000100110100100010001011000110
+10000100110100010010001011000011
+10000100110100000010001011000000
+10000100110011110010001010111101
+10000100110011100010001010111010
+10000100110011010010001010110111
+10000100110011000010001010110100
+10000100110011000010001010110001
+10000100110010110010001010101110
+10000100110010100010001010101011
+10000100110010010010001010101000
+10000100110010000010001010100101
+10000100110001110010001010100010
+10000100110001110010001010011111
+10000100110001100010001010011100
+10000100110001010010001010011001
+10000100110001000010001010010110
+10000100110000110010001010010011
+10000100110000100010001010010000
+10000100110000010010001010001101
+10000100110000010010001010001010
+10000100110000000010001010000111
+10000100101111110010001010000100
+10000100101111100010001010000001
+10000100101111010010001001111110
+10000100101111000010001001111011
+10000100101110110010001001111000
+10000100101110110010001001110101
+10000100101110100010001001110010
+10000100101110010010001001101111
+10000100101110000010001001101100
+10000100101101110010001001101001
+10000100101101100010001001100110
+10000100101101100010001001100011
+10000100101101010010001001100000
+10000100101101000010001001011101
+10000100101100110010001001011010
+10000100101100100010001001010111
+10000100101100010010001001010100
+10000100101100010010001001010001
+10000100101100000010001001001101
+10000100101011110010001001001010
+10000100101011100010001001000111
+10000100101011010010001001000100
+10000100101011000010001001000001
+10000100101010110010001000111110
+10000100101010110010001000111011
+10000100101010100010001000111000
+10000100101010010010001000110101
+10000100101010000010001000110010
+10000100101001110010001000101111
+10000100101001100010001000101100
+10000100101001100010001000101001
+10000100101001010010001000100110
+10000100101001000010001000100011
+10000100101000110010001000100000
+10000100101000100010001000011101
+10000100101000010010001000011010
+10000100101000010010001000010111
+10000100101000000010001000010100
+10000100100111110010001000010001
+10000100100111100010001000001110
+10000100100111010010001000001011
+10000100100111000010001000001000
+10000100100111000010001000000101
+10000100100110110010001000000010
+10000100100110100010000111111111
+10000100100110010010000111111100
+10000100100110000010000111111001
+10000100100101110010000111110110
+10000100100101110010000111110011
+10000100100101100010000111110000
+10000100100101010010000111101101
+10000100100101000010000111101010
+10000100100100110010000111100111
+10000100100100100010000111100100
+10000100100100100010000111100000
+10000100100100010010000111011101
+10000100100100000010000111011010
+10000100100011110010000111010111
+10000100100011100010000111010100
+10000100100011010010000111010001
+10000100100011010010000111001110
+10000100100011000010000111001011
+10000100100010110010000111001000
+10000100100010100010000111000101
+10000100100010010010000111000010
+10000100100010000010000110111111
+10000100100010000010000110111100
+10000100100001110010000110111001
+10000100100001100010000110110110
+10000100100001010010000110110011
+10000100100001000010000110110000
+10000100100000110010000110101101
+10000100100000110010000110101010
+10000100100000100010000110100111
+10000100100000010010000110100100
+10000100100000000010000110100001
+10000100011111110010000110011110
+10000100011111110010000110011011
+10000100011111100010000110011000
+10000100011111010010000110010101
+10000100011111000010000110010010
+10000100011110110010000110001111
+10000100011110100010000110001100
+10000100011110100010000110001001
+10000100011110010010000110000110
+10000100011110000010000110000011
+10000100011101110010000110000000
+10000100011101100010000101111100
+10000100011101010010000101111001
+10000100011101010010000101110110
+10000100011101000010000101110011
+10000100011100110010000101110000
+10000100011100100010000101101101
+10000100011100010010000101101010
+10000100011100010010000101100111
+10000100011100000010000101100100
+10000100011011110010000101100001
+10000100011011100010000101011110
+10000100011011010010000101011011
+10000100011011000010000101011000
+10000100011011000010000101010101
+10000100011010110010000101010010
+10000100011010100010000101001111
+10000100011010010010000101001100
+10000100011010000010000101001001
+10000100011010000010000101000110
+10000100011001110010000101000011
+10000100011001100010000101000000
+10000100011001010010000100111101
+10000100011001000010000100111010
+10000100011000110010000100110111
+10000100011000110010000100110100
+10000100011000100010000100110001
+10000100011000010010000100101110
+10000100011000000010000100101011
+10000100010111110010000100101000
+10000100010111110010000100100101
+10000100010111100010000100100001
+10000100010111010010000100011110
+10000100010111000010000100011011
+10000100010110110010000100011000
+10000100010110100010000100010101
+10000100010110100010000100010010
+10000100010110010010000100001111
+10000100010110000010000100001100
+10000100010101110010000100001001
+10000100010101100010000100000110
+10000100010101100010000100000011
+10000100010101010010000100000000
+10000100010101000010000011111101
+10000100010100110010000011111010
+10000100010100100010000011110111
+10000100010100100010000011110100
+10000100010100010010000011110001
+10000100010100000010000011101110
+10000100010011110010000011101011
+10000100010011100010000011101000
+10000100010011100010000011100101
+10000100010011010010000011100010
+10000100010011000010000011011111
+10000100010010110010000011011100
+10000100010010100010000011011001
+10000100010010100010000011010110
+10000100010010010010000011010011
+10000100010010000010000011010000
+10000100010001110010000011001100
+10000100010001100010000011001001
+10000100010001010010000011000110
+10000100010001010010000011000011
+10000100010001000010000011000000
+10000100010000110010000010111101
+10000100010000100010000010111010
+10000100010000010010000010110111
+10000100010000010010000010110100
+10000100010000000010000010110001
+10000100001111110010000010101110
+10000100001111100010000010101011
+10000100001111010010000010101000
+10000100001111010010000010100101
+10000100001111000010000010100010
+10000100001110110010000010011111
+10000100001110100010000010011100
+10000100001110010010000010011001
+10000100001110010010000010010110
+10000100001110000010000010010011
+10000100001101110010000010010000
+10000100001101100010000010001101
+10000100001101010010000010001010
+10000100001101010010000010000111
+10000100001101000010000010000100
+10000100001100110010000010000001
+10000100001100100010000001111110
+10000100001100010010000001111010
+10000100001100010010000001110111
+10000100001100000010000001110100
+10000100001011110010000001110001
+10000100001011100010000001101110
+10000100001011010010000001101011
+10000100001011010010000001101000
+10000100001011000010000001100101
+10000100001010110010000001100010
+10000100001010100010000001011111
+10000100001010100010000001011100
+10000100001010010010000001011001
+10000100001010000010000001010110
+10000100001001110010000001010011
+10000100001001100010000001010000
+10000100001001100010000001001101
+10000100001001010010000001001010
+10000100001001000010000001000111
+10000100001000110010000001000100
+10000100001000100010000001000001
+10000100001000100010000000111110
+10000100001000010010000000111011
+10000100001000000010000000111000
+10000100000111110010000000110101
+10000100000111100010000000110010
+10000100000111100010000000101110
+10000100000111010010000000101011
+10000100000111000010000000101000
+10000100000110110010000000100101
+10000100000110100010000000100010
+10000100000110100010000000011111
+10000100000110010010000000011100
+10000100000110000010000000011001
+10000100000101110010000000010110
+10000100000101110010000000010011
+10000100000101100010000000010000
+10000100000101010010000000001101
+10000100000101000010000000001010
+10000100000100110010000000000111
+10000100000100110010000000000100
+10000100000100100010000000000001
+10000100000100010001111111111110
+10000100000100000001111111111011
+10000100000011110001111111111000
+10000100000011110001111111110101
+10000100000011100001111111110010
+10000100000011010001111111101111
+10000100000011000001111111101100
+10000100000011000001111111101001
+10000100000010110001111111100101
+10000100000010100001111111100010
+10000100000010010001111111011111
+10000100000010000001111111011100
+10000100000010000001111111011001
+10000100000001110001111111010110
+10000100000001100001111111010011
+10000100000001010001111111010000
+10000100000001000001111111001101
+10000100000001000001111111001010
+10000100000000110001111111000111
+10000100000000100001111111000100
+10000100000000010001111111000001
+10000100000000010001111110111110
+10000100000000000001111110111011
+10000011111111110001111110111000
+10000011111111100001111110110101
+10000011111111010001111110110010
+10000011111111010001111110101111
+10000011111111000001111110101100
+10000011111110110001111110101001
+10000011111110100001111110100110
+10000011111110100001111110100011
+10000011111110010001111110011111
+10000011111110000001111110011100
+10000011111101110001111110011001
+10000011111101100001111110010110
+10000011111101100001111110010011
+10000011111101010001111110010000
+10000011111101000001111110001101
+10000011111100110001111110001010
+10000011111100110001111110000111
+10000011111100100001111110000100
+10000011111100010001111110000001
+10000011111100000001111101111110
+10000011111100000001111101111011
+10000011111011110001111101111000
+10000011111011100001111101110101
+10000011111011010001111101110010
+10000011111011000001111101101111
+10000011111011000001111101101100
+10000011111010110001111101101001
+10000011111010100001111101100110
+10000011111010010001111101100011
+10000011111010010001111101100000
+10000011111010000001111101011101
+10000011111001110001111101011001
+10000011111001100001111101010110
+10000011111001100001111101010011
+10000011111001010001111101010000
+10000011111001000001111101001101
+10000011111000110001111101001010
+10000011111000100001111101000111
+10000011111000100001111101000100
+10000011111000010001111101000001
+10000011111000000001111100111110
+10000011110111110001111100111011
+10000011110111110001111100111000
+10000011110111100001111100110101
+10000011110111010001111100110010
+10000011110111000001111100101111
+10000011110111000001111100101100
+10000011110110110001111100101001
+10000011110110100001111100100110
+10000011110110010001111100100011
+10000011110110000001111100100000
+10000011110110000001111100011101
+10000011110101110001111100011001
+10000011110101100001111100010110
+10000011110101010001111100010011
+10000011110101010001111100010000
+10000011110101000001111100001101
+10000011110100110001111100001010
+10000011110100100001111100000111
+10000011110100100001111100000100
+10000011110100010001111100000001
+10000011110100000001111011111110
+10000011110011110001111011111011
+10000011110011110001111011111000
+10000011110011100001111011110101
+10000011110011010001111011110010
+10000011110011000001111011101111
+10000011110011000001111011101100
+10000011110010110001111011101001
+10000011110010100001111011100110
+10000011110010010001111011100011
+10000011110010010001111011100000
+10000011110010000001111011011101
+10000011110001110001111011011001
+10000011110001100001111011010110
+10000011110001010001111011010011
+10000011110001010001111011010000
+10000011110001000001111011001101
+10000011110000110001111011001010
+10000011110000100001111011000111
+10000011110000100001111011000100
+10000011110000010001111011000001
+10000011110000000001111010111110
+10000011101111110001111010111011
+10000011101111110001111010111000
+10000011101111100001111010110101
+10000011101111010001111010110010
+10000011101111000001111010101111
+10000011101111000001111010101100
+10000011101110110001111010101001
+10000011101110100001111010100110
+10000011101110010001111010100011
+10000011101110010001111010100000
+10000011101110000001111010011100
+10000011101101110001111010011001
+10000011101101100001111010010110
+10000011101101100001111010010011
+10000011101101010001111010010000
+10000011101101000001111010001101
+10000011101100110001111010001010
+10000011101100110001111010000111
+10000011101100100001111010000100
+10000011101100010001111010000001
+10000011101100000001111001111110
+10000011101100000001111001111011
+10000011101011110001111001111000
+10000011101011100001111001110101
+10000011101011010001111001110010
+10000011101011010001111001101111
+10000011101011000001111001101100
+10000011101010110001111001101001
+10000011101010100001111001100110
+10000011101010100001111001100011
+10000011101010010001111001011111
+10000011101010000001111001011100
+10000011101001110001111001011001
+10000011101001110001111001010110
+10000011101001100001111001010011
+10000011101001010001111001010000
+10000011101001000001111001001101
+10000011101001000001111001001010
+10000011101000110001111001000111
+10000011101000100001111001000100
+10000011101000010001111001000001
+10000011101000010001111000111110
+10000011101000000001111000111011
+10000011100111110001111000111000
+10000011100111110001111000110101
+10000011100111100001111000110010
+10000011100111010001111000101111
+10000011100111000001111000101100
+10000011100111000001111000101001
+10000011100110110001111000100101
+10000011100110100001111000100010
+10000011100110010001111000011111
+10000011100110010001111000011100
+10000011100110000001111000011001
+10000011100101110001111000010110
+10000011100101100001111000010011
+10000011100101100001111000010000
+10000011100101010001111000001101
+10000011100101000001111000001010
+10000011100100110001111000000111
+10000011100100110001111000000100
+10000011100100100001111000000001
+10000011100100010001110111111110
+10000011100100000001110111111011
+10000011100100000001110111111000
+10000011100011110001110111110101
+10000011100011100001110111110010
+10000011100011100001110111101111
+10000011100011010001110111101011
+10000011100011000001110111101000
+10000011100010110001110111100101
+10000011100010110001110111100010
+10000011100010100001110111011111
+10000011100010010001110111011100
+10000011100010000001110111011001
+10000011100010000001110111010110
+10000011100001110001110111010011
+10000011100001100001110111010000
+10000011100001010001110111001101
+10000011100001010001110111001010
+10000011100001000001110111000111
+10000011100000110001110111000100
+10000011100000110001110111000001
+10000011100000100001110110111110
+10000011100000010001110110111011
+10000011100000000001110110111000
+10000011100000000001110110110100
+10000011011111110001110110110001
+10000011011111100001110110101110
+10000011011111010001110110101011
+10000011011111010001110110101000
+10000011011111000001110110100101
+10000011011110110001110110100010
+10000011011110110001110110011111
+10000011011110100001110110011100
+10000011011110010001110110011001
+10000011011110000001110110010110
+10000011011110000001110110010011
+10000011011101110001110110010000
+10000011011101100001110110001101
+10000011011101010001110110001010
+10000011011101010001110110000111
+10000011011101000001110110000100
+10000011011100110001110110000001
+10000011011100110001110101111101
+10000011011100100001110101111010
+10000011011100010001110101110111
+10000011011100000001110101110100
+10000011011100000001110101110001
+10000011011011110001110101101110
+10000011011011100001110101101011
+10000011011011100001110101101000
+10000011011011010001110101100101
+10000011011011000001110101100010
+10000011011010110001110101011111
+10000011011010110001110101011100
+10000011011010100001110101011001
+10000011011010010001110101010110
+10000011011010000001110101010011
+10000011011010000001110101010000
+10000011011001110001110101001101
+10000011011001100001110101001001
+10000011011001100001110101000110
+10000011011001010001110101000011
+10000011011001000001110101000000
+10000011011000110001110100111101
+10000011011000110001110100111010
+10000011011000100001110100110111
+10000011011000010001110100110100
+10000011011000010001110100110001
+10000011011000000001110100101110
+10000011010111110001110100101011
+10000011010111100001110100101000
+10000011010111100001110100100101
+10000011010111010001110100100010
+10000011010111000001110100011111
+10000011010111000001110100011100
+10000011010110110001110100011001
+10000011010110100001110100010101
+10000011010110010001110100010010
+10000011010110010001110100001111
+10000011010110000001110100001100
+10000011010101110001110100001001
+10000011010101110001110100000110
+10000011010101100001110100000011
+10000011010101010001110100000000
+10000011010101000001110011111101
+10000011010101000001110011111010
+10000011010100110001110011110111
+10000011010100100001110011110100
+10000011010100100001110011110001
+10000011010100010001110011101110
+10000011010100000001110011101011
+10000011010011110001110011101000
+10000011010011110001110011100101
+10000011010011100001110011100001
+10000011010011010001110011011110
+10000011010011010001110011011011
+10000011010011000001110011011000
+10000011010010110001110011010101
+10000011010010110001110011010010
+10000011010010100001110011001111
+10000011010010010001110011001100
+10000011010010000001110011001001
+10000011010010000001110011000110
+10000011010001110001110011000011
+10000011010001100001110011000000
+10000011010001100001110010111101
+10000011010001010001110010111010
+10000011010001000001110010110111
+10000011010000110001110010110100
+10000011010000110001110010110000
+10000011010000100001110010101101
+10000011010000010001110010101010
+10000011010000010001110010100111
+10000011010000000001110010100100
+10000011001111110001110010100001
+10000011001111110001110010011110
+10000011001111100001110010011011
+10000011001111010001110010011000
+10000011001111000001110010010101
+10000011001111000001110010010010
+10000011001110110001110010001111
+10000011001110100001110010001100
+10000011001110100001110010001001
+10000011001110010001110010000110
+10000011001110000001110010000011
+10000011001110000001110001111111
+10000011001101110001110001111100
+10000011001101100001110001111001
+10000011001101010001110001110110
+10000011001101010001110001110011
+10000011001101000001110001110000
+10000011001100110001110001101101
+10000011001100110001110001101010
+10000011001100100001110001100111
+10000011001100010001110001100100
+10000011001100010001110001100001
+10000011001100000001110001011110
+10000011001011110001110001011011
+10000011001011100001110001011000
+10000011001011100001110001010101
+10000011001011010001110001010010
+10000011001011000001110001001110
+10000011001011000001110001001011
+10000011001010110001110001001000
+10000011001010100001110001000101
+10000011001010100001110001000010
+10000011001010010001110000111111
+10000011001010000001110000111100
+10000011001010000001110000111001
+10000011001001110001110000110110
+10000011001001100001110000110011
+10000011001001010001110000110000
+10000011001001010001110000101101
+10000011001001000001110000101010
+10000011001000110001110000100111
+10000011001000110001110000100100
+10000011001000100001110000100001
+10000011001000010001110000011101
+10000011001000010001110000011010
+10000011001000000001110000010111
+10000011000111110001110000010100
+10000011000111110001110000010001
+10000011000111100001110000001110
+10000011000111010001110000001011
+10000011000111000001110000001000
+10000011000111000001110000000101
+10000011000110110001110000000010
+10000011000110100001101111111111
+10000011000110100001101111111100
+10000011000110010001101111111001
+10000011000110000001101111110110
+10000011000110000001101111110011
+10000011000101110001101111101111
+10000011000101100001101111101100
+10000011000101100001101111101001
+10000011000101010001101111100110
+10000011000101000001101111100011
+10000011000101000001101111100000
+10000011000100110001101111011101
+10000011000100100001101111011010
+10000011000100010001101111010111
+10000011000100010001101111010100
+10000011000100000001101111010001
+10000011000011110001101111001110
+10000011000011110001101111001011
+10000011000011100001101111001000
+10000011000011010001101111000101
+10000011000011010001101111000001
+10000011000011000001101110111110
+10000011000010110001101110111011
+10000011000010110001101110111000
+10000011000010100001101110110101
+10000011000010010001101110110010
+10000011000010010001101110101111
+10000011000010000001101110101100
+10000011000001110001101110101001
+10000011000001110001101110100110
+10000011000001100001101110100011
+10000011000001010001101110100000
+10000011000001010001101110011101
+10000011000001000001101110011010
+10000011000000110001101110010111
+10000011000000110001101110010011
+10000011000000100001101110010000
+10000011000000010001101110001101
+10000011000000000001101110001010
+10000011000000000001101110000111
+10000010111111110001101110000100
+10000010111111100001101110000001
+10000010111111100001101101111110
+10000010111111010001101101111011
+10000010111111000001101101111000
+10000010111111000001101101110101
+10000010111110110001101101110010
+10000010111110100001101101101111
+10000010111110100001101101101100
+10000010111110010001101101101001
+10000010111110000001101101100101
+10000010111110000001101101100010
+10000010111101110001101101011111
+10000010111101100001101101011100
+10000010111101100001101101011001
+10000010111101010001101101010110
+10000010111101000001101101010011
+10000010111101000001101101010000
+10000010111100110001101101001101
+10000010111100100001101101001010
+10000010111100100001101101000111
+10000010111100010001101101000100
+10000010111100000001101101000001
+10000010111100000001101100111110
+10000010111011110001101100111010
+10000010111011100001101100110111
+10000010111011100001101100110100
+10000010111011010001101100110001
+10000010111011000001101100101110
+10000010111011000001101100101011
+10000010111010110001101100101000
+10000010111010100001101100100101
+10000010111010100001101100100010
+10000010111010010001101100011111
+10000010111010000001101100011100
+10000010111010000001101100011001
+10000010111001110001101100010110
+10000010111001100001101100010011
+10000010111001100001101100010000
+10000010111001010001101100001100
+10000010111001000001101100001001
+10000010111001000001101100000110
+10000010111000110001101100000011
+10000010111000100001101100000000
+10000010111000100001101011111101
+10000010111000010001101011111010
+10000010111000000001101011110111
+10000010111000000001101011110100
+10000010110111110001101011110001
+10000010110111100001101011101110
+10000010110111100001101011101011
+10000010110111010001101011101000
+10000010110111000001101011100101
+10000010110111000001101011100001
+10000010110110110001101011011110
+10000010110110100001101011011011
+10000010110110100001101011011000
+10000010110110010001101011010101
+10000010110110000001101011010010
+10000010110110000001101011001111
+10000010110101110001101011001100
+10000010110101100001101011001001
+10000010110101100001101011000110
+10000010110101010001101011000011
+10000010110101010001101011000000
+10000010110101000001101010111101
+10000010110100110001101010111010
+10000010110100110001101010110110
+10000010110100100001101010110011
+10000010110100010001101010110000
+10000010110100010001101010101101
+10000010110100000001101010101010
+10000010110011110001101010100111
+10000010110011110001101010100100
+10000010110011100001101010100001
+10000010110011010001101010011110
+10000010110011010001101010011011
+10000010110011000001101010011000
+10000010110010110001101010010101
+10000010110010110001101010010010
+10000010110010100001101010001111
+10000010110010010001101010001011
+10000010110010010001101010001000
+10000010110010000001101010000101
+10000010110001110001101010000010
+10000010110001110001101001111111
+10000010110001100001101001111100
+10000010110001100001101001111001
+10000010110001010001101001110110
+10000010110001000001101001110011
+10000010110001000001101001110000
+10000010110000110001101001101101
+10000010110000100001101001101010
+10000010110000100001101001100111
+10000010110000010001101001100011
+10000010110000000001101001100000
+10000010110000000001101001011101
+10000010101111110001101001011010
+10000010101111100001101001010111
+10000010101111100001101001010100
+10000010101111010001101001010001
+10000010101111000001101001001110
+10000010101111000001101001001011
+10000010101110110001101001001000
+10000010101110100001101001000101
+10000010101110100001101001000010
+10000010101110010001101000111111
+10000010101110010001101000111100
+10000010101110000001101000111000
+10000010101101110001101000110101
+10000010101101110001101000110010
+10000010101101100001101000101111
+10000010101101010001101000101100
+10000010101101010001101000101001
+10000010101101000001101000100110
+10000010101100110001101000100011
+10000010101100110001101000100000
+10000010101100100001101000011101
+10000010101100100001101000011010
+10000010101100010001101000010111
+10000010101100000001101000010100
+10000010101100000001101000010000
+10000010101011110001101000001101
+10000010101011100001101000001010
+10000010101011100001101000000111
+10000010101011010001101000000100
+10000010101011000001101000000001
+10000010101011000001100111111110
+10000010101010110001100111111011
+10000010101010100001100111111000
+10000010101010100001100111110101
+10000010101010010001100111110010
+10000010101010010001100111101111
+10000010101010000001100111101100
+10000010101001110001100111101000
+10000010101001110001100111100101
+10000010101001100001100111100010
+10000010101001010001100111011111
+10000010101001010001100111011100
+10000010101001000001100111011001
+10000010101000110001100111010110
+10000010101000110001100111010011
+10000010101000100001100111010000
+10000010101000100001100111001101
+10000010101000010001100111001010
+10000010101000000001100111000111
+10000010101000000001100111000100
+10000010100111110001100111000000
+10000010100111100001100110111101
+10000010100111100001100110111010
+10000010100111010001100110110111
+10000010100111010001100110110100
+10000010100111000001100110110001
+10000010100110110001100110101110
+10000010100110110001100110101011
+10000010100110100001100110101000
+10000010100110010001100110100101
+10000010100110010001100110100010
+10000010100110000001100110011111
+10000010100101110001100110011100
+10000010100101110001100110011000
+10000010100101100001100110010101
+10000010100101100001100110010010
+10000010100101010001100110001111
+10000010100101000001100110001100
+10000010100101000001100110001001
+10000010100100110001100110000110
+10000010100100100001100110000011
+10000010100100100001100110000000
+10000010100100010001100101111101
+10000010100100010001100101111010
+10000010100100000001100101110111
+10000010100011110001100101110100
+10000010100011110001100101110000
+10000010100011100001100101101101
+10000010100011010001100101101010
+10000010100011010001100101100111
+10000010100011000001100101100100
+10000010100011000001100101100001
+10000010100010110001100101011110
+10000010100010100001100101011011
+10000010100010100001100101011000
+10000010100010010001100101010101
+10000010100010000001100101010010
+10000010100010000001100101001111
+10000010100001110001100101001011
+10000010100001110001100101001000
+10000010100001100001100101000101
+10000010100001010001100101000010
+10000010100001010001100100111111
+10000010100001000001100100111100
+10000010100001000001100100111001
+10000010100000110001100100110110
+10000010100000100001100100110011
+10000010100000100001100100110000
+10000010100000010001100100101101
+10000010100000000001100100101010
+10000010100000000001100100100111
+10000010011111110001100100100011
+10000010011111110001100100100000
+10000010011111100001100100011101
+10000010011111010001100100011010
+10000010011111010001100100010111
+10000010011111000001100100010100
+10000010011111000001100100010001
+10000010011110110001100100001110
+10000010011110100001100100001011
+10000010011110100001100100001000
+10000010011110010001100100000101
+10000010011110000001100100000010
+10000010011110000001100011111110
+10000010011101110001100011111011
+10000010011101110001100011111000
+10000010011101100001100011110101
+10000010011101010001100011110010
+10000010011101010001100011101111
+10000010011101000001100011101100
+10000010011101000001100011101001
+10000010011100110001100011100110
+10000010011100100001100011100011
+10000010011100100001100011100000
+10000010011100010001100011011101
+10000010011100000001100011011010
+10000010011100000001100011010110
+10000010011011110001100011010011
+10000010011011110001100011010000
+10000010011011100001100011001101
+10000010011011010001100011001010
+10000010011011010001100011000111
+10000010011011000001100011000100
+10000010011011000001100011000001
+10000010011010110001100010111110
+10000010011010100001100010111011
+10000010011010100001100010111000
+10000010011010010001100010110101
+10000010011010010001100010110001
+10000010011010000001100010101110
+10000010011001110001100010101011
+10000010011001110001100010101000
+10000010011001100001100010100101
+10000010011001100001100010100010
+10000010011001010001100010011111
+10000010011001000001100010011100
+10000010011001000001100010011001
+10000010011000110001100010010110
+10000010011000110001100010010011
+10000010011000100001100010010000
+10000010011000010001100010001100
+10000010011000010001100010001001
+10000010011000000001100010000110
+10000010011000000001100010000011
+10000010010111110001100010000000
+10000010010111100001100001111101
+10000010010111100001100001111010
+10000010010111010001100001110111
+10000010010111010001100001110100
+10000010010111000001100001110001
+10000010010110110001100001101110
+10000010010110110001100001101011
+10000010010110100001100001100111
+10000010010110100001100001100100
+10000010010110010001100001100001
+10000010010110000001100001011110
+10000010010110000001100001011011
+10000010010101110001100001011000
+10000010010101110001100001010101
+10000010010101100001100001010010
+10000010010101010001100001001111
+10000010010101010001100001001100
+10000010010101000001100001001001
+10000010010101000001100001000110
+10000010010100110001100001000010
+10000010010100100001100000111111
+10000010010100100001100000111100
+10000010010100010001100000111001
+10000010010100010001100000110110
+10000010010100000001100000110011
+10000010010011110001100000110000
+10000010010011110001100000101101
+10000010010011100001100000101010
+10000010010011100001100000100111
+10000010010011010001100000100100
+10000010010011000001100000100001
+10000010010011000001100000011101
+10000010010010110001100000011010
+10000010010010110001100000010111
+10000010010010100001100000010100
+10000010010010010001100000010001
+10000010010010010001100000001110
+10000010010010000001100000001011
+10000010010010000001100000001000
+10000010010001110001100000000101
+10000010010001110001100000000010
+10000010010001100001011111111111
+10000010010001010001011111111011
+10000010010001010001011111111000
+10000010010001000001011111110101
+10000010010001000001011111110010
+10000010010000110001011111101111
+10000010010000100001011111101100
+10000010010000100001011111101001
+10000010010000010001011111100110
+10000010010000010001011111100011
+10000010010000000001011111100000
+10000010001111110001011111011101
+10000010001111110001011111011010
+10000010001111100001011111010110
+10000010001111100001011111010011
+10000010001111010001011111010000
+10000010001111010001011111001101
+10000010001111000001011111001010
+10000010001110110001011111000111
+10000010001110110001011111000100
+10000010001110100001011111000001
+10000010001110100001011110111110
+10000010001110010001011110111011
+10000010001110000001011110111000
+10000010001110000001011110110101
+10000010001101110001011110110001
+10000010001101110001011110101110
+10000010001101100001011110101011
+10000010001101100001011110101000
+10000010001101010001011110100101
+10000010001101000001011110100010
+10000010001101000001011110011111
+10000010001100110001011110011100
+10000010001100110001011110011001
+10000010001100100001011110010110
+10000010001100010001011110010011
+10000010001100010001011110001111
+10000010001100000001011110001100
+10000010001100000001011110001001
+10000010001011110001011110000110
+10000010001011110001011110000011
+10000010001011100001011110000000
+10000010001011010001011101111101
+10000010001011010001011101111010
+10000010001011000001011101110111
+10000010001011000001011101110100
+10000010001010110001011101110001
+10000010001010110001011101101101
+10000010001010100001011101101010
+10000010001010010001011101100111
+10000010001010010001011101100100
+10000010001010000001011101100001
+10000010001010000001011101011110
+10000010001001110001011101011011
+10000010001001110001011101011000
+10000010001001100001011101010101
+10000010001001010001011101010010
+10000010001001010001011101001111
+10000010001001000001011101001100
+10000010001001000001011101001000
+10000010001000110001011101000101
+10000010001000110001011101000010
+10000010001000100001011100111111
+10000010001000010001011100111100
+10000010001000010001011100111001
+10000010001000000001011100110110
+10000010001000000001011100110011
+10000010000111110001011100110000
+10000010000111110001011100101101
+10000010000111100001011100101010
+10000010000111010001011100100110
+10000010000111010001011100100011
+10000010000111000001011100100000
+10000010000111000001011100011101
+10000010000110110001011100011010
+10000010000110110001011100010111
+10000010000110100001011100010100
+10000010000110010001011100010001
+10000010000110010001011100001110
+10000010000110000001011100001011
+10000010000110000001011100001000
+10000010000101110001011100000100
+10000010000101110001011100000001
+10000010000101100001011011111110
+10000010000101010001011011111011
+10000010000101010001011011111000
+10000010000101000001011011110101
+10000010000101000001011011110010
+10000010000100110001011011101111
+10000010000100110001011011101100
+10000010000100100001011011101001
+10000010000100100001011011100110
+10000010000100010001011011100010
+10000010000100000001011011011111
+10000010000100000001011011011100
+10000010000011110001011011011001
+10000010000011110001011011010110
+10000010000011100001011011010011
+10000010000011100001011011010000
+10000010000011010001011011001101
+10000010000011010001011011001010
+10000010000011000001011011000111
+10000010000010110001011011000100
+10000010000010110001011011000000
+10000010000010100001011010111101
+10000010000010100001011010111010
+10000010000010010001011010110111
+10000010000010010001011010110100
+10000010000010000001011010110001
+10000010000001110001011010101110
+10000010000001110001011010101011
+10000010000001100001011010101000
+10000010000001100001011010100101
+10000010000001010001011010100010
+10000010000001010001011010011110
+10000010000001000001011010011011
+10000010000001000001011010011000
+10000010000000110001011010010101
+10000010000000100001011010010010
+10000010000000100001011010001111
+10000010000000010001011010001100
+10000010000000010001011010001001
+10000010000000000001011010000110
+10000010000000000001011010000011
+10000001111111110001011010000000
+10000001111111110001011001111100
+10000001111111100001011001111001
+10000001111111100001011001110110
+10000001111111010001011001110011
+10000001111111000001011001110000
+10000001111111000001011001101101
+10000001111110110001011001101010
+10000001111110110001011001100111
+10000001111110100001011001100100
+10000001111110100001011001100001
+10000001111110010001011001011110
+10000001111110010001011001011010
+10000001111110000001011001010111
+10000001111101110001011001010100
+10000001111101110001011001010001
+10000001111101100001011001001110
+10000001111101100001011001001011
+10000001111101010001011001001000
+10000001111101010001011001000101
+10000001111101000001011001000010
+10000001111101000001011000111111
+10000001111100110001011000111011
+10000001111100110001011000111000
+10000001111100100001011000110101
+10000001111100010001011000110010
+10000001111100010001011000101111
+10000001111100000001011000101100
+10000001111100000001011000101001
+10000001111011110001011000100110
+10000001111011110001011000100011
+10000001111011100001011000100000
+10000001111011100001011000011101
+10000001111011010001011000011001
+10000001111011010001011000010110
+10000001111011000001011000010011
+10000001111010110001011000010000
+10000001111010110001011000001101
+10000001111010100001011000001010
+10000001111010100001011000000111
+10000001111010010001011000000100
+10000001111010010001011000000001
+10000001111010000001010111111110
+10000001111010000001010111111010
+10000001111001110001010111110111
+10000001111001110001010111110100
+10000001111001100001010111110001
+10000001111001100001010111101110
+10000001111001010001010111101011
+10000001111001000001010111101000
+10000001111001000001010111100101
+10000001111000110001010111100010
+10000001111000110001010111011111
+10000001111000100001010111011100
+10000001111000100001010111011000
+10000001111000010001010111010101
+10000001111000010001010111010010
+10000001111000000001010111001111
+10000001111000000001010111001100
+10000001110111110001010111001001
+10000001110111110001010111000110
+10000001110111100001010111000011
+10000001110111100001010111000000
+10000001110111010001010110111101
+10000001110111000001010110111001
+10000001110111000001010110110110
+10000001110110110001010110110011
+10000001110110110001010110110000
+10000001110110100001010110101101
+10000001110110100001010110101010
+10000001110110010001010110100111
+10000001110110010001010110100100
+10000001110110000001010110100001
+10000001110110000001010110011110
+10000001110101110001010110011011
+10000001110101110001010110010111
+10000001110101100001010110010100
+10000001110101100001010110010001
+10000001110101010001010110001110
+10000001110101000001010110001011
+10000001110101000001010110001000
+10000001110100110001010110000101
+10000001110100110001010110000010
+10000001110100100001010101111111
+10000001110100100001010101111100
+10000001110100010001010101111000
+10000001110100010001010101110101
+10000001110100000001010101110010
+10000001110100000001010101101111
+10000001110011110001010101101100
+10000001110011110001010101101001
+10000001110011100001010101100110
+10000001110011100001010101100011
+10000001110011010001010101100000
+10000001110011010001010101011101
+10000001110011000001010101011010
+10000001110011000001010101010110
+10000001110010110001010101010011
+10000001110010100001010101010000
+10000001110010100001010101001101
+10000001110010010001010101001010
+10000001110010010001010101000111
+10000001110010000001010101000100
+10000001110010000001010101000001
+10000001110001110001010100111110
+10000001110001110001010100111011
+10000001110001100001010100110111
+10000001110001100001010100110100
+10000001110001010001010100110001
+10000001110001010001010100101110
+10000001110001000001010100101011
+10000001110001000001010100101000
+10000001110000110001010100100101
+10000001110000110001010100100010
+10000001110000100001010100011111
+10000001110000100001010100011100
+10000001110000010001010100011000
+10000001110000010001010100010101
+10000001110000000001010100010010
+10000001110000000001010100001111
+10000001101111110001010100001100
+10000001101111110001010100001001
+10000001101111100001010100000110
+10000001101111010001010100000011
+10000001101111010001010100000000
+10000001101111000001010011111101
+10000001101111000001010011111001
+10000001101110110001010011110110
+10000001101110110001010011110011
+10000001101110100001010011110000
+10000001101110100001010011101101
+10000001101110010001010011101010
+10000001101110010001010011100111
+10000001101110000001010011100100
+10000001101110000001010011100001
+10000001101101110001010011011110
+10000001101101110001010011011010
+10000001101101100001010011010111
+10000001101101100001010011010100
+10000001101101010001010011010001
+10000001101101010001010011001110
+10000001101101000001010011001011
+10000001101101000001010011001000
+10000001101100110001010011000101
+10000001101100110001010011000010
+10000001101100100001010010111111
+10000001101100100001010010111011
+10000001101100010001010010111000
+10000001101100010001010010110101
+10000001101100000001010010110010
+10000001101100000001010010101111
+10000001101011110001010010101100
+10000001101011110001010010101001
+10000001101011100001010010100110
+10000001101011100001010010100011
+10000001101011010001010010100000
+10000001101011010001010010011100
+10000001101011000001010010011001
+10000001101011000001010010010110
+10000001101010110001010010010011
+10000001101010110001010010010000
+10000001101010100001010010001101
+10000001101010100001010010001010
+10000001101010010001010010000111
+10000001101010010001010010000100
+10000001101010000001010010000001
+10000001101010000001010001111101
+10000001101001110001010001111010
+10000001101001110001010001110111
+10000001101001100001010001110100
+10000001101001100001010001110001
+10000001101001010001010001101110
+10000001101001010001010001101011
+10000001101001000001010001101000
+10000001101001000001010001100101
+10000001101000110001010001100010
+10000001101000110001010001011110
+10000001101000100001010001011011
+10000001101000100001010001011000
+10000001101000010001010001010101
+10000001101000010001010001010010
+10000001101000000001010001001111
+10000001101000000001010001001100
+10000001100111110001010001001001
+10000001100111110001010001000110
+10000001100111100001010001000011
+10000001100111100001010000111111
+10000001100111010001010000111100
+10000001100111010001010000111001
+10000001100111000001010000110110
+10000001100111000001010000110011
+10000001100110110001010000110000
+10000001100110110001010000101101
+10000001100110100001010000101010
+10000001100110100001010000100111
+10000001100110010001010000100100
+10000001100110010001010000100000
+10000001100110000001010000011101
+10000001100110000001010000011010
+10000001100101110001010000010111
+10000001100101110001010000010100
+10000001100101100001010000010001
+10000001100101100001010000001110
+10000001100101010001010000001011
+10000001100101010001010000001000
+10000001100101000001010000000100
+10000001100101000001010000000001
+10000001100100110001001111111110
+10000001100100110001001111111011
+10000001100100100001001111111000
+10000001100100100001001111110101
+10000001100100010001001111110010
+10000001100100010001001111101111
+10000001100100000001001111101100
+10000001100100000001001111101001
+10000001100011110001001111100101
+10000001100011110001001111100010
+10000001100011100001001111011111
+10000001100011100001001111011100
+10000001100011010001001111011001
+10000001100011010001001111010110
+10000001100011000001001111010011
+10000001100011000001001111010000
+10000001100010110001001111001101
+10000001100010110001001111001010
+10000001100010100001001111000110
+10000001100010100001001111000011
+10000001100010010001001111000000
+10000001100010010001001110111101
+10000001100010010001001110111010
+10000001100010000001001110110111
+10000001100010000001001110110100
+10000001100001110001001110110001
+10000001100001110001001110101110
+10000001100001100001001110101010
+10000001100001100001001110100111
+10000001100001010001001110100100
+10000001100001010001001110100001
+10000001100001000001001110011110
+10000001100001000001001110011011
+10000001100000110001001110011000
+10000001100000110001001110010101
+10000001100000100001001110010010
+10000001100000100001001110001111
+10000001100000010001001110001011
+10000001100000010001001110001000
+10000001100000000001001110000101
+10000001100000000001001110000010
+10000001011111110001001101111111
+10000001011111110001001101111100
+10000001011111100001001101111001
+10000001011111100001001101110110
+10000001011111010001001101110011
+10000001011111010001001101110000
+10000001011111000001001101101100
+10000001011111000001001101101001
+10000001011111000001001101100110
+10000001011110110001001101100011
+10000001011110110001001101100000
+10000001011110100001001101011101
+10000001011110100001001101011010
+10000001011110010001001101010111
+10000001011110010001001101010100
+10000001011110000001001101010000
+10000001011110000001001101001101
+10000001011101110001001101001010
+10000001011101110001001101000111
+10000001011101100001001101000100
+10000001011101100001001101000001
+10000001011101010001001100111110
+10000001011101010001001100111011
+10000001011101000001001100111000
+10000001011101000001001100110101
+10000001011100110001001100110001
+10000001011100110001001100101110
+10000001011100110001001100101011
+10000001011100100001001100101000
+10000001011100100001001100100101
+10000001011100010001001100100010
+10000001011100010001001100011111
+10000001011100000001001100011100
+10000001011100000001001100011001
+10000001011011110001001100010101
+10000001011011110001001100010010
+10000001011011100001001100001111
+10000001011011100001001100001100
+10000001011011010001001100001001
+10000001011011010001001100000110
+10000001011011000001001100000011
+10000001011011000001001100000000
+10000001011011000001001011111101
+10000001011010110001001011111001
+10000001011010110001001011110110
+10000001011010100001001011110011
+10000001011010100001001011110000
+10000001011010010001001011101101
+10000001011010010001001011101010
+10000001011010000001001011100111
+10000001011010000001001011100100
+10000001011001110001001011100001
+10000001011001110001001011011110
+10000001011001100001001011011010
+10000001011001100001001011010111
+10000001011001010001001011010100
+10000001011001010001001011010001
+10000001011001010001001011001110
+10000001011001000001001011001011
+10000001011001000001001011001000
+10000001011000110001001011000101
+10000001011000110001001011000010
+10000001011000100001001010111110
+10000001011000100001001010111011
+10000001011000010001001010111000
+10000001011000010001001010110101
+10000001011000000001001010110010
+10000001011000000001001010101111
+10000001011000000001001010101100
+10000001010111110001001010101001
+10000001010111110001001010100110
+10000001010111100001001010100010
+10000001010111100001001010011111
+10000001010111010001001010011100
+10000001010111010001001010011001
+10000001010111000001001010010110
+10000001010111000001001010010011
+10000001010110110001001010010000
+10000001010110110001001010001101
+10000001010110100001001010001010
+10000001010110100001001010000111
+10000001010110100001001010000011
+10000001010110010001001010000000
+10000001010110010001001001111101
+10000001010110000001001001111010
+10000001010110000001001001110111
+10000001010101110001001001110100
+10000001010101110001001001110001
+10000001010101100001001001101110
+10000001010101100001001001101011
+10000001010101010001001001100111
+10000001010101010001001001100100
+10000001010101010001001001100001
+10000001010101000001001001011110
+10000001010101000001001001011011
+10000001010100110001001001011000
+10000001010100110001001001010101
+10000001010100100001001001010010
+10000001010100100001001001001111
+10000001010100010001001001001011
+10000001010100010001001001001000
+10000001010100010001001001000101
+10000001010100000001001001000010
+10000001010100000001001000111111
+10000001010011110001001000111100
+10000001010011110001001000111001
+10000001010011100001001000110110
+10000001010011100001001000110011
+10000001010011010001001000101111
+10000001010011010001001000101100
+10000001010011010001001000101001
+10000001010011000001001000100110
+10000001010011000001001000100011
+10000001010010110001001000100000
+10000001010010110001001000011101
+10000001010010100001001000011010
+10000001010010100001001000010111
+10000001010010010001001000010011
+10000001010010010001001000010000
+10000001010010010001001000001101
+10000001010010000001001000001010
+10000001010010000001001000000111
+10000001010001110001001000000100
+10000001010001110001001000000001
+10000001010001100001000111111110
+10000001010001100001000111111011
+10000001010001010001000111110111
+10000001010001010001000111110100
+10000001010001010001000111110001
+10000001010001000001000111101110
+10000001010001000001000111101011
+10000001010000110001000111101000
+10000001010000110001000111100101
+10000001010000100001000111100010
+10000001010000100001000111011111
+10000001010000010001000111011011
+10000001010000010001000111011000
+10000001010000010001000111010101
+10000001010000000001000111010010
+10000001010000000001000111001111
+10000001001111110001000111001100
+10000001001111110001000111001001
+10000001001111100001000111000110
+10000001001111100001000111000011
+10000001001111100001000110111111
+10000001001111010001000110111100
+10000001001111010001000110111001
+10000001001111000001000110110110
+10000001001111000001000110110011
+10000001001110110001000110110000
+10000001001110110001000110101101
+10000001001110100001000110101010
+10000001001110100001000110100111
+10000001001110100001000110100011
+10000001001110010001000110100000
+10000001001110010001000110011101
+10000001001110000001000110011010
+10000001001110000001000110010111
+10000001001101110001000110010100
+10000001001101110001000110010001
+10000001001101110001000110001110
+10000001001101100001000110001011
+10000001001101100001000110000111
+10000001001101010001000110000100
+10000001001101010001000110000001
+10000001001101000001000101111110
+10000001001101000001000101111011
+10000001001101000001000101111000
+10000001001100110001000101110101
+10000001001100110001000101110010
+10000001001100100001000101101111
+10000001001100100001000101101011
+10000001001100010001000101101000
+10000001001100010001000101100101
+10000001001100010001000101100010
+10000001001100000001000101011111
+10000001001100000001000101011100
+10000001001011110001000101011001
+10000001001011110001000101010110
+10000001001011100001000101010011
+10000001001011100001000101001111
+10000001001011100001000101001100
+10000001001011010001000101001001
+10000001001011010001000101000110
+10000001001011000001000101000011
+10000001001011000001000101000000
+10000001001011000001000100111101
+10000001001010110001000100111010
+10000001001010110001000100110111
+10000001001010100001000100110011
+10000001001010100001000100110000
+10000001001010010001000100101101
+10000001001010010001000100101010
+10000001001010010001000100100111
+10000001001010000001000100100100
+10000001001010000001000100100001
+10000001001001110001000100011110
+10000001001001110001000100011011
+10000001001001100001000100010111
+10000001001001100001000100010100
+10000001001001100001000100010001
+10000001001001010001000100001110
+10000001001001010001000100001011
+10000001001001000001000100001000
+10000001001001000001000100000101
+10000001001001000001000100000010
+10000001001000110001000011111111
+10000001001000110001000011111011
+10000001001000100001000011111000
+10000001001000100001000011110101
+10000001001000010001000011110010
+10000001001000010001000011101111
+10000001001000010001000011101100
+10000001001000000001000011101001
+10000001001000000001000011100110
+10000001000111110001000011100010
+10000001000111110001000011011111
+10000001000111110001000011011100
+10000001000111100001000011011001
+10000001000111100001000011010110
+10000001000111010001000011010011
+10000001000111010001000011010000
+10000001000111000001000011001101
+10000001000111000001000011001010
+10000001000111000001000011000110
+10000001000110110001000011000011
+10000001000110110001000011000000
+10000001000110100001000010111101
+10000001000110100001000010111010
+10000001000110100001000010110111
+10000001000110010001000010110100
+10000001000110010001000010110001
+10000001000110000001000010101110
+10000001000110000001000010101010
+10000001000110000001000010100111
+10000001000101110001000010100100
+10000001000101110001000010100001
+10000001000101100001000010011110
+10000001000101100001000010011011
+10000001000101100001000010011000
+10000001000101010001000010010101
+10000001000101010001000010010010
+10000001000101000001000010001110
+10000001000101000001000010001011
+10000001000100110001000010001000
+10000001000100110001000010000101
+10000001000100110001000010000010
+10000001000100100001000001111111
+10000001000100100001000001111100
+10000001000100010001000001111001
+10000001000100010001000001110101
+10000001000100010001000001110010
+10000001000100000001000001101111
+10000001000100000001000001101100
+10000001000011110001000001101001
+10000001000011110001000001100110
+10000001000011110001000001100011
+10000001000011100001000001100000
+10000001000011100001000001011101
+10000001000011010001000001011001
+10000001000011010001000001010110
+10000001000011010001000001010011
+10000001000011000001000001010000
+10000001000011000001000001001101
+10000001000010110001000001001010
+10000001000010110001000001000111
+10000001000010110001000001000100
+10000001000010100001000001000001
+10000001000010100001000000111101
+10000001000010010001000000111010
+10000001000010010001000000110111
+10000001000010010001000000110100
+10000001000010000001000000110001
+10000001000010000001000000101110
+10000001000001110001000000101011
+10000001000001110001000000101000
+10000001000001110001000000100100
+10000001000001100001000000100001
+10000001000001100001000000011110
+10000001000001010001000000011011
+10000001000001010001000000011000
+10000001000001010001000000010101
+10000001000001000001000000010010
+10000001000001000001000000001111
+10000001000000110001000000001100
+10000001000000110001000000001000
+10000001000000110001000000000101
+10000001000000100001000000000010
+10000001000000100000111111111111
+10000001000000100000111111111100
+10000001000000010000111111111001
+10000001000000010000111111110110
+10000001000000000000111111110011
+10000001000000000000111111101111
+10000001000000000000111111101100
+10000000111111110000111111101001
+10000000111111110000111111100110
+10000000111111100000111111100011
+10000000111111100000111111100000
+10000000111111100000111111011101
+10000000111111010000111111011010
+10000000111111010000111111010111
+10000000111111000000111111010011
+10000000111111000000111111010000
+10000000111111000000111111001101
+10000000111110110000111111001010
+10000000111110110000111111000111
+10000000111110110000111111000100
+10000000111110100000111111000001
+10000000111110100000111110111110
+10000000111110010000111110111010
+10000000111110010000111110110111
+10000000111110010000111110110100
+10000000111110000000111110110001
+10000000111110000000111110101110
+10000000111101110000111110101011
+10000000111101110000111110101000
+10000000111101110000111110100101
+10000000111101100000111110100010
+10000000111101100000111110011110
+10000000111101010000111110011011
+10000000111101010000111110011000
+10000000111101010000111110010101
+10000000111101000000111110010010
+10000000111101000000111110001111
+10000000111101000000111110001100
+10000000111100110000111110001001
+10000000111100110000111110000101
+10000000111100100000111110000010
+10000000111100100000111101111111
+10000000111100100000111101111100
+10000000111100010000111101111001
+10000000111100010000111101110110
+10000000111100010000111101110011
+10000000111100000000111101110000
+10000000111100000000111101101101
+10000000111011110000111101101001
+10000000111011110000111101100110
+10000000111011110000111101100011
+10000000111011100000111101100000
+10000000111011100000111101011101
+10000000111011100000111101011010
+10000000111011010000111101010111
+10000000111011010000111101010100
+10000000111011000000111101010000
+10000000111011000000111101001101
+10000000111011000000111101001010
+10000000111010110000111101000111
+10000000111010110000111101000100
+10000000111010110000111101000001
+10000000111010100000111100111110
+10000000111010100000111100111011
+10000000111010010000111100111000
+10000000111010010000111100110100
+10000000111010010000111100110001
+10000000111010000000111100101110
+10000000111010000000111100101011
+10000000111010000000111100101000
+10000000111001110000111100100101
+10000000111001110000111100100010
+10000000111001100000111100011111
+10000000111001100000111100011011
+10000000111001100000111100011000
+10000000111001010000111100010101
+10000000111001010000111100010010
+10000000111001010000111100001111
+10000000111001000000111100001100
+10000000111001000000111100001001
+10000000111000110000111100000110
+10000000111000110000111100000010
+10000000111000110000111011111111
+10000000111000100000111011111100
+10000000111000100000111011111001
+10000000111000100000111011110110
+10000000111000010000111011110011
+10000000111000010000111011110000
+10000000111000010000111011101101
+10000000111000000000111011101010
+10000000111000000000111011100110
+10000000110111110000111011100011
+10000000110111110000111011100000
+10000000110111110000111011011101
+10000000110111100000111011011010
+10000000110111100000111011010111
+10000000110111100000111011010100
+10000000110111010000111011010001
+10000000110111010000111011001101
+10000000110111010000111011001010
+10000000110111000000111011000111
+10000000110111000000111011000100
+10000000110110110000111011000001
+10000000110110110000111010111110
+10000000110110110000111010111011
+10000000110110100000111010111000
+10000000110110100000111010110100
+10000000110110100000111010110001
+10000000110110010000111010101110
+10000000110110010000111010101011
+10000000110110010000111010101000
+10000000110110000000111010100101
+10000000110110000000111010100010
+10000000110101110000111010011111
+10000000110101110000111010011100
+10000000110101110000111010011000
+10000000110101100000111010010101
+10000000110101100000111010010010
+10000000110101100000111010001111
+10000000110101010000111010001100
+10000000110101010000111010001001
+10000000110101010000111010000110
+10000000110101000000111010000011
+10000000110101000000111001111111
+10000000110101000000111001111100
+10000000110100110000111001111001
+10000000110100110000111001110110
+10000000110100100000111001110011
+10000000110100100000111001110000
+10000000110100100000111001101101
+10000000110100010000111001101010
+10000000110100010000111001100110
+10000000110100010000111001100011
+10000000110100000000111001100000
+10000000110100000000111001011101
+10000000110100000000111001011010
+10000000110011110000111001010111
+10000000110011110000111001010100
+10000000110011110000111001010001
+10000000110011100000111001001101
+10000000110011100000111001001010
+10000000110011100000111001000111
+10000000110011010000111001000100
+10000000110011010000111001000001
+10000000110011000000111000111110
+10000000110011000000111000111011
+10000000110011000000111000111000
+10000000110010110000111000110101
+10000000110010110000111000110001
+10000000110010110000111000101110
+10000000110010100000111000101011
+10000000110010100000111000101000
+10000000110010100000111000100101
+10000000110010010000111000100010
+10000000110010010000111000011111
+10000000110010010000111000011100
+10000000110010000000111000011000
+10000000110010000000111000010101
+10000000110010000000111000010010
+10000000110001110000111000001111
+10000000110001110000111000001100
+10000000110001110000111000001001
+10000000110001100000111000000110
+10000000110001100000111000000011
+10000000110001100000110111111111
+10000000110001010000110111111100
+10000000110001010000110111111001
+10000000110001010000110111110110
+10000000110001000000110111110011
+10000000110001000000110111110000
+10000000110000110000110111101101
+10000000110000110000110111101010
+10000000110000110000110111100110
+10000000110000100000110111100011
+10000000110000100000110111100000
+10000000110000100000110111011101
+10000000110000010000110111011010
+10000000110000010000110111010111
+10000000110000010000110111010100
+10000000110000000000110111010001
+10000000110000000000110111001101
+10000000110000000000110111001010
+10000000101111110000110111000111
+10000000101111110000110111000100
+10000000101111110000110111000001
+10000000101111100000110110111110
+10000000101111100000110110111011
+10000000101111100000110110111000
+10000000101111010000110110110100
+10000000101111010000110110110001
+10000000101111010000110110101110
+10000000101111000000110110101011
+10000000101111000000110110101000
+10000000101111000000110110100101
+10000000101110110000110110100010
+10000000101110110000110110011111
+10000000101110110000110110011100
+10000000101110100000110110011000
+10000000101110100000110110010101
+10000000101110100000110110010010
+10000000101110010000110110001111
+10000000101110010000110110001100
+10000000101110010000110110001001
+10000000101110000000110110000110
+10000000101110000000110110000011
+10000000101110000000110101111111
+10000000101101110000110101111100
+10000000101101110000110101111001
+10000000101101110000110101110110
+10000000101101100000110101110011
+10000000101101100000110101110000
+10000000101101100000110101101101
+10000000101101010000110101101010
+10000000101101010000110101100110
+10000000101101010000110101100011
+10000000101101000000110101100000
+10000000101101000000110101011101
+10000000101101000000110101011010
+10000000101100110000110101010111
+10000000101100110000110101010100
+10000000101100110000110101010001
+10000000101100100000110101001101
+10000000101100100000110101001010
+10000000101100100000110101000111
+10000000101100010000110101000100
+10000000101100010000110101000001
+10000000101100010000110100111110
+10000000101100000000110100111011
+10000000101100000000110100111000
+10000000101100000000110100110100
+10000000101100000000110100110001
+10000000101011110000110100101110
+10000000101011110000110100101011
+10000000101011110000110100101000
+10000000101011100000110100100101
+10000000101011100000110100100010
+10000000101011100000110100011111
+10000000101011010000110100011011
+10000000101011010000110100011000
+10000000101011010000110100010101
+10000000101011000000110100010010
+10000000101011000000110100001111
+10000000101011000000110100001100
+10000000101010110000110100001001
+10000000101010110000110100000110
+10000000101010110000110100000010
+10000000101010100000110011111111
+10000000101010100000110011111100
+10000000101010100000110011111001
+10000000101010010000110011110110
+10000000101010010000110011110011
+10000000101010010000110011110000
+10000000101010000000110011101101
+10000000101010000000110011101001
+10000000101010000000110011100110
+10000000101010000000110011100011
+10000000101001110000110011100000
+10000000101001110000110011011101
+10000000101001110000110011011010
+10000000101001100000110011010111
+10000000101001100000110011010100
+10000000101001100000110011010000
+10000000101001010000110011001101
+10000000101001010000110011001010
+10000000101001010000110011000111
+10000000101001000000110011000100
+10000000101001000000110011000001
+10000000101001000000110010111110
+10000000101000110000110010111011
+10000000101000110000110010110111
+10000000101000110000110010110100
+10000000101000100000110010110001
+10000000101000100000110010101110
+10000000101000100000110010101011
+10000000101000100000110010101000
+10000000101000010000110010100101
+10000000101000010000110010100010
+10000000101000010000110010011110
+10000000101000000000110010011011
+10000000101000000000110010011000
+10000000101000000000110010010101
+10000000100111110000110010010010
+10000000100111110000110010001111
+10000000100111110000110010001100
+10000000100111100000110010001001
+10000000100111100000110010000101
+10000000100111100000110010000010
+10000000100111100000110001111111
+10000000100111010000110001111100
+10000000100111010000110001111001
+10000000100111010000110001110110
+10000000100111000000110001110011
+10000000100111000000110001101111
+10000000100111000000110001101100
+10000000100110110000110001101001
+10000000100110110000110001100110
+10000000100110110000110001100011
+10000000100110100000110001100000
+10000000100110100000110001011101
+10000000100110100000110001011010
+10000000100110100000110001010110
+10000000100110010000110001010011
+10000000100110010000110001010000
+10000000100110010000110001001101
+10000000100110000000110001001010
+10000000100110000000110001000111
+10000000100110000000110001000100
+10000000100101110000110001000001
+10000000100101110000110000111101
+10000000100101110000110000111010
+10000000100101110000110000110111
+10000000100101100000110000110100
+10000000100101100000110000110001
+10000000100101100000110000101110
+10000000100101010000110000101011
+10000000100101010000110000101000
+10000000100101010000110000100100
+10000000100101000000110000100001
+10000000100101000000110000011110
+10000000100101000000110000011011
+10000000100101000000110000011000
+10000000100100110000110000010101
+10000000100100110000110000010010
+10000000100100110000110000001111
+10000000100100100000110000001011
+10000000100100100000110000001000
+10000000100100100000110000000101
+10000000100100100000110000000010
+10000000100100010000101111111111
+10000000100100010000101111111100
+10000000100100010000101111111001
+10000000100100000000101111110110
+10000000100100000000101111110010
+10000000100100000000101111101111
+10000000100011110000101111101100
+10000000100011110000101111101001
+10000000100011110000101111100110
+10000000100011110000101111100011
+10000000100011100000101111100000
+10000000100011100000101111011101
+10000000100011100000101111011001
+10000000100011010000101111010110
+10000000100011010000101111010011
+10000000100011010000101111010000
+10000000100011010000101111001101
+10000000100011000000101111001010
+10000000100011000000101111000111
+10000000100011000000101111000011
+10000000100010110000101111000000
+10000000100010110000101110111101
+10000000100010110000101110111010
+10000000100010110000101110110111
+10000000100010100000101110110100
+10000000100010100000101110110001
+10000000100010100000101110101110
+10000000100010010000101110101010
+10000000100010010000101110100111
+10000000100010010000101110100100
+10000000100010010000101110100001
+10000000100010000000101110011110
+10000000100010000000101110011011
+10000000100010000000101110011000
+10000000100001110000101110010101
+10000000100001110000101110010001
+10000000100001110000101110001110
+10000000100001110000101110001011
+10000000100001100000101110001000
+10000000100001100000101110000101
+10000000100001100000101110000010
+10000000100001010000101101111111
+10000000100001010000101101111100
+10000000100001010000101101111000
+10000000100001010000101101110101
+10000000100001000000101101110010
+10000000100001000000101101101111
+10000000100001000000101101101100
+10000000100000110000101101101001
+10000000100000110000101101100110
+10000000100000110000101101100011
+10000000100000110000101101011111
+10000000100000100000101101011100
+10000000100000100000101101011001
+10000000100000100000101101010110
+10000000100000010000101101010011
+10000000100000010000101101010000
+10000000100000010000101101001101
+10000000100000010000101101001001
+10000000100000000000101101000110
+10000000100000000000101101000011
+10000000100000000000101101000000
+10000000100000000000101100111101
+10000000011111110000101100111010
+10000000011111110000101100110111
+10000000011111110000101100110100
+10000000011111100000101100110000
+10000000011111100000101100101101
+10000000011111100000101100101010
+10000000011111100000101100100111
+10000000011111010000101100100100
+10000000011111010000101100100001
+10000000011111010000101100011110
+10000000011111010000101100011011
+10000000011111000000101100010111
+10000000011111000000101100010100
+10000000011111000000101100010001
+10000000011110110000101100001110
+10000000011110110000101100001011
+10000000011110110000101100001000
+10000000011110110000101100000101
+10000000011110100000101100000001
+10000000011110100000101011111110
+10000000011110100000101011111011
+10000000011110100000101011111000
+10000000011110010000101011110101
+10000000011110010000101011110010
+10000000011110010000101011101111
+10000000011110000000101011101100
+10000000011110000000101011101000
+10000000011110000000101011100101
+10000000011110000000101011100010
+10000000011101110000101011011111
+10000000011101110000101011011100
+10000000011101110000101011011001
+10000000011101110000101011010110
+10000000011101100000101011010011
+10000000011101100000101011001111
+10000000011101100000101011001100
+10000000011101100000101011001001
+10000000011101010000101011000110
+10000000011101010000101011000011
+10000000011101010000101011000000
+10000000011101000000101010111101
+10000000011101000000101010111010
+10000000011101000000101010110110
+10000000011101000000101010110011
+10000000011100110000101010110000
+10000000011100110000101010101101
+10000000011100110000101010101010
+10000000011100110000101010100111
+10000000011100100000101010100100
+10000000011100100000101010100000
+10000000011100100000101010011101
+10000000011100100000101010011010
+10000000011100010000101010010111
+10000000011100010000101010010100
+10000000011100010000101010010001
+10000000011100010000101010001110
+10000000011100000000101010001011
+10000000011100000000101010000111
+10000000011100000000101010000100
+10000000011100000000101010000001
+10000000011011110000101001111110
+10000000011011110000101001111011
+10000000011011110000101001111000
+10000000011011110000101001110101
+10000000011011100000101001110001
+10000000011011100000101001101110
+10000000011011100000101001101011
+10000000011011010000101001101000
+10000000011011010000101001100101
+10000000011011010000101001100010
+10000000011011010000101001011111
+10000000011011000000101001011100
+10000000011011000000101001011000
+10000000011011000000101001010101
+10000000011011000000101001010010
+10000000011010110000101001001111
+10000000011010110000101001001100
+10000000011010110000101001001001
+10000000011010110000101001000110
+10000000011010100000101001000011
+10000000011010100000101000111111
+10000000011010100000101000111100
+10000000011010100000101000111001
+10000000011010010000101000110110
+10000000011010010000101000110011
+10000000011010010000101000110000
+10000000011010010000101000101101
+10000000011010000000101000101001
+10000000011010000000101000100110
+10000000011010000000101000100011
+10000000011010000000101000100000
+10000000011001110000101000011101
+10000000011001110000101000011010
+10000000011001110000101000010111
+10000000011001110000101000010100
+10000000011001100000101000010000
+10000000011001100000101000001101
+10000000011001100000101000001010
+10000000011001100000101000000111
+10000000011001010000101000000100
+10000000011001010000101000000001
+10000000011001010000100111111110
+10000000011001010000100111111011
+10000000011001000000100111110111
+10000000011001000000100111110100
+10000000011001000000100111110001
+10000000011001000000100111101110
+10000000011001000000100111101011
+10000000011000110000100111101000
+10000000011000110000100111100101
+10000000011000110000100111100001
+10000000011000110000100111011110
+10000000011000100000100111011011
+10000000011000100000100111011000
+10000000011000100000100111010101
+10000000011000100000100111010010
+10000000011000010000100111001111
+10000000011000010000100111001100
+10000000011000010000100111001000
+10000000011000010000100111000101
+10000000011000000000100111000010
+10000000011000000000100110111111
+10000000011000000000100110111100
+10000000011000000000100110111001
+10000000010111110000100110110110
+10000000010111110000100110110010
+10000000010111110000100110101111
+10000000010111110000100110101100
+10000000010111100000100110101001
+10000000010111100000100110100110
+10000000010111100000100110100011
+10000000010111100000100110100000
+10000000010111100000100110011101
+10000000010111010000100110011001
+10000000010111010000100110010110
+10000000010111010000100110010011
+10000000010111010000100110010000
+10000000010111000000100110001101
+10000000010111000000100110001010
+10000000010111000000100110000111
+10000000010111000000100110000011
+10000000010110110000100110000000
+10000000010110110000100101111101
+10000000010110110000100101111010
+10000000010110110000100101110111
+10000000010110100000100101110100
+10000000010110100000100101110001
+10000000010110100000100101101110
+10000000010110100000100101101010
+10000000010110100000100101100111
+10000000010110010000100101100100
+10000000010110010000100101100001
+10000000010110010000100101011110
+10000000010110010000100101011011
+10000000010110000000100101011000
+10000000010110000000100101010100
+10000000010110000000100101010001
+10000000010110000000100101001110
+10000000010101110000100101001011
+10000000010101110000100101001000
+10000000010101110000100101000101
+10000000010101110000100101000010
+10000000010101110000100100111111
+10000000010101100000100100111011
+10000000010101100000100100111000
+10000000010101100000100100110101
+10000000010101100000100100110010
+10000000010101010000100100101111
+10000000010101010000100100101100
+10000000010101010000100100101001
+10000000010101010000100100100101
+10000000010101010000100100100010
+10000000010101000000100100011111
+10000000010101000000100100011100
+10000000010101000000100100011001
+10000000010101000000100100010110
+10000000010100110000100100010011
+10000000010100110000100100010000
+10000000010100110000100100001100
+10000000010100110000100100001001
+10000000010100110000100100000110
+10000000010100100000100100000011
+10000000010100100000100100000000
+10000000010100100000100011111101
+10000000010100100000100011111010
+10000000010100010000100011110110
+10000000010100010000100011110011
+10000000010100010000100011110000
+10000000010100010000100011101101
+10000000010100010000100011101010
+10000000010100000000100011100111
+10000000010100000000100011100100
+10000000010100000000100011100001
+10000000010100000000100011011101
+10000000010011110000100011011010
+10000000010011110000100011010111
+10000000010011110000100011010100
+10000000010011110000100011010001
+10000000010011110000100011001110
+10000000010011100000100011001011
+10000000010011100000100011000111
+10000000010011100000100011000100
+10000000010011100000100011000001
+10000000010011100000100010111110
+10000000010011010000100010111011
+10000000010011010000100010111000
+10000000010011010000100010110101
+10000000010011010000100010110010
+10000000010011000000100010101110
+10000000010011000000100010101011
+10000000010011000000100010101000
+10000000010011000000100010100101
+10000000010011000000100010100010
+10000000010010110000100010011111
+10000000010010110000100010011100
+10000000010010110000100010011000
+10000000010010110000100010010101
+10000000010010110000100010010010
+10000000010010100000100010001111
+10000000010010100000100010001100
+10000000010010100000100010001001
+10000000010010100000100010000110
+10000000010010100000100010000011
+10000000010010010000100001111111
+10000000010010010000100001111100
+10000000010010010000100001111001
+10000000010010010000100001110110
+10000000010010000000100001110011
+10000000010010000000100001110000
+10000000010010000000100001101101
+10000000010010000000100001101001
+10000000010010000000100001100110
+10000000010001110000100001100011
+10000000010001110000100001100000
+10000000010001110000100001011101
+10000000010001110000100001011010
+10000000010001110000100001010111
+10000000010001100000100001010100
+10000000010001100000100001010000
+10000000010001100000100001001101
+10000000010001100000100001001010
+10000000010001100000100001000111
+10000000010001010000100001000100
+10000000010001010000100001000001
+10000000010001010000100000111110
+10000000010001010000100000111010
+10000000010001010000100000110111
+10000000010001000000100000110100
+10000000010001000000100000110001
+10000000010001000000100000101110
+10000000010001000000100000101011
+10000000010001000000100000101000
+10000000010000110000100000100100
+10000000010000110000100000100001
+10000000010000110000100000011110
+10000000010000110000100000011011
+10000000010000110000100000011000
+10000000010000100000100000010101
+10000000010000100000100000010010
+10000000010000100000100000001111
+10000000010000100000100000001011
+10000000010000100000100000001000
+10000000010000010000100000000101
+10000000010000010000100000000010
+10000000010000010000011111111111
+10000000010000010000011111111100
+10000000010000010000011111111001
+10000000010000000000011111110101
+10000000010000000000011111110010
+10000000010000000000011111101111
+10000000010000000000011111101100
+10000000010000000000011111101001
+10000000001111110000011111100110
+10000000001111110000011111100011
+10000000001111110000011111100000
+10000000001111110000011111011100
+10000000001111110000011111011001
+10000000001111100000011111010110
+10000000001111100000011111010011
+10000000001111100000011111010000
+10000000001111100000011111001101
+10000000001111100000011111001010
+10000000001111100000011111000110
+10000000001111010000011111000011
+10000000001111010000011111000000
+10000000001111010000011110111101
+10000000001111010000011110111010
+10000000001111010000011110110111
+10000000001111000000011110110100
+10000000001111000000011110110000
+10000000001111000000011110101101
+10000000001111000000011110101010
+10000000001111000000011110100111
+10000000001110110000011110100100
+10000000001110110000011110100001
+10000000001110110000011110011110
+10000000001110110000011110011011
+10000000001110110000011110010111
+10000000001110100000011110010100
+10000000001110100000011110010001
+10000000001110100000011110001110
+10000000001110100000011110001011
+10000000001110100000011110001000
+10000000001110100000011110000101
+10000000001110010000011110000001
+10000000001110010000011101111110
+10000000001110010000011101111011
+10000000001110010000011101111000
+10000000001110010000011101110101
+10000000001110000000011101110010
+10000000001110000000011101101111
+10000000001110000000011101101011
+10000000001110000000011101101000
+10000000001110000000011101100101
+10000000001110000000011101100010
+10000000001101110000011101011111
+10000000001101110000011101011100
+10000000001101110000011101011001
+10000000001101110000011101010110
+10000000001101110000011101010010
+10000000001101100000011101001111
+10000000001101100000011101001100
+10000000001101100000011101001001
+10000000001101100000011101000110
+10000000001101100000011101000011
+10000000001101100000011101000000
+10000000001101010000011100111100
+10000000001101010000011100111001
+10000000001101010000011100110110
+10000000001101010000011100110011
+10000000001101010000011100110000
+10000000001101010000011100101101
+10000000001101000000011100101010
+10000000001101000000011100100110
+10000000001101000000011100100011
+10000000001101000000011100100000
+10000000001101000000011100011101
+10000000001100110000011100011010
+10000000001100110000011100010111
+10000000001100110000011100010100
+10000000001100110000011100010001
+10000000001100110000011100001101
+10000000001100110000011100001010
+10000000001100100000011100000111
+10000000001100100000011100000100
+10000000001100100000011100000001
+10000000001100100000011011111110
+10000000001100100000011011111011
+10000000001100100000011011110111
+10000000001100010000011011110100
+10000000001100010000011011110001
+10000000001100010000011011101110
+10000000001100010000011011101011
+10000000001100010000011011101000
+10000000001100010000011011100101
+10000000001100000000011011100001
+10000000001100000000011011011110
+10000000001100000000011011011011
+10000000001100000000011011011000
+10000000001100000000011011010101
+10000000001100000000011011010010
+10000000001011110000011011001111
+10000000001011110000011011001100
+10000000001011110000011011001000
+10000000001011110000011011000101
+10000000001011110000011011000010
+10000000001011110000011010111111
+10000000001011100000011010111100
+10000000001011100000011010111001
+10000000001011100000011010110110
+10000000001011100000011010110010
+10000000001011100000011010101111
+10000000001011100000011010101100
+10000000001011010000011010101001
+10000000001011010000011010100110
+10000000001011010000011010100011
+10000000001011010000011010100000
+10000000001011010000011010011100
+10000000001011010000011010011001
+10000000001011000000011010010110
+10000000001011000000011010010011
+10000000001011000000011010010000
+10000000001011000000011010001101
+10000000001011000000011010001010
+10000000001011000000011010000111
+10000000001010110000011010000011
+10000000001010110000011010000000
+10000000001010110000011001111101
+10000000001010110000011001111010
+10000000001010110000011001110111
+10000000001010110000011001110100
+10000000001010100000011001110001
+10000000001010100000011001101101
+10000000001010100000011001101010
+10000000001010100000011001100111
+10000000001010100000011001100100
+10000000001010100000011001100001
+10000000001010100000011001011110
+10000000001010010000011001011011
+10000000001010010000011001010111
+10000000001010010000011001010100
+10000000001010010000011001010001
+10000000001010010000011001001110
+10000000001010010000011001001011
+10000000001010000000011001001000
+10000000001010000000011001000101
+10000000001010000000011001000001
+10000000001010000000011000111110
+10000000001010000000011000111011
+10000000001010000000011000111000
+10000000001010000000011000110101
+10000000001001110000011000110010
+10000000001001110000011000101111
+10000000001001110000011000101100
+10000000001001110000011000101000
+10000000001001110000011000100101
+10000000001001110000011000100010
+10000000001001100000011000011111
+10000000001001100000011000011100
+10000000001001100000011000011001
+10000000001001100000011000010110
+10000000001001100000011000010010
+10000000001001100000011000001111
+10000000001001100000011000001100
+10000000001001010000011000001001
+10000000001001010000011000000110
+10000000001001010000011000000011
+10000000001001010000011000000000
+10000000001001010000010111111100
+10000000001001010000010111111001
+10000000001001010000010111110110
+10000000001001000000010111110011
+10000000001001000000010111110000
+10000000001001000000010111101101
+10000000001001000000010111101010
+10000000001001000000010111100110
+10000000001001000000010111100011
+10000000001001000000010111100000
+10000000001000110000010111011101
+10000000001000110000010111011010
+10000000001000110000010111010111
+10000000001000110000010111010100
+10000000001000110000010111010001
+10000000001000110000010111001101
+10000000001000110000010111001010
+10000000001000100000010111000111
+10000000001000100000010111000100
+10000000001000100000010111000001
+10000000001000100000010110111110
+10000000001000100000010110111011
+10000000001000100000010110110111
+10000000001000100000010110110100
+10000000001000010000010110110001
+10000000001000010000010110101110
+10000000001000010000010110101011
+10000000001000010000010110101000
+10000000001000010000010110100101
+10000000001000010000010110100001
+10000000001000010000010110011110
+10000000001000000000010110011011
+10000000001000000000010110011000
+10000000001000000000010110010101
+10000000001000000000010110010010
+10000000001000000000010110001111
+10000000001000000000010110001011
+10000000001000000000010110001000
+10000000000111110000010110000101
+10000000000111110000010110000010
+10000000000111110000010101111111
+10000000000111110000010101111100
+10000000000111110000010101111001
+10000000000111110000010101110101
+10000000000111110000010101110010
+10000000000111110000010101101111
+10000000000111100000010101101100
+10000000000111100000010101101001
+10000000000111100000010101100110
+10000000000111100000010101100011
+10000000000111100000010101100000
+10000000000111100000010101011100
+10000000000111100000010101011001
+10000000000111010000010101010110
+10000000000111010000010101010011
+10000000000111010000010101010000
+10000000000111010000010101001101
+10000000000111010000010101001010
+10000000000111010000010101000110
+10000000000111010000010101000011
+10000000000111010000010101000000
+10000000000111000000010100111101
+10000000000111000000010100111010
+10000000000111000000010100110111
+10000000000111000000010100110100
+10000000000111000000010100110000
+10000000000111000000010100101101
+10000000000111000000010100101010
+10000000000111000000010100100111
+10000000000110110000010100100100
+10000000000110110000010100100001
+10000000000110110000010100011110
+10000000000110110000010100011010
+10000000000110110000010100010111
+10000000000110110000010100010100
+10000000000110110000010100010001
+10000000000110110000010100001110
+10000000000110100000010100001011
+10000000000110100000010100001000
+10000000000110100000010100000101
+10000000000110100000010100000001
+10000000000110100000010011111110
+10000000000110100000010011111011
+10000000000110100000010011111000
+10000000000110100000010011110101
+10000000000110010000010011110010
+10000000000110010000010011101111
+10000000000110010000010011101011
+10000000000110010000010011101000
+10000000000110010000010011100101
+10000000000110010000010011100010
+10000000000110010000010011011111
+10000000000110010000010011011100
+10000000000110000000010011011001
+10000000000110000000010011010101
+10000000000110000000010011010010
+10000000000110000000010011001111
+10000000000110000000010011001100
+10000000000110000000010011001001
+10000000000110000000010011000110
+10000000000110000000010011000011
+10000000000110000000010010111111
+10000000000101110000010010111100
+10000000000101110000010010111001
+10000000000101110000010010110110
+10000000000101110000010010110011
+10000000000101110000010010110000
+10000000000101110000010010101101
+10000000000101110000010010101001
+10000000000101110000010010100110
+10000000000101110000010010100011
+10000000000101100000010010100000
+10000000000101100000010010011101
+10000000000101100000010010011010
+10000000000101100000010010010111
+10000000000101100000010010010011
+10000000000101100000010010010000
+10000000000101100000010010001101
+10000000000101100000010010001010
+10000000000101100000010010000111
+10000000000101010000010010000100
+10000000000101010000010010000001
+10000000000101010000010001111110
+10000000000101010000010001111010
+10000000000101010000010001110111
+10000000000101010000010001110100
+10000000000101010000010001110001
+10000000000101010000010001101110
+10000000000101010000010001101011
+10000000000101000000010001101000
+10000000000101000000010001100100
+10000000000101000000010001100001
+10000000000101000000010001011110
+10000000000101000000010001011011
+10000000000101000000010001011000
+10000000000101000000010001010101
+10000000000101000000010001010010
+10000000000101000000010001001110
+10000000000100110000010001001011
+10000000000100110000010001001000
+10000000000100110000010001000101
+10000000000100110000010001000010
+10000000000100110000010000111111
+10000000000100110000010000111100
+10000000000100110000010000111000
+10000000000100110000010000110101
+10000000000100110000010000110010
+10000000000100110000010000101111
+10000000000100100000010000101100
+10000000000100100000010000101001
+10000000000100100000010000100110
+10000000000100100000010000100010
+10000000000100100000010000011111
+10000000000100100000010000011100
+10000000000100100000010000011001
+10000000000100100000010000010110
+10000000000100100000010000010011
+10000000000100010000010000010000
+10000000000100010000010000001100
+10000000000100010000010000001001
+10000000000100010000010000000110
+10000000000100010000010000000011
+10000000000100010000010000000000
+10000000000100010000001111111101
+10000000000100010000001111111010
+10000000000100010000001111110111
+10000000000100010000001111110011
+10000000000100010000001111110000
+10000000000100000000001111101101
+10000000000100000000001111101010
+10000000000100000000001111100111
+10000000000100000000001111100100
+10000000000100000000001111100001
+10000000000100000000001111011101
+10000000000100000000001111011010
+10000000000100000000001111010111
+10000000000100000000001111010100
+10000000000100000000001111010001
+10000000000011110000001111001110
+10000000000011110000001111001011
+10000000000011110000001111000111
+10000000000011110000001111000100
+10000000000011110000001111000001
+10000000000011110000001110111110
+10000000000011110000001110111011
+10000000000011110000001110111000
+10000000000011110000001110110101
+10000000000011110000001110110001
+10000000000011110000001110101110
+10000000000011100000001110101011
+10000000000011100000001110101000
+10000000000011100000001110100101
+10000000000011100000001110100010
+10000000000011100000001110011111
+10000000000011100000001110011011
+10000000000011100000001110011000
+10000000000011100000001110010101
+10000000000011100000001110010010
+10000000000011100000001110001111
+10000000000011100000001110001100
+10000000000011010000001110001001
+10000000000011010000001110000101
+10000000000011010000001110000010
+10000000000011010000001101111111
+10000000000011010000001101111100
+10000000000011010000001101111001
+10000000000011010000001101110110
+10000000000011010000001101110011
+10000000000011010000001101101111
+10000000000011010000001101101100
+10000000000011010000001101101001
+10000000000011010000001101100110
+10000000000011000000001101100011
+10000000000011000000001101100000
+10000000000011000000001101011101
+10000000000011000000001101011010
+10000000000011000000001101010110
+10000000000011000000001101010011
+10000000000011000000001101010000
+10000000000011000000001101001101
+10000000000011000000001101001010
+10000000000011000000001101000111
+10000000000011000000001101000100
+10000000000011000000001101000000
+10000000000010110000001100111101
+10000000000010110000001100111010
+10000000000010110000001100110111
+10000000000010110000001100110100
+10000000000010110000001100110001
+10000000000010110000001100101110
+10000000000010110000001100101010
+10000000000010110000001100100111
+10000000000010110000001100100100
+10000000000010110000001100100001
+10000000000010110000001100011110
+10000000000010110000001100011011
+10000000000010110000001100011000
+10000000000010100000001100010100
+10000000000010100000001100010001
+10000000000010100000001100001110
+10000000000010100000001100001011
+10000000000010100000001100001000
+10000000000010100000001100000101
+10000000000010100000001100000010
+10000000000010100000001011111110
+10000000000010100000001011111011
+10000000000010100000001011111000
+10000000000010100000001011110101
+10000000000010100000001011110010
+10000000000010100000001011101111
+10000000000010100000001011101100
+10000000000010010000001011101000
+10000000000010010000001011100101
+10000000000010010000001011100010
+10000000000010010000001011011111
+10000000000010010000001011011100
+10000000000010010000001011011001
+10000000000010010000001011010110
+10000000000010010000001011010010
+10000000000010010000001011001111
+10000000000010010000001011001100
+10000000000010010000001011001001
+10000000000010010000001011000110
+10000000000010010000001011000011
+10000000000010010000001011000000
+10000000000010000000001010111100
+10000000000010000000001010111001
+10000000000010000000001010110110
+10000000000010000000001010110011
+10000000000010000000001010110000
+10000000000010000000001010101101
+10000000000010000000001010101010
+10000000000010000000001010100110
+10000000000010000000001010100011
+10000000000010000000001010100000
+10000000000010000000001010011101
+10000000000010000000001010011010
+10000000000010000000001010010111
+10000000000010000000001010010100
+10000000000010000000001010010001
+10000000000010000000001010001101
+10000000000001110000001010001010
+10000000000001110000001010000111
+10000000000001110000001010000100
+10000000000001110000001010000001
+10000000000001110000001001111110
+10000000000001110000001001111011
+10000000000001110000001001110111
+10000000000001110000001001110100
+10000000000001110000001001110001
+10000000000001110000001001101110
+10000000000001110000001001101011
+10000000000001110000001001101000
+10000000000001110000001001100101
+10000000000001110000001001100001
+10000000000001110000001001011110
+10000000000001110000001001011011
+10000000000001100000001001011000
+10000000000001100000001001010101
+10000000000001100000001001010010
+10000000000001100000001001001111
+10000000000001100000001001001011
+10000000000001100000001001001000
+10000000000001100000001001000101
+10000000000001100000001001000010
+10000000000001100000001000111111
+10000000000001100000001000111100
+10000000000001100000001000111001
+10000000000001100000001000110101
+10000000000001100000001000110010
+10000000000001100000001000101111
+10000000000001100000001000101100
+10000000000001100000001000101001
+10000000000001100000001000100110
+10000000000001100000001000100011
+10000000000001100000001000011111
+10000000000001010000001000011100
+10000000000001010000001000011001
+10000000000001010000001000010110
+10000000000001010000001000010011
+10000000000001010000001000010000
+10000000000001010000001000001101
+10000000000001010000001000001001
+10000000000001010000001000000110
+10000000000001010000001000000011
+10000000000001010000001000000000
+10000000000001010000000111111101
+10000000000001010000000111111010
+10000000000001010000000111110111
+10000000000001010000000111110011
+10000000000001010000000111110000
+10000000000001010000000111101101
+10000000000001010000000111101010
+10000000000001010000000111100111
+10000000000001010000000111100100
+10000000000001010000000111100001
+10000000000001000000000111011101
+10000000000001000000000111011010
+10000000000001000000000111010111
+10000000000001000000000111010100
+10000000000001000000000111010001
+10000000000001000000000111001110
+10000000000001000000000111001011
+10000000000001000000000111000111
+10000000000001000000000111000100
+10000000000001000000000111000001
+10000000000001000000000110111110
+10000000000001000000000110111011
+10000000000001000000000110111000
+10000000000001000000000110110101
+10000000000001000000000110110010
+10000000000001000000000110101110
+10000000000001000000000110101011
+10000000000001000000000110101000
+10000000000001000000000110100101
+10000000000001000000000110100010
+10000000000001000000000110011111
+10000000000001000000000110011100
+10000000000001000000000110011000
+10000000000001000000000110010101
+10000000000000110000000110010010
+10000000000000110000000110001111
+10000000000000110000000110001100
+10000000000000110000000110001001
+10000000000000110000000110000110
+10000000000000110000000110000010
+10000000000000110000000101111111
+10000000000000110000000101111100
+10000000000000110000000101111001
+10000000000000110000000101110110
+10000000000000110000000101110011
+10000000000000110000000101110000
+10000000000000110000000101101100
+10000000000000110000000101101001
+10000000000000110000000101100110
+10000000000000110000000101100011
+10000000000000110000000101100000
+10000000000000110000000101011101
+10000000000000110000000101011010
+10000000000000110000000101010110
+10000000000000110000000101010011
+10000000000000110000000101010000
+10000000000000110000000101001101
+10000000000000110000000101001010
+10000000000000110000000101000111
+10000000000000110000000101000100
+10000000000000110000000101000000
+10000000000000110000000100111101
+10000000000000110000000100111010
+10000000000000100000000100110111
+10000000000000100000000100110100
+10000000000000100000000100110001
+10000000000000100000000100101110
+10000000000000100000000100101010
+10000000000000100000000100100111
+10000000000000100000000100100100
+10000000000000100000000100100001
+10000000000000100000000100011110
+10000000000000100000000100011011
+10000000000000100000000100011000
+10000000000000100000000100010100
+10000000000000100000000100010001
+10000000000000100000000100001110
+10000000000000100000000100001011
+10000000000000100000000100001000
+10000000000000100000000100000101
+10000000000000100000000100000010
+10000000000000100000000011111110
+10000000000000100000000011111011
+10000000000000100000000011111000
+10000000000000100000000011110101
+10000000000000100000000011110010
+10000000000000100000000011101111
+10000000000000100000000011101100
+10000000000000100000000011101000
+10000000000000100000000011100101
+10000000000000100000000011100010
+10000000000000100000000011011111
+10000000000000100000000011011100
+10000000000000100000000011011001
+10000000000000100000000011010110
+10000000000000100000000011010010
+10000000000000100000000011001111
+10000000000000100000000011001100
+10000000000000100000000011001001
+10000000000000100000000011000110
+10000000000000100000000011000011
+10000000000000100000000011000000
+10000000000000100000000010111100
+10000000000000100000000010111001
+10000000000000100000000010110110
+10000000000000010000000010110011
+10000000000000010000000010110000
+10000000000000010000000010101101
+10000000000000010000000010101010
+10000000000000010000000010100110
+10000000000000010000000010100011
+10000000000000010000000010100000
+10000000000000010000000010011101
+10000000000000010000000010011010
+10000000000000010000000010010111
+10000000000000010000000010010100
+10000000000000010000000010010001
+10000000000000010000000010001101
+10000000000000010000000010001010
+10000000000000010000000010000111
+10000000000000010000000010000100
+10000000000000010000000010000001
+10000000000000010000000001111110
+10000000000000010000000001111011
+10000000000000010000000001110111
+10000000000000010000000001110100
+10000000000000010000000001110001
+10000000000000010000000001101110
+10000000000000010000000001101011
+10000000000000010000000001101000
+10000000000000010000000001100101
+10000000000000010000000001100001
+10000000000000010000000001011110
+10000000000000010000000001011011
+10000000000000010000000001011000
+10000000000000010000000001010101
+10000000000000010000000001010010
+10000000000000010000000001001111
+10000000000000010000000001001011
+10000000000000010000000001001000
+10000000000000010000000001000101
+10000000000000010000000001000010
+10000000000000010000000000111111
+10000000000000010000000000111100
+10000000000000010000000000111001
+10000000000000010000000000110101
+10000000000000010000000000110010
+10000000000000010000000000101111
+10000000000000010000000000101100
+10000000000000010000000000101001
+10000000000000010000000000100110
+10000000000000010000000000100011
+10000000000000010000000000011111
+10000000000000010000000000011100
+10000000000000010000000000011001
+10000000000000010000000000010110
+10000000000000010000000000010011
+10000000000000010000000000010000
+10000000000000010000000000001101
+10000000000000010000000000001001
+10000000000000010000000000000110
+10000000000000010000000000000011
+00000000000000000111111111111111
+11111111111101110111111111111111
+11111111111011010111111111111111
+11111111111001000111111111111111
+11111111110110100111111111111111
+11111111110100010111111111111111
+11111111110001110111111111111111
+11111111101111100111111111111111
+11111111101101010111111111111111
+11111111101010110111111111111111
+11111111101000100111111111111111
+11111111100110000111111111111111
+11111111100011110111111111111111
+11111111100001010111111111111111
+11111111011111000111111111111111
+11111111011100110111111111111111
+11111111011010010111111111111111
+11111111011000000111111111111111
+11111111010101100111111111111111
+11111111010011010111111111111111
+11111111010001000111111111111110
+11111111001110100111111111111110
+11111111001100010111111111111110
+11111111001001110111111111111110
+11111111000111100111111111111110
+11111111000101000111111111111110
+11111111000010110111111111111110
+11111111000000100111111111111110
+11111110111110000111111111111110
+11111110111011110111111111111110
+11111110111001010111111111111110
+11111110110111000111111111111110
+11111110110100100111111111111110
+11111110110010010111111111111110
+11111110110000000111111111111101
+11111110101101100111111111111101
+11111110101011010111111111111101
+11111110101000110111111111111101
+11111110100110100111111111111101
+11111110100100000111111111111101
+11111110100001110111111111111101
+11111110011111100111111111111101
+11111110011101000111111111111101
+11111110011010110111111111111100
+11111110011000010111111111111100
+11111110010110000111111111111100
+11111110010011100111111111111100
+11111110010001010111111111111100
+11111110001111000111111111111100
+11111110001100100111111111111100
+11111110001010010111111111111100
+11111110000111110111111111111011
+11111110000101100111111111111011
+11111110000011010111111111111011
+11111110000000110111111111111011
+11111101111110100111111111111011
+11111101111100000111111111111011
+11111101111001110111111111111011
+11111101110111010111111111111010
+11111101110101000111111111111010
+11111101110010110111111111111010
+11111101110000010111111111111010
+11111101101110000111111111111010
+11111101101011100111111111111010
+11111101101001010111111111111001
+11111101100110110111111111111001
+11111101100100100111111111111001
+11111101100010010111111111111001
+11111101011111110111111111111001
+11111101011101100111111111111001
+11111101011011000111111111111000
+11111101011000110111111111111000
+11111101010110100111111111111000
+11111101010100000111111111111000
+11111101010001110111111111111000
+11111101001111010111111111110111
+11111101001101000111111111110111
+11111101001010100111111111110111
+11111101001000010111111111110111
+11111101000110000111111111110111
+11111101000011100111111111110110
+11111101000001010111111111110110
+11111100111110110111111111110110
+11111100111100100111111111110110
+11111100111010000111111111110101
+11111100110111110111111111110101
+11111100110101100111111111110101
+11111100110011000111111111110101
+11111100110000110111111111110101
+11111100101110010111111111110100
+11111100101100000111111111110100
+11111100101001100111111111110100
+11111100100111010111111111110100
+11111100100101000111111111110011
+11111100100010100111111111110011
+11111100100000010111111111110011
+11111100011101110111111111110011
+11111100011011100111111111110010
+11111100011001010111111111110010
+11111100010110110111111111110010
+11111100010100100111111111110001
+11111100010010000111111111110001
+11111100001111110111111111110001
+11111100001101010111111111110001
+11111100001011000111111111110000
+11111100001000110111111111110000
+11111100000110010111111111110000
+11111100000100000111111111101111
+11111100000001100111111111101111
+11111011111111010111111111101111
+11111011111101000111111111101111
+11111011111010100111111111101110
+11111011111000010111111111101110
+11111011110101110111111111101110
+11111011110011100111111111101101
+11111011110001000111111111101101
+11111011101110110111111111101101
+11111011101100100111111111101100
+11111011101010000111111111101100
+11111011100111110111111111101100
+11111011100101010111111111101011
+11111011100011000111111111101011
+11111011100000100111111111101011
+11111011011110010111111111101010
+11111011011100000111111111101010
+11111011011001100111111111101010
+11111011010111010111111111101001
+11111011010100110111111111101001
+11111011010010100111111111101001
+11111011010000010111111111101000
+11111011001101110111111111101000
+11111011001011100111111111101000
+11111011001001000111111111100111
+11111011000110110111111111100111
+11111011000100010111111111100111
+11111011000010000111111111100110
+11111010111111110111111111100110
+11111010111101010111111111100110
+11111010111011000111111111100101
+11111010111000100111111111100101
+11111010110110010111111111100100
+11111010110100000111111111100100
+11111010110001100111111111100100
+11111010101111010111111111100011
+11111010101100110111111111100011
+11111010101010100111111111100011
+11111010101000000111111111100010
+11111010100101110111111111100010
+11111010100011100111111111100001
+11111010100001000111111111100001
+11111010011110110111111111100001
+11111010011100010111111111100000
+11111010011010000111111111100000
+11111010010111110111111111011111
+11111010010101010111111111011111
+11111010010011000111111111011110
+11111010010000100111111111011110
+11111010001110010111111111011110
+11111010001011110111111111011101
+11111010001001100111111111011101
+11111010000111010111111111011100
+11111010000100110111111111011100
+11111010000010100111111111011011
+11111010000000000111111111011011
+11111001111101110111111111011011
+11111001111011100111111111011010
+11111001111001000111111111011010
+11111001110110110111111111011001
+11111001110100010111111111011001
+11111001110010000111111111011000
+11111001101111110111111111011000
+11111001101101010111111111010111
+11111001101011000111111111010111
+11111001101000100111111111010110
+11111001100110010111111111010110
+11111001100011110111111111010110
+11111001100001100111111111010101
+11111001011111010111111111010101
+11111001011100110111111111010100
+11111001011010100111111111010100
+11111001011000000111111111010011
+11111001010101110111111111010011
+11111001010011100111111111010010
+11111001010001000111111111010010
+11111001001110110111111111010001
+11111001001100010111111111010001
+11111001001010000111111111010000
+11111001000111110111111111010000
+11111001000101010111111111001111
+11111001000011000111111111001111
+11111001000000100111111111001110
+11111000111110010111111111001110
+11111000111011110111111111001101
+11111000111001100111111111001101
+11111000110111010111111111001100
+11111000110100110111111111001011
+11111000110010100111111111001011
+11111000110000000111111111001010
+11111000101101110111111111001010
+11111000101011100111111111001001
+11111000101001000111111111001001
+11111000100110110111111111001000
+11111000100100010111111111001000
+11111000100010000111111111000111
+11111000011111110111111111000111
+11111000011101010111111111000110
+11111000011011000111111111000110
+11111000011000100111111111000101
+11111000010110010111111111000100
+11111000010100000111111111000100
+11111000010001100111111111000011
+11111000001111010111111111000011
+11111000001100110111111111000010
+11111000001010100111111111000010
+11111000001000000111111111000001
+11111000000101110111111111000000
+11111000000011100111111111000000
+11111000000001000111111110111111
+11110111111110110111111110111111
+11110111111100010111111110111110
+11110111111010000111111110111101
+11110111110111110111111110111101
+11110111110101010111111110111100
+11110111110011000111111110111100
+11110111110000100111111110111011
+11110111101110010111111110111010
+11110111101100000111111110111010
+11110111101001100111111110111001
+11110111100111010111111110111001
+11110111100100110111111110111000
+11110111100010100111111110110111
+11110111100000010111111110110111
+11110111011101110111111110110110
+11110111011011100111111110110101
+11110111011001000111111110110101
+11110111010110110111111110110100
+11110111010100100111111110110100
+11110111010010000111111110110011
+11110111001111110111111110110010
+11110111001101010111111110110010
+11110111001011000111111110110001
+11110111001000110111111110110000
+11110111000110010111111110110000
+11110111000100000111111110101111
+11110111000001100111111110101110
+11110110111111010111111110101110
+11110110111101000111111110101101
+11110110111010100111111110101100
+11110110111000010111111110101100
+11110110110101110111111110101011
+11110110110011100111111110101010
+11110110110001010111111110101010
+11110110101110110111111110101001
+11110110101100100111111110101000
+11110110101010000111111110101000
+11110110100111110111111110100111
+11110110100101100111111110100110
+11110110100011000111111110100110
+11110110100000110111111110100101
+11110110011110010111111110100100
+11110110011100000111111110100011
+11110110011001110111111110100011
+11110110010111010111111110100010
+11110110010101000111111110100001
+11110110010010100111111110100001
+11110110010000010111111110100000
+11110110001110000111111110011111
+11110110001011100111111110011110
+11110110001001010111111110011110
+11110110000110110111111110011101
+11110110000100100111111110011100
+11110110000010010111111110011100
+11110101111111110111111110011011
+11110101111101100111111110011010
+11110101111011000111111110011001
+11110101111000110111111110011001
+11110101110110100111111110011000
+11110101110100000111111110010111
+11110101110001110111111110010110
+11110101101111010111111110010110
+11110101101101000111111110010101
+11110101101010110111111110010100
+11110101101000010111111110010011
+11110101100110000111111110010011
+11110101100011110111111110010010
+11110101100001010111111110010001
+11110101011111000111111110010000
+11110101011100100111111110001111
+11110101011010010111111110001111
+11110101011000000111111110001110
+11110101010101100111111110001101
+11110101010011010111111110001100
+11110101010000110111111110001100
+11110101001110100111111110001011
+11110101001100010111111110001010
+11110101001001110111111110001001
+11110101000111100111111110001000
+11110101000101000111111110001000
+11110101000010110111111110000111
+11110101000000100111111110000110
+11110100111110000111111110000101
+11110100111011110111111110000100
+11110100111001010111111110000011
+11110100110111000111111110000011
+11110100110100110111111110000010
+11110100110010010111111110000001
+11110100110000000111111110000000
+11110100101101110111111101111111
+11110100101011010111111101111111
+11110100101001000111111101111110
+11110100100110100111111101111101
+11110100100100010111111101111100
+11110100100010000111111101111011
+11110100011111100111111101111010
+11110100011101010111111101111001
+11110100011010110111111101111001
+11110100011000100111111101111000
+11110100010110010111111101110111
+11110100010011110111111101110110
+11110100010001100111111101110101
+11110100001111010111111101110100
+11110100001100110111111101110011
+11110100001010100111111101110011
+11110100001000000111111101110010
+11110100000101110111111101110001
+11110100000011100111111101110000
+11110100000001000111111101101111
+11110011111110110111111101101110
+11110011111100010111111101101101
+11110011111010000111111101101100
+11110011110111110111111101101100
+11110011110101010111111101101011
+11110011110011000111111101101010
+11110011110000110111111101101001
+11110011101110010111111101101000
+11110011101100000111111101100111
+11110011101001100111111101100110
+11110011100111010111111101100101
+11110011100101000111111101100100
+11110011100010100111111101100011
+11110011100000010111111101100010
+11110011011101110111111101100010
+11110011011011100111111101100001
+11110011011001010111111101100000
+11110011010110110111111101011111
+11110011010100100111111101011110
+11110011010010010111111101011101
+11110011001111110111111101011100
+11110011001101100111111101011011
+11110011001011000111111101011010
+11110011001000110111111101011001
+11110011000110100111111101011000
+11110011000100000111111101010111
+11110011000001110111111101010110
+11110010111111100111111101010101
+11110010111101000111111101010100
+11110010111010110111111101010011
+11110010111000010111111101010010
+11110010110110000111111101010001
+11110010110011110111111101010000
+11110010110001010111111101010000
+11110010101111000111111101001111
+11110010101100110111111101001110
+11110010101010010111111101001101
+11110010101000000111111101001100
+11110010100101100111111101001011
+11110010100011010111111101001010
+11110010100001000111111101001001
+11110010011110100111111101001000
+11110010011100010111111101000111
+11110010011010000111111101000110
+11110010010111100111111101000101
+11110010010101010111111101000100
+11110010010011000111111101000011
+11110010010000100111111101000010
+11110010001110010111111101000001
+11110010001011110111111101000000
+11110010001001100111111100111111
+11110010000111010111111100111110
+11110010000100110111111100111101
+11110010000010100111111100111011
+11110010000000010111111100111010
+11110001111101110111111100111001
+11110001111011100111111100111000
+11110001111001000111111100110111
+11110001110110110111111100110110
+11110001110100100111111100110101
+11110001110010000111111100110100
+11110001101111110111111100110011
+11110001101101100111111100110010
+11110001101011000111111100110001
+11110001101000110111111100110000
+11110001100110100111111100101111
+11110001100100000111111100101110
+11110001100001110111111100101101
+11110001011111010111111100101100
+11110001011101000111111100101011
+11110001011010110111111100101010
+11110001011000010111111100101001
+11110001010110000111111100100111
+11110001010011110111111100100110
+11110001010001010111111100100101
+11110001001111000111111100100100
+11110001001100110111111100100011
+11110001001010010111111100100010
+11110001001000000111111100100001
+11110001000101100111111100100000
+11110001000011010111111100011111
+11110001000001000111111100011110
+11110000111110100111111100011101
+11110000111100010111111100011011
+11110000111010000111111100011010
+11110000110111100111111100011001
+11110000110101010111111100011000
+11110000110011000111111100010111
+11110000110000100111111100010110
+11110000101110010111111100010101
+11110000101100000111111100010100
+11110000101001100111111100010010
+11110000100111010111111100010001
+11110000100100110111111100010000
+11110000100010100111111100001111
+11110000100000010111111100001110
+11110000011101110111111100001101
+11110000011011100111111100001100
+11110000011001010111111100001011
+11110000010110110111111100001001
+11110000010100100111111100001000
+11110000010010010111111100000111
+11110000001111110111111100000110
+11110000001101100111111100000101
+11110000001011010111111100000100
+11110000001000110111111100000010
+11110000000110100111111100000001
+11110000000100010111111100000000
+11110000000001110111111011111111
+11101111111111100111111011111110
+11101111111101000111111011111101
+11101111111010110111111011111011
+11101111111000100111111011111010
+11101111110110000111111011111001
+11101111110011110111111011111000
+11101111110001100111111011110111
+11101111101111000111111011110101
+11101111101100110111111011110100
+11101111101010100111111011110011
+11101111101000000111111011110010
+11101111100101110111111011110001
+11101111100011100111111011101111
+11101111100001000111111011101110
+11101111011110110111111011101101
+11101111011100100111111011101100
+11101111011010000111111011101010
+11101111010111110111111011101001
+11101111010101100111111011101000
+11101111010011000111111011100111
+11101111010000110111111011100110
+11101111001110100111111011100100
+11101111001100000111111011100011
+11101111001001110111111011100010
+11101111000111100111111011100001
+11101111000101000111111011011111
+11101111000010110111111011011110
+11101111000000010111111011011101
+11101110111110000111111011011100
+11101110111011110111111011011010
+11101110111001010111111011011001
+11101110110111000111111011011000
+11101110110100110111111011010111
+11101110110010010111111011010101
+11101110110000000111111011010100
+11101110101101110111111011010011
+11101110101011010111111011010010
+11101110101001000111111011010000
+11101110100110110111111011001111
+11101110100100010111111011001110
+11101110100010000111111011001100
+11101110011111110111111011001011
+11101110011101010111111011001010
+11101110011011000111111011001001
+11101110011000110111111011000111
+11101110010110010111111011000110
+11101110010100000111111011000101
+11101110010001110111111011000011
+11101110001111010111111011000010
+11101110001101000111111011000001
+11101110001010110111111010111111
+11101110001000010111111010111110
+11101110000110000111111010111101
+11101110000011110111111010111011
+11101110000001010111111010111010
+11101101111111000111111010111001
+11101101111100110111111010110111
+11101101111010010111111010110110
+11101101111000000111111010110101
+11101101110101110111111010110011
+11101101110011010111111010110010
+11101101110001000111111010110001
+11101101101110110111111010101111
+11101101101100010111111010101110
+11101101101010000111111010101101
+11101101100111110111111010101011
+11101101100101010111111010101010
+11101101100011000111111010101001
+11101101100000110111111010100111
+11101101011110010111111010100110
+11101101011100000111111010100101
+11101101011001110111111010100011
+11101101010111100111111010100010
+11101101010101000111111010100000
+11101101010010110111111010011111
+11101101010000100111111010011110
+11101101001110000111111010011100
+11101101001011110111111010011011
+11101101001001100111111010011010
+11101101000111000111111010011000
+11101101000100110111111010010111
+11101101000010100111111010010101
+11101101000000000111111010010100
+11101100111101110111111010010011
+11101100111011100111111010010001
+11101100111001000111111010010000
+11101100110110110111111010001110
+11101100110100100111111010001101
+11101100110010000111111010001100
+11101100101111110111111010001010
+11101100101101100111111010001001
+11101100101011000111111010000111
+11101100101000110111111010000110
+11101100100110100111111010000100
+11101100100100000111111010000011
+11101100100001110111111010000010
+11101100011111100111111010000000
+11101100011101010111111001111111
+11101100011010110111111001111101
+11101100011000100111111001111100
+11101100010110010111111001111010
+11101100010011110111111001111001
+11101100010001100111111001110111
+11101100001111010111111001110110
+11101100001100110111111001110101
+11101100001010100111111001110011
+11101100001000010111111001110010
+11101100000101110111111001110000
+11101100000011100111111001101111
+11101100000001010111111001101101
+11101011111111000111111001101100
+11101011111100100111111001101010
+11101011111010010111111001101001
+11101011111000000111111001100111
+11101011110101100111111001100110
+11101011110011010111111001100100
+11101011110001000111111001100011
+11101011101110100111111001100001
+11101011101100010111111001100000
+11101011101010000111111001011110
+11101011100111100111111001011101
+11101011100101010111111001011011
+11101011100011000111111001011010
+11101011100000110111111001011000
+11101011011110010111111001010111
+11101011011100000111111001010101
+11101011011001110111111001010100
+11101011010111010111111001010010
+11101011010101000111111001010001
+11101011010010110111111001001111
+11101011010000010111111001001110
+11101011001110000111111001001100
+11101011001011110111111001001011
+11101011001001100111111001001001
+11101011000111000111111001001000
+11101011000100110111111001000110
+11101011000010100111111001000101
+11101011000000000111111001000011
+11101010111101110111111001000001
+11101010111011100111111001000000
+11101010111001000111111000111110
+11101010110110110111111000111101
+11101010110100100111111000111011
+11101010110010010111111000111010
+11101010101111110111111000111000
+11101010101101100111111000110111
+11101010101011010111111000110101
+11101010101000110111111000110011
+11101010100110100111111000110010
+11101010100100010111111000110000
+11101010100010000111111000101111
+11101010011111100111111000101101
+11101010011101010111111000101100
+11101010011011000111111000101010
+11101010011000100111111000101000
+11101010010110010111111000100111
+11101010010100000111111000100101
+11101010010001110111111000100100
+11101010001111010111111000100010
+11101010001101000111111000100000
+11101010001010110111111000011111
+11101010001000010111111000011101
+11101010000110000111111000011100
+11101010000011110111111000011010
+11101010000001100111111000011000
+11101001111111000111111000010111
+11101001111100110111111000010101
+11101001111010100111111000010011
+11101001111000000111111000010010
+11101001110101110111111000010000
+11101001110011100111111000001111
+11101001110001010111111000001101
+11101001101110110111111000001011
+11101001101100100111111000001010
+11101001101010010111111000001000
+11101001100111110111111000000110
+11101001100101100111111000000101
+11101001100011010111111000000011
+11101001100001000111111000000001
+11101001011110100111111000000000
+11101001011100010111110111111110
+11101001011010000111110111111100
+11101001010111100111110111111011
+11101001010101010111110111111001
+11101001010011000111110111110111
+11101001010000110111110111110110
+11101001001110010111110111110100
+11101001001100000111110111110010
+11101001001001110111110111110001
+11101001000111100111110111101111
+11101001000101000111110111101101
+11101001000010110111110111101100
+11101001000000100111110111101010
+11101000111110000111110111101000
+11101000111011110111110111100111
+11101000111001100111110111100101
+11101000110111010111110111100011
+11101000110100110111110111100001
+11101000110010100111110111100000
+11101000110000010111110111011110
+11101000101110000111110111011100
+11101000101011100111110111011011
+11101000101001010111110111011001
+11101000100111000111110111010111
+11101000100100110111110111010101
+11101000100010010111110111010100
+11101000100000000111110111010010
+11101000011101110111110111010000
+11101000011011010111110111001111
+11101000011001000111110111001101
+11101000010110110111110111001011
+11101000010100100111110111001001
+11101000010010000111110111001000
+11101000001111110111110111000110
+11101000001101100111110111000100
+11101000001011010111110111000010
+11101000001000110111110111000001
+11101000000110100111110110111111
+11101000000100010111110110111101
+11101000000010000111110110111011
+11100111111111100111110110111001
+11100111111101010111110110111000
+11100111111011000111110110110110
+11100111111000110111110110110100
+11100111110110010111110110110010
+11100111110100000111110110110001
+11100111110001110111110110101111
+11100111101111100111110110101101
+11100111101101000111110110101011
+11100111101010110111110110101001
+11100111101000100111110110101000
+11100111100110010111110110100110
+11100111100011110111110110100100
+11100111100001100111110110100010
+11100111011111010111110110100000
+11100111011101000111110110011111
+11100111011010100111110110011101
+11100111011000010111110110011011
+11100111010110000111110110011001
+11100111010011110111110110010111
+11100111010001010111110110010110
+11100111001111000111110110010100
+11100111001100110111110110010010
+11100111001010100111110110010000
+11100111001000000111110110001110
+11100111000101110111110110001100
+11100111000011100111110110001011
+11100111000001010111110110001001
+11100110111110110111110110000111
+11100110111100100111110110000101
+11100110111010010111110110000011
+11100110111000000111110110000001
+11100110110101100111110110000000
+11100110110011010111110101111110
+11100110110001000111110101111100
+11100110101110110111110101111010
+11100110101100010111110101111000
+11100110101010000111110101110110
+11100110100111110111110101110100
+11100110100101100111110101110011
+11100110100011000111110101110001
+11100110100000110111110101101111
+11100110011110100111110101101101
+11100110011100010111110101101011
+11100110011010000111110101101001
+11100110010111100111110101100111
+11100110010101010111110101100101
+11100110010011000111110101100011
+11100110010000110111110101100010
+11100110001110010111110101100000
+11100110001100000111110101011110
+11100110001001110111110101011100
+11100110000111100111110101011010
+11100110000101000111110101011000
+11100110000010110111110101010110
+11100110000000100111110101010100
+11100101111110010111110101010010
+11100101111100000111110101010000
+11100101111001100111110101001110
+11100101110111010111110101001101
+11100101110101000111110101001011
+11100101110010110111110101001001
+11100101110000010111110101000111
+11100101101110000111110101000101
+11100101101011110111110101000011
+11100101101001100111110101000001
+11100101100111010111110100111111
+11100101100100110111110100111101
+11100101100010100111110100111011
+11100101100000010111110100111001
+11100101011110000111110100110111
+11100101011011100111110100110101
+11100101011001010111110100110011
+11100101010111000111110100110001
+11100101010100110111110100101111
+11100101010010100111110100101101
+11100101010000000111110100101011
+11100101001101110111110100101010
+11100101001011100111110100101000
+11100101001001010111110100100110
+11100101000110110111110100100100
+11100101000100100111110100100010
+11100101000010010111110100100000
+11100101000000000111110100011110
+11100100111101110111110100011100
+11100100111011010111110100011010
+11100100111001000111110100011000
+11100100110110110111110100010110
+11100100110100100111110100010100
+11100100110010010111110100010010
+11100100101111110111110100010000
+11100100101101100111110100001110
+11100100101011010111110100001100
+11100100101001000111110100001010
+11100100100110110111110100001000
+11100100100100010111110100000110
+11100100100010000111110100000100
+11100100011111110111110100000010
+11100100011101100111110100000000
+11100100011011010111110011111101
+11100100011000110111110011111011
+11100100010110100111110011111001
+11100100010100010111110011110111
+11100100010010000111110011110101
+11100100001111110111110011110011
+11100100001101010111110011110001
+11100100001011000111110011101111
+11100100001000110111110011101101
+11100100000110100111110011101011
+11100100000100010111110011101001
+11100100000001110111110011100111
+11100011111111100111110011100101
+11100011111101010111110011100011
+11100011111011000111110011100001
+11100011111000110111110011011111
+11100011110110010111110011011101
+11100011110100000111110011011011
+11100011110001110111110011011000
+11100011101111100111110011010110
+11100011101101010111110011010100
+11100011101010110111110011010010
+11100011101000100111110011010000
+11100011100110010111110011001110
+11100011100100000111110011001100
+11100011100001110111110011001010
+11100011011111010111110011001000
+11100011011101000111110011000110
+11100011011010110111110011000100
+11100011011000100111110011000001
+11100011010110010111110010111111
+11100011010100000111110010111101
+11100011010001100111110010111011
+11100011001111010111110010111001
+11100011001101000111110010110111
+11100011001010110111110010110101
+11100011001000100111110010110011
+11100011000110000111110010110001
+11100011000011110111110010101110
+11100011000001100111110010101100
+11100010111111010111110010101010
+11100010111101000111110010101000
+11100010111010110111110010100110
+11100010111000010111110010100100
+11100010110110000111110010100010
+11100010110011110111110010011111
+11100010110001100111110010011101
+11100010101111010111110010011011
+11100010101100110111110010011001
+11100010101010100111110010010111
+11100010101000010111110010010101
+11100010100110000111110010010010
+11100010100011110111110010010000
+11100010100001100111110010001110
+11100010011111000111110010001100
+11100010011100110111110010001010
+11100010011010100111110010001000
+11100010011000010111110010000101
+11100010010110000111110010000011
+11100010010011110111110010000001
+11100010010001010111110001111111
+11100010001111000111110001111101
+11100010001100110111110001111011
+11100010001010100111110001111000
+11100010001000010111110001110110
+11100010000110000111110001110100
+11100010000011100111110001110010
+11100010000001010111110001110000
+11100001111111000111110001101101
+11100001111100110111110001101011
+11100001111010100111110001101001
+11100001111000010111110001100111
+11100001110101110111110001100100
+11100001110011100111110001100010
+11100001110001010111110001100000
+11100001101111000111110001011110
+11100001101100110111110001011100
+11100001101010100111110001011001
+11100001101000010111110001010111
+11100001100101110111110001010101
+11100001100011100111110001010011
+11100001100001010111110001010000
+11100001011111000111110001001110
+11100001011100110111110001001100
+11100001011010100111110001001010
+11100001011000000111110001000111
+11100001010101110111110001000101
+11100001010011100111110001000011
+11100001010001010111110001000001
+11100001001111000111110000111110
+11100001001100110111110000111100
+11100001001010100111110000111010
+11100001001000000111110000110111
+11100001000101110111110000110101
+11100001000011100111110000110011
+11100001000001010111110000110001
+11100000111111000111110000101110
+11100000111100110111110000101100
+11100000111010100111110000101010
+11100000111000000111110000101000
+11100000110101110111110000100101
+11100000110011100111110000100011
+11100000110001010111110000100001
+11100000101111000111110000011110
+11100000101100110111110000011100
+11100000101010100111110000011010
+11100000101000000111110000010111
+11100000100101110111110000010101
+11100000100011100111110000010011
+11100000100001010111110000010000
+11100000011111000111110000001110
+11100000011100110111110000001100
+11100000011010100111110000001010
+11100000011000010111110000000111
+11100000010101110111110000000101
+11100000010011100111110000000011
+11100000010001010111110000000000
+11100000001111000111101111111110
+11100000001100110111101111111100
+11100000001010100111101111111001
+11100000001000010111101111110111
+11100000000101110111101111110100
+11100000000011100111101111110010
+11100000000001010111101111110000
+11011111111111000111101111101101
+11011111111100110111101111101011
+11011111111010100111101111101001
+11011111111000010111101111100110
+11011111110110000111101111100100
+11011111110011100111101111100010
+11011111110001010111101111011111
+11011111101111000111101111011101
+11011111101100110111101111011010
+11011111101010100111101111011000
+11011111101000010111101111010110
+11011111100110000111101111010011
+11011111100011110111101111010001
+11011111100001100111101111001111
+11011111011111000111101111001100
+11011111011100110111101111001010
+11011111011010100111101111000111
+11011111011000010111101111000101
+11011111010110000111101111000011
+11011111010011110111101111000000
+11011111010001100111101110111110
+11011111001111010111101110111011
+11011111001101000111101110111001
+11011111001010100111101110110110
+11011111001000010111101110110100
+11011111000110000111101110110010
+11011111000011110111101110101111
+11011111000001100111101110101101
+11011110111111010111101110101010
+11011110111101000111101110101000
+11011110111010110111101110100110
+11011110111000100111101110100011
+11011110110110000111101110100001
+11011110110011110111101110011110
+11011110110001100111101110011100
+11011110101111010111101110011001
+11011110101101000111101110010111
+11011110101010110111101110010100
+11011110101000100111101110010010
+11011110100110010111101110001111
+11011110100100000111101110001101
+11011110100001110111101110001011
+11011110011111010111101110001000
+11011110011101000111101110000110
+11011110011010110111101110000011
+11011110011000100111101110000001
+11011110010110010111101101111110
+11011110010100000111101101111100
+11011110010001110111101101111001
+11011110001111100111101101110111
+11011110001101010111101101110100
+11011110001011000111101101110010
+11011110001000110111101101101111
+11011110000110010111101101101101
+11011110000100000111101101101010
+11011110000001110111101101101000
+11011101111111100111101101100101
+11011101111101010111101101100011
+11011101111011000111101101100000
+11011101111000110111101101011110
+11011101110110100111101101011011
+11011101110100010111101101011001
+11011101110010000111101101010110
+11011101101111110111101101010100
+11011101101101100111101101010001
+11011101101011000111101101001111
+11011101101000110111101101001100
+11011101100110100111101101001010
+11011101100100010111101101000111
+11011101100010000111101101000101
+11011101011111110111101101000010
+11011101011101100111101100111111
+11011101011011010111101100111101
+11011101011001000111101100111010
+11011101010110110111101100111000
+11011101010100100111101100110101
+11011101010010010111101100110011
+11011101010000000111101100110000
+11011101001101100111101100101110
+11011101001011010111101100101011
+11011101001001000111101100101000
+11011101000110110111101100100110
+11011101000100100111101100100011
+11011101000010010111101100100001
+11011101000000000111101100011110
+11011100111101110111101100011100
+11011100111011100111101100011001
+11011100111001010111101100010110
+11011100110111000111101100010100
+11011100110100110111101100010001
+11011100110010100111101100001111
+11011100110000010111101100001100
+11011100101110000111101100001001
+11011100101011110111101100000111
+11011100101001010111101100000100
+11011100100111000111101100000010
+11011100100100110111101011111111
+11011100100010100111101011111100
+11011100100000010111101011111010
+11011100011110000111101011110111
+11011100011011110111101011110101
+11011100011001100111101011110010
+11011100010111010111101011101111
+11011100010101000111101011101101
+11011100010010110111101011101010
+11011100010000100111101011100111
+11011100001110010111101011100101
+11011100001100000111101011100010
+11011100001001110111101011100000
+11011100000111100111101011011101
+11011100000101010111101011011010
+11011100000011000111101011011000
+11011100000000110111101011010101
+11011011111110100111101011010010
+11011011111100000111101011010000
+11011011111001110111101011001101
+11011011110111100111101011001010
+11011011110101010111101011001000
+11011011110011000111101011000101
+11011011110000110111101011000010
+11011011101110100111101011000000
+11011011101100010111101010111101
+11011011101010000111101010111010
+11011011100111110111101010111000
+11011011100101100111101010110101
+11011011100011010111101010110010
+11011011100001000111101010110000
+11011011011110110111101010101101
+11011011011100100111101010101010
+11011011011010010111101010101000
+11011011011000000111101010100101
+11011011010101110111101010100010
+11011011010011100111101010011111
+11011011010001010111101010011101
+11011011001111000111101010011010
+11011011001100110111101010010111
+11011011001010100111101010010101
+11011011001000010111101010010010
+11011011000110000111101010001111
+11011011000011110111101010001100
+11011011000001100111101010001010
+11011010111111010111101010000111
+11011010111101000111101010000100
+11011010111010110111101010000010
+11011010111000100111101001111111
+11011010110110010111101001111100
+11011010110100000111101001111001
+11011010110001100111101001110111
+11011010101111010111101001110100
+11011010101101000111101001110001
+11011010101010110111101001101110
+11011010101000100111101001101100
+11011010100110010111101001101001
+11011010100100000111101001100110
+11011010100001110111101001100011
+11011010011111100111101001100001
+11011010011101010111101001011110
+11011010011011000111101001011011
+11011010011000110111101001011000
+11011010010110100111101001010110
+11011010010100010111101001010011
+11011010010010000111101001010000
+11011010001111110111101001001101
+11011010001101100111101001001010
+11011010001011010111101001001000
+11011010001001000111101001000101
+11011010000110110111101001000010
+11011010000100100111101000111111
+11011010000010010111101000111101
+11011010000000000111101000111010
+11011001111101110111101000110111
+11011001111011100111101000110100
+11011001111001010111101000110001
+11011001110111000111101000101110
+11011001110100110111101000101100
+11011001110010100111101000101001
+11011001110000010111101000100110
+11011001101110000111101000100011
+11011001101011110111101000100000
+11011001101001100111101000011110
+11011001100111010111101000011011
+11011001100101000111101000011000
+11011001100010110111101000010101
+11011001100000100111101000010010
+11011001011110010111101000001111
+11011001011100000111101000001101
+11011001011001110111101000001010
+11011001010111100111101000000111
+11011001010101010111101000000100
+11011001010011000111101000000001
+11011001010001000111100111111110
+11011001001110110111100111111100
+11011001001100100111100111111001
+11011001001010010111100111110110
+11011001001000000111100111110011
+11011001000101110111100111110000
+11011001000011100111100111101101
+11011001000001010111100111101010
+11011000111111000111100111100111
+11011000111100110111100111100101
+11011000111010100111100111100010
+11011000111000010111100111011111
+11011000110110000111100111011100
+11011000110011110111100111011001
+11011000110001100111100111010110
+11011000101111010111100111010011
+11011000101101000111100111010000
+11011000101010110111100111001110
+11011000101000100111100111001011
+11011000100110010111100111001000
+11011000100100000111100111000101
+11011000100001110111100111000010
+11011000011111100111100110111111
+11011000011101010111100110111100
+11011000011011000111100110111001
+11011000011000110111100110110110
+11011000010110100111100110110011
+11011000010100010111100110110000
+11011000010010000111100110101110
+11011000001111110111100110101011
+11011000001101100111100110101000
+11011000001011010111100110100101
+11011000001001010111100110100010
+11011000000111000111100110011111
+11011000000100110111100110011100
+11011000000010100111100110011001
+11011000000000010111100110010110
+11010111111110000111100110010011
+11010111111011110111100110010000
+11010111111001100111100110001101
+11010111110111010111100110001010
+11010111110101000111100110000111
+11010111110010110111100110000100
+11010111110000100111100110000001
+11010111101110010111100101111110
+11010111101100000111100101111011
+11010111101001110111100101111000
+11010111100111100111100101110101
+11010111100101010111100101110011
+11010111100011000111100101110000
+11010111100000110111100101101101
+11010111011110110111100101101010
+11010111011100100111100101100111
+11010111011010010111100101100100
+11010111011000000111100101100001
+11010111010101110111100101011110
+11010111010011100111100101011011
+11010111010001010111100101011000
+11010111001111000111100101010101
+11010111001100110111100101010010
+11010111001010100111100101001111
+11010111001000010111100101001100
+11010111000110000111100101001001
+11010111000011110111100101000110
+11010111000001100111100101000011
+11010110111111010111100101000000
+11010110111101010111100100111101
+11010110111011000111100100111001
+11010110111000110111100100110110
+11010110110110100111100100110011
+11010110110100010111100100110000
+11010110110010000111100100101101
+11010110101111110111100100101010
+11010110101101100111100100100111
+11010110101011010111100100100100
+11010110101001000111100100100001
+11010110100110110111100100011110
+11010110100100100111100100011011
+11010110100010010111100100011000
+11010110100000010111100100010101
+11010110011110000111100100010010
+11010110011011110111100100001111
+11010110011001100111100100001100
+11010110010111010111100100001001
+11010110010101000111100100000110
+11010110010010110111100100000011
+11010110010000100111100100000000
+11010110001110010111100011111100
+11010110001100000111100011111001
+11010110001001110111100011110110
+11010110000111110111100011110011
+11010110000101100111100011110000
+11010110000011010111100011101101
+11010110000001000111100011101010
+11010101111110110111100011100111
+11010101111100100111100011100100
+11010101111010010111100011100001
+11010101111000000111100011011110
+11010101110101110111100011011010
+11010101110011100111100011010111
+11010101110001100111100011010100
+11010101101111010111100011010001
+11010101101101000111100011001110
+11010101101010110111100011001011
+11010101101000100111100011001000
+11010101100110010111100011000101
+11010101100100000111100011000010
+11010101100001110111100010111110
+11010101011111100111100010111011
+11010101011101010111100010111000
+11010101011011010111100010110101
+11010101011001000111100010110010
+11010101010110110111100010101111
+11010101010100100111100010101100
+11010101010010010111100010101000
+11010101010000000111100010100101
+11010101001101110111100010100010
+11010101001011100111100010011111
+11010101001001100111100010011100
+11010101000111010111100010011001
+11010101000101000111100010010110
+11010101000010110111100010010010
+11010101000000100111100010001111
+11010100111110010111100010001100
+11010100111100000111100010001001
+11010100111001110111100010000110
+11010100110111110111100010000011
+11010100110101100111100001111111
+11010100110011010111100001111100
+11010100110001000111100001111001
+11010100101110110111100001110110
+11010100101100100111100001110011
+11010100101010010111100001101111
+11010100101000000111100001101100
+11010100100110000111100001101001
+11010100100011110111100001100110
+11010100100001100111100001100011
+11010100011111010111100001011111
+11010100011101000111100001011100
+11010100011010110111100001011001
+11010100011000100111100001010110
+11010100010110100111100001010011
+11010100010100010111100001001111
+11010100010010000111100001001100
+11010100001111110111100001001001
+11010100001101100111100001000110
+11010100001011010111100001000011
+11010100001001000111100000111111
+11010100000111000111100000111100
+11010100000100110111100000111001
+11010100000010100111100000110110
+11010100000000010111100000110010
+11010011111110000111100000101111
+11010011111011110111100000101100
+11010011111001100111100000101001
+11010011110111100111100000100101
+11010011110101010111100000100010
+11010011110011000111100000011111
+11010011110000110111100000011100
+11010011101110100111100000011000
+11010011101100010111100000010101
+11010011101010010111100000010010
+11010011101000000111100000001111
+11010011100101110111100000001011
+11010011100011100111100000001000
+11010011100001010111100000000101
+11010011011111000111100000000010
+11010011011100110111011111111110
+11010011011010110111011111111011
+11010011011000100111011111111000
+11010011010110010111011111110100
+11010011010100000111011111110001
+11010011010001110111011111101110
+11010011001111110111011111101010
+11010011001101100111011111100111
+11010011001011010111011111100100
+11010011001001000111011111100001
+11010011000110110111011111011101
+11010011000100100111011111011010
+11010011000010100111011111010111
+11010011000000010111011111010011
+11010010111110000111011111010000
+11010010111011110111011111001101
+11010010111001100111011111001001
+11010010110111010111011111000110
+11010010110101010111011111000011
+11010010110011000111011110111111
+11010010110000110111011110111100
+11010010101110100111011110111001
+11010010101100010111011110110101
+11010010101010010111011110110010
+11010010101000000111011110101111
+11010010100101110111011110101011
+11010010100011100111011110101000
+11010010100001010111011110100101
+11010010011111000111011110100001
+11010010011101000111011110011110
+11010010011010110111011110011011
+11010010011000100111011110010111
+11010010010110010111011110010100
+11010010010100000111011110010001
+11010010010010000111011110001101
+11010010001111110111011110001010
+11010010001101100111011110000110
+11010010001011010111011110000011
+11010010001001000111011110000000
+11010010000111000111011101111100
+11010010000100110111011101111001
+11010010000010100111011101110110
+11010010000000010111011101110010
+11010001111110000111011101101111
+11010001111100000111011101101011
+11010001111001110111011101101000
+11010001110111100111011101100101
+11010001110101010111011101100001
+11010001110011010111011101011110
+11010001110001000111011101011010
+11010001101110110111011101010111
+11010001101100100111011101010100
+11010001101010010111011101010000
+11010001101000010111011101001101
+11010001100110000111011101001001
+11010001100011110111011101000110
+11010001100001100111011101000011
+11010001011111010111011100111111
+11010001011101010111011100111100
+11010001011011000111011100111000
+11010001011000110111011100110101
+11010001010110100111011100110001
+11010001010100100111011100101110
+11010001010010010111011100101011
+11010001010000000111011100100111
+11010001001101110111011100100100
+11010001001011100111011100100000
+11010001001001100111011100011101
+11010001000111010111011100011001
+11010001000101000111011100010110
+11010001000010110111011100010010
+11010001000000110111011100001111
+11010000111110100111011100001011
+11010000111100010111011100001000
+11010000111010000111011100000101
+11010000111000000111011100000001
+11010000110101110111011011111110
+11010000110011100111011011111010
+11010000110001010111011011110111
+11010000101111010111011011110011
+11010000101101000111011011110000
+11010000101010110111011011101100
+11010000101000100111011011101001
+11010000100110100111011011100101
+11010000100100010111011011100010
+11010000100010000111011011011110
+11010000011111110111011011011011
+11010000011101110111011011010111
+11010000011011100111011011010100
+11010000011001010111011011010000
+11010000010111000111011011001101
+11010000010101000111011011001001
+11010000010010110111011011000110
+11010000010000100111011011000010
+11010000001110010111011010111111
+11010000001100010111011010111011
+11010000001010000111011010111000
+11010000000111110111011010110100
+11010000000101100111011010110001
+11010000000011100111011010101101
+11010000000001010111011010101010
+11001111111111000111011010100110
+11001111111100110111011010100010
+11001111111010110111011010011111
+11001111111000100111011010011011
+11001111110110010111011010011000
+11001111110100000111011010010100
+11001111110010000111011010010001
+11001111101111110111011010001101
+11001111101101100111011010001010
+11001111101011100111011010000110
+11001111101001010111011010000011
+11001111100111000111011001111111
+11001111100100110111011001111011
+11001111100010110111011001111000
+11001111100000100111011001110100
+11001111011110010111011001110001
+11001111011100000111011001101101
+11001111011010000111011001101010
+11001111010111110111011001100110
+11001111010101100111011001100010
+11001111010011100111011001011111
+11001111010001010111011001011011
+11001111001111000111011001011000
+11001111001100110111011001010100
+11001111001010110111011001010000
+11001111001000100111011001001101
+11001111000110010111011001001001
+11001111000100010111011001000110
+11001111000010000111011001000010
+11001110111111110111011000111110
+11001110111101100111011000111011
+11001110111011100111011000110111
+11001110111001010111011000110100
+11001110110111000111011000110000
+11001110110101000111011000101100
+11001110110010110111011000101001
+11001110110000100111011000100101
+11001110101110100111011000100001
+11001110101100010111011000011110
+11001110101010000111011000011010
+11001110100111110111011000010111
+11001110100101110111011000010011
+11001110100011100111011000001111
+11001110100001010111011000001100
+11001110011111010111011000001000
+11001110011101000111011000000100
+11001110011010110111011000000001
+11001110011000110111010111111101
+11001110010110100111010111111001
+11001110010100010111010111110110
+11001110010010010111010111110010
+11001110010000000111010111101110
+11001110001101110111010111101011
+11001110001011110111010111100111
+11001110001001100111010111100011
+11001110000111010111010111100000
+11001110000101010111010111011100
+11001110000011000111010111011000
+11001110000000110111010111010101
+11001101111110100111010111010001
+11001101111100100111010111001101
+11001101111010010111010111001010
+11001101111000000111010111000110
+11001101110110000111010111000010
+11001101110011110111010110111111
+11001101110001100111010110111011
+11001101101111100111010110110111
+11001101101101010111010110110100
+11001101101011000111010110110000
+11001101101001000111010110101100
+11001101100110110111010110101000
+11001101100100100111010110100101
+11001101100010100111010110100001
+11001101100000010111010110011101
+11001101011110000111010110011010
+11001101011100000111010110010110
+11001101011001110111010110010010
+11001101010111110111010110001110
+11001101010101100111010110001011
+11001101010011010111010110000111
+11001101010001010111010110000011
+11001101001111000111010101111111
+11001101001100110111010101111100
+11001101001010110111010101111000
+11001101001000100111010101110100
+11001101000110010111010101110000
+11001101000100010111010101101101
+11001101000010000111010101101001
+11001100111111110111010101100101
+11001100111101110111010101100001
+11001100111011100111010101011110
+11001100111001010111010101011010
+11001100110111010111010101010110
+11001100110101000111010101010010
+11001100110011000111010101001111
+11001100110000110111010101001011
+11001100101110100111010101000111
+11001100101100100111010101000011
+11001100101010010111010101000000
+11001100101000000111010100111100
+11001100100110000111010100111000
+11001100100011110111010100110100
+11001100100001100111010100110000
+11001100011111100111010100101101
+11001100011101010111010100101001
+11001100011011010111010100100101
+11001100011001000111010100100001
+11001100010110110111010100011101
+11001100010100110111010100011010
+11001100010010100111010100010110
+11001100010000010111010100010010
+11001100001110010111010100001110
+11001100001100000111010100001010
+11001100001010000111010100000111
+11001100000111110111010100000011
+11001100000101100111010011111111
+11001100000011100111010011111011
+11001100000001010111010011110111
+11001011111111010111010011110011
+11001011111101000111010011110000
+11001011111010110111010011101100
+11001011111000110111010011101000
+11001011110110100111010011100100
+11001011110100010111010011100000
+11001011110010010111010011011100
+11001011110000000111010011011001
+11001011101110000111010011010101
+11001011101011110111010011010001
+11001011101001100111010011001101
+11001011100111100111010011001001
+11001011100101010111010011000101
+11001011100011010111010011000001
+11001011100001000111010010111110
+11001011011110110111010010111010
+11001011011100110111010010110110
+11001011011010100111010010110010
+11001011011000100111010010101110
+11001011010110010111010010101010
+11001011010100010111010010100110
+11001011010010000111010010100010
+11001011001111110111010010011111
+11001011001101110111010010011011
+11001011001011100111010010010111
+11001011001001100111010010010011
+11001011000111010111010010001111
+11001011000101000111010010001011
+11001011000011000111010010000111
+11001011000000110111010010000011
+11001010111110110111010001111111
+11001010111100100111010001111100
+11001010111010100111010001111000
+11001010111000010111010001110100
+11001010110110000111010001110000
+11001010110100000111010001101100
+11001010110001110111010001101000
+11001010101111110111010001100100
+11001010101101100111010001100000
+11001010101011100111010001011100
+11001010101001010111010001011000
+11001010100111000111010001010100
+11001010100101000111010001010000
+11001010100010110111010001001100
+11001010100000110111010001001001
+11001010011110100111010001000101
+11001010011100100111010001000001
+11001010011010010111010000111101
+11001010011000000111010000111001
+11001010010110000111010000110101
+11001010010011110111010000110001
+11001010010001110111010000101101
+11001010001111100111010000101001
+11001010001101100111010000100101
+11001010001011010111010000100001
+11001010001001010111010000011101
+11001010000111000111010000011001
+11001010000101000111010000010101
+11001010000010110111010000010001
+11001010000000100111010000001101
+11001001111110100111010000001001
+11001001111100010111010000000101
+11001001111010010111010000000001
+11001001111000000111001111111101
+11001001110110000111001111111001
+11001001110011110111001111110101
+11001001110001110111001111110001
+11001001101111100111001111101101
+11001001101101100111001111101001
+11001001101011010111001111100101
+11001001101001010111001111100001
+11001001100111000111001111011101
+11001001100100110111001111011001
+11001001100010110111001111010101
+11001001100000100111001111010001
+11001001011110100111001111001101
+11001001011100010111001111001001
+11001001011010010111001111000101
+11001001011000000111001111000001
+11001001010110000111001110111101
+11001001010011110111001110111001
+11001001010001110111001110110101
+11001001001111100111001110110001
+11001001001101100111001110101101
+11001001001011010111001110101001
+11001001001001010111001110100101
+11001001000111000111001110100001
+11001001000101000111001110011101
+11001001000010110111001110011001
+11001001000000110111001110010101
+11001000111110100111001110010001
+11001000111100100111001110001101
+11001000111010010111001110001001
+11001000111000010111001110000101
+11001000110110000111001110000001
+11001000110100000111001101111100
+11001000110001110111001101111000
+11001000101111110111001101110100
+11001000101101100111001101110000
+11001000101011100111001101101100
+11001000101001010111001101101000
+11001000100111010111001101100100
+11001000100101000111001101100000
+11001000100011000111001101011100
+11001000100000110111001101011000
+11001000011110110111001101010100
+11001000011100100111001101010000
+11001000011010100111001101001100
+11001000011000010111001101000111
+11001000010110010111001101000011
+11001000010100000111001100111111
+11001000010010000111001100111011
+11001000001111110111001100110111
+11001000001101110111001100110011
+11001000001011100111001100101111
+11001000001001100111001100101011
+11001000000111010111001100100111
+11001000000101010111001100100010
+11001000000011000111001100011110
+11001000000001000111001100011010
+11000111111110110111001100010110
+11000111111100110111001100010010
+11000111111010100111001100001110
+11000111111000100111001100001010
+11000111110110010111001100000110
+11000111110100010111001100000001
+11000111110010010111001011111101
+11000111110000000111001011111001
+11000111101110000111001011110101
+11000111101011110111001011110001
+11000111101001110111001011101101
+11000111100111100111001011101001
+11000111100101100111001011100100
+11000111100011010111001011100000
+11000111100001010111001011011100
+11000111011111000111001011011000
+11000111011101000111001011010100
+11000111011010110111001011010000
+11000111011000110111001011001011
+11000111010110110111001011000111
+11000111010100100111001011000011
+11000111010010100111001010111111
+11000111010000010111001010111011
+11000111001110010111001010110111
+11000111001100000111001010110010
+11000111001010000111001010101110
+11000111000111110111001010101010
+11000111000101110111001010100110
+11000111000011110111001010100010
+11000111000001100111001010011101
+11000110111111100111001010011001
+11000110111101010111001010010101
+11000110111011010111001010010001
+11000110111001000111001010001101
+11000110110111000111001010001000
+11000110110101000111001010000100
+11000110110010110111001010000000
+11000110110000110111001001111100
+11000110101110100111001001111000
+11000110101100100111001001110011
+11000110101010010111001001101111
+11000110101000010111001001101011
+11000110100110010111001001100111
+11000110100100000111001001100010
+11000110100010000111001001011110
+11000110011111110111001001011010
+11000110011101110111001001010110
+11000110011011100111001001010010
+11000110011001100111001001001101
+11000110010111100111001001001001
+11000110010101010111001001000101
+11000110010011010111001001000001
+11000110010001000111001000111100
+11000110001111000111001000111000
+11000110001101000111001000110100
+11000110001010110111001000110000
+11000110001000110111001000101011
+11000110000110100111001000100111
+11000110000100100111001000100011
+11000110000010100111001000011111
+11000110000000010111001000011010
+11000101111110010111001000010110
+11000101111100000111001000010010
+11000101111010000111001000001101
+11000101111000000111001000001001
+11000101110101110111001000000101
+11000101110011110111001000000001
+11000101110001100111000111111100
+11000101101111100111000111111000
+11000101101101100111000111110100
+11000101101011010111000111101111
+11000101101001010111000111101011
+11000101100111000111000111100111
+11000101100101000111000111100011
+11000101100011000111000111011110
+11000101100000110111000111011010
+11000101011110110111000111010110
+11000101011100100111000111010001
+11000101011010100111000111001101
+11000101011000100111000111001001
+11000101010110010111000111000100
+11000101010100010111000111000000
+11000101010010010111000110111100
+11000101010000000111000110110111
+11000101001110000111000110110011
+11000101001011110111000110101111
+11000101001001110111000110101010
+11000101000111110111000110100110
+11000101000101100111000110100010
+11000101000011100111000110011101
+11000101000001100111000110011001
+11000100111111010111000110010101
+11000100111101010111000110010000
+11000100111011010111000110001100
+11000100111001000111000110001000
+11000100110111000111000110000011
+11000100110100110111000101111111
+11000100110010110111000101111011
+11000100110000110111000101110110
+11000100101110100111000101110010
+11000100101100100111000101101110
+11000100101010100111000101101001
+11000100101000010111000101100101
+11000100100110010111000101100000
+11000100100100010111000101011100
+11000100100010000111000101011000
+11000100100000000111000101010011
+11000100011110000111000101001111
+11000100011011110111000101001011
+11000100011001110111000101000110
+11000100010111110111000101000010
+11000100010101100111000100111101
+11000100010011100111000100111001
+11000100010001100111000100110101
+11000100001111010111000100110000
+11000100001101010111000100101100
+11000100001011010111000100100111
+11000100001001000111000100100011
+11000100000111000111000100011111
+11000100000101000111000100011010
+11000100000010110111000100010110
+11000100000000110111000100010001
+11000011111110110111000100001101
+11000011111100100111000100001000
+11000011111010100111000100000100
+11000011111000100111000100000000
+11000011110110010111000011111011
+11000011110100010111000011110111
+11000011110010010111000011110010
+11000011110000000111000011101110
+11000011101110000111000011101001
+11000011101100000111000011100101
+11000011101001110111000011100001
+11000011100111110111000011011100
+11000011100101110111000011011000
+11000011100011110111000011010011
+11000011100001100111000011001111
+11000011011111100111000011001010
+11000011011101100111000011000110
+11000011011011010111000011000001
+11000011011001010111000010111101
+11000011010111010111000010111000
+11000011010101000111000010110100
+11000011010011000111000010110000
+11000011010001000111000010101011
+11000011001111000111000010100111
+11000011001100110111000010100010
+11000011001010110111000010011110
+11000011001000110111000010011001
+11000011000110100111000010010101
+11000011000100100111000010010000
+11000011000010100111000010001100
+11000011000000010111000010000111
+11000010111110010111000010000011
+11000010111100010111000001111110
+11000010111010010111000001111010
+11000010111000000111000001110101
+11000010110110000111000001110001
+11000010110100000111000001101100
+11000010110010000111000001101000
+11000010101111110111000001100011
+11000010101101110111000001011111
+11000010101011110111000001011010
+11000010101001100111000001010110
+11000010100111100111000001010001
+11000010100101100111000001001101
+11000010100011100111000001001000
+11000010100001010111000001000100
+11000010011111010111000000111111
+11000010011101010111000000111011
+11000010011011010111000000110110
+11000010011001000111000000110001
+11000010010111000111000000101101
+11000010010101000111000000101000
+11000010010011000111000000100100
+11000010010000110111000000011111
+11000010001110110111000000011011
+11000010001100110111000000010110
+11000010001010110111000000010010
+11000010001000100111000000001101
+11000010000110100111000000001001
+11000010000100100111000000000100
+11000010000010100110111111111111
+11000010000000010110111111111011
+11000001111110010110111111110110
+11000001111100010110111111110010
+11000001111010010110111111101101
+11000001111000000110111111101001
+11000001110110000110111111100100
+11000001110100000110111111011111
+11000001110010000110111111011011
+11000001101111110110111111010110
+11000001101101110110111111010010
+11000001101011110110111111001101
+11000001101001110110111111001000
+11000001100111100110111111000100
+11000001100101100110111110111111
+11000001100011100110111110111011
+11000001100001100110111110110110
+11000001011111100110111110110010
+11000001011101010110111110101101
+11000001011011010110111110101000
+11000001011001010110111110100100
+11000001010111010110111110011111
+11000001010101000110111110011010
+11000001010011000110111110010110
+11000001010001000110111110010001
+11000001001111000110111110001101
+11000001001101000110111110001000
+11000001001010110110111110000011
+11000001001000110110111101111111
+11000001000110110110111101111010
+11000001000100110110111101110101
+11000001000010110110111101110001
+11000001000000100110111101101100
+11000000111110100110111101101000
+11000000111100100110111101100011
+11000000111010100110111101011110
+11000000111000010110111101011010
+11000000110110010110111101010101
+11000000110100010110111101010000
+11000000110010010110111101001100
+11000000110000010110111101000111
+11000000101110010110111101000010
+11000000101100000110111100111110
+11000000101010000110111100111001
+11000000101000000110111100110100
+11000000100110000110111100110000
+11000000100100000110111100101011
+11000000100001110110111100100110
+11000000011111110110111100100010
+11000000011101110110111100011101
+11000000011011110110111100011000
+11000000011001110110111100010100
+11000000010111100110111100001111
+11000000010101100110111100001010
+11000000010011100110111100000110
+11000000010001100110111100000001
+11000000001111100110111011111100
+11000000001101100110111011111000
+11000000001011010110111011110011
+11000000001001010110111011101110
+11000000000111010110111011101001
+11000000000101010110111011100101
+11000000000011010110111011100000
+11000000000001010110111011011011
+10111111111110110110111011010111
+10111111111100110110111011010010
+10111111111010110110111011001101
+10111111111000110110111011001000
+10111111110110110110111011000100
+10111111110100110110111010111111
+10111111110010110110111010111010
+10111111110000100110111010110110
+10111111101110100110111010110001
+10111111101100100110111010101100
+10111111101010100110111010100111
+10111111101000100110111010100011
+10111111100110100110111010011110
+10111111100100010110111010011001
+10111111100010010110111010010100
+10111111100000010110111010010000
+10111111011110010110111010001011
+10111111011100010110111010000110
+10111111011010010110111010000001
+10111111011000010110111001111101
+10111111010110010110111001111000
+10111111010100000110111001110011
+10111111010010000110111001101110
+10111111010000000110111001101010
+10111111001110000110111001100101
+10111111001100000110111001100000
+10111111001010000110111001011011
+10111111001000000110111001010110
+10111111000101110110111001010010
+10111111000011110110111001001101
+10111111000001110110111001001000
+10111110111111110110111001000011
+10111110111101110110111000111111
+10111110111011110110111000111010
+10111110111001110110111000110101
+10111110110111110110111000110000
+10111110110101110110111000101011
+10111110110011100110111000100111
+10111110110001100110111000100010
+10111110101111100110111000011101
+10111110101101100110111000011000
+10111110101011100110111000010011
+10111110101001100110111000001111
+10111110100111100110111000001010
+10111110100101100110111000000101
+10111110100011100110111000000000
+10111110100001100110110111111011
+10111110011111010110110111110110
+10111110011101010110110111110010
+10111110011011010110110111101101
+10111110011001010110110111101000
+10111110010111010110110111100011
+10111110010101010110110111011110
+10111110010011010110110111011001
+10111110010001010110110111010101
+10111110001111010110110111010000
+10111110001101010110110111001011
+10111110001011010110110111000110
+10111110001001000110110111000001
+10111110000111000110110110111100
+10111110000101000110110110111000
+10111110000011000110110110110011
+10111110000001000110110110101110
+10111101111111000110110110101001
+10111101111101000110110110100100
+10111101111011000110110110011111
+10111101111001000110110110011010
+10111101110111000110110110010110
+10111101110101000110110110010001
+10111101110011000110110110001100
+10111101110001000110110110000111
+10111101101111000110110110000010
+10111101101100110110110101111101
+10111101101010110110110101111000
+10111101101000110110110101110011
+10111101100110110110110101101110
+10111101100100110110110101101010
+10111101100010110110110101100101
+10111101100000110110110101100000
+10111101011110110110110101011011
+10111101011100110110110101010110
+10111101011010110110110101010001
+10111101011000110110110101001100
+10111101010110110110110101000111
+10111101010100110110110101000010
+10111101010010110110110100111101
+10111101010000110110110100111001
+10111101001110110110110100110100
+10111101001100110110110100101111
+10111101001010110110110100101010
+10111101001000110110110100100101
+10111101000110110110110100100000
+10111101000100100110110100011011
+10111101000010100110110100010110
+10111101000000100110110100010001
+10111100111110100110110100001100
+10111100111100100110110100000111
+10111100111010100110110100000010
+10111100111000100110110011111101
+10111100110110100110110011111001
+10111100110100100110110011110100
+10111100110010100110110011101111
+10111100110000100110110011101010
+10111100101110100110110011100101
+10111100101100100110110011100000
+10111100101010100110110011011011
+10111100101000100110110011010110
+10111100100110100110110011010001
+10111100100100100110110011001100
+10111100100010100110110011000111
+10111100100000100110110011000010
+10111100011110100110110010111101
+10111100011100100110110010111000
+10111100011010100110110010110011
+10111100011000100110110010101110
+10111100010110100110110010101001
+10111100010100100110110010100100
+10111100010010100110110010011111
+10111100010000100110110010011010
+10111100001110100110110010010101
+10111100001100100110110010010000
+10111100001010100110110010001011
+10111100001000100110110010000110
+10111100000110100110110010000001
+10111100000100100110110001111100
+10111100000010100110110001110111
+10111100000000100110110001110010
+10111011111110100110110001101101
+10111011111100100110110001101000
+10111011111010100110110001100011
+10111011111000100110110001011110
+10111011110110100110110001011001
+10111011110100100110110001010100
+10111011110010100110110001001111
+10111011110000100110110001001010
+10111011101110100110110001000101
+10111011101100100110110001000000
+10111011101010100110110000111011
+10111011101000100110110000110110
+10111011100110110110110000110001
+10111011100100110110110000101100
+10111011100010110110110000100111
+10111011100000110110110000100010
+10111011011110110110110000011101
+10111011011100110110110000011000
+10111011011010110110110000010011
+10111011011000110110110000001110
+10111011010110110110110000001001
+10111011010100110110110000000011
+10111011010010110110101111111110
+10111011010000110110101111111001
+10111011001110110110101111110100
+10111011001100110110101111101111
+10111011001010110110101111101010
+10111011001000110110101111100101
+10111011000110110110101111100000
+10111011000100110110101111011011
+10111011000010110110101111010110
+10111011000000110110101111010001
+10111010111110110110101111001100
+10111010111101000110101111000111
+10111010111011000110101111000010
+10111010111001000110101110111100
+10111010110111000110101110110111
+10111010110101000110101110110010
+10111010110011000110101110101101
+10111010110001000110101110101000
+10111010101111000110101110100011
+10111010101101000110101110011110
+10111010101011000110101110011001
+10111010101001000110101110010100
+10111010100111000110101110001111
+10111010100101000110101110001001
+10111010100011010110101110000100
+10111010100001010110101101111111
+10111010011111010110101101111010
+10111010011101010110101101110101
+10111010011011010110101101110000
+10111010011001010110101101101011
+10111010010111010110101101100110
+10111010010101010110101101100001
+10111010010011010110101101011011
+10111010010001010110101101010110
+10111010001111010110101101010001
+10111010001101100110101101001100
+10111010001011100110101101000111
+10111010001001100110101101000010
+10111010000111100110101100111101
+10111010000101100110101100110111
+10111010000011100110101100110010
+10111010000001100110101100101101
+10111001111111100110101100101000
+10111001111101100110101100100011
+10111001111011100110101100011110
+10111001111001110110101100011000
+10111001110111110110101100010011
+10111001110101110110101100001110
+10111001110011110110101100001001
+10111001110001110110101100000100
+10111001101111110110101011111111
+10111001101101110110101011111001
+10111001101011110110101011110100
+10111001101010000110101011101111
+10111001101000000110101011101010
+10111001100110000110101011100101
+10111001100100000110101011100000
+10111001100010000110101011011010
+10111001100000000110101011010101
+10111001011110000110101011010000
+10111001011100000110101011001011
+10111001011010010110101011000110
+10111001011000010110101011000000
+10111001010110010110101010111011
+10111001010100010110101010110110
+10111001010010010110101010110001
+10111001010000010110101010101100
+10111001001110010110101010100110
+10111001001100100110101010100001
+10111001001010100110101010011100
+10111001001000100110101010010111
+10111001000110100110101010010010
+10111001000100100110101010001100
+10111001000010100110101010000111
+10111001000000110110101010000010
+10111000111110110110101001111101
+10111000111100110110101001110111
+10111000111010110110101001110010
+10111000111000110110101001101101
+10111000110110110110101001101000
+10111000110101000110101001100010
+10111000110011000110101001011101
+10111000110001000110101001011000
+10111000101111000110101001010011
+10111000101101000110101001001101
+10111000101011000110101001001000
+10111000101001010110101001000011
+10111000100111010110101000111110
+10111000100101010110101000111000
+10111000100011010110101000110011
+10111000100001010110101000101110
+10111000011111010110101000101001
+10111000011101100110101000100011
+10111000011011100110101000011110
+10111000011001100110101000011001
+10111000010111100110101000010100
+10111000010101100110101000001110
+10111000010011110110101000001001
+10111000010001110110101000000100
+10111000001111110110100111111110
+10111000001101110110100111111001
+10111000001011110110100111110100
+10111000001010000110100111101111
+10111000001000000110100111101001
+10111000000110000110100111100100
+10111000000100000110100111011111
+10111000000010000110100111011001
+10111000000000010110100111010100
+10110111111110010110100111001111
+10110111111100010110100111001010
+10110111111010010110100111000100
+10110111111000010110100110111111
+10110111110110100110100110111010
+10110111110100100110100110110100
+10110111110010100110100110101111
+10110111110000100110100110101010
+10110111101110110110100110100100
+10110111101100110110100110011111
+10110111101010110110100110011010
+10110111101000110110100110010100
+10110111100110110110100110001111
+10110111100101000110100110001010
+10110111100011000110100110000100
+10110111100001000110100101111111
+10110111011111000110100101111010
+10110111011101010110100101110100
+10110111011011010110100101101111
+10110111011001010110100101101010
+10110111010111010110100101100100
+10110111010101100110100101011111
+10110111010011100110100101011010
+10110111010001100110100101010100
+10110111001111100110100101001111
+10110111001101110110100101001010
+10110111001011110110100101000100
+10110111001001110110100100111111
+10110111000111110110100100111001
+10110111000110000110100100110100
+10110111000100000110100100101111
+10110111000010000110100100101001
+10110111000000000110100100100100
+10110110111110010110100100011111
+10110110111100010110100100011001
+10110110111010010110100100010100
+10110110111000010110100100001110
+10110110110110100110100100001001
+10110110110100100110100100000100
+10110110110010100110100011111110
+10110110110000100110100011111001
+10110110101110110110100011110100
+10110110101100110110100011101110
+10110110101010110110100011101001
+10110110101000110110100011100011
+10110110100111000110100011011110
+10110110100101000110100011011001
+10110110100011000110100011010011
+10110110100001010110100011001110
+10110110011111010110100011001000
+10110110011101010110100011000011
+10110110011011010110100010111101
+10110110011001100110100010111000
+10110110010111100110100010110011
+10110110010101100110100010101101
+10110110010011110110100010101000
+10110110010001110110100010100010
+10110110001111110110100010011101
+10110110001110000110100010011000
+10110110001100000110100010010010
+10110110001010000110100010001101
+10110110001000000110100010000111
+10110110000110010110100010000010
+10110110000100010110100001111100
+10110110000010010110100001110111
+10110110000000100110100001110001
+10110101111110100110100001101100
+10110101111100100110100001100111
+10110101111010110110100001100001
+10110101111000110110100001011100
+10110101110110110110100001010110
+10110101110101000110100001010001
+10110101110011000110100001001011
+10110101110001000110100001000110
+10110101101111010110100001000000
+10110101101101010110100000111011
+10110101101011010110100000110101
+10110101101001010110100000110000
+10110101100111100110100000101010
+10110101100101100110100000100101
+10110101100011100110100000011111
+10110101100001110110100000011010
+10110101011111110110100000010100
+10110101011101110110100000001111
+10110101011100000110100000001010
+10110101011010000110100000000100
+10110101011000010110011111111111
+10110101010110010110011111111001
+10110101010100010110011111110100
+10110101010010100110011111101110
+10110101010000100110011111101001
+10110101001110100110011111100011
+10110101001100110110011111011110
+10110101001010110110011111011000
+10110101001000110110011111010011
+10110101000111000110011111001101
+10110101000101000110011111000111
+10110101000011000110011111000010
+10110101000001010110011110111100
+10110100111111010110011110110111
+10110100111101010110011110110001
+10110100111011100110011110101100
+10110100111001100110011110100110
+10110100110111110110011110100001
+10110100110101110110011110011011
+10110100110011110110011110010110
+10110100110010000110011110010000
+10110100110000000110011110001011
+10110100101110000110011110000101
+10110100101100010110011110000000
+10110100101010010110011101111010
+10110100101000100110011101110100
+10110100100110100110011101101111
+10110100100100100110011101101001
+10110100100010110110011101100100
+10110100100000110110011101011110
+10110100011111000110011101011001
+10110100011101000110011101010011
+10110100011011000110011101001110
+10110100011001010110011101001000
+10110100010111010110011101000010
+10110100010101010110011100111101
+10110100010011100110011100110111
+10110100010001100110011100110010
+10110100001111110110011100101100
+10110100001101110110011100100111
+10110100001100000110011100100001
+10110100001010000110011100011011
+10110100001000000110011100010110
+10110100000110010110011100010000
+10110100000100010110011100001011
+10110100000010100110011100000101
+10110100000000100110011011111111
+10110011111110100110011011111010
+10110011111100110110011011110100
+10110011111010110110011011101111
+10110011111001000110011011101001
+10110011110111000110011011100011
+10110011110101010110011011011110
+10110011110011010110011011011000
+10110011110001010110011011010011
+10110011101111100110011011001101
+10110011101101100110011011000111
+10110011101011110110011011000010
+10110011101001110110011010111100
+10110011101000000110011010110111
+10110011100110000110011010110001
+10110011100100000110011010101011
+10110011100010010110011010100110
+10110011100000010110011010100000
+10110011011110100110011010011010
+10110011011100100110011010010101
+10110011011010110110011010001111
+10110011011000110110011010001001
+10110011010111000110011010000100
+10110011010101000110011001111110
+10110011010011000110011001111001
+10110011010001010110011001110011
+10110011001111010110011001101101
+10110011001101100110011001101000
+10110011001011100110011001100010
+10110011001001110110011001011100
+10110011000111110110011001010111
+10110011000110000110011001010001
+10110011000100000110011001001011
+10110011000010010110011001000110
+10110011000000010110011001000000
+10110010111110100110011000111010
+10110010111100100110011000110101
+10110010111010100110011000101111
+10110010111000110110011000101001
+10110010110110110110011000100100
+10110010110101000110011000011110
+10110010110011000110011000011000
+10110010110001010110011000010011
+10110010101111010110011000001101
+10110010101101100110011000000111
+10110010101011100110011000000001
+10110010101001110110010111111100
+10110010100111110110010111110110
+10110010100110000110010111110000
+10110010100100000110010111101011
+10110010100010010110010111100101
+10110010100000010110010111011111
+10110010011110100110010111011010
+10110010011100100110010111010100
+10110010011010110110010111001110
+10110010011000110110010111001000
+10110010010111000110010111000011
+10110010010101000110010110111101
+10110010010011010110010110110111
+10110010010001010110010110110010
+10110010001111100110010110101100
+10110010001101100110010110100110
+10110010001011110110010110100000
+10110010001001110110010110011011
+10110010001000000110010110010101
+10110010000110000110010110001111
+10110010000100010110010110001001
+10110010000010100110010110000100
+10110010000000100110010101111110
+10110001111110110110010101111000
+10110001111100110110010101110010
+10110001111011000110010101101101
+10110001111001000110010101100111
+10110001110111010110010101100001
+10110001110101010110010101011011
+10110001110011100110010101010110
+10110001110001100110010101010000
+10110001101111110110010101001010
+10110001101101110110010101000100
+10110001101100000110010100111111
+10110001101010000110010100111001
+10110001101000010110010100110011
+10110001100110100110010100101101
+10110001100100100110010100101000
+10110001100010110110010100100010
+10110001100000110110010100011100
+10110001011111000110010100010110
+10110001011101000110010100010000
+10110001011011010110010100001011
+10110001011001010110010100000101
+10110001010111100110010011111111
+10110001010101110110010011111001
+10110001010011110110010011110100
+10110001010010000110010011101110
+10110001010000000110010011101000
+10110001001110010110010011100010
+10110001001100010110010011011100
+10110001001010100110010011010111
+10110001001000110110010011010001
+10110001000110110110010011001011
+10110001000101000110010011000101
+10110001000011000110010010111111
+10110001000001010110010010111010
+10110000111111100110010010110100
+10110000111101100110010010101110
+10110000111011110110010010101000
+10110000111001110110010010100010
+10110000111000000110010010011100
+10110000110110000110010010010111
+10110000110100010110010010010001
+10110000110010100110010010001011
+10110000110000100110010010000101
+10110000101110110110010001111111
+10110000101100110110010001111001
+10110000101011000110010001110100
+10110000101001010110010001101110
+10110000100111010110010001101000
+10110000100101100110010001100010
+10110000100011100110010001011100
+10110000100001110110010001010110
+10110000100000000110010001010000
+10110000011110000110010001001011
+10110000011100010110010001000101
+10110000011010100110010000111111
+10110000011000100110010000111001
+10110000010110110110010000110011
+10110000010100110110010000101101
+10110000010011000110010000100111
+10110000010001010110010000100010
+10110000001111010110010000011100
+10110000001101100110010000010110
+10110000001011110110010000010000
+10110000001001110110010000001010
+10110000001000000110010000000100
+10110000000110000110001111111110
+10110000000100010110001111111000
+10110000000010100110001111110011
+10110000000000100110001111101101
+10101111111110110110001111100111
+10101111111101000110001111100001
+10101111111011000110001111011011
+10101111111001010110001111010101
+10101111110111100110001111001111
+10101111110101100110001111001001
+10101111110011110110001111000011
+10101111110010000110001110111101
+10101111110000000110001110111000
+10101111101110010110001110110010
+10101111101100100110001110101100
+10101111101010100110001110100110
+10101111101000110110001110100000
+10101111100111000110001110011010
+10101111100101000110001110010100
+10101111100011010110001110001110
+10101111100001100110001110001000
+10101111011111100110001110000010
+10101111011101110110001101111100
+10101111011100000110001101110110
+10101111011010000110001101110001
+10101111011000010110001101101011
+10101111010110100110001101100101
+10101111010100100110001101011111
+10101111010010110110001101011001
+10101111010001000110001101010011
+10101111001111000110001101001101
+10101111001101010110001101000111
+10101111001011100110001101000001
+10101111001001100110001100111011
+10101111000111110110001100110101
+10101111000110000110001100101111
+10101111000100010110001100101001
+10101111000010010110001100100011
+10101111000000100110001100011101
+10101110111110110110001100010111
+10101110111100110110001100010001
+10101110111011000110001100001011
+10101110111001010110001100000101
+10101110110111010110001011111111
+10101110110101100110001011111001
+10101110110011110110001011110011
+10101110110010000110001011101101
+10101110110000000110001011100111
+10101110101110010110001011100010
+10101110101100100110001011011100
+10101110101010100110001011010110
+10101110101000110110001011010000
+10101110100111000110001011001010
+10101110100101010110001011000100
+10101110100011010110001010111110
+10101110100001100110001010111000
+10101110011111110110001010110010
+10101110011110000110001010101100
+10101110011100000110001010100110
+10101110011010010110001010100000
+10101110011000100110001010011010
+10101110010110110110001010010100
+10101110010100110110001010001110
+10101110010011000110001010001000
+10101110010001010110001010000001
+10101110001111100110001001111011
+10101110001101100110001001110101
+10101110001011110110001001101111
+10101110001010000110001001101001
+10101110001000010110001001100011
+10101110000110010110001001011101
+10101110000100100110001001010111
+10101110000010110110001001010001
+10101110000001000110001001001011
+10101101111111000110001001000101
+10101101111101010110001000111111
+10101101111011100110001000111001
+10101101111001110110001000110011
+10101101110111110110001000101101
+10101101110110000110001000100111
+10101101110100010110001000100001
+10101101110010100110001000011011
+10101101110000110110001000010101
+10101101101110110110001000001111
+10101101101101000110001000001001
+10101101101011010110001000000011
+10101101101001100110000111111101
+10101101100111100110000111110111
+10101101100101110110000111110000
+10101101100100000110000111101010
+10101101100010010110000111100100
+10101101100000100110000111011110
+10101101011110100110000111011000
+10101101011100110110000111010010
+10101101011011000110000111001100
+10101101011001010110000111000110
+10101101010111100110000111000000
+10101101010101100110000110111010
+10101101010011110110000110110100
+10101101010010000110000110101110
+10101101010000010110000110101000
+10101101001110100110000110100001
+10101101001100100110000110011011
+10101101001010110110000110010101
+10101101001001000110000110001111
+10101101000111010110000110001001
+10101101000101100110000110000011
+10101101000011110110000101111101
+10101101000001110110000101110111
+10101101000000000110000101110001
+10101100111110010110000101101010
+10101100111100100110000101100100
+10101100111010110110000101011110
+10101100111000110110000101011000
+10101100110111000110000101010010
+10101100110101010110000101001100
+10101100110011100110000101000110
+10101100110001110110000101000000
+10101100110000000110000100111010
+10101100101110010110000100110011
+10101100101100010110000100101101
+10101100101010100110000100100111
+10101100101000110110000100100001
+10101100100111000110000100011011
+10101100100101010110000100010101
+10101100100011100110000100001111
+10101100100001100110000100001000
+10101100011111110110000100000010
+10101100011110000110000011111100
+10101100011100010110000011110110
+10101100011010100110000011110000
+10101100011000110110000011101010
+10101100010111000110000011100011
+10101100010101000110000011011101
+10101100010011010110000011010111
+10101100010001100110000011010001
+10101100001111110110000011001011
+10101100001110000110000011000101
+10101100001100010110000010111111
+10101100001010100110000010111000
+10101100001000110110000010110010
+10101100000110110110000010101100
+10101100000101000110000010100110
+10101100000011010110000010100000
+10101100000001100110000010011001
+10101011111111110110000010010011
+10101011111110000110000010001101
+10101011111100010110000010000111
+10101011111010100110000010000001
+10101011111000110110000001111011
+10101011110111000110000001110100
+10101011110101000110000001101110
+10101011110011010110000001101000
+10101011110001100110000001100010
+10101011101111110110000001011100
+10101011101110000110000001010101
+10101011101100010110000001001111
+10101011101010100110000001001001
+10101011101000110110000001000011
+10101011100111000110000000111100
+10101011100101010110000000110110
+10101011100011010110000000110000
+10101011100001100110000000101010
+10101011011111110110000000100100
+10101011011110000110000000011101
+10101011011100010110000000010111
+10101011011010100110000000010001
+10101011011000110110000000001011
+10101011010111000110000000000100
+10101011010101010101111111111110
+10101011010011100101111111111000
+10101011010001110101111111110010
+10101011010000000101111111101100
+10101011001110010101111111100101
+10101011001100100101111111011111
+10101011001010110101111111011001
+10101011001000110101111111010011
+10101011000111000101111111001100
+10101011000101010101111111000110
+10101011000011100101111111000000
+10101011000001110101111110111010
+10101011000000000101111110110011
+10101010111110010101111110101101
+10101010111100100101111110100111
+10101010111010110101111110100000
+10101010111001000101111110011010
+10101010110111010101111110010100
+10101010110101100101111110001110
+10101010110011110101111110000111
+10101010110010000101111110000001
+10101010110000010101111101111011
+10101010101110100101111101110101
+10101010101100110101111101101110
+10101010101011000101111101101000
+10101010101001010101111101100010
+10101010100111100101111101011011
+10101010100101110101111101010101
+10101010100100000101111101001111
+10101010100010010101111101001001
+10101010100000100101111101000010
+10101010011110110101111100111100
+10101010011101000101111100110110
+10101010011011010101111100101111
+10101010011001100101111100101001
+10101010010111110101111100100011
+10101010010110000101111100011101
+10101010010100010101111100010110
+10101010010010100101111100010000
+10101010010000110101111100001010
+10101010001111000101111100000011
+10101010001101010101111011111101
+10101010001011100101111011110111
+10101010001001110101111011110000
+10101010001000000101111011101010
+10101010000110010101111011100100
+10101010000100100101111011011101
+10101010000010110101111011010111
+10101010000001000101111011010001
+10101001111111010101111011001010
+10101001111101100101111011000100
+10101001111011110101111010111110
+10101001111010000101111010110111
+10101001111000010101111010110001
+10101001110110100101111010101011
+10101001110100110101111010100100
+10101001110011000101111010011110
+10101001110001010101111010011000
+10101001101111100101111010010001
+10101001101101110101111010001011
+10101001101100000101111010000101
+10101001101010010101111001111110
+10101001101000100101111001111000
+10101001100110110101111001110001
+10101001100101000101111001101011
+10101001100011010101111001100101
+10101001100001100101111001011110
+10101001011111110101111001011000
+10101001011110000101111001010010
+10101001011100100101111001001011
+10101001011010110101111001000101
+10101001011001000101111000111111
+10101001010111010101111000111000
+10101001010101100101111000110010
+10101001010011110101111000101011
+10101001010010000101111000100101
+10101001010000010101111000011111
+10101001001110100101111000011000
+10101001001100110101111000010010
+10101001001011000101111000001011
+10101001001001010101111000000101
+10101001000111100101110111111111
+10101001000101110101110111111000
+10101001000100000101110111110010
+10101001000010100101110111101011
+10101001000000110101110111100101
+10101000111111000101110111011111
+10101000111101010101110111011000
+10101000111011100101110111010010
+10101000111001110101110111001011
+10101000111000000101110111000101
+10101000110110010101110110111111
+10101000110100100101110110111000
+10101000110010110101110110110010
+10101000110001010101110110101011
+10101000101111100101110110100101
+10101000101101110101110110011110
+10101000101100000101110110011000
+10101000101010010101110110010010
+10101000101000100101110110001011
+10101000100110110101110110000101
+10101000100101000101110101111110
+10101000100011010101110101111000
+10101000100001110101110101110001
+10101000100000000101110101101011
+10101000011110010101110101100101
+10101000011100100101110101011110
+10101000011010110101110101011000
+10101000011001000101110101010001
+10101000010111010101110101001011
+10101000010101100101110101000100
+10101000010100000101110100111110
+10101000010010010101110100110111
+10101000010000100101110100110001
+10101000001110110101110100101010
+10101000001101000101110100100100
+10101000001011010101110100011110
+10101000001001100101110100010111
+10101000001000000101110100010001
+10101000000110010101110100001010
+10101000000100100101110100000100
+10101000000010110101110011111101
+10101000000001000101110011110111
+10100111111111010101110011110000
+10100111111101100101110011101010
+10100111111100000101110011100011
+10100111111010010101110011011101
+10100111111000100101110011010110
+10100111110110110101110011010000
+10100111110101000101110011001001
+10100111110011010101110011000011
+10100111110001110101110010111100
+10100111110000000101110010110110
+10100111101110010101110010101111
+10100111101100100101110010101001
+10100111101010110101110010100010
+10100111101001010101110010011100
+10100111100111100101110010010101
+10100111100101110101110010001111
+10100111100100000101110010001000
+10100111100010010101110010000010
+10100111100000100101110001111011
+10100111011111000101110001110101
+10100111011101010101110001101110
+10100111011011100101110001101000
+10100111011001110101110001100001
+10100111011000000101110001011011
+10100111010110100101110001010100
+10100111010100110101110001001110
+10100111010011000101110001000111
+10100111010001010101110001000001
+10100111001111100101110000111010
+10100111001110000101110000110011
+10100111001100010101110000101101
+10100111001010100101110000100110
+10100111001000110101110000100000
+10100111000111010101110000011001
+10100111000101100101110000010011
+10100111000011110101110000001100
+10100111000010000101110000000110
+10100111000000010101101111111111
+10100110111110110101101111111001
+10100110111101000101101111110010
+10100110111011010101101111101011
+10100110111001100101101111100101
+10100110111000000101101111011110
+10100110110110010101101111011000
+10100110110100100101101111010001
+10100110110010110101101111001011
+10100110110001010101101111000100
+10100110101111100101101110111110
+10100110101101110101101110110111
+10100110101100000101101110110000
+10100110101010010101101110101010
+10100110101000110101101110100011
+10100110100111000101101110011101
+10100110100101010101101110010110
+10100110100011110101101110001111
+10100110100010000101101110001001
+10100110100000010101101110000010
+10100110011110100101101101111100
+10100110011101000101101101110101
+10100110011011010101101101101111
+10100110011001100101101101101000
+10100110010111110101101101100001
+10100110010110010101101101011011
+10100110010100100101101101010100
+10100110010010110101101101001110
+10100110010001000101101101000111
+10100110001111100101101101000000
+10100110001101110101101100111010
+10100110001100000101101100110011
+10100110001010100101101100101100
+10100110001000110101101100100110
+10100110000111000101101100011111
+10100110000101010101101100011001
+10100110000011110101101100010010
+10100110000010000101101100001011
+10100110000000010101101100000101
+10100101111110110101101011111110
+10100101111101000101101011110111
+10100101111011010101101011110001
+10100101111001110101101011101010
+10100101111000000101101011100100
+10100101110110010101101011011101
+10100101110100110101101011010110
+10100101110011000101101011010000
+10100101110001010101101011001001
+10100101101111100101101011000010
+10100101101110000101101010111100
+10100101101100010101101010110101
+10100101101010100101101010101110
+10100101101001000101101010101000
+10100101100111010101101010100001
+10100101100101100101101010011010
+10100101100100000101101010010100
+10100101100010010101101010001101
+10100101100000100101101010000111
+10100101011111000101101010000000
+10100101011101010101101001111001
+10100101011011100101101001110011
+10100101011010000101101001101100
+10100101011000010101101001100101
+10100101010110100101101001011110
+10100101010101000101101001011000
+10100101010011010101101001010001
+10100101010001100101101001001010
+10100101010000000101101001000100
+10100101001110010101101000111101
+10100101001100110101101000110110
+10100101001011000101101000110000
+10100101001001010101101000101001
+10100101000111110101101000100010
+10100101000110000101101000011100
+10100101000100010101101000010101
+10100101000010110101101000001110
+10100101000001000101101000001000
+10100100111111010101101000000001
+10100100111101110101100111111010
+10100100111100000101100111110011
+10100100111010100101100111101101
+10100100111000110101100111100110
+10100100110111000101100111011111
+10100100110101100101100111011001
+10100100110011110101100111010010
+10100100110010010101100111001011
+10100100110000100101100111000100
+10100100101110110101100110111110
+10100100101101010101100110110111
+10100100101011100101100110110000
+10100100101001110101100110101010
+10100100101000010101100110100011
+10100100100110100101100110011100
+10100100100101000101100110010101
+10100100100011010101100110001111
+10100100100001100101100110001000
+10100100100000000101100110000001
+10100100011110010101100101111010
+10100100011100110101100101110100
+10100100011011000101100101101101
+10100100011001100101100101100110
+10100100010111110101100101011111
+10100100010110000101100101011001
+10100100010100100101100101010010
+10100100010010110101100101001011
+10100100010001010101100101000100
+10100100001111100101100100111110
+10100100001110000101100100110111
+10100100001100010101100100110000
+10100100001010100101100100101001
+10100100001001000101100100100011
+10100100000111010101100100011100
+10100100000101110101100100010101
+10100100000100000101100100001110
+10100100000010100101100100001000
+10100100000000110101100100000001
+10100011111111000101100011111010
+10100011111101100101100011110011
+10100011111011110101100011101101
+10100011111010010101100011100110
+10100011111000100101100011011111
+10100011110111000101100011011000
+10100011110101010101100011010001
+10100011110011110101100011001011
+10100011110010000101100011000100
+10100011110000100101100010111101
+10100011101110110101100010110110
+10100011101101010101100010101111
+10100011101011100101100010101001
+10100011101001110101100010100010
+10100011101000010101100010011011
+10100011100110100101100010010100
+10100011100101000101100010001101
+10100011100011010101100010000111
+10100011100001110101100010000000
+10100011100000000101100001111001
+10100011011110100101100001110010
+10100011011100110101100001101011
+10100011011011010101100001100101
+10100011011001100101100001011110
+10100011011000000101100001010111
+10100011010110010101100001010000
+10100011010100110101100001001001
+10100011010011000101100001000010
+10100011010001100101100000111100
+10100011001111110101100000110101
+10100011001110010101100000101110
+10100011001100100101100000100111
+10100011001011000101100000100000
+10100011001001010101100000011001
+10100011000111110101100000010011
+10100011000110000101100000001100
+10100011000100100101100000000101
+10100011000010110101011111111110
+10100011000001010101011111110111
+10100010111111100101011111110000
+10100010111110000101011111101010
+10100010111100100101011111100011
+10100010111010110101011111011100
+10100010111001010101011111010101
+10100010110111100101011111001110
+10100010110110000101011111000111
+10100010110100010101011111000000
+10100010110010110101011110111010
+10100010110001000101011110110011
+10100010101111100101011110101100
+10100010101101110101011110100101
+10100010101100010101011110011110
+10100010101010100101011110010111
+10100010101001000101011110010000
+10100010100111100101011110001010
+10100010100101110101011110000011
+10100010100100010101011101111100
+10100010100010100101011101110101
+10100010100001000101011101101110
+10100010011111010101011101100111
+10100010011101110101011101100000
+10100010011100010101011101011001
+10100010011010100101011101010010
+10100010011001000101011101001100
+10100010010111010101011101000101
+10100010010101110101011100111110
+10100010010100000101011100110111
+10100010010010100101011100110000
+10100010010001000101011100101001
+10100010001111010101011100100010
+10100010001101110101011100011011
+10100010001100000101011100010100
+10100010001010100101011100001101
+10100010001000110101011100000111
+10100010000111010101011100000000
+10100010000101110101011011111001
+10100010000100000101011011110010
+10100010000010100101011011101011
+10100010000000110101011011100100
+10100001111111010101011011011101
+10100001111101110101011011010110
+10100001111100000101011011001111
+10100001111010100101011011001000
+10100001111001000101011011000001
+10100001110111010101011010111010
+10100001110101110101011010110011
+10100001110100000101011010101101
+10100001110010100101011010100110
+10100001110001000101011010011111
+10100001101111010101011010011000
+10100001101101110101011010010001
+10100001101100000101011010001010
+10100001101010100101011010000011
+10100001101001000101011001111100
+10100001100111010101011001110101
+10100001100101110101011001101110
+10100001100100010101011001100111
+10100001100010100101011001100000
+10100001100001000101011001011001
+10100001011111100101011001010010
+10100001011101110101011001001011
+10100001011100010101011001000100
+10100001011010110101011000111101
+10100001011001000101011000110110
+10100001010111100101011000101111
+10100001010101110101011000101000
+10100001010100010101011000100001
+10100001010010110101011000011011
+10100001010001000101011000010100
+10100001001111100101011000001101
+10100001001110000101011000000110
+10100001001100010101010111111111
+10100001001010110101010111111000
+10100001001001010101010111110001
+10100001000111100101010111101010
+10100001000110000101010111100011
+10100001000100100101010111011100
+10100001000010110101010111010101
+10100001000001010101010111001110
+10100000111111110101010111000111
+10100000111110010101010111000000
+10100000111100100101010110111001
+10100000111011000101010110110010
+10100000111001100101010110101011
+10100000110111110101010110100100
+10100000110110010101010110011101
+10100000110100110101010110010110
+10100000110011000101010110001111
+10100000110001100101010110001000
+10100000110000000101010110000001
+10100000101110010101010101111010
+10100000101100110101010101110011
+10100000101011010101010101101100
+10100000101001110101010101100101
+10100000101000000101010101011110
+10100000100110100101010101010111
+10100000100101000101010101010000
+10100000100011010101010101001001
+10100000100001110101010101000001
+10100000100000010101010100111010
+10100000011110110101010100110011
+10100000011101000101010100101100
+10100000011011100101010100100101
+10100000011010000101010100011110
+10100000011000100101010100010111
+10100000010110110101010100010000
+10100000010101010101010100001001
+10100000010011110101010100000010
+10100000010010010101010011111011
+10100000010000100101010011110100
+10100000001111000101010011101101
+10100000001101100101010011100110
+10100000001100000101010011011111
+10100000001010010101010011011000
+10100000001000110101010011010001
+10100000000111010101010011001010
+10100000000101110101010011000011
+10100000000100000101010010111100
+10100000000010100101010010110101
+10100000000001000101010010101101
+10011111111111100101010010100110
+10011111111101110101010010011111
+10011111111100010101010010011000
+10011111111010110101010010010001
+10011111111001010101010010001010
+10011111110111100101010010000011
+10011111110110000101010001111100
+10011111110100100101010001110101
+10011111110011000101010001101110
+10011111110001100101010001100111
+10011111101111110101010001100000
+10011111101110010101010001011001
+10011111101100110101010001010001
+10011111101011010101010001001010
+10011111101001110101010001000011
+10011111101000000101010000111100
+10011111100110100101010000110101
+10011111100101000101010000101110
+10011111100011100101010000100111
+10011111100010000101010000100000
+10011111100000010101010000011001
+10011111011110110101010000010010
+10011111011101010101010000001010
+10011111011011110101010000000011
+10011111011010010101001111111100
+10011111011000100101001111110101
+10011111010111000101001111101110
+10011111010101100101001111100111
+10011111010100000101001111100000
+10011111010010100101001111011001
+10011111010001000101001111010010
+10011111001111010101001111001010
+10011111001101110101001111000011
+10011111001100010101001110111100
+10011111001010110101001110110101
+10011111001001010101001110101110
+10011111000111110101001110100111
+10011111000110000101001110100000
+10011111000100100101001110011000
+10011111000011000101001110010001
+10011111000001100101001110001010
+10011111000000000101001110000011
+10011110111110100101001101111100
+10011110111100110101001101110101
+10011110111011010101001101101110
+10011110111001110101001101100110
+10011110111000010101001101011111
+10011110110110110101001101011000
+10011110110101010101001101010001
+10011110110011110101001101001010
+10011110110010010101001101000011
+10011110110000100101001100111100
+10011110101111000101001100110100
+10011110101101100101001100101101
+10011110101100000101001100100110
+10011110101010100101001100011111
+10011110101001000101001100011000
+10011110100111100101001100010001
+10011110100110000101001100001001
+10011110100100010101001100000010
+10011110100010110101001011111011
+10011110100001010101001011110100
+10011110011111110101001011101101
+10011110011110010101001011100110
+10011110011100110101001011011110
+10011110011011010101001011010111
+10011110011001110101001011010000
+10011110011000010101001011001001
+10011110010110110101001011000010
+10011110010101000101001010111010
+10011110010011100101001010110011
+10011110010010000101001010101100
+10011110010000100101001010100101
+10011110001111000101001010011110
+10011110001101100101001010010110
+10011110001100000101001010001111
+10011110001010100101001010001000
+10011110001001000101001010000001
+10011110000111100101001001111010
+10011110000110000101001001110010
+10011110000100100101001001101011
+10011110000010110101001001100100
+10011110000001010101001001011101
+10011101111111110101001001010110
+10011101111110010101001001001110
+10011101111100110101001001000111
+10011101111011010101001001000000
+10011101111001110101001000111001
+10011101111000010101001000110001
+10011101110110110101001000101010
+10011101110101010101001000100011
+10011101110011110101001000011100
+10011101110010010101001000010101
+10011101110000110101001000001101
+10011101101111010101001000000110
+10011101101101110101000111111111
+10011101101100010101000111111000
+10011101101010110101000111110000
+10011101101001010101000111101001
+10011101100111110101000111100010
+10011101100110010101000111011011
+10011101100100110101000111010011
+10011101100011010101000111001100
+10011101100001110101000111000101
+10011101100000010101000110111110
+10011101011110100101000110110110
+10011101011101000101000110101111
+10011101011011100101000110101000
+10011101011010000101000110100001
+10011101011000100101000110011001
+10011101010111000101000110010010
+10011101010101100101000110001011
+10011101010100000101000110000100
+10011101010010100101000101111100
+10011101010001000101000101110101
+10011101001111100101000101101110
+10011101001110000101000101100110
+10011101001100100101000101011111
+10011101001011000101000101011000
+10011101001001100101000101010001
+10011101001000000101000101001001
+10011101000110110101000101000010
+10011101000101010101000100111011
+10011101000011110101000100110100
+10011101000010010101000100101100
+10011101000000110101000100100101
+10011100111111010101000100011110
+10011100111101110101000100010110
+10011100111100010101000100001111
+10011100111010110101000100001000
+10011100111001010101000100000000
+10011100110111110101000011111001
+10011100110110010101000011110010
+10011100110100110101000011101011
+10011100110011010101000011100011
+10011100110001110101000011011100
+10011100110000010101000011010101
+10011100101110110101000011001101
+10011100101101010101000011000110
+10011100101011110101000010111111
+10011100101010010101000010110111
+10011100101000110101000010110000
+10011100100111010101000010101001
+10011100100101110101000010100001
+10011100100100010101000010011010
+10011100100011000101000010010011
+10011100100001100101000010001100
+10011100100000000101000010000100
+10011100011110100101000001111101
+10011100011101000101000001110110
+10011100011011100101000001101110
+10011100011010000101000001100111
+10011100011000100101000001100000
+10011100010111000101000001011000
+10011100010101100101000001010001
+10011100010100000101000001001010
+10011100010010100101000001000010
+10011100010001000101000000111011
+10011100001111110101000000110100
+10011100001110010101000000101100
+10011100001100110101000000100101
+10011100001011010101000000011101
+10011100001001110101000000010110
+10011100001000010101000000001111
+10011100000110110101000000000111
+10011100000101010101000000000000
+10011100000011110100111111111001
+10011100000010100100111111110001
+10011100000001000100111111101010
+10011011111111100100111111100011
+10011011111110000100111111011011
+10011011111100100100111111010100
+10011011111011000100111111001101
+10011011111001100100111111000101
+10011011111000000100111110111110
+10011011110110100100111110110110
+10011011110101010100111110101111
+10011011110011110100111110101000
+10011011110010010100111110100000
+10011011110000110100111110011001
+10011011101111010100111110010010
+10011011101101110100111110001010
+10011011101100010100111110000011
+10011011101011000100111101111011
+10011011101001100100111101110100
+10011011101000000100111101101101
+10011011100110100100111101100101
+10011011100101000100111101011110
+10011011100011100100111101010110
+10011011100010010100111101001111
+10011011100000110100111101001000
+10011011011111010100111101000000
+10011011011101110100111100111001
+10011011011100010100111100110001
+10011011011010110100111100101010
+10011011011001100100111100100011
+10011011011000000100111100011011
+10011011010110100100111100010100
+10011011010101000100111100001100
+10011011010011100100111100000101
+10011011010010000100111011111110
+10011011010000110100111011110110
+10011011001111010100111011101111
+10011011001101110100111011100111
+10011011001100010100111011100000
+10011011001010110100111011011000
+10011011001001100100111011010001
+10011011001000000100111011001010
+10011011000110100100111011000010
+10011011000101000100111010111011
+10011011000011100100111010110011
+10011011000010010100111010101100
+10011011000000110100111010100100
+10011010111111010100111010011101
+10011010111101110100111010010110
+10011010111100010100111010001110
+10011010111011000100111010000111
+10011010111001100100111001111111
+10011010111000000100111001111000
+10011010110110100100111001110000
+10011010110101010100111001101001
+10011010110011110100111001100001
+10011010110010010100111001011010
+10011010110000110100111001010011
+10011010101111010100111001001011
+10011010101110000100111001000100
+10011010101100100100111000111100
+10011010101011000100111000110101
+10011010101001100100111000101101
+10011010101000010100111000100110
+10011010100110110100111000011110
+10011010100101010100111000010111
+10011010100011110100111000001111
+10011010100010100100111000001000
+10011010100001000100111000000000
+10011010011111100100110111111001
+10011010011110000100110111110001
+10011010011100110100110111101010
+10011010011011010100110111100011
+10011010011001110100110111011011
+10011010011000100100110111010100
+10011010010111000100110111001100
+10011010010101100100110111000101
+10011010010100000100110110111101
+10011010010010110100110110110110
+10011010010001010100110110101110
+10011010001111110100110110100111
+10011010001110010100110110011111
+10011010001101000100110110011000
+10011010001011100100110110010000
+10011010001010000100110110001001
+10011010001000110100110110000001
+10011010000111010100110101111010
+10011010000101110100110101110010
+10011010000100010100110101101011
+10011010000011000100110101100011
+10011010000001100100110101011100
+10011010000000000100110101010100
+10011001111110110100110101001101
+10011001111101010100110101000101
+10011001111011110100110100111110
+10011001111010100100110100110110
+10011001111001000100110100101111
+10011001110111100100110100100111
+10011001110110010100110100100000
+10011001110100110100110100011000
+10011001110011010100110100010000
+10011001110010000100110100001001
+10011001110000100100110100000001
+10011001101111000100110011111010
+10011001101101110100110011110010
+10011001101100010100110011101011
+10011001101010110100110011100011
+10011001101001100100110011011100
+10011001101000000100110011010100
+10011001100110100100110011001101
+10011001100101010100110011000101
+10011001100011110100110010111110
+10011001100010010100110010110110
+10011001100001000100110010101111
+10011001011111100100110010100111
+10011001011110000100110010011111
+10011001011100110100110010011000
+10011001011011010100110010010000
+10011001011001110100110010001001
+10011001011000100100110010000001
+10011001010111000100110001111010
+10011001010101110100110001110010
+10011001010100010100110001101011
+10011001010010110100110001100011
+10011001010001100100110001011011
+10011001010000000100110001010100
+10011001001110100100110001001100
+10011001001101010100110001000101
+10011001001011110100110000111101
+10011001001010100100110000110110
+10011001001001000100110000101110
+10011001000111100100110000100110
+10011001000110010100110000011111
+10011001000100110100110000010111
+10011001000011100100110000010000
+10011001000010000100110000001000
+10011001000000100100110000000001
+10011000111111010100101111111001
+10011000111101110100101111110001
+10011000111100100100101111101010
+10011000111011000100101111100010
+10011000111001100100101111011011
+10011000111000010100101111010011
+10011000110110110100101111001011
+10011000110101100100101111000100
+10011000110100000100101110111100
+10011000110010110100101110110101
+10011000110001010100101110101101
+10011000101111110100101110100101
+10011000101110100100101110011110
+10011000101101000100101110010110
+10011000101011110100101110001111
+10011000101010010100101110000111
+10011000101001000100101101111111
+10011000100111100100101101111000
+10011000100110000100101101110000
+10011000100100110100101101101001
+10011000100011010100101101100001
+10011000100010000100101101011001
+10011000100000100100101101010010
+10011000011111010100101101001010
+10011000011101110100101101000010
+10011000011100100100101100111011
+10011000011011000100101100110011
+10011000011001110100101100101100
+10011000011000010100101100100100
+10011000010111000100101100011100
+10011000010101100100101100010101
+10011000010100000100101100001101
+10011000010010110100101100000101
+10011000010001010100101011111110
+10011000010000000100101011110110
+10011000001110100100101011101111
+10011000001101010100101011100111
+10011000001011110100101011011111
+10011000001010100100101011011000
+10011000001001000100101011010000
+10011000000111110100101011001000
+10011000000110010100101011000001
+10011000000101000100101010111001
+10011000000011100100101010110001
+10011000000010010100101010101010
+10011000000000110100101010100010
+10010111111111100100101010011010
+10010111111110000100101010010011
+10010111111100110100101010001011
+10010111111011010100101010000011
+10010111111010000100101001111100
+10010111111000100100101001110100
+10010111110111010100101001101100
+10010111110101110100101001100101
+10010111110100100100101001011101
+10010111110011000100101001010101
+10010111110001110100101001001110
+10010111110000100100101001000110
+10010111101111000100101000111110
+10010111101101110100101000110111
+10010111101100010100101000101111
+10010111101011000100101000100111
+10010111101001100100101000100000
+10010111101000010100101000011000
+10010111100110110100101000010000
+10010111100101100100101000001001
+10010111100100000100101000000001
+10010111100010110100100111111001
+10010111100001010100100111110010
+10010111100000000100100111101010
+10010111011110110100100111100010
+10010111011101010100100111011010
+10010111011100000100100111010011
+10010111011010100100100111001011
+10010111011001010100100111000011
+10010111010111110100100110111100
+10010111010110100100100110110100
+10010111010101010100100110101100
+10010111010011110100100110100101
+10010111010010100100100110011101
+10010111010001000100100110010101
+10010111001111110100100110001101
+10010111001110010100100110000110
+10010111001101000100100101111110
+10010111001011110100100101110110
+10010111001010010100100101101111
+10010111001001000100100101100111
+10010111000111100100100101011111
+10010111000110010100100101010111
+10010111000101000100100101010000
+10010111000011100100100101001000
+10010111000010010100100101000000
+10010111000000110100100100111000
+10010110111111100100100100110001
+10010110111110010100100100101001
+10010110111100110100100100100001
+10010110111011100100100100011010
+10010110111010010100100100010010
+10010110111000110100100100001010
+10010110110111100100100100000010
+10010110110110000100100011111011
+10010110110100110100100011110011
+10010110110011100100100011101011
+10010110110010000100100011100011
+10010110110000110100100011011100
+10010110101111100100100011010100
+10010110101110000100100011001100
+10010110101100110100100011000100
+10010110101011100100100010111101
+10010110101010000100100010110101
+10010110101000110100100010101101
+10010110100111010100100010100101
+10010110100110000100100010011110
+10010110100100110100100010010110
+10010110100011010100100010001110
+10010110100010000100100010000110
+10010110100000110100100001111110
+10010110011111010100100001110111
+10010110011110000100100001101111
+10010110011100110100100001100111
+10010110011011010100100001011111
+10010110011010000100100001011000
+10010110011000110100100001010000
+10010110010111010100100001001000
+10010110010110000100100001000000
+10010110010100110100100000111001
+10010110010011010100100000110001
+10010110010010000100100000101001
+10010110010000110100100000100001
+10010110001111100100100000011001
+10010110001110000100100000010010
+10010110001100110100100000001010
+10010110001011100100100000000010
+10010110001010000100011111111010
+10010110001000110100011111110010
+10010110000111100100011111101011
+10010110000110000100011111100011
+10010110000100110100011111011011
+10010110000011100100011111010011
+10010110000010010100011111001011
+10010110000000110100011111000100
+10010101111111100100011110111100
+10010101111110010100011110110100
+10010101111100110100011110101100
+10010101111011100100011110100100
+10010101111010010100011110011101
+10010101111001000100011110010101
+10010101110111100100011110001101
+10010101110110010100011110000101
+10010101110101000100011101111101
+10010101110011110100011101110110
+10010101110010010100011101101110
+10010101110001000100011101100110
+10010101101111110100011101011110
+10010101101110100100011101010110
+10010101101101000100011101001110
+10010101101011110100011101000111
+10010101101010100100011100111111
+10010101101001010100011100110111
+10010101100111110100011100101111
+10010101100110100100011100100111
+10010101100101010100011100011111
+10010101100100000100011100011000
+10010101100010100100011100010000
+10010101100001010100011100001000
+10010101100000000100011100000000
+10010101011110110100011011111000
+10010101011101010100011011110000
+10010101011100000100011011101001
+10010101011010110100011011100001
+10010101011001100100011011011001
+10010101011000010100011011010001
+10010101010110110100011011001001
+10010101010101100100011011000001
+10010101010100010100011010111001
+10010101010011000100011010110010
+10010101010001110100011010101010
+10010101010000010100011010100010
+10010101001111000100011010011010
+10010101001101110100011010010010
+10010101001100100100011010001010
+10010101001011010100011010000010
+10010101001001110100011001111011
+10010101001000100100011001110011
+10010101000111010100011001101011
+10010101000110000100011001100011
+10010101000100110100011001011011
+10010101000011010100011001010011
+10010101000010000100011001001011
+10010101000000110100011001000011
+10010100111111100100011000111100
+10010100111110010100011000110100
+10010100111101000100011000101100
+10010100111011100100011000100100
+10010100111010010100011000011100
+10010100111001000100011000010100
+10010100110111110100011000001100
+10010100110110100100011000000100
+10010100110101010100010111111100
+10010100110011110100010111110101
+10010100110010100100010111101101
+10010100110001010100010111100101
+10010100110000000100010111011101
+10010100101110110100010111010101
+10010100101101100100010111001101
+10010100101100010100010111000101
+10010100101010110100010110111101
+10010100101001100100010110110101
+10010100101000010100010110101110
+10010100100111000100010110100110
+10010100100101110100010110011110
+10010100100100100100010110010110
+10010100100011010100010110001110
+10010100100010000100010110000110
+10010100100000100100010101111110
+10010100011111010100010101110110
+10010100011110000100010101101110
+10010100011100110100010101100110
+10010100011011100100010101011110
+10010100011010010100010101010110
+10010100011001000100010101001111
+10010100010111110100010101000111
+10010100010110100100010100111111
+10010100010101000100010100110111
+10010100010011110100010100101111
+10010100010010100100010100100111
+10010100010001010100010100011111
+10010100010000000100010100010111
+10010100001110110100010100001111
+10010100001101100100010100000111
+10010100001100010100010011111111
+10010100001011000100010011110111
+10010100001001110100010011101111
+10010100001000100100010011100111
+10010100000111010100010011011111
+10010100000110000100010011011000
+10010100000100100100010011010000
+10010100000011010100010011001000
+10010100000010000100010011000000
+10010100000000110100010010111000
+10010011111111100100010010110000
+10010011111110010100010010101000
+10010011111101000100010010100000
+10010011111011110100010010011000
+10010011111010100100010010010000
+10010011111001010100010010001000
+10010011111000000100010010000000
+10010011110110110100010001111000
+10010011110101100100010001110000
+10010011110100010100010001101000
+10010011110011000100010001100000
+10010011110001110100010001011000
+10010011110000100100010001010000
+10010011101111010100010001001000
+10010011101110000100010001000000
+10010011101100110100010000111000
+10010011101011100100010000110000
+10010011101010010100010000101000
+10010011101001000100010000100000
+10010011100111110100010000011000
+10010011100110100100010000010000
+10010011100101000100010000001000
+10010011100011110100010000000000
+10010011100010100100001111111000
+10010011100001010100001111110001
+10010011100000000100001111101001
+10010011011110110100001111100001
+10010011011101100100001111011001
+10010011011100010100001111010001
+10010011011011000100001111001001
+10010011011010000100001111000001
+10010011011000110100001110111001
+10010011010111100100001110110001
+10010011010110010100001110101001
+10010011010101000100001110100001
+10010011010011110100001110011001
+10010011010010100100001110010001
+10010011010001010100001110001001
+10010011010000000100001110000001
+10010011001110110100001101111001
+10010011001101100100001101110001
+10010011001100010100001101101001
+10010011001011000100001101100001
+10010011001001110100001101011000
+10010011001000100100001101010000
+10010011000111010100001101001000
+10010011000110000100001101000000
+10010011000100110100001100111000
+10010011000011100100001100110000
+10010011000010010100001100101000
+10010011000001000100001100100000
+10010010111111110100001100011000
+10010010111110100100001100010000
+10010010111101010100001100001000
+10010010111100000100001100000000
+10010010111011000100001011111000
+10010010111001110100001011110000
+10010010111000100100001011101000
+10010010110111010100001011100000
+10010010110110000100001011011000
+10010010110100110100001011010000
+10010010110011100100001011001000
+10010010110010010100001011000000
+10010010110001000100001010111000
+10010010101111110100001010110000
+10010010101110100100001010101000
+10010010101101010100001010100000
+10010010101100010100001010011000
+10010010101011000100001010010000
+10010010101001110100001010001000
+10010010101000100100001010000000
+10010010100111010100001001111000
+10010010100110000100001001101111
+10010010100100110100001001100111
+10010010100011100100001001011111
+10010010100010010100001001010111
+10010010100001000100001001001111
+10010010100000000100001001000111
+10010010011110110100001000111111
+10010010011101100100001000110111
+10010010011100010100001000101111
+10010010011011000100001000100111
+10010010011001110100001000011111
+10010010011000100100001000010111
+10010010010111010100001000001111
+10010010010110010100001000000111
+10010010010101000100000111111111
+10010010010011110100000111110110
+10010010010010100100000111101110
+10010010010001010100000111100110
+10010010010000000100000111011110
+10010010001111000100000111010110
+10010010001101110100000111001110
+10010010001100100100000111000110
+10010010001011010100000110111110
+10010010001010000100000110110110
+10010010001000110100000110101110
+10010010000111100100000110100110
+10010010000110100100000110011110
+10010010000101010100000110010101
+10010010000100000100000110001101
+10010010000010110100000110000101
+10010010000001100100000101111101
+10010010000000100100000101110101
+10010001111111010100000101101101
+10010001111110000100000101100101
+10010001111100110100000101011101
+10010001111011100100000101010101
+10010001111010010100000101001101
+10010001111001010100000101000100
+10010001111000000100000100111100
+10010001110110110100000100110100
+10010001110101100100000100101100
+10010001110100010100000100100100
+10010001110011010100000100011100
+10010001110010000100000100010100
+10010001110000110100000100001100
+10010001101111100100000100000100
+10010001101110010100000011111011
+10010001101101010100000011110011
+10010001101100000100000011101011
+10010001101010110100000011100011
+10010001101001100100000011011011
+10010001101000100100000011010011
+10010001100111010100000011001011
+10010001100110000100000011000011
+10010001100100110100000010111010
+10010001100011110100000010110010
+10010001100010100100000010101010
+10010001100001010100000010100010
+10010001100000000100000010011010
+10010001011110110100000010010010
+10010001011101110100000010001010
+10010001011100100100000010000010
+10010001011011010100000001111001
+10010001011010000100000001110001
+10010001011001000100000001101001
+10010001010111110100000001100001
+10010001010110100100000001011001
+10010001010101100100000001010001
+10010001010100010100000001001000
+10010001010011000100000001000000
+10010001010001110100000000111000
+10010001010000110100000000110000
+10010001001111100100000000101000
+10010001001110010100000000100000
+10010001001101000100000000011000
+10010001001100000100000000001111
+10010001001010110100000000000111
+10010001001001100011111111111110
+10010001001000100011111111110110
+10010001000111010011111111101110
+10010001000110000011111111100110
+10010001000100110011111111011101
+10010001000011110011111111010101
+10010001000010100011111111001101
+10010001000001010011111111000101
+10010001000000010011111110111101
+10010000111111000011111110110101
+10010000111101110011111110101100
+10010000111100110011111110100100
+10010000111011100011111110011100
+10010000111010010011111110010100
+10010000111001010011111110001100
+10010000111000000011111110000100
+10010000110110110011111101111011
+10010000110101110011111101110011
+10010000110100100011111101101011
+10010000110011010011111101100011
+10010000110010010011111101011011
+10010000110001000011111101010010
+10010000101111110011111101001010
+10010000101110110011111101000010
+10010000101101100011111100111010
+10010000101100010011111100110010
+10010000101011010011111100101001
+10010000101010000011111100100001
+10010000101000110011111100011001
+10010000100111110011111100010001
+10010000100110100011111100001001
+10010000100101010011111100000000
+10010000100100010011111011111000
+10010000100011000011111011110000
+10010000100001110011111011101000
+10010000100000110011111011100000
+10010000011111100011111011010111
+10010000011110100011111011001111
+10010000011101010011111011000111
+10010000011100000011111010111111
+10010000011011000011111010110111
+10010000011001110011111010101110
+10010000011000100011111010100110
+10010000010111100011111010011110
+10010000010110010011111010010110
+10010000010101010011111010001101
+10010000010100000011111010000101
+10010000010010110011111001111101
+10010000010001110011111001110101
+10010000010000100011111001101101
+10010000001111100011111001100100
+10010000001110010011111001011100
+10010000001101000011111001010100
+10010000001100000011111001001100
+10010000001010110011111001000011
+10010000001001110011111000111011
+10010000001000100011111000110011
+10010000000111100011111000101011
+10010000000110010011111000100010
+10010000000101000011111000011010
+10010000000100000011111000010010
+10010000000010110011111000001010
+10010000000001110011111000000001
+10010000000000100011110111111001
+10001111111111100011110111110001
+10001111111110010011110111101001
+10001111111101000011110111100000
+10001111111100000011110111011000
+10001111111010110011110111010000
+10001111111001110011110111001000
+10001111111000100011110110111111
+10001111110111100011110110110111
+10001111110110010011110110101111
+10001111110101010011110110100111
+10001111110100000011110110011110
+10001111110011000011110110010110
+10001111110001110011110110001110
+10001111110000100011110110000110
+10001111101111100011110101111101
+10001111101110010011110101110101
+10001111101101010011110101101101
+10001111101100000011110101100101
+10001111101011000011110101011100
+10001111101001110011110101010100
+10001111101000110011110101001100
+10001111100111100011110101000100
+10001111100110100011110100111011
+10001111100101010011110100110011
+10001111100100010011110100101011
+10001111100011000011110100100010
+10001111100010000011110100011010
+10001111100000110011110100010010
+10001111011111110011110100001010
+10001111011110100011110100000001
+10001111011101100011110011111001
+10001111011100010011110011110001
+10001111011011010011110011101000
+10001111011010000011110011100000
+10001111011001000011110011011000
+10001111010111110011110011010000
+10001111010110110011110011000111
+10001111010101100011110010111111
+10001111010100100011110010110111
+10001111010011010011110010101110
+10001111010010010011110010100110
+10001111010001010011110010011110
+10001111010000000011110010010101
+10001111001111000011110010001101
+10001111001101110011110010000101
+10001111001100110011110001111101
+10001111001011100011110001110100
+10001111001010100011110001101100
+10001111001001010011110001100100
+10001111001000010011110001011011
+10001111000111000011110001010011
+10001111000110000011110001001011
+10001111000101000011110001000010
+10001111000011110011110000111010
+10001111000010110011110000110010
+10001111000001100011110000101001
+10001111000000100011110000100001
+10001110111111010011110000011001
+10001110111110010011110000010000
+10001110111101010011110000001000
+10001110111100000011110000000000
+10001110111011000011101111111000
+10001110111001110011101111101111
+10001110111000110011101111100111
+10001110110111110011101111011111
+10001110110110100011101111010110
+10001110110101100011101111001110
+10001110110100010011101111000110
+10001110110011010011101110111101
+10001110110010010011101110110101
+10001110110001000011101110101101
+10001110110000000011101110100100
+10001110101110110011101110011100
+10001110101101110011101110010100
+10001110101100110011101110001011
+10001110101011100011101110000011
+10001110101010100011101101111010
+10001110101001010011101101110010
+10001110101000010011101101101010
+10001110100111010011101101100001
+10001110100110000011101101011001
+10001110100101000011101101010001
+10001110100100000011101101001000
+10001110100010110011101101000000
+10001110100001110011101100111000
+10001110100000100011101100101111
+10001110011111100011101100100111
+10001110011110100011101100011111
+10001110011101010011101100010110
+10001110011100010011101100001110
+10001110011011010011101100000110
+10001110011010000011101011111101
+10001110011001000011101011110101
+10001110011000000011101011101100
+10001110010110110011101011100100
+10001110010101110011101011011100
+10001110010100110011101011010011
+10001110010011100011101011001011
+10001110010010100011101011000011
+10001110010001100011101010111010
+10001110010000010011101010110010
+10001110001111010011101010101001
+10001110001110010011101010100001
+10001110001101000011101010011001
+10001110001100000011101010010000
+10001110001011000011101010001000
+10001110001010000011101010000000
+10001110001000110011101001110111
+10001110000111110011101001101111
+10001110000110110011101001100110
+10001110000101100011101001011110
+10001110000100100011101001010110
+10001110000011100011101001001101
+10001110000010010011101001000101
+10001110000001010011101000111100
+10001110000000010011101000110100
+10001101111111010011101000101100
+10001101111110000011101000100011
+10001101111101000011101000011011
+10001101111100000011101000010010
+10001101111010110011101000001010
+10001101111001110011101000000010
+10001101111000110011100111111001
+10001101110111110011100111110001
+10001101110110100011100111101000
+10001101110101100011100111100000
+10001101110100100011100111011000
+10001101110011100011100111001111
+10001101110010010011100111000111
+10001101110001010011100110111110
+10001101110000010011100110110110
+10001101101111010011100110101110
+10001101101110000011100110100101
+10001101101101000011100110011101
+10001101101100000011100110010100
+10001101101011000011100110001100
+10001101101001110011100110000100
+10001101101000110011100101111011
+10001101100111110011100101110011
+10001101100110110011100101101010
+10001101100101100011100101100010
+10001101100100100011100101011001
+10001101100011100011100101010001
+10001101100010100011100101001001
+10001101100001100011100101000000
+10001101100000010011100100111000
+10001101011111010011100100101111
+10001101011110010011100100100111
+10001101011101010011100100011110
+10001101011100010011100100010110
+10001101011011000011100100001110
+10001101011010000011100100000101
+10001101011001000011100011111101
+10001101011000000011100011110100
+10001101010111000011100011101100
+10001101010101110011100011100011
+10001101010100110011100011011011
+10001101010011110011100011010010
+10001101010010110011100011001010
+10001101010001110011100011000010
+10001101010000100011100010111001
+10001101001111100011100010110001
+10001101001110100011100010101000
+10001101001101100011100010100000
+10001101001100100011100010010111
+10001101001011100011100010001111
+10001101001010010011100010000110
+10001101001001010011100001111110
+10001101001000010011100001110110
+10001101000111010011100001101101
+10001101000110010011100001100101
+10001101000101010011100001011100
+10001101000100000011100001010100
+10001101000011000011100001001011
+10001101000010000011100001000011
+10001101000001000011100000111010
+10001101000000000011100000110010
+10001100111111000011100000101001
+10001100111110000011100000100001
+10001100111101000011100000011000
+10001100111011110011100000010000
+10001100111010110011100000000111
+10001100111001110011011111111111
+10001100111000110011011111110111
+10001100110111110011011111101110
+10001100110110110011011111100110
+10001100110101110011011111011101
+10001100110100110011011111010101
+10001100110011100011011111001100
+10001100110010100011011111000100
+10001100110001100011011110111011
+10001100110000100011011110110011
+10001100101111100011011110101010
+10001100101110100011011110100010
+10001100101101100011011110011001
+10001100101100100011011110010001
+10001100101011100011011110001000
+10001100101010100011011110000000
+10001100101001010011011101110111
+10001100101000010011011101101111
+10001100100111010011011101100110
+10001100100110010011011101011110
+10001100100101010011011101010101
+10001100100100010011011101001101
+10001100100011010011011101000100
+10001100100010010011011100111100
+10001100100001010011011100110011
+10001100100000010011011100101011
+10001100011111010011011100100010
+10001100011110010011011100011010
+10001100011101010011011100010001
+10001100011100010011011100001001
+10001100011011010011011100000000
+10001100011010010011011011111000
+10001100011001000011011011101111
+10001100011000000011011011100111
+10001100010111000011011011011110
+10001100010110000011011011010110
+10001100010101000011011011001101
+10001100010100000011011011000101
+10001100010011000011011010111100
+10001100010010000011011010110100
+10001100010001000011011010101011
+10001100010000000011011010100011
+10001100001111000011011010011010
+10001100001110000011011010010010
+10001100001101000011011010001001
+10001100001100000011011010000000
+10001100001011000011011001111000
+10001100001010000011011001101111
+10001100001001000011011001100111
+10001100001000000011011001011110
+10001100000111000011011001010110
+10001100000110000011011001001101
+10001100000101000011011001000101
+10001100000100000011011000111100
+10001100000011000011011000110100
+10001100000010000011011000101011
+10001100000001000011011000100011
+10001100000000000011011000011010
+10001011111111000011011000010001
+10001011111110000011011000001001
+10001011111101000011011000000000
+10001011111100000011010111111000
+10001011111011000011010111101111
+10001011111010000011010111100111
+10001011111001000011010111011110
+10001011111000000011010111010110
+10001011110111000011010111001101
+10001011110110000011010111000101
+10001011110101000011010110111100
+10001011110100000011010110110011
+10001011110011010011010110101011
+10001011110010010011010110100010
+10001011110001010011010110011010
+10001011110000010011010110010001
+10001011101111010011010110001001
+10001011101110010011010110000000
+10001011101101010011010101111000
+10001011101100010011010101101111
+10001011101011010011010101100110
+10001011101010010011010101011110
+10001011101001010011010101010101
+10001011101000010011010101001101
+10001011100111010011010101000100
+10001011100110010011010100111100
+10001011100101010011010100110011
+10001011100100100011010100101010
+10001011100011100011010100100010
+10001011100010100011010100011001
+10001011100001100011010100010001
+10001011100000100011010100001000
+10001011011111100011010100000000
+10001011011110100011010011110111
+10001011011101100011010011101110
+10001011011100100011010011100110
+10001011011011100011010011011101
+10001011011010110011010011010101
+10001011011001110011010011001100
+10001011011000110011010011000011
+10001011010111110011010010111011
+10001011010110110011010010110010
+10001011010101110011010010101010
+10001011010100110011010010100001
+10001011010011110011010010011001
+10001011010010110011010010010000
+10001011010010000011010010000111
+10001011010001000011010001111111
+10001011010000000011010001110110
+10001011001111000011010001101110
+10001011001110000011010001100101
+10001011001101000011010001011100
+10001011001100000011010001010100
+10001011001011010011010001001011
+10001011001010010011010001000011
+10001011001001010011010000111010
+10001011001000010011010000110001
+10001011000111010011010000101001
+10001011000110010011010000100000
+10001011000101100011010000011000
+10001011000100100011010000001111
+10001011000011100011010000000110
+10001011000010100011001111111110
+10001011000001100011001111110101
+10001011000000100011001111101100
+10001010111111110011001111100100
+10001010111110110011001111011011
+10001010111101110011001111010011
+10001010111100110011001111001010
+10001010111011110011001111000001
+10001010111010110011001110111001
+10001010111010000011001110110000
+10001010111001000011001110101000
+10001010111000000011001110011111
+10001010110111000011001110010110
+10001010110110000011001110001110
+10001010110101010011001110000101
+10001010110100010011001101111100
+10001010110011010011001101110100
+10001010110010010011001101101011
+10001010110001100011001101100011
+10001010110000100011001101011010
+10001010101111100011001101010001
+10001010101110100011001101001001
+10001010101101100011001101000000
+10001010101100110011001100110111
+10001010101011110011001100101111
+10001010101010110011001100100110
+10001010101001110011001100011101
+10001010101001000011001100010101
+10001010101000000011001100001100
+10001010100111000011001100000100
+10001010100110000011001011111011
+10001010100101010011001011110010
+10001010100100010011001011101010
+10001010100011010011001011100001
+10001010100010010011001011011000
+10001010100001100011001011010000
+10001010100000100011001011000111
+10001010011111100011001010111110
+10001010011110100011001010110110
+10001010011101110011001010101101
+10001010011100110011001010100100
+10001010011011110011001010011100
+10001010011010110011001010010011
+10001010011010000011001010001010
+10001010011001000011001010000010
+10001010011000000011001001111001
+10001010010111010011001001110000
+10001010010110010011001001101000
+10001010010101010011001001011111
+10001010010100010011001001010110
+10001010010011100011001001001110
+10001010010010100011001001000101
+10001010010001100011001000111100
+10001010010000110011001000110100
+10001010001111110011001000101011
+10001010001110110011001000100010
+10001010001110000011001000011010
+10001010001101000011001000010001
+10001010001100000011001000001000
+10001010001011000011001000000000
+10001010001010010011000111110111
+10001010001001010011000111101110
+10001010001000010011000111100110
+10001010000111100011000111011101
+10001010000110100011000111010100
+10001010000101100011000111001100
+10001010000100110011000111000011
+10001010000011110011000110111010
+10001010000010110011000110110010
+10001010000010000011000110101001
+10001010000001000011000110100000
+10001010000000000011000110011000
+10001001111111010011000110001111
+10001001111110010011000110000110
+10001001111101100011000101111101
+10001001111100100011000101110101
+10001001111011100011000101101100
+10001001111010110011000101100011
+10001001111001110011000101011011
+10001001111000110011000101010010
+10001001111000000011000101001001
+10001001110111000011000101000001
+10001001110110000011000100111000
+10001001110101010011000100101111
+10001001110100010011000100100111
+10001001110011100011000100011110
+10001001110010100011000100010101
+10001001110001100011000100001100
+10001001110000110011000100000100
+10001001101111110011000011111011
+10001001101111000011000011110010
+10001001101110000011000011101010
+10001001101101000011000011100001
+10001001101100010011000011011000
+10001001101011010011000011001111
+10001001101010100011000011000111
+10001001101001100011000010111110
+10001001101000100011000010110101
+10001001100111110011000010101101
+10001001100110110011000010100100
+10001001100110000011000010011011
+10001001100101000011000010010010
+10001001100100000011000010001010
+10001001100011010011000010000001
+10001001100010010011000001111000
+10001001100001100011000001110000
+10001001100000100011000001100111
+10001001011111110011000001011110
+10001001011110110011000001010101
+10001001011110000011000001001101
+10001001011101000011000001000100
+10001001011100000011000000111011
+10001001011011010011000000110010
+10001001011010010011000000101010
+10001001011001100011000000100001
+10001001011000100011000000011000
+10001001010111110011000000010000
+10001001010110110011000000000111
+10001001010110000010111111111110
+10001001010101000010111111110101
+10001001010100010010111111101101
+10001001010011010010111111100100
+10001001010010100010111111011011
+10001001010001100010111111010010
+10001001010000100010111111001010
+10001001001111110010111111000001
+10001001001110110010111110111000
+10001001001110000010111110101111
+10001001001101000010111110100111
+10001001001100010010111110011110
+10001001001011010010111110010101
+10001001001010100010111110001100
+10001001001001100010111110000100
+10001001001000110010111101111011
+10001001000111110010111101110010
+10001001000111000010111101101001
+10001001000110000010111101100001
+10001001000101010010111101011000
+10001001000100010010111101001111
+10001001000011100010111101000110
+10001001000010100010111100111110
+10001001000001110010111100110101
+10001001000001000010111100101100
+10001001000000000010111100100011
+10001000111111010010111100011011
+10001000111110010010111100010010
+10001000111101100010111100001001
+10001000111100100010111100000000
+10001000111011110010111011111000
+10001000111010110010111011101111
+10001000111010000010111011100110
+10001000111001000010111011011101
+10001000111000010010111011010100
+10001000110111010010111011001100
+10001000110110100010111011000011
+10001000110101110010111010111010
+10001000110100110010111010110001
+10001000110100000010111010101001
+10001000110011000010111010100000
+10001000110010010010111010010111
+10001000110001010010111010001110
+10001000110000100010111010000101
+10001000101111110010111001111101
+10001000101110110010111001110100
+10001000101110000010111001101011
+10001000101101000010111001100010
+10001000101100010010111001011010
+10001000101011010010111001010001
+10001000101010100010111001001000
+10001000101001110010111000111111
+10001000101000110010111000110110
+10001000101000000010111000101110
+10001000100111000010111000100101
+10001000100110010010111000011100
+10001000100101100010111000010011
+10001000100100100010111000001010
+10001000100011110010111000000010
+10001000100011000010110111111001
+10001000100010000010110111110000
+10001000100001010010110111100111
+10001000100000010010110111011110
+10001000011111100010110111010110
+10001000011110110010110111001101
+10001000011101110010110111000100
+10001000011101000010110110111011
+10001000011100010010110110110010
+10001000011011010010110110101010
+10001000011010100010110110100001
+10001000011001100010110110011000
+10001000011000110010110110001111
+10001000011000000010110110000110
+10001000010111000010110101111110
+10001000010110010010110101110101
+10001000010101100010110101101100
+10001000010100100010110101100011
+10001000010011110010110101011010
+10001000010011000010110101010010
+10001000010010000010110101001001
+10001000010001010010110101000000
+10001000010000100010110100110111
+10001000001111100010110100101110
+10001000001110110010110100100110
+10001000001110000010110100011101
+10001000001101000010110100010100
+10001000001100010010110100001011
+10001000001011100010110100000010
+10001000001010100010110011111001
+10001000001001110010110011110001
+10001000001001000010110011101000
+10001000001000000010110011011111
+10001000000111010010110011010110
+10001000000110100010110011001101
+10001000000101110010110011000100
+10001000000100110010110010111100
+10001000000100000010110010110011
+10001000000011010010110010101010
+10001000000010010010110010100001
+10001000000001100010110010011000
+10001000000000110010110010001111
+10001000000000000010110010000111
+10000111111111000010110001111110
+10000111111110010010110001110101
+10000111111101100010110001101100
+10000111111100100010110001100011
+10000111111011110010110001011010
+10000111111011000010110001010010
+10000111111010010010110001001001
+10000111111001010010110001000000
+10000111111000100010110000110111
+10000111110111110010110000101110
+10000111110111000010110000100101
+10000111110110000010110000011101
+10000111110101010010110000010100
+10000111110100100010110000001011
+10000111110011110010110000000010
+10000111110010110010101111111001
+10000111110010000010101111110000
+10000111110001010010101111100111
+10000111110000100010101111011111
+10000111101111110010101111010110
+10000111101110110010101111001101
+10000111101110000010101111000100
+10000111101101010010101110111011
+10000111101100100010101110110010
+10000111101011100010101110101001
+10000111101010110010101110100001
+10000111101010000010101110011000
+10000111101001010010101110001111
+10000111101000100010101110000110
+10000111100111100010101101111101
+10000111100110110010101101110100
+10000111100110000010101101101011
+10000111100101010010101101100011
+10000111100100100010101101011010
+10000111100011100010101101010001
+10000111100010110010101101001000
+10000111100010000010101100111111
+10000111100001010010101100110110
+10000111100000100010101100101101
+10000111011111100010101100100100
+10000111011110110010101100011100
+10000111011110000010101100010011
+10000111011101010010101100001010
+10000111011100100010101100000001
+10000111011011110010101011111000
+10000111011010110010101011101111
+10000111011010000010101011100110
+10000111011001010010101011011101
+10000111011000100010101011010101
+10000111010111110010101011001100
+10000111010111000010101011000011
+10000111010110010010101010111010
+10000111010101010010101010110001
+10000111010100100010101010101000
+10000111010011110010101010011111
+10000111010011000010101010010110
+10000111010010010010101010001101
+10000111010001100010101010000101
+10000111010000110010101001111100
+10000111001111110010101001110011
+10000111001111000010101001101010
+10000111001110010010101001100001
+10000111001101100010101001011000
+10000111001100110010101001001111
+10000111001100000010101001000110
+10000111001011010010101000111101
+10000111001010100010101000110101
+10000111001001110010101000101100
+10000111001000110010101000100011
+10000111001000000010101000011010
+10000111000111010010101000010001
+10000111000110100010101000001000
+10000111000101110010100111111111
+10000111000101000010100111110110
+10000111000100010010100111101101
+10000111000011100010100111100100
+10000111000010110010100111011100
+10000111000010000010100111010011
+10000111000001010010100111001010
+10000111000000010010100111000001
+10000110111111100010100110111000
+10000110111110110010100110101111
+10000110111110000010100110100110
+10000110111101010010100110011101
+10000110111100100010100110010100
+10000110111011110010100110001011
+10000110111011000010100110000010
+10000110111010010010100101111010
+10000110111001100010100101110001
+10000110111000110010100101101000
+10000110111000000010100101011111
+10000110110111010010100101010110
+10000110110110100010100101001101
+10000110110101110010100101000100
+10000110110101000010100100111011
+10000110110100010010100100110010
+10000110110011100010100100101001
+10000110110010110010100100100000
+10000110110010000010100100010111
+10000110110001000010100100001110
+10000110110000010010100100000110
+10000110101111100010100011111101
+10000110101110110010100011110100
+10000110101110000010100011101011
+10000110101101010010100011100010
+10000110101100100010100011011001
+10000110101011110010100011010000
+10000110101011000010100011000111
+10000110101010010010100010111110
+10000110101001100010100010110101
+10000110101000110010100010101100
+10000110101000000010100010100011
+10000110100111010010100010011010
+10000110100110100010100010010001
+10000110100101110010100010001000
+10000110100101000010100010000000
+10000110100100010010100001110111
+10000110100011100010100001101110
+10000110100011000010100001100101
+10000110100010010010100001011100
+10000110100001100010100001010011
+10000110100000110010100001001010
+10000110100000000010100001000001
+10000110011111010010100000111000
+10000110011110100010100000101111
+10000110011101110010100000100110
+10000110011101000010100000011101
+10000110011100010010100000010100
+10000110011011100010100000001011
+10000110011010110010100000000010
+10000110011010000010011111111001
+10000110011001010010011111110000
+10000110011000100010011111100111
+10000110010111110010011111011110
+10000110010111000010011111010110
+10000110010110010010011111001101
+10000110010101100010011111000100
+10000110010100110010011110111011
+10000110010100010010011110110010
+10000110010011100010011110101001
+10000110010010110010011110100000
+10000110010010000010011110010111
+10000110010001010010011110001110
+10000110010000100010011110000101
+10000110001111110010011101111100
+10000110001111000010011101110011
+10000110001110010010011101101010
+10000110001101100010011101100001
+10000110001100110010011101011000
+10000110001100010010011101001111
+10000110001011100010011101000110
+10000110001010110010011100111101
+10000110001010000010011100110100
+10000110001001010010011100101011
+10000110001000100010011100100010
+10000110000111110010011100011001
+10000110000111000010011100010000
+10000110000110010010011100000111
+10000110000101110010011011111110
+10000110000101000010011011110101
+10000110000100010010011011101100
+10000110000011100010011011100011
+10000110000010110010011011011010
+10000110000010000010011011010001
+10000110000001010010011011001000
+10000110000000110010011010111111
+10000110000000000010011010110110
+10000101111111010010011010101110
+10000101111110100010011010100101
+10000101111101110010011010011100
+10000101111101000010011010010011
+10000101111100100010011010001010
+10000101111011110010011010000001
+10000101111011000010011001111000
+10000101111010010010011001101111
+10000101111001100010011001100110
+10000101111000110010011001011101
+10000101111000010010011001010100
+10000101110111100010011001001011
+10000101110110110010011001000010
+10000101110110000010011000111001
+10000101110101010010011000110000
+10000101110100100010011000100111
+10000101110100000010011000011110
+10000101110011010010011000010101
+10000101110010100010011000001100
+10000101110001110010011000000011
+10000101110001000010010111111010
+10000101110000100010010111110001
+10000101101111110010010111101000
+10000101101111000010010111011111
+10000101101110010010010111010110
+10000101101101100010010111001101
+10000101101101000010010111000100
+10000101101100010010010110111011
+10000101101011100010010110110010
+10000101101010110010010110101001
+10000101101010010010010110100000
+10000101101001100010010110010111
+10000101101000110010010110001110
+10000101101000000010010110000101
+10000101100111100010010101111100
+10000101100110110010010101110011
+10000101100110000010010101101010
+10000101100101010010010101100001
+10000101100100110010010101011000
+10000101100100000010010101001111
+10000101100011010010010101000110
+10000101100010100010010100111101
+10000101100010000010010100110011
+10000101100001010010010100101010
+10000101100000100010010100100001
+10000101011111110010010100011000
+10000101011111010010010100001111
+10000101011110100010010100000110
+10000101011101110010010011111101
+10000101011101000010010011110100
+10000101011100100010010011101011
+10000101011011110010010011100010
+10000101011011000010010011011001
+10000101011010100010010011010000
+10000101011001110010010011000111
+10000101011001000010010010111110
+10000101011000010010010010110101
+10000101010111110010010010101100
+10000101010111000010010010100011
+10000101010110010010010010011010
+10000101010101110010010010010001
+10000101010101000010010010001000
+10000101010100010010010001111111
+10000101010011110010010001110110
+10000101010011000010010001101101
+10000101010010010010010001100100
+10000101010001110010010001011011
+10000101010001000010010001010010
+10000101010000010010010001001001
+10000101001111110010010001000000
+10000101001111000010010000110111
+10000101001110010010010000101110
+10000101001101110010010000100101
+10000101001101000010010000011100
+10000101001100010010010000010011
+10000101001011110010010000001001
+10000101001011000010010000000000
+10000101001010010010001111110111
+10000101001001110010001111101110
+10000101001001000010001111100101
+10000101001000010010001111011100
+10000101000111110010001111010011
+10000101000111000010001111001010
+10000101000110010010001111000001
+10000101000101110010001110111000
+10000101000101000010001110101111
+10000101000100100010001110100110
+10000101000011110010001110011101
+10000101000011000010001110010100
+10000101000010100010001110001011
+10000101000001110010001110000010
+10000101000001000010001101111001
+10000101000000100010001101110000
+10000100111111110010001101100111
+10000100111111010010001101011110
+10000100111110100010001101010100
+10000100111101110010001101001011
+10000100111101010010001101000010
+10000100111100100010001100111001
+10000100111100000010001100110000
+10000100111011010010001100100111
+10000100111010100010001100011110
+10000100111010000010001100010101
+10000100111001010010001100001100
+10000100111000110010001100000011
+10000100111000000010001011111010
+10000100110111100010001011110001
+10000100110110110010001011101000
+10000100110110000010001011011111
+10000100110101100010001011010110
+10000100110100110010001011001101
+10000100110100010010001011000011
+10000100110011100010001010111010
+10000100110011000010001010110001
+10000100110010010010001010101000
+10000100110001110010001010011111
+10000100110001000010001010010110
+10000100110000010010001010001101
+10000100101111110010001010000100
+10000100101111000010001001111011
+10000100101110100010001001110010
+10000100101101110010001001101001
+10000100101101010010001001100000
+10000100101100100010001001010111
+10000100101100000010001001001101
+10000100101011010010001001000100
+10000100101010110010001000111011
+10000100101010000010001000110010
+10000100101001100010001000101001
+10000100101000110010001000100000
+10000100101000010010001000010111
+10000100100111100010001000001110
+10000100100111000010001000000101
+10000100100110010010000111111100
+10000100100101110010000111110011
+10000100100101000010000111101010
+10000100100100100010000111100000
+10000100100011110010000111010111
+10000100100011010010000111001110
+10000100100010100010000111000101
+10000100100010000010000110111100
+10000100100001010010000110110011
+10000100100000110010000110101010
+10000100100000000010000110100001
+10000100011111100010000110011000
+10000100011110110010000110001111
+10000100011110010010000110000110
+10000100011101100010000101111100
+10000100011101000010000101110011
+10000100011100010010000101101010
+10000100011011110010000101100001
+10000100011011000010000101011000
+10000100011010100010000101001111
+10000100011010000010000101000110
+10000100011001010010000100111101
+10000100011000110010000100110100
+10000100011000000010000100101011
+10000100010111100010000100100001
+10000100010110110010000100011000
+10000100010110010010000100001111
+10000100010101100010000100000110
+10000100010101000010000011111101
+10000100010100100010000011110100
+10000100010011110010000011101011
+10000100010011010010000011100010
+10000100010010100010000011011001
+10000100010010000010000011010000
+10000100010001010010000011000110
+10000100010000110010000010111101
+10000100010000010010000010110100
+10000100001111100010000010101011
+10000100001111000010000010100010
+10000100001110010010000010011001
+10000100001101110010000010010000
+10000100001101010010000010000111
+10000100001100100010000001111110
+10000100001100000010000001110100
+10000100001011010010000001101011
+10000100001010110010000001100010
+10000100001010010010000001011001
+10000100001001100010000001010000
+10000100001001000010000001000111
+10000100001000100010000000111110
+10000100000111110010000000110101
+10000100000111010010000000101011
+10000100000110100010000000100010
+10000100000110000010000000011001
+10000100000101100010000000010000
+10000100000100110010000000000111
+10000100000100010001111111111110
+10000100000011110001111111110101
+10000100000011000001111111101100
+10000100000010100001111111100010
+10000100000010000001111111011001
+10000100000001010001111111010000
+10000100000000110001111111000111
+10000100000000010001111110111110
+10000011111111100001111110110101
+10000011111111000001111110101100
+10000011111110100001111110100011
+10000011111101110001111110011001
+10000011111101010001111110010000
+10000011111100110001111110000111
+10000011111100000001111101111110
+10000011111011100001111101110101
+10000011111011000001111101101100
+10000011111010010001111101100011
+10000011111001110001111101011001
+10000011111001010001111101010000
+10000011111000100001111101000111
+10000011111000000001111100111110
+10000011110111100001111100110101
+10000011110111000001111100101100
+10000011110110010001111100100011
+10000011110101110001111100011001
+10000011110101010001111100010000
+10000011110100100001111100000111
+10000011110100000001111011111110
+10000011110011100001111011110101
+10000011110011000001111011101100
+10000011110010010001111011100011
+10000011110001110001111011011001
+10000011110001010001111011010000
+10000011110000100001111011000111
+10000011110000000001111010111110
+10000011101111100001111010110101
+10000011101111000001111010101100
+10000011101110010001111010100011
+10000011101101110001111010011001
+10000011101101010001111010010000
+10000011101100110001111010000111
+10000011101100000001111001111110
+10000011101011100001111001110101
+10000011101011000001111001101100
+10000011101010100001111001100011
+10000011101001110001111001011001
+10000011101001010001111001010000
+10000011101000110001111001000111
+10000011101000010001111000111110
+10000011100111110001111000110101
+10000011100111000001111000101100
+10000011100110100001111000100010
+10000011100110000001111000011001
+10000011100101100001111000010000
+10000011100100110001111000000111
+10000011100100010001110111111110
+10000011100011110001110111110101
+10000011100011010001110111101011
+10000011100010110001110111100010
+10000011100010000001110111011001
+10000011100001100001110111010000
+10000011100001000001110111000111
+10000011100000100001110110111110
+10000011100000000001110110110100
+10000011011111010001110110101011
+10000011011110110001110110100010
+10000011011110010001110110011001
+10000011011101110001110110010000
+10000011011101010001110110000111
+10000011011100110001110101111101
+10000011011100000001110101110100
+10000011011011100001110101101011
+10000011011011000001110101100010
+10000011011010100001110101011001
+10000011011010000001110101010000
+10000011011001100001110101000110
+10000011011000110001110100111101
+10000011011000010001110100110100
+10000011010111110001110100101011
+10000011010111010001110100100010
+10000011010110110001110100011001
+10000011010110010001110100001111
+10000011010101110001110100000110
+10000011010101000001110011111101
+10000011010100100001110011110100
+10000011010100000001110011101011
+10000011010011100001110011100001
+10000011010011000001110011011000
+10000011010010100001110011001111
+10000011010010000001110011000110
+10000011010001100001110010111101
+10000011010000110001110010110100
+10000011010000010001110010101010
+10000011001111110001110010100001
+10000011001111010001110010011000
+10000011001110110001110010001111
+10000011001110010001110010000110
+10000011001101110001110001111100
+10000011001101010001110001110011
+10000011001100110001110001101010
+10000011001100010001110001100001
+10000011001011100001110001011000
+10000011001011000001110001001110
+10000011001010100001110001000101
+10000011001010000001110000111100
+10000011001001100001110000110011
+10000011001001000001110000101010
+10000011001000100001110000100001
+10000011001000000001110000010111
+10000011000111100001110000001110
+10000011000111000001110000000101
+10000011000110100001101111111100
+10000011000110000001101111110011
+10000011000101100001101111101001
+10000011000101000001101111100000
+10000011000100010001101111010111
+10000011000011110001101111001110
+10000011000011010001101111000101
+10000011000010110001101110111011
+10000011000010010001101110110010
+10000011000001110001101110101001
+10000011000001010001101110100000
+10000011000000110001101110010111
+10000011000000010001101110001101
+10000010111111110001101110000100
+10000010111111010001101101111011
+10000010111110110001101101110010
+10000010111110010001101101101001
+10000010111101110001101101011111
+10000010111101010001101101010110
+10000010111100110001101101001101
+10000010111100010001101101000100
+10000010111011110001101100111010
+10000010111011010001101100110001
+10000010111010110001101100101000
+10000010111010010001101100011111
+10000010111001110001101100010110
+10000010111001010001101100001100
+10000010111000110001101100000011
+10000010111000010001101011111010
+10000010110111110001101011110001
+10000010110111010001101011101000
+10000010110110110001101011011110
+10000010110110010001101011010101
+10000010110101110001101011001100
+10000010110101010001101011000011
+10000010110100110001101010111010
+10000010110100010001101010110000
+10000010110011110001101010100111
+10000010110011010001101010011110
+10000010110010110001101010010101
+10000010110010010001101010001011
+10000010110001110001101010000010
+10000010110001100001101001111001
+10000010110001000001101001110000
+10000010110000100001101001100111
+10000010110000000001101001011101
+10000010101111100001101001010100
+10000010101111000001101001001011
+10000010101110100001101001000010
+10000010101110000001101000111000
+10000010101101100001101000101111
+10000010101101000001101000100110
+10000010101100100001101000011101
+10000010101100000001101000010100
+10000010101011100001101000001010
+10000010101011000001101000000001
+10000010101010100001100111111000
+10000010101010010001100111101111
+10000010101001110001100111100101
+10000010101001010001100111011100
+10000010101000110001100111010011
+10000010101000010001100111001010
+10000010100111110001100111000000
+10000010100111010001100110110111
+10000010100110110001100110101110
+10000010100110010001100110100101
+10000010100101110001100110011100
+10000010100101100001100110010010
+10000010100101000001100110001001
+10000010100100100001100110000000
+10000010100100000001100101110111
+10000010100011100001100101101101
+10000010100011000001100101100100
+10000010100010100001100101011011
+10000010100010000001100101010010
+10000010100001110001100101001000
+10000010100001010001100100111111
+10000010100000110001100100110110
+10000010100000010001100100101101
+10000010011111110001100100100011
+10000010011111010001100100011010
+10000010011111000001100100010001
+10000010011110100001100100001000
+10000010011110000001100011111110
+10000010011101100001100011110101
+10000010011101000001100011101100
+10000010011100100001100011100011
+10000010011100000001100011011010
+10000010011011110001100011010000
+10000010011011010001100011000111
+10000010011010110001100010111110
+10000010011010010001100010110101
+10000010011001110001100010101011
+10000010011001100001100010100010
+10000010011001000001100010011001
+10000010011000100001100010010000
+10000010011000000001100010000110
+10000010010111100001100001111101
+10000010010111010001100001110100
+10000010010110110001100001101011
+10000010010110010001100001100001
+10000010010101110001100001011000
+10000010010101010001100001001111
+10000010010101000001100001000110
+10000010010100100001100000111100
+10000010010100000001100000110011
+10000010010011100001100000101010
+10000010010011000001100000100001
+10000010010010110001100000010111
+10000010010010010001100000001110
+10000010010001110001100000000101
+10000010010001010001011111111011
+10000010010001000001011111110010
+10000010010000100001011111101001
+10000010010000000001011111100000
+10000010001111100001011111010110
+10000010001111010001011111001101
+10000010001110110001011111000100
+10000010001110010001011110111011
+10000010001101110001011110110001
+10000010001101100001011110101000
+10000010001101000001011110011111
+10000010001100100001011110010110
+10000010001100000001011110001100
+10000010001011110001011110000011
+10000010001011010001011101111010
+10000010001010110001011101110001
+10000010001010010001011101100111
+10000010001010000001011101011110
+10000010001001100001011101010101
+10000010001001000001011101001100
+10000010001000110001011101000010
+10000010001000010001011100111001
+10000010000111110001011100110000
+10000010000111010001011100100110
+10000010000111000001011100011101
+10000010000110100001011100010100
+10000010000110000001011100001011
+10000010000101110001011100000001
+10000010000101010001011011111000
+10000010000100110001011011101111
+10000010000100100001011011100110
+10000010000100000001011011011100
+10000010000011100001011011010011
+10000010000011010001011011001010
+10000010000010110001011011000000
+10000010000010010001011010110111
+10000010000001110001011010101110
+10000010000001100001011010100101
+10000010000001000001011010011011
+10000010000000100001011010010010
+10000010000000010001011010001001
+10000001111111110001011010000000
+10000001111111100001011001110110
+10000001111111000001011001101101
+10000001111110100001011001100100
+10000001111110010001011001011010
+10000001111101110001011001010001
+10000001111101010001011001001000
+10000001111101000001011000111111
+10000001111100100001011000110101
+10000001111100000001011000101100
+10000001111011110001011000100011
+10000001111011010001011000011001
+10000001111010110001011000010000
+10000001111010100001011000000111
+10000001111010000001010111111110
+10000001111001110001010111110100
+10000001111001010001010111101011
+10000001111000110001010111100010
+10000001111000100001010111011000
+10000001111000000001010111001111
+10000001110111110001010111000110
+10000001110111010001010110111101
+10000001110110110001010110110011
+10000001110110100001010110101010
+10000001110110000001010110100001
+10000001110101110001010110010111
+10000001110101010001010110001110
+10000001110100110001010110000101
+10000001110100100001010101111100
+10000001110100000001010101110010
+10000001110011110001010101101001
+10000001110011010001010101100000
+10000001110011000001010101010110
+10000001110010100001010101001101
+10000001110010000001010101000100
+10000001110001110001010100111011
+10000001110001010001010100110001
+10000001110001000001010100101000
+10000001110000100001010100011111
+10000001110000010001010100010101
+10000001101111110001010100001100
+10000001101111010001010100000011
+10000001101111000001010011111001
+10000001101110100001010011110000
+10000001101110010001010011100111
+10000001101101110001010011011110
+10000001101101100001010011010100
+10000001101101000001010011001011
+10000001101100110001010011000010
+10000001101100010001010010111000
+10000001101100000001010010101111
+10000001101011100001010010100110
+10000001101011010001010010011100
+10000001101010110001010010010011
+10000001101010100001010010001010
+10000001101010000001010010000001
+10000001101001110001010001110111
+10000001101001010001010001101110
+10000001101001000001010001100101
+10000001101000100001010001011011
+10000001101000010001010001010010
+10000001100111110001010001001001
+10000001100111100001010000111111
+10000001100111000001010000110110
+10000001100110110001010000101101
+10000001100110010001010000100100
+10000001100110000001010000011010
+10000001100101100001010000010001
+10000001100101010001010000001000
+10000001100100110001001111111110
+10000001100100100001001111110101
+10000001100100000001001111101100
+10000001100011110001001111100010
+10000001100011010001001111011001
+10000001100011000001001111010000
+10000001100010100001001111000110
+10000001100010010001001110111101
+10000001100010000001001110110100
+10000001100001100001001110101010
+10000001100001010001001110100001
+10000001100000110001001110011000
+10000001100000100001001110001111
+10000001100000000001001110000101
+10000001011111110001001101111100
+10000001011111010001001101110011
+10000001011111000001001101101001
+10000001011110110001001101100000
+10000001011110010001001101010111
+10000001011110000001001101001101
+10000001011101100001001101000100
+10000001011101010001001100111011
+10000001011100110001001100110001
+10000001011100100001001100101000
+10000001011100010001001100011111
+10000001011011110001001100010101
+10000001011011100001001100001100
+10000001011011000001001100000011
+10000001011010110001001011111001
+10000001011010100001001011110000
+10000001011010000001001011100111
+10000001011001110001001011011110
+10000001011001010001001011010100
+10000001011001000001001011001011
+10000001011000110001001011000010
+10000001011000010001001010111000
+10000001011000000001001010101111
+10000001010111110001001010100110
+10000001010111010001001010011100
+10000001010111000001001010010011
+10000001010110100001001010001010
+10000001010110010001001010000000
+10000001010110000001001001110111
+10000001010101100001001001101110
+10000001010101010001001001100100
+10000001010101000001001001011011
+10000001010100100001001001010010
+10000001010100010001001001001000
+10000001010100000001001000111111
+10000001010011100001001000110110
+10000001010011010001001000101100
+10000001010011000001001000100011
+10000001010010100001001000011010
+10000001010010010001001000010000
+10000001010010000001001000000111
+10000001010001100001000111111110
+10000001010001010001000111110100
+10000001010001000001000111101011
+10000001010000100001000111100010
+10000001010000010001000111011000
+10000001010000000001000111001111
+10000001001111100001000111000110
+10000001001111010001000110111100
+10000001001111000001000110110011
+10000001001110100001000110101010
+10000001001110010001000110100000
+10000001001110000001000110010111
+10000001001101110001000110001110
+10000001001101010001000110000100
+10000001001101000001000101111011
+10000001001100110001000101110010
+10000001001100010001000101101000
+10000001001100000001000101011111
+10000001001011110001000101010110
+10000001001011100001000101001100
+10000001001011000001000101000011
+10000001001010110001000100111010
+10000001001010100001000100110000
+10000001001010010001000100100111
+10000001001001110001000100011110
+10000001001001100001000100010100
+10000001001001010001000100001011
+10000001001001000001000100000010
+10000001001000100001000011111000
+10000001001000010001000011101111
+10000001001000000001000011100110
+10000001000111110001000011011100
+10000001000111010001000011010011
+10000001000111000001000011001010
+10000001000110110001000011000000
+10000001000110100001000010110111
+10000001000110000001000010101110
+10000001000101110001000010100100
+10000001000101100001000010011011
+10000001000101010001000010010010
+10000001000100110001000010001000
+10000001000100100001000001111111
+10000001000100010001000001110101
+10000001000100000001000001101100
+10000001000011110001000001100011
+10000001000011010001000001011001
+10000001000011000001000001010000
+10000001000010110001000001000111
+10000001000010100001000000111101
+10000001000010010001000000110100
+10000001000001110001000000101011
+10000001000001100001000000100001
+10000001000001010001000000011000
+10000001000001000001000000001111
+10000001000000110001000000000101
+10000001000000100000111111111100
+10000001000000000000111111110011
+10000000111111110000111111101001
+10000000111111100000111111100000
+10000000111111010000111111010111
+10000000111111000000111111001101
+10000000111110110000111111000100
+10000000111110010000111110111010
+10000000111110000000111110110001
+10000000111101110000111110101000
+10000000111101100000111110011110
+10000000111101010000111110010101
+10000000111101000000111110001100
+10000000111100100000111110000010
+10000000111100010000111101111001
+10000000111100000000111101110000
+10000000111011110000111101100110
+10000000111011100000111101011101
+10000000111011010000111101010100
+10000000111011000000111101001010
+10000000111010110000111101000001
+10000000111010010000111100111000
+10000000111010000000111100101110
+10000000111001110000111100100101
+10000000111001100000111100011011
+10000000111001010000111100010010
+10000000111001000000111100001001
+10000000111000110000111011111111
+10000000111000100000111011110110
+10000000111000010000111011101101
+10000000110111110000111011100011
+10000000110111100000111011011010
+10000000110111010000111011010001
+10000000110111000000111011000111
+10000000110110110000111010111110
+10000000110110100000111010110100
+10000000110110010000111010101011
+10000000110110000000111010100010
+10000000110101110000111010011000
+10000000110101100000111010001111
+10000000110101010000111010000110
+10000000110101000000111001111100
+10000000110100100000111001110011
+10000000110100010000111001101010
+10000000110100000000111001100000
+10000000110011110000111001010111
+10000000110011100000111001001101
+10000000110011010000111001000100
+10000000110011000000111000111011
+10000000110010110000111000110001
+10000000110010100000111000101000
+10000000110010010000111000011111
+10000000110010000000111000010101
+10000000110001110000111000001100
+10000000110001100000111000000011
+10000000110001010000110111111001
+10000000110001000000110111110000
+10000000110000110000110111100110
+10000000110000100000110111011101
+10000000110000010000110111010100
+10000000110000000000110111001010
+10000000101111110000110111000001
+10000000101111100000110110111000
+10000000101111010000110110101110
+10000000101111000000110110100101
+10000000101110110000110110011100
+10000000101110100000110110010010
+10000000101110010000110110001001
+10000000101110000000110101111111
+10000000101101110000110101110110
+10000000101101100000110101101101
+10000000101101010000110101100011
+10000000101101000000110101011010
+10000000101100110000110101010001
+10000000101100100000110101000111
+10000000101100010000110100111110
+10000000101100000000110100110100
+10000000101011110000110100101011
+10000000101011100000110100100010
+10000000101011010000110100011000
+10000000101011000000110100001111
+10000000101010110000110100000110
+10000000101010100000110011111100
+10000000101010010000110011110011
+10000000101010000000110011101001
+10000000101001110000110011100000
+10000000101001100000110011010111
+10000000101001010000110011001101
+10000000101001000000110011000100
+10000000101000110000110010111011
+10000000101000100000110010110001
+10000000101000100000110010101000
+10000000101000010000110010011110
+10000000101000000000110010010101
+10000000100111110000110010001100
+10000000100111100000110010000010
+10000000100111010000110001111001
+10000000100111000000110001101111
+10000000100110110000110001100110
+10000000100110100000110001011101
+10000000100110010000110001010011
+10000000100110000000110001001010
+10000000100101110000110001000001
+10000000100101110000110000110111
+10000000100101100000110000101110
+10000000100101010000110000100100
+10000000100101000000110000011011
+10000000100100110000110000010010
+10000000100100100000110000001000
+10000000100100010000101111111111
+10000000100100000000101111110110
+10000000100011110000101111101100
+10000000100011110000101111100011
+10000000100011100000101111011001
+10000000100011010000101111010000
+10000000100011000000101111000111
+10000000100010110000101110111101
+10000000100010100000101110110100
+10000000100010010000101110101010
+10000000100010010000101110100001
+10000000100010000000101110011000
+10000000100001110000101110001110
+10000000100001100000101110000101
+10000000100001010000101101111100
+10000000100001000000101101110010
+10000000100000110000101101101001
+10000000100000110000101101011111
+10000000100000100000101101010110
+10000000100000010000101101001101
+10000000100000000000101101000011
+10000000011111110000101100111010
+10000000011111100000101100110000
+10000000011111100000101100100111
+10000000011111010000101100011110
+10000000011111000000101100010100
+10000000011110110000101100001011
+10000000011110100000101100000001
+10000000011110100000101011111000
+10000000011110010000101011101111
+10000000011110000000101011100101
+10000000011101110000101011011100
+10000000011101100000101011010011
+10000000011101100000101011001001
+10000000011101010000101011000000
+10000000011101000000101010110110
+10000000011100110000101010101101
+10000000011100100000101010100100
+10000000011100100000101010011010
+10000000011100010000101010010001
+10000000011100000000101010000111
+10000000011011110000101001111110
+10000000011011110000101001110101
+10000000011011100000101001101011
+10000000011011010000101001100010
+10000000011011000000101001011000
+10000000011010110000101001001111
+10000000011010110000101001000110
+10000000011010100000101000111100
+10000000011010010000101000110011
+10000000011010000000101000101001
+10000000011010000000101000100000
+10000000011001110000101000010111
+10000000011001100000101000001101
+10000000011001010000101000000100
+10000000011001010000100111111011
+10000000011001000000100111110001
+10000000011000110000100111101000
+10000000011000110000100111011110
+10000000011000100000100111010101
+10000000011000010000100111001100
+10000000011000000000100111000010
+10000000011000000000100110111001
+10000000010111110000100110101111
+10000000010111100000100110100110
+10000000010111100000100110011101
+10000000010111010000100110010011
+10000000010111000000100110001010
+10000000010110110000100110000000
+10000000010110110000100101110111
+10000000010110100000100101101110
+10000000010110010000100101100100
+10000000010110010000100101011011
+10000000010110000000100101010001
+10000000010101110000100101001000
+10000000010101110000100100111111
+10000000010101100000100100110101
+10000000010101010000100100101100
+10000000010101010000100100100010
+10000000010101000000100100011001
+10000000010100110000100100010000
+10000000010100110000100100000110
+10000000010100100000100011111101
+10000000010100010000100011110011
+10000000010100010000100011101010
+10000000010100000000100011100001
+10000000010011110000100011010111
+10000000010011110000100011001110
+10000000010011100000100011000100
+10000000010011010000100010111011
+10000000010011010000100010110010
+10000000010011000000100010101000
+10000000010010110000100010011111
+10000000010010110000100010010101
+10000000010010100000100010001100
+10000000010010100000100010000011
+10000000010010010000100001111001
+10000000010010000000100001110000
+10000000010010000000100001100110
+10000000010001110000100001011101
+10000000010001100000100001010100
+10000000010001100000100001001010
+10000000010001010000100001000001
+10000000010001010000100000110111
+10000000010001000000100000101110
+10000000010000110000100000100100
+10000000010000110000100000011011
+10000000010000100000100000010010
+10000000010000100000100000001000
+10000000010000010000011111111111
+10000000010000000000011111110101
+10000000010000000000011111101100
+10000000001111110000011111100011
+10000000001111110000011111011001
+10000000001111100000011111010000
+10000000001111100000011111000110
+10000000001111010000011110111101
+10000000001111000000011110110100
+10000000001111000000011110101010
+10000000001110110000011110100001
+10000000001110110000011110010111
+10000000001110100000011110001110
+10000000001110100000011110000101
+10000000001110010000011101111011
+10000000001110000000011101110010
+10000000001110000000011101101000
+10000000001101110000011101011111
+10000000001101110000011101010110
+10000000001101100000011101001100
+10000000001101100000011101000011
+10000000001101010000011100111001
+10000000001101010000011100110000
+10000000001101000000011100100110
+10000000001101000000011100011101
+10000000001100110000011100010100
+10000000001100110000011100001010
+10000000001100100000011100000001
+10000000001100100000011011110111
+10000000001100010000011011101110
+10000000001100010000011011100101
+10000000001100000000011011011011
+10000000001100000000011011010010
+10000000001011110000011011001000
+10000000001011110000011010111111
+10000000001011100000011010110110
+10000000001011100000011010101100
+10000000001011010000011010100011
+10000000001011010000011010011001
+10000000001011000000011010010000
+10000000001011000000011010000111
+10000000001010110000011001111101
+10000000001010110000011001110100
+10000000001010100000011001101010
+10000000001010100000011001100001
+10000000001010010000011001010111
+10000000001010010000011001001110
+10000000001010000000011001000101
+10000000001010000000011000111011
+10000000001001110000011000110010
+10000000001001110000011000101000
+10000000001001100000011000011111
+10000000001001100000011000010110
+10000000001001100000011000001100
+10000000001001010000011000000011
+10000000001001010000010111111001
+10000000001001000000010111110000
+10000000001001000000010111100110
+10000000001000110000010111011101
+10000000001000110000010111010100
+10000000001000110000010111001010
+10000000001000100000010111000001
+10000000001000100000010110110111
+10000000001000010000010110101110
+10000000001000010000010110100101
+10000000001000000000010110011011
+10000000001000000000010110010010
+10000000001000000000010110001000
+10000000000111110000010101111111
+10000000000111110000010101110101
+10000000000111100000010101101100
+10000000000111100000010101100011
+10000000000111100000010101011001
+10000000000111010000010101010000
+10000000000111010000010101000110
+10000000000111000000010100111101
+10000000000111000000010100110100
+10000000000111000000010100101010
+10000000000110110000010100100001
+10000000000110110000010100010111
+10000000000110110000010100001110
+10000000000110100000010100000101
+10000000000110100000010011111011
+10000000000110010000010011110010
+10000000000110010000010011101000
+10000000000110010000010011011111
+10000000000110000000010011010101
+10000000000110000000010011001100
+10000000000110000000010011000011
+10000000000101110000010010111001
+10000000000101110000010010110000
+10000000000101110000010010100110
+10000000000101100000010010011101
+10000000000101100000010010010011
+10000000000101100000010010001010
+10000000000101010000010010000001
+10000000000101010000010001110111
+10000000000101010000010001101110
+10000000000101000000010001100100
+10000000000101000000010001011011
+10000000000101000000010001010010
+10000000000100110000010001001000
+10000000000100110000010000111111
+10000000000100110000010000110101
+10000000000100100000010000101100
+10000000000100100000010000100010
+10000000000100100000010000011001
+10000000000100010000010000010000
+10000000000100010000010000000110
+10000000000100010000001111111101
+10000000000100010000001111110011
+10000000000100000000001111101010
+10000000000100000000001111100001
+10000000000100000000001111010111
+10000000000011110000001111001110
+10000000000011110000001111000100
+10000000000011110000001110111011
+10000000000011110000001110110001
+10000000000011100000001110101000
+10000000000011100000001110011111
+10000000000011100000001110010101
+10000000000011100000001110001100
+10000000000011010000001110000010
+10000000000011010000001101111001
+10000000000011010000001101101111
+10000000000011010000001101100110
+10000000000011000000001101011101
+10000000000011000000001101010011
+10000000000011000000001101001010
+10000000000011000000001101000000
+10000000000010110000001100110111
+10000000000010110000001100101110
+10000000000010110000001100100100
+10000000000010110000001100011011
+10000000000010100000001100010001
+10000000000010100000001100001000
+10000000000010100000001011111110
+10000000000010100000001011110101
+10000000000010100000001011101100
+10000000000010010000001011100010
+10000000000010010000001011011001
+10000000000010010000001011001111
+10000000000010010000001011000110
+10000000000010000000001010111100
+10000000000010000000001010110011
+10000000000010000000001010101010
+10000000000010000000001010100000
+10000000000010000000001010010111
+10000000000010000000001010001101
+10000000000001110000001010000100
+10000000000001110000001001111011
+10000000000001110000001001110001
+10000000000001110000001001101000
+10000000000001110000001001011110
+10000000000001100000001001010101
+10000000000001100000001001001011
+10000000000001100000001001000010
+10000000000001100000001000111001
+10000000000001100000001000101111
+10000000000001100000001000100110
+10000000000001010000001000011100
+10000000000001010000001000010011
+10000000000001010000001000001001
+10000000000001010000001000000000
+10000000000001010000000111110111
+10000000000001010000000111101101
+10000000000001010000000111100100
+10000000000001000000000111011010
+10000000000001000000000111010001
+10000000000001000000000111000111
+10000000000001000000000110111110
+10000000000001000000000110110101
+10000000000001000000000110101011
+10000000000001000000000110100010
+10000000000001000000000110011000
+10000000000000110000000110001111
+10000000000000110000000110000110
+10000000000000110000000101111100
+10000000000000110000000101110011
+10000000000000110000000101101001
+10000000000000110000000101100000
+10000000000000110000000101010110
+10000000000000110000000101001101
+10000000000000110000000101000100
+10000000000000110000000100111010
+10000000000000100000000100110001
+10000000000000100000000100100111
+10000000000000100000000100011110
+10000000000000100000000100010100
+10000000000000100000000100001011
+10000000000000100000000100000010
+10000000000000100000000011111000
+10000000000000100000000011101111
+10000000000000100000000011100101
+10000000000000100000000011011100
+10000000000000100000000011010010
+10000000000000100000000011001001
+10000000000000100000000011000000
+10000000000000100000000010110110
+10000000000000010000000010101101
+10000000000000010000000010100011
+10000000000000010000000010011010
+10000000000000010000000010010001
+10000000000000010000000010000111
+10000000000000010000000001111110
+10000000000000010000000001110100
+10000000000000010000000001101011
+10000000000000010000000001100001
+10000000000000010000000001011000
+10000000000000010000000001001111
+10000000000000010000000001000101
+10000000000000010000000000111100
+10000000000000010000000000110010
+10000000000000010000000000101001
+10000000000000010000000000011111
+10000000000000010000000000010110
+10000000000000010000000000001101
+10000000000000010000000000000011
+10000000000000001111111111111010
+10000000000000001111111111110000
+10000000000000001111111111100111
+10000000000000001111111111011101
+10000000000000001111111111010100
+10000000000000001111111111001011
+10000000000000001111111111000001
+10000000000000001111111110111000
+10000000000000001111111110101110
+10000000000000001111111110100101
+10000000000000001111111110011011
+10000000000000001111111110010010
+10000000000000001111111110001001
+10000000000000001111111101111111
+10000000000000001111111101110110
+10000000000000001111111101101100
+10000000000000001111111101100011
+10000000000000001111111101011010
+10000000000000001111111101010000
+10000000000000011111111101000111
+10000000000000011111111100111101
+10000000000000011111111100110100
+10000000000000011111111100101010
+10000000000000011111111100100001
+10000000000000011111111100011000
+10000000000000011111111100001110
+10000000000000011111111100000101
+10000000000000011111111011111011
+10000000000000011111111011110010
+10000000000000011111111011101000
+10000000000000011111111011011111
+10000000000000011111111011010110
+10000000000000011111111011001100
+10000000000000101111111011000011
+10000000000000101111111010111001
+10000000000000101111111010110000
+10000000000000101111111010100110
+10000000000000101111111010011101
+10000000000000101111111010010100
+10000000000000101111111010001010
+10000000000000101111111010000001
+10000000000000101111111001110111
+10000000000000101111111001101110
+10000000000000111111111001100100
+10000000000000111111111001011011
+10000000000000111111111001010010
+10000000000000111111111001001000
+10000000000000111111111000111111
+10000000000000111111111000110101
+10000000000000111111111000101100
+10000000000000111111111000100011
+10000000000001001111111000011001
+10000000000001001111111000010000
+10000000000001001111111000000110
+10000000000001001111110111111101
+10000000000001001111110111110011
+10000000000001001111110111101010
+10000000000001011111110111100001
+10000000000001011111110111010111
+10000000000001011111110111001110
+10000000000001011111110111000100
+10000000000001011111110110111011
+10000000000001011111110110110001
+10000000000001011111110110101000
+10000000000001101111110110011111
+10000000000001101111110110010101
+10000000000001101111110110001100
+10000000000001101111110110000010
+10000000000001101111110101111001
+10000000000001111111110101101111
+10000000000001111111110101100110
+10000000000001111111110101011101
+10000000000001111111110101010011
+10000000000001111111110101001010
+10000000000010001111110101000000
+10000000000010001111110100110111
+10000000000010001111110100101110
+10000000000010001111110100100100
+10000000000010001111110100011011
+10000000000010011111110100010001
+10000000000010011111110100001000
+10000000000010011111110011111110
+10000000000010011111110011110101
+10000000000010011111110011101100
+10000000000010101111110011100010
+10000000000010101111110011011001
+10000000000010101111110011001111
+10000000000010101111110011000110
+10000000000010111111110010111100
+10000000000010111111110010110011
+10000000000010111111110010101010
+10000000000010111111110010100000
+10000000000011001111110010010111
+10000000000011001111110010001101
+10000000000011001111110010000100
+10000000000011001111110001111011
+10000000000011011111110001110001
+10000000000011011111110001101000
+10000000000011011111110001011110
+10000000000011011111110001010101
+10000000000011101111110001001011
+10000000000011101111110001000010
+10000000000011101111110000111001
+10000000000011111111110000101111
+10000000000011111111110000100110
+10000000000011111111110000011100
+10000000000011111111110000010011
+10000000000100001111110000001001
+10000000000100001111110000000000
+10000000000100001111101111110111
+10000000000100011111101111101101
+10000000000100011111101111100100
+10000000000100011111101111011010
+10000000000100101111101111010001
+10000000000100101111101111001000
+10000000000100101111101110111110
+10000000000100101111101110110101
+10000000000100111111101110101011
+10000000000100111111101110100010
+10000000000100111111101110011000
+10000000000101001111101110001111
+10000000000101001111101110000110
+10000000000101001111101101111100
+10000000000101011111101101110011
+10000000000101011111101101101001
+10000000000101011111101101100000
+10000000000101101111101101010111
+10000000000101101111101101001101
+10000000000101101111101101000100
+10000000000101111111101100111010
+10000000000101111111101100110001
+10000000000101111111101100100111
+10000000000110001111101100011110
+10000000000110001111101100010101
+10000000000110011111101100001011
+10000000000110011111101100000010
+10000000000110011111101011111000
+10000000000110101111101011101111
+10000000000110101111101011100110
+10000000000110101111101011011100
+10000000000110111111101011010011
+10000000000110111111101011001001
+10000000000111001111101011000000
+10000000000111001111101010110110
+10000000000111001111101010101101
+10000000000111011111101010100100
+10000000000111011111101010011010
+10000000000111101111101010010001
+10000000000111101111101010000111
+10000000000111101111101001111110
+10000000000111111111101001110101
+10000000000111111111101001101011
+10000000001000001111101001100010
+10000000001000001111101001011000
+10000000001000001111101001001111
+10000000001000011111101001000101
+10000000001000011111101000111100
+10000000001000101111101000110011
+10000000001000101111101000101001
+10000000001000111111101000100000
+10000000001000111111101000010110
+10000000001000111111101000001101
+10000000001001001111101000000100
+10000000001001001111100111111010
+10000000001001011111100111110001
+10000000001001011111100111100111
+10000000001001101111100111011110
+10000000001001101111100111010100
+10000000001001111111100111001011
+10000000001001111111100111000010
+10000000001001111111100110111000
+10000000001010001111100110101111
+10000000001010001111100110100101
+10000000001010011111100110011100
+10000000001010011111100110010011
+10000000001010101111100110001001
+10000000001010101111100110000000
+10000000001010111111100101110110
+10000000001010111111100101101101
+10000000001011001111100101100100
+10000000001011001111100101011010
+10000000001011011111100101010001
+10000000001011011111100101000111
+10000000001011101111100100111110
+10000000001011101111100100110100
+10000000001011111111100100101011
+10000000001011111111100100100010
+10000000001100001111100100011000
+10000000001100001111100100001111
+10000000001100011111100100000101
+10000000001100011111100011111100
+10000000001100101111100011110011
+10000000001100101111100011101001
+10000000001100111111100011100000
+10000000001100111111100011010110
+10000000001101001111100011001101
+10000000001101001111100011000100
+10000000001101011111100010111010
+10000000001101011111100010110001
+10000000001101101111100010100111
+10000000001101111111100010011110
+10000000001101111111100010010101
+10000000001110001111100010001011
+10000000001110001111100010000010
+10000000001110011111100001111000
+10000000001110011111100001101111
+10000000001110101111100001100101
+10000000001110101111100001011100
+10000000001110111111100001010011
+10000000001111001111100001001001
+10000000001111001111100001000000
+10000000001111011111100000110110
+10000000001111011111100000101101
+10000000001111101111100000100100
+10000000001111101111100000011010
+10000000001111111111100000010001
+10000000010000001111100000000111
+10000000010000001111011111111110
+10000000010000011111011111110101
+10000000010000011111011111101011
+10000000010000101111011111100010
+10000000010000111111011111011000
+10000000010000111111011111001111
+10000000010001001111011111000110
+10000000010001001111011110111100
+10000000010001011111011110110011
+10000000010001101111011110101001
+10000000010001101111011110100000
+10000000010001111111011110010111
+10000000010001111111011110001101
+10000000010010001111011110000100
+10000000010010011111011101111010
+10000000010010011111011101110001
+10000000010010101111011101101000
+10000000010010111111011101011110
+10000000010010111111011101010101
+10000000010011001111011101001011
+10000000010011011111011101000010
+10000000010011011111011100111001
+10000000010011101111011100101111
+10000000010011101111011100100110
+10000000010011111111011100011100
+10000000010100001111011100010011
+10000000010100001111011100001010
+10000000010100011111011100000000
+10000000010100101111011011110111
+10000000010100101111011011101101
+10000000010100111111011011100100
+10000000010101001111011011011011
+10000000010101001111011011010001
+10000000010101011111011011001000
+10000000010101101111011010111110
+10000000010101101111011010110101
+10000000010101111111011010101100
+10000000010110001111011010100010
+10000000010110011111011010011001
+10000000010110011111011010001111
+10000000010110101111011010000110
+10000000010110111111011001111101
+10000000010110111111011001110011
+10000000010111001111011001101010
+10000000010111011111011001100000
+10000000010111011111011001010111
+10000000010111101111011001001110
+10000000010111111111011001000100
+10000000011000001111011000111011
+10000000011000001111011000110001
+10000000011000011111011000101000
+10000000011000101111011000011111
+10000000011000111111011000010101
+10000000011000111111011000001100
+10000000011001001111011000000010
+10000000011001011111010111111001
+10000000011001011111010111110000
+10000000011001101111010111100110
+10000000011001111111010111011101
+10000000011010001111010111010011
+10000000011010001111010111001010
+10000000011010011111010111000001
+10000000011010101111010110110111
+10000000011010111111010110101110
+10000000011010111111010110100100
+10000000011011001111010110011011
+10000000011011011111010110010010
+10000000011011101111010110001000
+10000000011011111111010101111111
+10000000011011111111010101110101
+10000000011100001111010101101100
+10000000011100011111010101100011
+10000000011100101111010101011001
+10000000011100101111010101010000
+10000000011100111111010101000110
+10000000011101001111010100111101
+10000000011101011111010100110100
+10000000011101101111010100101010
+10000000011101101111010100100001
+10000000011101111111010100011000
+10000000011110001111010100001110
+10000000011110011111010100000101
+10000000011110101111010011111011
+10000000011110101111010011110010
+10000000011110111111010011101001
+10000000011111001111010011011111
+10000000011111011111010011010110
+10000000011111101111010011001100
+10000000011111111111010011000011
+10000000011111111111010010111010
+10000000100000001111010010110000
+10000000100000011111010010100111
+10000000100000101111010010011101
+10000000100000111111010010010100
+10000000100001001111010010001011
+10000000100001001111010010000001
+10000000100001011111010001111000
+10000000100001101111010001101111
+10000000100001111111010001100101
+10000000100010001111010001011100
+10000000100010011111010001010010
+10000000100010101111010001001001
+10000000100010101111010001000000
+10000000100010111111010000110110
+10000000100011001111010000101101
+10000000100011011111010000100011
+10000000100011101111010000011010
+10000000100011111111010000010001
+10000000100100001111010000000111
+10000000100100011111001111111110
+10000000100100011111001111110101
+10000000100100101111001111101011
+10000000100100111111001111100010
+10000000100101001111001111011000
+10000000100101011111001111001111
+10000000100101101111001111000110
+10000000100101111111001110111100
+10000000100110001111001110110011
+10000000100110011111001110101010
+10000000100110011111001110100000
+10000000100110101111001110010111
+10000000100110111111001110001101
+10000000100111001111001110000100
+10000000100111011111001101111011
+10000000100111101111001101110001
+10000000100111111111001101101000
+10000000101000001111001101011110
+10000000101000011111001101010101
+10000000101000101111001101001100
+10000000101000111111001101000010
+10000000101001001111001100111001
+10000000101001011111001100110000
+10000000101001101111001100100110
+10000000101001111111001100011101
+10000000101001111111001100010011
+10000000101010001111001100001010
+10000000101010011111001100000001
+10000000101010101111001011110111
+10000000101010111111001011101110
+10000000101011001111001011100101
+10000000101011011111001011011011
+10000000101011101111001011010010
+10000000101011111111001011001000
+10000000101100001111001010111111
+10000000101100011111001010110110
+10000000101100101111001010101100
+10000000101100111111001010100011
+10000000101101001111001010011010
+10000000101101011111001010010000
+10000000101101101111001010000111
+10000000101101111111001001111101
+10000000101110001111001001110100
+10000000101110011111001001101011
+10000000101110101111001001100001
+10000000101110111111001001011000
+10000000101111001111001001001111
+10000000101111011111001001000101
+10000000101111101111001000111100
+10000000101111111111001000110011
+10000000110000001111001000101001
+10000000110000011111001000100000
+10000000110000101111001000010110
+10000000110000111111001000001101
+10000000110001001111001000000100
+10000000110001011111000111111010
+10000000110001101111000111110001
+10000000110001111111000111101000
+10000000110010001111000111011110
+10000000110010011111000111010101
+10000000110010101111000111001011
+10000000110010111111000111000010
+10000000110011011111000110111001
+10000000110011101111000110101111
+10000000110011111111000110100110
+10000000110100001111000110011101
+10000000110100011111000110010011
+10000000110100101111000110001010
+10000000110100111111000110000001
+10000000110101001111000101110111
+10000000110101011111000101101110
+10000000110101101111000101100100
+10000000110101111111000101011011
+10000000110110001111000101010010
+10000000110110011111000101001000
+10000000110110101111000100111111
+10000000110111001111000100110110
+10000000110111011111000100101100
+10000000110111101111000100100011
+10000000110111111111000100011010
+10000000111000001111000100010000
+10000000111000011111000100000111
+10000000111000101111000011111110
+10000000111000111111000011110100
+10000000111001001111000011101011
+10000000111001011111000011100001
+10000000111001111111000011011000
+10000000111010001111000011001111
+10000000111010011111000011000101
+10000000111010101111000010111100
+10000000111010111111000010110011
+10000000111011001111000010101001
+10000000111011011111000010100000
+10000000111011101111000010010111
+10000000111100001111000010001101
+10000000111100011111000010000100
+10000000111100101111000001111011
+10000000111100111111000001110001
+10000000111101001111000001101000
+10000000111101011111000001011110
+10000000111101101111000001010101
+10000000111110001111000001001100
+10000000111110011111000001000010
+10000000111110101111000000111001
+10000000111110111111000000110000
+10000000111111001111000000100110
+10000000111111011111000000011101
+10000000111111111111000000010100
+10000001000000001111000000001010
+10000001000000011111000000000001
+10000001000000101110111111111000
+10000001000000111110111111101110
+10000001000001001110111111100101
+10000001000001101110111111011100
+10000001000001111110111111010010
+10000001000010001110111111001001
+10000001000010011110111110111111
+10000001000010101110111110110110
+10000001000011001110111110101101
+10000001000011011110111110100011
+10000001000011101110111110011010
+10000001000011111110111110010001
+10000001000100001110111110000111
+10000001000100101110111101111110
+10000001000100111110111101110101
+10000001000101001110111101101011
+10000001000101011110111101100010
+10000001000101111110111101011001
+10000001000110001110111101001111
+10000001000110011110111101000110
+10000001000110101110111100111101
+10000001000110111110111100110011
+10000001000111011110111100101010
+10000001000111101110111100100001
+10000001000111111110111100010111
+10000001001000001110111100001110
+10000001001000101110111100000101
+10000001001000111110111011111011
+10000001001001001110111011110010
+10000001001001011110111011101001
+10000001001001111110111011011111
+10000001001010001110111011010110
+10000001001010011110111011001101
+10000001001010111110111011000011
+10000001001011001110111010111010
+10000001001011011110111010110001
+10000001001011101110111010100111
+10000001001100001110111010011110
+10000001001100011110111010010101
+10000001001100101110111010001011
+10000001001100111110111010000010
+10000001001101011110111001111001
+10000001001101101110111001101111
+10000001001101111110111001100110
+10000001001110011110111001011101
+10000001001110101110111001010011
+10000001001110111110111001001010
+10000001001111011110111001000001
+10000001001111101110111000110111
+10000001001111111110111000101110
+10000001010000001110111000100101
+10000001010000101110111000011011
+10000001010000111110111000010010
+10000001010001001110111000001001
+10000001010001101110110111111111
+10000001010001111110110111110110
+10000001010010001110110111101101
+10000001010010101110110111100011
+10000001010010111110110111011010
+10000001010011001110110111010001
+10000001010011101110110111000111
+10000001010011111110110110111110
+10000001010100001110110110110101
+10000001010100101110110110101011
+10000001010100111110110110100010
+10000001010101001110110110011001
+10000001010101101110110110001111
+10000001010101111110110110000110
+10000001010110011110110101111101
+10000001010110101110110101110011
+10000001010110111110110101101010
+10000001010111011110110101100001
+10000001010111101110110101010111
+10000001010111111110110101001110
+10000001011000011110110101000101
+10000001011000101110110100111011
+10000001011001001110110100110010
+10000001011001011110110100101001
+10000001011001101110110100011111
+10000001011010001110110100010110
+10000001011010011110110100001101
+10000001011010111110110100000011
+10000001011011001110110011111010
+10000001011011011110110011110001
+10000001011011111110110011100111
+10000001011100001110110011011110
+10000001011100101110110011010101
+10000001011100111110110011001011
+10000001011101001110110011000010
+10000001011101101110110010111001
+10000001011101111110110010110000
+10000001011110011110110010100110
+10000001011110101110110010011101
+10000001011110111110110010010100
+10000001011111011110110010001010
+10000001011111101110110010000001
+10000001100000001110110001111000
+10000001100000011110110001101110
+10000001100000111110110001100101
+10000001100001001110110001011100
+10000001100001101110110001010010
+10000001100001111110110001001001
+10000001100010001110110001000000
+10000001100010101110110000110110
+10000001100010111110110000101101
+10000001100011011110110000100100
+10000001100011101110110000011011
+10000001100100001110110000010001
+10000001100100011110110000001000
+10000001100100111110101111111111
+10000001100101001110101111110101
+10000001100101101110101111101100
+10000001100101111110101111100011
+10000001100110011110101111011001
+10000001100110101110101111010000
+10000001100111001110101111000111
+10000001100111011110101110111101
+10000001100111111110101110110100
+10000001101000001110101110101011
+10000001101000101110101110100010
+10000001101000111110101110011000
+10000001101001011110101110001111
+10000001101001101110101110000110
+10000001101010001110101101111100
+10000001101010011110101101110011
+10000001101010111110101101101010
+10000001101011001110101101100000
+10000001101011101110101101010111
+10000001101011111110101101001110
+10000001101100011110101101000101
+10000001101100101110101100111011
+10000001101101001110101100110010
+10000001101101011110101100101001
+10000001101101111110101100011111
+10000001101110001110101100010110
+10000001101110101110101100001101
+10000001101110111110101100000011
+10000001101111011110101011111010
+10000001101111111110101011110001
+10000001110000001110101011101000
+10000001110000101110101011011110
+10000001110000111110101011010101
+10000001110001011110101011001100
+10000001110001101110101011000010
+10000001110010001110101010111001
+10000001110010011110101010110000
+10000001110010111110101010100110
+10000001110011011110101010011101
+10000001110011101110101010010100
+10000001110100001110101010001011
+10000001110100011110101010000001
+10000001110100111110101001111000
+10000001110101011110101001101111
+10000001110101101110101001100101
+10000001110110001110101001011100
+10000001110110011110101001010011
+10000001110110111110101001001010
+10000001110111011110101001000000
+10000001110111101110101000110111
+10000001111000001110101000101110
+10000001111000011110101000100100
+10000001111000111110101000011011
+10000001111001011110101000010010
+10000001111001101110101000001001
+10000001111010001110100111111111
+10000001111010011110100111110110
+10000001111010111110100111101101
+10000001111011011110100111100011
+10000001111011101110100111011010
+10000001111100001110100111010001
+10000001111100101110100111001000
+10000001111100111110100110111110
+10000001111101011110100110110101
+10000001111101101110100110101100
+10000001111110001110100110100010
+10000001111110101110100110011001
+10000001111110111110100110010000
+10000001111111011110100110000111
+10000001111111111110100101111101
+10000010000000001110100101110100
+10000010000000101110100101101011
+10000010000001001110100101100010
+10000010000001011110100101011000
+10000010000001111110100101001111
+10000010000010011110100101000110
+10000010000010101110100100111100
+10000010000011001110100100110011
+10000010000011101110100100101010
+10000010000011111110100100100001
+10000010000100011110100100010111
+10000010000100111110100100001110
+10000010000101001110100100000101
+10000010000101101110100011111100
+10000010000110001110100011110010
+10000010000110101110100011101001
+10000010000110111110100011100000
+10000010000111011110100011010110
+10000010000111111110100011001101
+10000010001000001110100011000100
+10000010001000101110100010111011
+10000010001001001110100010110001
+10000010001001101110100010101000
+10000010001001111110100010011111
+10000010001010011110100010010110
+10000010001010111110100010001100
+10000010001011001110100010000011
+10000010001011101110100001111010
+10000010001100001110100001110001
+10000010001100101110100001100111
+10000010001100111110100001011110
+10000010001101011110100001010101
+10000010001101111110100001001011
+10000010001110011110100001000010
+10000010001110101110100000111001
+10000010001111001110100000110000
+10000010001111101110100000100110
+10000010010000001110100000011101
+10000010010000011110100000010100
+10000010010000111110100000001011
+10000010010001011110100000000001
+10000010010001111110011111111000
+10000010010010001110011111101111
+10000010010010101110011111100110
+10000010010011001110011111011100
+10000010010011101110011111010011
+10000010010100001110011111001010
+10000010010100011110011111000001
+10000010010100111110011110110111
+10000010010101011110011110101110
+10000010010101111110011110100101
+10000010010110011110011110011100
+10000010010110101110011110010010
+10000010010111001110011110001001
+10000010010111101110011110000000
+10000010011000001110011101110111
+10000010011000101110011101101101
+10000010011000111110011101100100
+10000010011001011110011101011011
+10000010011001111110011101010010
+10000010011010011110011101001000
+10000010011010111110011100111111
+10000010011011001110011100110110
+10000010011011101110011100101101
+10000010011100001110011100100011
+10000010011100101110011100011010
+10000010011101001110011100010001
+10000010011101101110011100001000
+10000010011101111110011011111110
+10000010011110011110011011110101
+10000010011110111110011011101100
+10000010011111011110011011100011
+10000010011111111110011011011001
+10000010100000011110011011010000
+10000010100000111110011011000111
+10000010100001001110011010111110
+10000010100001101110011010110101
+10000010100010001110011010101011
+10000010100010101110011010100010
+10000010100011001110011010011001
+10000010100011101110011010010000
+10000010100100001110011010000110
+10000010100100011110011001111101
+10000010100100111110011001110100
+10000010100101011110011001101011
+10000010100101111110011001100001
+10000010100110011110011001011000
+10000010100110111110011001001111
+10000010100111011110011001000110
+10000010100111111110011000111100
+10000010101000011110011000110011
+10000010101000101110011000101010
+10000010101001001110011000100001
+10000010101001101110011000011000
+10000010101010001110011000001110
+10000010101010101110011000000101
+10000010101011001110010111111100
+10000010101011101110010111110011
+10000010101100001110010111101001
+10000010101100101110010111100000
+10000010101101001110010111010111
+10000010101101101110010111001110
+10000010101110001110010111000100
+10000010101110011110010110111011
+10000010101110111110010110110010
+10000010101111011110010110101001
+10000010101111111110010110100000
+10000010110000011110010110010110
+10000010110000111110010110001101
+10000010110001011110010110000100
+10000010110001111110010101111011
+10000010110010011110010101110001
+10000010110010111110010101101000
+10000010110011011110010101011111
+10000010110011111110010101010110
+10000010110100011110010101001101
+10000010110100111110010101000011
+10000010110101011110010100111010
+10000010110101111110010100110001
+10000010110110011110010100101000
+10000010110110111110010100011111
+10000010110111011110010100010101
+10000010110111111110010100001100
+10000010111000011110010100000011
+10000010111000111110010011111010
+10000010111001011110010011110000
+10000010111001111110010011100111
+10000010111010011110010011011110
+10000010111010111110010011010101
+10000010111011011110010011001100
+10000010111011111110010011000010
+10000010111100011110010010111001
+10000010111100111110010010110000
+10000010111101011110010010100111
+10000010111101111110010010011110
+10000010111110011110010010010100
+10000010111110111110010010001011
+10000010111111011110010010000010
+10000010111111111110010001111001
+10000011000000011110010001110000
+10000011000000111110010001100110
+10000011000001011110010001011101
+10000011000001111110010001010100
+10000011000010011110010001001011
+10000011000010111110010001000010
+10000011000011011110010000111000
+10000011000011111110010000101111
+10000011000100011110010000100110
+10000011000100111110010000011101
+10000011000101011110010000010100
+10000011000101111110010000001010
+10000011000110011110010000000001
+10000011000110111110001111111000
+10000011000111101110001111101111
+10000011001000001110001111100110
+10000011001000101110001111011100
+10000011001001001110001111010011
+10000011001001101110001111001010
+10000011001010001110001111000001
+10000011001010101110001110111000
+10000011001011001110001110101110
+10000011001011101110001110100101
+10000011001100001110001110011100
+10000011001100101110001110010011
+10000011001101001110001110001010
+10000011001101111110001110000001
+10000011001110011110001101110111
+10000011001110111110001101101110
+10000011001111011110001101100101
+10000011001111111110001101011100
+10000011010000011110001101010011
+10000011010000111110001101001001
+10000011010001011110001101000000
+10000011010001111110001100110111
+10000011010010101110001100101110
+10000011010011001110001100100101
+10000011010011101110001100011011
+10000011010100001110001100010010
+10000011010100101110001100001001
+10000011010101001110001100000000
+10000011010101101110001011110111
+10000011010110001110001011101110
+10000011010110111110001011100100
+10000011010111011110001011011011
+10000011010111111110001011010010
+10000011011000011110001011001001
+10000011011000111110001011000000
+10000011011001011110001010110111
+10000011011001111110001010101101
+10000011011010101110001010100100
+10000011011011001110001010011011
+10000011011011101110001010010010
+10000011011100001110001010001001
+10000011011100101110001001111111
+10000011011101001110001001110110
+10000011011101111110001001101101
+10000011011110011110001001100100
+10000011011110111110001001011011
+10000011011111011110001001010010
+10000011011111111110001001001000
+10000011100000101110001000111111
+10000011100001001110001000110110
+10000011100001101110001000101101
+10000011100010001110001000100100
+10000011100010101110001000011011
+10000011100011011110001000010001
+10000011100011111110001000001000
+10000011100100011110000111111111
+10000011100100111110000111110110
+10000011100101011110000111101101
+10000011100110001110000111100100
+10000011100110101110000111011011
+10000011100111001110000111010001
+10000011100111101110000111001000
+10000011101000001110000110111111
+10000011101000111110000110110110
+10000011101001011110000110101101
+10000011101001111110000110100100
+10000011101010011110000110011010
+10000011101011001110000110010001
+10000011101011101110000110001000
+10000011101100001110000101111111
+10000011101100101110000101110110
+10000011101101011110000101101101
+10000011101101111110000101100100
+10000011101110011110000101011010
+10000011101110111110000101010001
+10000011101111101110000101001000
+10000011110000001110000100111111
+10000011110000101110000100110110
+10000011110001001110000100101101
+10000011110001111110000100100011
+10000011110010011110000100011010
+10000011110010111110000100010001
+10000011110011101110000100001000
+10000011110100001110000011111111
+10000011110100101110000011110110
+10000011110101001110000011101101
+10000011110101111110000011100011
+10000011110110011110000011011010
+10000011110110111110000011010001
+10000011110111101110000011001000
+10000011111000001110000010111111
+10000011111000101110000010110110
+10000011111001011110000010101101
+10000011111001111110000010100011
+10000011111010011110000010011010
+10000011111010111110000010010001
+10000011111011101110000010001000
+10000011111100001110000001111111
+10000011111100101110000001110110
+10000011111101011110000001101101
+10000011111101111110000001100100
+10000011111110011110000001011010
+10000011111111001110000001010001
+10000011111111101110000001001000
+10000100000000001110000000111111
+10000100000000111110000000110110
+10000100000001011110000000101101
+10000100000001111110000000100100
+10000100000010101110000000011011
+10000100000011001110000000010001
+10000100000011101110000000001000
+10000100000100011101111111111111
+10000100000100111101111111110110
+10000100000101101101111111101101
+10000100000110001101111111100100
+10000100000110101101111111011011
+10000100000111011101111111010010
+10000100000111111101111111001000
+10000100001000011101111110111111
+10000100001001001101111110110110
+10000100001001101101111110101101
+10000100001010011101111110100100
+10000100001010111101111110011011
+10000100001011011101111110010010
+10000100001100001101111110001001
+10000100001100101101111101111111
+10000100001101001101111101110110
+10000100001101111101111101101101
+10000100001110011101111101100100
+10000100001111001101111101011011
+10000100001111101101111101010010
+10000100010000001101111101001001
+10000100010000111101111101000000
+10000100010001011101111100110111
+10000100010010001101111100101101
+10000100010010101101111100100100
+10000100010011011101111100011011
+10000100010011111101111100010010
+10000100010100011101111100001001
+10000100010101001101111100000000
+10000100010101101101111011110111
+10000100010110011101111011101110
+10000100010110111101111011100101
+10000100010111101101111011011011
+10000100011000001101111011010010
+10000100011000101101111011001001
+10000100011001011101111011000000
+10000100011001111101111010110111
+10000100011010101101111010101110
+10000100011011001101111010100101
+10000100011011111101111010011100
+10000100011100011101111010010011
+10000100011101001101111010001010
+10000100011101101101111010000000
+10000100011110011101111001110111
+10000100011110111101111001101110
+10000100011111101101111001100101
+10000100100000001101111001011100
+10000100100000101101111001010011
+10000100100001011101111001001010
+10000100100001111101111001000001
+10000100100010101101111000111000
+10000100100011001101111000101111
+10000100100011111101111000100110
+10000100100100011101111000011100
+10000100100101001101111000010011
+10000100100101101101111000001010
+10000100100110011101111000000001
+10000100100110111101110111111000
+10000100100111101101110111101111
+10000100101000001101110111100110
+10000100101000111101110111011101
+10000100101001011101110111010100
+10000100101010001101110111001011
+10000100101010101101110111000010
+10000100101011011101110110111001
+10000100101100001101110110101111
+10000100101100101101110110100110
+10000100101101011101110110011101
+10000100101101111101110110010100
+10000100101110101101110110001011
+10000100101111001101110110000010
+10000100101111111101110101111001
+10000100110000011101110101110000
+10000100110001001101110101100111
+10000100110001101101110101011110
+10000100110010011101110101010101
+10000100110010111101110101001100
+10000100110011101101110101000011
+10000100110100011101110100111010
+10000100110100111101110100110000
+10000100110101101101110100100111
+10000100110110001101110100011110
+10000100110110111101110100010101
+10000100110111011101110100001100
+10000100111000001101110100000011
+10000100111000111101110011111010
+10000100111001011101110011110001
+10000100111010001101110011101000
+10000100111010101101110011011111
+10000100111011011101110011010110
+10000100111011111101110011001101
+10000100111100101101110011000100
+10000100111101011101110010111011
+10000100111101111101110010110010
+10000100111110101101110010101000
+10000100111111001101110010011111
+10000100111111111101110010010110
+10000101000000101101110010001101
+10000101000001001101110010000100
+10000101000001111101110001111011
+10000101000010101101110001110010
+10000101000011001101110001101001
+10000101000011111101110001100000
+10000101000100011101110001010111
+10000101000101001101110001001110
+10000101000101111101110001000101
+10000101000110011101110000111100
+10000101000111001101110000110011
+10000101000111111101110000101010
+10000101001000011101110000100001
+10000101001001001101110000011000
+10000101001001101101110000001111
+10000101001010011101110000000110
+10000101001011001101101111111101
+10000101001011101101101111110011
+10000101001100011101101111101010
+10000101001101001101101111100001
+10000101001101101101101111011000
+10000101001110011101101111001111
+10000101001111001101101111000110
+10000101001111101101101110111101
+10000101010000011101101110110100
+10000101010001001101101110101011
+10000101010001101101101110100010
+10000101010010011101101110011001
+10000101010011001101101110010000
+10000101010011101101101110000111
+10000101010100011101101101111110
+10000101010101001101101101110101
+10000101010101111101101101101100
+10000101010110011101101101100011
+10000101010111001101101101011010
+10000101010111111101101101010001
+10000101011000011101101101001000
+10000101011001001101101100111111
+10000101011001111101101100110110
+10000101011010011101101100101101
+10000101011011001101101100100100
+10000101011011111101101100011011
+10000101011100101101101100010010
+10000101011101001101101100001001
+10000101011101111101101100000000
+10000101011110101101101011110111
+10000101011111011101101011101110
+10000101011111111101101011100101
+10000101100000101101101011011100
+10000101100001011101101011010011
+10000101100001111101101011001010
+10000101100010101101101011000000
+10000101100011011101101010110111
+10000101100100001101101010101110
+10000101100100101101101010100101
+10000101100101011101101010011100
+10000101100110001101101010010011
+10000101100110111101101010001010
+10000101100111011101101010000001
+10000101101000001101101001111000
+10000101101000111101101001101111
+10000101101001101101101001100110
+10000101101010011101101001011101
+10000101101010111101101001010100
+10000101101011101101101001001011
+10000101101100011101101001000010
+10000101101101001101101000111001
+10000101101101101101101000110000
+10000101101110011101101000100111
+10000101101111001101101000011110
+10000101101111111101101000010101
+10000101110000101101101000001100
+10000101110001001101101000000011
+10000101110001111101100111111010
+10000101110010101101100111110001
+10000101110011011101100111101000
+10000101110100001101100111011111
+10000101110100101101100111010110
+10000101110101011101100111001101
+10000101110110001101100111000100
+10000101110110111101100110111011
+10000101110111101101100110110010
+10000101111000001101100110101001
+10000101111000111101100110100000
+10000101111001101101100110010111
+10000101111010011101100110001110
+10000101111011001101100110000101
+10000101111011111101100101111100
+10000101111100011101100101110011
+10000101111101001101100101101010
+10000101111101111101100101100001
+10000101111110101101100101011000
+10000101111111011101100101001111
+10000110000000001101100101000111
+10000110000000111101100100111110
+10000110000001011101100100110101
+10000110000010001101100100101100
+10000110000010111101100100100011
+10000110000011101101100100011010
+10000110000100011101100100010001
+10000110000101001101100100001000
+10000110000101111101100011111111
+10000110000110011101100011110110
+10000110000111001101100011101101
+10000110000111111101100011100100
+10000110001000101101100011011011
+10000110001001011101100011010010
+10000110001010001101100011001001
+10000110001010111101100011000000
+10000110001011101101100010110111
+10000110001100011101100010101110
+10000110001100111101100010100101
+10000110001101101101100010011100
+10000110001110011101100010010011
+10000110001111001101100010001010
+10000110001111111101100010000001
+10000110010000101101100001111000
+10000110010001011101100001101111
+10000110010010001101100001100110
+10000110010010111101100001011101
+10000110010011101101100001010100
+10000110010100011101100001001011
+10000110010100111101100001000010
+10000110010101101101100000111001
+10000110010110011101100000110000
+10000110010111001101100000100111
+10000110010111111101100000011111
+10000110011000101101100000010110
+10000110011001011101100000001101
+10000110011010001101100000000100
+10000110011010111101011111111011
+10000110011011101101011111110010
+10000110011100011101011111101001
+10000110011101001101011111100000
+10000110011101111101011111010111
+10000110011110101101011111001110
+10000110011111011101011111000101
+10000110100000001101011110111100
+10000110100000111101011110110011
+10000110100001101101011110101010
+10000110100010011101011110100001
+10000110100011001101011110011000
+10000110100011101101011110001111
+10000110100100011101011110000110
+10000110100101001101011101111101
+10000110100101111101011101110101
+10000110100110101101011101101100
+10000110100111011101011101100011
+10000110101000001101011101011010
+10000110101000111101011101010001
+10000110101001101101011101001000
+10000110101010011101011100111111
+10000110101011001101011100110110
+10000110101011111101011100101101
+10000110101100101101011100100100
+10000110101101011101011100011011
+10000110101110001101011100010010
+10000110101110111101011100001001
+10000110101111101101011100000000
+10000110110000011101011011110111
+10000110110001001101011011101111
+10000110110010001101011011100110
+10000110110010111101011011011101
+10000110110011101101011011010100
+10000110110100011101011011001011
+10000110110101001101011011000010
+10000110110101111101011010111001
+10000110110110101101011010110000
+10000110110111011101011010100111
+10000110111000001101011010011110
+10000110111000111101011010010101
+10000110111001101101011010001100
+10000110111010011101011010000100
+10000110111011001101011001111011
+10000110111011111101011001110010
+10000110111100101101011001101001
+10000110111101011101011001100000
+10000110111110001101011001010111
+10000110111110111101011001001110
+10000110111111101101011001000101
+10000111000000011101011000111100
+10000111000001011101011000110011
+10000111000010001101011000101010
+10000111000010111101011000100001
+10000111000011101101011000011001
+10000111000100011101011000010000
+10000111000101001101011000000111
+10000111000101111101010111111110
+10000111000110101101010111110101
+10000111000111011101010111101100
+10000111001000001101010111100011
+10000111001000111101010111011010
+10000111001001111101010111010001
+10000111001010101101010111001000
+10000111001011011101010111000000
+10000111001100001101010110110111
+10000111001100111101010110101110
+10000111001101101101010110100101
+10000111001110011101010110011100
+10000111001111001101010110010011
+10000111010000001101010110001010
+10000111010000111101010110000001
+10000111010001101101010101111000
+10000111010010011101010101110000
+10000111010011001101010101100111
+10000111010011111101010101011110
+10000111010100101101010101010101
+10000111010101011101010101001100
+10000111010110011101010101000011
+10000111010111001101010100111010
+10000111010111111101010100110001
+10000111011000101101010100101000
+10000111011001011101010100100000
+10000111011010001101010100010111
+10000111011011001101010100001110
+10000111011011111101010100000101
+10000111011100101101010011111100
+10000111011101011101010011110011
+10000111011110001101010011101010
+10000111011110111101010011100001
+10000111011111111101010011011001
+10000111100000101101010011010000
+10000111100001011101010011000111
+10000111100010001101010010111110
+10000111100010111101010010110101
+10000111100011101101010010101100
+10000111100100101101010010100011
+10000111100101011101010010011011
+10000111100110001101010010010010
+10000111100110111101010010001001
+10000111100111101101010010000000
+10000111101000101101010001110111
+10000111101001011101010001101110
+10000111101010001101010001100101
+10000111101010111101010001011100
+10000111101011101101010001010100
+10000111101100101101010001001011
+10000111101101011101010001000010
+10000111101110001101010000111001
+10000111101110111101010000110000
+10000111101111111101010000100111
+10000111110000101101010000011110
+10000111110001011101010000010110
+10000111110010001101010000001101
+10000111110011001101010000000100
+10000111110011111101001111111011
+10000111110100101101001111110010
+10000111110101011101001111101001
+10000111110110011101001111100001
+10000111110111001101001111011000
+10000111110111111101001111001111
+10000111111000101101001111000110
+10000111111001101101001110111101
+10000111111010011101001110110100
+10000111111011001101001110101011
+10000111111011111101001110100011
+10000111111100111101001110011010
+10000111111101101101001110010001
+10000111111110011101001110001000
+10000111111111001101001101111111
+10001000000000001101001101110110
+10001000000000111101001101101110
+10001000000001101101001101100101
+10001000000010101101001101011100
+10001000000011011101001101010011
+10001000000100001101001101001010
+10001000000100111101001101000001
+10001000000101111101001100111001
+10001000000110101101001100110000
+10001000000111011101001100100111
+10001000001000011101001100011110
+10001000001001001101001100010101
+10001000001001111101001100001100
+10001000001010111101001100000100
+10001000001011101101001011111011
+10001000001100011101001011110010
+10001000001101001101001011101001
+10001000001110001101001011100000
+10001000001110111101001011011000
+10001000001111101101001011001111
+10001000010000101101001011000110
+10001000010001011101001010111101
+10001000010010001101001010110100
+10001000010011001101001010101011
+10001000010011111101001010100011
+10001000010100101101001010011010
+10001000010101101101001010010001
+10001000010110011101001010001000
+10001000010111001101001001111111
+10001000011000001101001001110111
+10001000011000111101001001101110
+10001000011001111101001001100101
+10001000011010101101001001011100
+10001000011011011101001001010011
+10001000011100011101001001001011
+10001000011101001101001001000010
+10001000011101111101001000111001
+10001000011110111101001000110000
+10001000011111101101001000100111
+10001000100000101101001000011111
+10001000100001011101001000010110
+10001000100010001101001000001101
+10001000100011001101001000000100
+10001000100011111101000111111011
+10001000100100101101000111110011
+10001000100101101101000111101010
+10001000100110011101000111100001
+10001000100111011101000111011000
+10001000101000001101000111001111
+10001000101000111101000111000111
+10001000101001111101000110111110
+10001000101010101101000110110101
+10001000101011101101000110101100
+10001000101100011101000110100100
+10001000101101001101000110011011
+10001000101110001101000110010010
+10001000101110111101000110001001
+10001000101111111101000110000000
+10001000110000101101000101111000
+10001000110001101101000101101111
+10001000110010011101000101100110
+10001000110011001101000101011101
+10001000110100001101000101010100
+10001000110100111101000101001100
+10001000110101111101000101000011
+10001000110110101101000100111010
+10001000110111101101000100110001
+10001000111000011101000100101001
+10001000111001011101000100100000
+10001000111010001101000100010111
+10001000111010111101000100001110
+10001000111011111101000100000110
+10001000111100101101000011111101
+10001000111101101101000011110100
+10001000111110011101000011101011
+10001000111111011101000011100010
+10001001000000001101000011011010
+10001001000001001101000011010001
+10001001000001111101000011001000
+10001001000010111101000010111111
+10001001000011101101000010110111
+10001001000100101101000010101110
+10001001000101011101000010100101
+10001001000110011101000010011100
+10001001000111001101000010010100
+10001001001000001101000010001011
+10001001001000111101000010000010
+10001001001001111101000001111001
+10001001001010101101000001110001
+10001001001011101101000001101000
+10001001001100011101000001011111
+10001001001101011101000001010110
+10001001001110001101000001001110
+10001001001111001101000001000101
+10001001001111111101000000111100
+10001001010000111101000000110011
+10001001010001101101000000101011
+10001001010010101101000000100010
+10001001010011011101000000011001
+10001001010100011101000000010000
+10001001010101001101000000001000
+10001001010110001100111111111111
+10001001010110111100111111110110
+10001001010111111100111111101110
+10001001011000101100111111100101
+10001001011001101100111111011100
+10001001011010101100111111010011
+10001001011011011100111111001011
+10001001011100011100111111000010
+10001001011101001100111110111001
+10001001011110001100111110110000
+10001001011110111100111110101000
+10001001011111111100111110011111
+10001001100000101100111110010110
+10001001100001101100111110001110
+10001001100010101100111110000101
+10001001100011011100111101111100
+10001001100100011100111101110011
+10001001100101001100111101101011
+10001001100110001100111101100010
+10001001100110111100111101011001
+10001001100111111100111101010000
+10001001101000111100111101001000
+10001001101001101100111100111111
+10001001101010101100111100110110
+10001001101011011100111100101110
+10001001101100011100111100100101
+10001001101101011100111100011100
+10001001101110001100111100010100
+10001001101111001100111100001011
+10001001101111111100111100000010
+10001001110000111100111011111001
+10001001110001111100111011110001
+10001001110010101100111011101000
+10001001110011101100111011011111
+10001001110100011100111011010111
+10001001110101011100111011001110
+10001001110110011100111011000101
+10001001110111001100111010111100
+10001001111000001100111010110100
+10001001111001001100111010101011
+10001001111001111100111010100010
+10001001111010111100111010011010
+10001001111011101100111010010001
+10001001111100101100111010001000
+10001001111101101100111010000000
+10001001111110011100111001110111
+10001001111111011100111001101110
+10001010000000011100111001100110
+10001010000001001100111001011101
+10001010000010001100111001010100
+10001010000011001100111001001011
+10001010000011111100111001000011
+10001010000100111100111000111010
+10001010000101111100111000110001
+10001010000110101100111000101001
+10001010000111101100111000100000
+10001010001000101100111000010111
+10001010001001011100111000001111
+10001010001010011100111000000110
+10001010001011011100110111111101
+10001010001100001100110111110101
+10001010001101001100110111101100
+10001010001110001100110111100011
+10001010001110111100110111011011
+10001010001111111100110111010010
+10001010010000111100110111001001
+10001010010001111100110111000001
+10001010010010101100110110111000
+10001010010011101100110110101111
+10001010010100101100110110100111
+10001010010101011100110110011110
+10001010010110011100110110010101
+10001010010111011100110110001101
+10001010011000011100110110000100
+10001010011001001100110101111011
+10001010011010001100110101110011
+10001010011011001100110101101010
+10001010011011111100110101100001
+10001010011100111100110101011001
+10001010011101111100110101010000
+10001010011110111100110101000111
+10001010011111101100110100111111
+10001010100000101100110100110110
+10001010100001101100110100101101
+10001010100010101100110100100101
+10001010100011011100110100011100
+10001010100100011100110100010100
+10001010100101011100110100001011
+10001010100110011100110100000010
+10001010100111001100110011111010
+10001010101000001100110011110001
+10001010101001001100110011101000
+10001010101010001100110011100000
+10001010101010111100110011010111
+10001010101011111100110011001110
+10001010101100111100110011000110
+10001010101101111100110010111101
+10001010101110101100110010110100
+10001010101111101100110010101100
+10001010110000101100110010100011
+10001010110001101100110010011011
+10001010110010101100110010010010
+10001010110011011100110010001001
+10001010110100011100110010000001
+10001010110101011100110001111000
+10001010110110011100110001101111
+10001010110111011100110001100111
+10001010111000001100110001011110
+10001010111001001100110001010110
+10001010111010001100110001001101
+10001010111011001100110001000100
+10001010111100001100110000111100
+10001010111100111100110000110011
+10001010111101111100110000101010
+10001010111110111100110000100010
+10001010111111111100110000011001
+10001011000000111100110000010001
+10001011000001101100110000001000
+10001011000010101100101111111111
+10001011000011101100101111110111
+10001011000100101100101111101110
+10001011000101101100101111100110
+10001011000110101100101111011101
+10001011000111011100101111010100
+10001011001000011100101111001100
+10001011001001011100101111000011
+10001011001010011100101110111011
+10001011001011011100101110110010
+10001011001100011100101110101001
+10001011001101011100101110100001
+10001011001110001100101110011000
+10001011001111001100101110010000
+10001011010000001100101110000111
+10001011010001001100101101111110
+10001011010010001100101101110110
+10001011010011001100101101101101
+10001011010100001100101101100101
+10001011010100111100101101011100
+10001011010101111100101101010011
+10001011010110111100101101001011
+10001011010111111100101101000010
+10001011011000111100101100111010
+10001011011001111100101100110001
+10001011011010111100101100101000
+10001011011011111100101100100000
+10001011011100111100101100010111
+10001011011101101100101100001111
+10001011011110101100101100000110
+10001011011111101100101011111110
+10001011100000101100101011110101
+10001011100001101100101011101100
+10001011100010101100101011100100
+10001011100011101100101011011011
+10001011100100101100101011010011
+10001011100101101100101011001010
+10001011100110101100101011000010
+10001011100111101100101010111001
+10001011101000101100101010110000
+10001011101001011100101010101000
+10001011101010011100101010011111
+10001011101011011100101010010111
+10001011101100011100101010001110
+10001011101101011100101010000110
+10001011101110011100101001111101
+10001011101111011100101001110100
+10001011110000011100101001101100
+10001011110001011100101001100011
+10001011110010011100101001011011
+10001011110011011100101001010010
+10001011110100011100101001001010
+10001011110101011100101001000001
+10001011110110011100101000111001
+10001011110111011100101000110000
+10001011111000011100101000100111
+10001011111001011100101000011111
+10001011111010011100101000010110
+10001011111011011100101000001110
+10001011111100011100101000000101
+10001011111101001100100111111101
+10001011111110001100100111110100
+10001011111111001100100111101100
+10001100000000001100100111100011
+10001100000001001100100111011011
+10001100000010001100100111010010
+10001100000011001100100111001001
+10001100000100001100100111000001
+10001100000101001100100110111000
+10001100000110001100100110110000
+10001100000111001100100110100111
+10001100001000001100100110011111
+10001100001001001100100110010110
+10001100001010001100100110001110
+10001100001011001100100110000101
+10001100001100001100100101111101
+10001100001101001100100101110100
+10001100001110001100100101101100
+10001100001111001100100101100011
+10001100010000001100100101011011
+10001100010001011100100101010010
+10001100010010011100100101001010
+10001100010011011100100101000001
+10001100010100011100100100111001
+10001100010101011100100100110000
+10001100010110011100100100100111
+10001100010111011100100100011111
+10001100011000011100100100010110
+10001100011001011100100100001110
+10001100011010011100100100000101
+10001100011011011100100011111101
+10001100011100011100100011110100
+10001100011101011100100011101100
+10001100011110011100100011100011
+10001100011111011100100011011011
+10001100100000011100100011010010
+10001100100001011100100011001010
+10001100100010011100100011000001
+10001100100011011100100010111001
+10001100100100011100100010110000
+10001100100101101100100010101000
+10001100100110101100100010011111
+10001100100111101100100010010111
+10001100101000101100100010001110
+10001100101001101100100010000110
+10001100101010101100100001111101
+10001100101011101100100001110101
+10001100101100101100100001101100
+10001100101101101100100001100100
+10001100101110101100100001011011
+10001100101111101100100001010011
+10001100110000111100100001001011
+10001100110001111100100001000010
+10001100110010111100100000111010
+10001100110011111100100000110001
+10001100110100111100100000101001
+10001100110101111100100000100000
+10001100110110111100100000011000
+10001100110111111100100000001111
+10001100111000111100100000000111
+10001100111010001100011111111110
+10001100111011001100011111110110
+10001100111100001100011111101101
+10001100111101001100011111100101
+10001100111110001100011111011100
+10001100111111001100011111010100
+10001101000000001100011111001011
+10001101000001001100011111000011
+10001101000010011100011110111010
+10001101000011011100011110110010
+10001101000100011100011110101010
+10001101000101011100011110100001
+10001101000110011100011110011001
+10001101000111011100011110010000
+10001101001000011100011110001000
+10001101001001101100011101111111
+10001101001010101100011101110111
+10001101001011101100011101101110
+10001101001100101100011101100110
+10001101001101101100011101011101
+10001101001110101100011101010101
+10001101001111111100011101001100
+10001101010000111100011101000100
+10001101010001111100011100111100
+10001101010010111100011100110011
+10001101010011111100011100101011
+10001101010101001100011100100010
+10001101010110001100011100011010
+10001101010111001100011100010001
+10001101011000001100011100001001
+10001101011001001100011100000001
+10001101011010011100011011111000
+10001101011011011100011011110000
+10001101011100011100011011100111
+10001101011101011100011011011111
+10001101011110011100011011010110
+10001101011111101100011011001110
+10001101100000101100011011000101
+10001101100001101100011010111101
+10001101100010101100011010110101
+10001101100011101100011010101100
+10001101100100111100011010100100
+10001101100101111100011010011011
+10001101100110111100011010010011
+10001101100111111100011010001010
+10001101101001001100011010000010
+10001101101010001100011001111010
+10001101101011001100011001110001
+10001101101100001100011001101001
+10001101101101011100011001100000
+10001101101110011100011001011000
+10001101101111011100011001010000
+10001101110000011100011001000111
+10001101110001011100011000111111
+10001101110010101100011000110110
+10001101110011101100011000101110
+10001101110100101100011000100110
+10001101110101111100011000011101
+10001101110110111100011000010101
+10001101110111111100011000001100
+10001101111000111100011000000100
+10001101111010001100010111111100
+10001101111011001100010111110011
+10001101111100001100010111101011
+10001101111101001100010111100010
+10001101111110011100010111011010
+10001101111111011100010111010010
+10001110000000011100010111001001
+10001110000001101100010111000001
+10001110000010101100010110111000
+10001110000011101100010110110000
+10001110000100101100010110101000
+10001110000101111100010110011111
+10001110000110111100010110010111
+10001110000111111100010110001110
+10001110001001001100010110000110
+10001110001010001100010101111110
+10001110001011001100010101110101
+10001110001100011100010101101101
+10001110001101011100010101100100
+10001110001110011100010101011100
+10001110001111101100010101010100
+10001110010000101100010101001011
+10001110010001101100010101000011
+10001110010010101100010100111011
+10001110010011111100010100110010
+10001110010100111100010100101010
+10001110010101111100010100100010
+10001110010111001100010100011001
+10001110011000001100010100010001
+10001110011001001100010100001000
+10001110011010011100010100000000
+10001110011011011100010011111000
+10001110011100101100010011101111
+10001110011101101100010011100111
+10001110011110101100010011011111
+10001110011111111100010011010110
+10001110100000111100010011001110
+10001110100001111100010011000110
+10001110100011001100010010111101
+10001110100100001100010010110101
+10001110100101001100010010101100
+10001110100110011100010010100100
+10001110100111011100010010011100
+10001110101000101100010010010011
+10001110101001101100010010001011
+10001110101010101100010010000011
+10001110101011111100010001111010
+10001110101100111100010001110010
+10001110101101111100010001101010
+10001110101111001100010001100001
+10001110110000001100010001011001
+10001110110001011100010001010001
+10001110110010011100010001001000
+10001110110011011100010001000000
+10001110110100101100010000111000
+10001110110101101100010000101111
+10001110110110111100010000100111
+10001110110111111100010000011111
+10001110111000111100010000010110
+10001110111010001100010000001110
+10001110111011001100010000000110
+10001110111100011100001111111101
+10001110111101011100001111110101
+10001110111110101100001111101101
+10001110111111101100001111100100
+10001111000000101100001111011100
+10001111000001111100001111010100
+10001111000010111100001111001011
+10001111000100001100001111000011
+10001111000101001100001110111011
+10001111000110011100001110110011
+10001111000111011100001110101010
+10001111001000011100001110100010
+10001111001001101100001110011010
+10001111001010101100001110010001
+10001111001011111100001110001001
+10001111001100111100001110000001
+10001111001110001100001101111000
+10001111001111001100001101110000
+10001111010000011100001101101000
+10001111010001011100001101011111
+10001111010010101100001101010111
+10001111010011101100001101001111
+10001111010100101100001101000111
+10001111010101111100001100111110
+10001111010110111100001100110110
+10001111011000001100001100101110
+10001111011001001100001100100101
+10001111011010011100001100011101
+10001111011011011100001100010101
+10001111011100101100001100001101
+10001111011101101100001100000100
+10001111011110111100001011111100
+10001111011111111100001011110100
+10001111100001001100001011101011
+10001111100010001100001011100011
+10001111100011011100001011011011
+10001111100100011100001011010011
+10001111100101101100001011001010
+10001111100110101100001011000010
+10001111100111111100001010111010
+10001111101000111100001010110001
+10001111101010001100001010101001
+10001111101011001100001010100001
+10001111101100011100001010011001
+10001111101101011100001010010000
+10001111101110101100001010001000
+10001111101111101100001010000000
+10001111110000111100001001111000
+10001111110010001100001001101111
+10001111110011001100001001100111
+10001111110100011100001001011111
+10001111110101011100001001010111
+10001111110110101100001001001110
+10001111110111101100001001000110
+10001111111000111100001000111110
+10001111111001111100001000110110
+10001111111011001100001000101101
+10001111111100001100001000100101
+10001111111101011100001000011101
+10001111111110101100001000010101
+10001111111111101100001000001100
+10010000000000111100001000000100
+10010000000001111100000111111100
+10010000000011001100000111110100
+10010000000100001100000111101011
+10010000000101011100000111100011
+10010000000110011100000111011011
+10010000000111101100000111010011
+10010000001000111100000111001010
+10010000001001111100000111000010
+10010000001011001100000110111010
+10010000001100001100000110110010
+10010000001101011100000110101001
+10010000001110101100000110100001
+10010000001111101100000110011001
+10010000010000111100000110010001
+10010000010001111100000110001000
+10010000010011001100000110000000
+10010000010100011100000101111000
+10010000010101011100000101110000
+10010000010110101100000101101000
+10010000010111101100000101011111
+10010000011000111100000101010111
+10010000011010001100000101001111
+10010000011011001100000101000111
+10010000011100011100000100111111
+10010000011101011100000100110110
+10010000011110101100000100101110
+10010000011111111100000100100110
+10010000100000111100000100011110
+10010000100010001100000100010101
+10010000100011011100000100001101
+10010000100100011100000100000101
+10010000100101101100000011111101
+10010000100110111100000011110101
+10010000100111111100000011101100
+10010000101001001100000011100100
+10010000101010001100000011011100
+10010000101011011100000011010100
+10010000101100101100000011001100
+10010000101101101100000011000011
+10010000101110111100000010111011
+10010000110000001100000010110011
+10010000110001001100000010101011
+10010000110010011100000010100011
+10010000110011101100000010011010
+10010000110100101100000010010010
+10010000110101111100000010001010
+10010000110111001100000010000010
+10010000111000001100000001111010
+10010000111001011100000001110010
+10010000111010101100000001101001
+10010000111011101100000001100001
+10010000111100111100000001011001
+10010000111110001100000001010001
+10010000111111011100000001001001
+10010001000000011100000001000001
+10010001000001101100000000111000
+10010001000010111100000000110000
+10010001000011111100000000101000
+10010001000101001100000000100000
+10010001000110011100000000011000
+10010001000111011100000000010000
+10010001001000101100000000000111
+10010001001001111011111111111110
+10010001001011001011111111110110
+10010001001100001011111111101110
+10010001001101011011111111100110
+10010001001110101011111111011110
+10010001001111101011111111010101
+10010001010000111011111111001101
+10010001010010001011111111000101
+10010001010011011011111110111101
+10010001010100011011111110110101
+10010001010101101011111110101101
+10010001010110111011111110100100
+10010001011000001011111110011100
+10010001011001001011111110010100
+10010001011010011011111110001100
+10010001011011101011111110000100
+10010001011100111011111101111100
+10010001011101111011111101110100
+10010001011111001011111101101100
+10010001100000011011111101100011
+10010001100001101011111101011011
+10010001100010101011111101010011
+10010001100011111011111101001011
+10010001100101001011111101000011
+10010001100110011011111100111011
+10010001100111011011111100110011
+10010001101000101011111100101010
+10010001101001111011111100100010
+10010001101011001011111100011010
+10010001101100011011111100010010
+10010001101101011011111100001010
+10010001101110101011111100000010
+10010001101111111011111011111010
+10010001110001001011111011110010
+10010001110010001011111011101001
+10010001110011011011111011100001
+10010001110100101011111011011001
+10010001110101111011111011010001
+10010001110111001011111011001001
+10010001111000001011111011000001
+10010001111001011011111010111001
+10010001111010101011111010110001
+10010001111011111011111010101001
+10010001111101001011111010100001
+10010001111110001011111010011000
+10010001111111011011111010010000
+10010010000000101011111010001000
+10010010000001111011111010000000
+10010010000011001011111001111000
+10010010000100011011111001110000
+10010010000101011011111001101000
+10010010000110101011111001100000
+10010010000111111011111001011000
+10010010001001001011111001010000
+10010010001010011011111001000111
+10010010001011101011111000111111
+10010010001100101011111000110111
+10010010001101111011111000101111
+10010010001111001011111000100111
+10010010010000011011111000011111
+10010010010001101011111000010111
+10010010010010111011111000001111
+10010010010100001011111000000111
+10010010010101001011110111111111
+10010010010110011011110111110111
+10010010010111101011110111101111
+10010010011000111011110111100111
+10010010011010001011110111011110
+10010010011011011011110111010110
+10010010011100101011110111001110
+10010010011101101011110111000110
+10010010011110111011110110111110
+10010010100000001011110110110110
+10010010100001011011110110101110
+10010010100010101011110110100110
+10010010100011111011110110011110
+10010010100101001011110110010110
+10010010100110011011110110001110
+10010010100111101011110110000110
+10010010101000101011110101111110
+10010010101001111011110101110110
+10010010101011001011110101101110
+10010010101100011011110101100110
+10010010101101101011110101011110
+10010010101110111011110101010101
+10010010110000001011110101001101
+10010010110001011011110101000101
+10010010110010101011110100111101
+10010010110011111011110100110101
+10010010110101001011110100101101
+10010010110110001011110100100101
+10010010110111011011110100011101
+10010010111000101011110100010101
+10010010111001111011110100001101
+10010010111011001011110100000101
+10010010111100011011110011111101
+10010010111101101011110011110101
+10010010111110111011110011101101
+10010011000000001011110011100101
+10010011000001011011110011011101
+10010011000010101011110011010101
+10010011000011111011110011001101
+10010011000101001011110011000101
+10010011000110011011110010111101
+10010011000111101011110010110101
+10010011001000111011110010101101
+10010011001010001011110010100101
+10010011001011001011110010011101
+10010011001100011011110010010101
+10010011001101101011110010001101
+10010011001110111011110010000101
+10010011010000001011110001111101
+10010011010001011011110001110101
+10010011010010101011110001101101
+10010011010011111011110001100101
+10010011010101001011110001011101
+10010011010110011011110001010101
+10010011010111101011110001001101
+10010011011000111011110001000101
+10010011011010001011110000111101
+10010011011011011011110000110101
+10010011011100101011110000101101
+10010011011101111011110000100101
+10010011011111001011110000011101
+10010011100000011011110000010101
+10010011100001101011110000001101
+10010011100010111011110000000101
+10010011100100001011101111111101
+10010011100101011011101111110101
+10010011100110101011101111101101
+10010011100111111011101111100101
+10010011101001001011101111011101
+10010011101010011011101111010101
+10010011101011101011101111001101
+10010011101100111011101111000101
+10010011101110001011101110111101
+10010011101111011011101110110101
+10010011110000101011101110101101
+10010011110001111011101110100101
+10010011110011001011101110011101
+10010011110100011011101110010101
+10010011110101101011101110001101
+10010011110111001011101110000101
+10010011111000011011101101111101
+10010011111001101011101101110101
+10010011111010111011101101101101
+10010011111100001011101101100101
+10010011111101011011101101011101
+10010011111110101011101101010110
+10010011111111111011101101001110
+10010100000001001011101101000110
+10010100000010011011101100111110
+10010100000011101011101100110110
+10010100000100111011101100101110
+10010100000110001011101100100110
+10010100000111011011101100011110
+10010100001000101011101100010110
+10010100001001111011101100001110
+10010100001011001011101100000110
+10010100001100101011101011111110
+10010100001101111011101011110110
+10010100001111001011101011101110
+10010100010000011011101011100110
+10010100010001101011101011011110
+10010100010010111011101011010110
+10010100010100001011101011001111
+10010100010101011011101011000111
+10010100010110101011101010111111
+10010100010111111011101010110111
+10010100011001001011101010101111
+10010100011010101011101010100111
+10010100011011111011101010011111
+10010100011101001011101010010111
+10010100011110011011101010001111
+10010100011111101011101010000111
+10010100100000111011101001111111
+10010100100010001011101001110111
+10010100100011011011101001101111
+10010100100100111011101001101000
+10010100100110001011101001100000
+10010100100111011011101001011000
+10010100101000101011101001010000
+10010100101001111011101001001000
+10010100101011001011101001000000
+10010100101100011011101000111000
+10010100101101101011101000110000
+10010100101111001011101000101000
+10010100110000011011101000100000
+10010100110001101011101000011001
+10010100110010111011101000010001
+10010100110100001011101000001001
+10010100110101011011101000000001
+10010100110110101011100111111001
+10010100111000001011100111110001
+10010100111001011011100111101001
+10010100111010101011100111100001
+10010100111011111011100111011001
+10010100111101001011100111010010
+10010100111110011011100111001010
+10010100111111111011100111000010
+10010101000001001011100110111010
+10010101000010011011100110110010
+10010101000011101011100110101010
+10010101000100111011100110100010
+10010101000110011011100110011010
+10010101000111101011100110010011
+10010101001000111011100110001011
+10010101001010001011100110000011
+10010101001011011011100101111011
+10010101001100101011100101110011
+10010101001110001011100101101011
+10010101001111011011100101100011
+10010101010000101011100101011100
+10010101010001111011100101010100
+10010101010011001011100101001100
+10010101010100101011100101000100
+10010101010101111011100100111100
+10010101010111001011100100110100
+10010101011000011011100100101100
+10010101011001111011100100100101
+10010101011011001011100100011101
+10010101011100011011100100010101
+10010101011101101011100100001101
+10010101011110111011100100000101
+10010101100000011011100011111101
+10010101100001101011100011110101
+10010101100010111011100011101110
+10010101100100001011100011100110
+10010101100101101011100011011110
+10010101100110111011100011010110
+10010101101000001011100011001110
+10010101101001011011100011000110
+10010101101010111011100010111111
+10010101101100001011100010110111
+10010101101101011011100010101111
+10010101101110101011100010100111
+10010101110000001011100010011111
+10010101110001011011100010011000
+10010101110010101011100010010000
+10010101110011111011100010001000
+10010101110101011011100010000000
+10010101110110101011100001111000
+10010101110111111011100001110000
+10010101111001001011100001101001
+10010101111010101011100001100001
+10010101111011111011100001011001
+10010101111101001011100001010001
+10010101111110011011100001001001
+10010101111111111011100001000010
+10010110000001001011100000111010
+10010110000010011011100000110010
+10010110000011111011100000101010
+10010110000101001011100000100010
+10010110000110011011100000011011
+10010110000111101011100000010011
+10010110001001001011100000001011
+10010110001010011011100000000011
+10010110001011101011011111111011
+10010110001101001011011111110100
+10010110001110011011011111101100
+10010110001111101011011111100100
+10010110010001001011011111011100
+10010110010010011011011111010100
+10010110010011101011011111001101
+10010110010101001011011111000101
+10010110010110011011011110111101
+10010110010111101011011110110101
+10010110011001001011011110101110
+10010110011010011011011110100110
+10010110011011101011011110011110
+10010110011101001011011110010110
+10010110011110011011011110001110
+10010110011111101011011110000111
+10010110100001001011011101111111
+10010110100010011011011101110111
+10010110100011101011011101101111
+10010110100101001011011101101000
+10010110100110011011011101100000
+10010110100111101011011101011000
+10010110101001001011011101010000
+10010110101010011011011101001001
+10010110101011101011011101000001
+10010110101101001011011100111001
+10010110101110011011011100110001
+10010110101111101011011100101010
+10010110110001001011011100100010
+10010110110010011011011100011010
+10010110110011101011011100010010
+10010110110101001011011100001011
+10010110110110011011011100000011
+10010110110111111011011011111011
+10010110111001001011011011110011
+10010110111010011011011011101100
+10010110111011111011011011100100
+10010110111101001011011011011100
+10010110111110011011011011010100
+10010110111111111011011011001101
+10010111000001001011011011000101
+10010111000010101011011010111101
+10010111000011111011011010110110
+10010111000101001011011010101110
+10010111000110101011011010100110
+10010111000111111011011010011110
+10010111001001011011011010010111
+10010111001010101011011010001111
+10010111001011111011011010000111
+10010111001101011011011001111111
+10010111001110101011011001111000
+10010111010000001011011001110000
+10010111010001011011011001101000
+10010111010010111011011001100001
+10010111010100001011011001011001
+10010111010101011011011001010001
+10010111010110111011011001001010
+10010111011000001011011001000010
+10010111011001101011011000111010
+10010111011010111011011000110010
+10010111011100011011011000101011
+10010111011101101011011000100011
+10010111011110111011011000011011
+10010111100000011011011000010100
+10010111100001101011011000001100
+10010111100011001011011000000100
+10010111100100011011010111111101
+10010111100101111011010111110101
+10010111100111001011010111101101
+10010111101000101011010111100101
+10010111101001111011010111011110
+10010111101011001011010111010110
+10010111101100101011010111001110
+10010111101101111011010111000111
+10010111101111011011010110111111
+10010111110000101011010110110111
+10010111110010001011010110110000
+10010111110011011011010110101000
+10010111110100111011010110100000
+10010111110110001011010110011001
+10010111110111101011010110010001
+10010111111000111011010110001001
+10010111111010011011010110000010
+10010111111011101011010101111010
+10010111111101001011010101110010
+10010111111110011011010101101011
+10010111111111111011010101100011
+10011000000001001011010101011011
+10011000000010101011010101010100
+10011000000011111011010101001100
+10011000000101011011010101000100
+10011000000110101011010100111101
+10011000001000001011010100110101
+10011000001001011011010100101110
+10011000001010111011010100100110
+10011000001100001011010100011110
+10011000001101101011010100010111
+10011000001110111011010100001111
+10011000010000011011010100000111
+10011000010001101011010100000000
+10011000010011001011010011111000
+10011000010100011011010011110000
+10011000010101111011010011101001
+10011000010111001011010011100001
+10011000011000101011010011011001
+10011000011001111011010011010010
+10011000011011011011010011001010
+10011000011100101011010011000011
+10011000011110001011010010111011
+10011000011111101011010010110011
+10011000100000111011010010101100
+10011000100010011011010010100100
+10011000100011101011010010011101
+10011000100101001011010010010101
+10011000100110011011010010001101
+10011000100111111011010010000110
+10011000101001001011010001111110
+10011000101010101011010001110110
+10011000101100001011010001101111
+10011000101101011011010001100111
+10011000101110111011010001100000
+10011000110000001011010001011000
+10011000110001101011010001010000
+10011000110010111011010001001001
+10011000110100011011010001000001
+10011000110101111011010000111010
+10011000110111001011010000110010
+10011000111000101011010000101010
+10011000111001111011010000100011
+10011000111011011011010000011011
+10011000111100101011010000010100
+10011000111110001011010000001100
+10011000111111101011010000000101
+10011001000000111011001111111101
+10011001000010011011001111110101
+10011001000011101011001111101110
+10011001000101001011001111100110
+10011001000110101011001111011111
+10011001000111111011001111010111
+10011001001001011011001111001111
+10011001001010101011001111001000
+10011001001100001011001111000000
+10011001001101101011001110111001
+10011001001110111011001110110001
+10011001010000011011001110101010
+10011001010001111011001110100010
+10011001010011001011001110011010
+10011001010100101011001110010011
+10011001010101111011001110001011
+10011001010111011011001110000100
+10011001011000111011001101111100
+10011001011010001011001101110101
+10011001011011101011001101101101
+10011001011101001011001101100110
+10011001011110011011001101011110
+10011001011111111011001101010111
+10011001100001011011001101001111
+10011001100010101011001101000111
+10011001100100001011001101000000
+10011001100101101011001100111000
+10011001100110111011001100110001
+10011001101000011011001100101001
+10011001101001101011001100100010
+10011001101011001011001100011010
+10011001101100101011001100010011
+10011001101101111011001100001011
+10011001101111011011001100000100
+10011001110000111011001011111100
+10011001110010001011001011110101
+10011001110011101011001011101101
+10011001110101001011001011100101
+10011001110110011011001011011110
+10011001110111111011001011010110
+10011001111001011011001011001111
+10011001111010111011001011000111
+10011001111100001011001011000000
+10011001111101101011001010111000
+10011001111111001011001010110001
+10011010000000011011001010101001
+10011010000001111011001010100010
+10011010000011011011001010011010
+10011010000100101011001010010011
+10011010000110001011001010001011
+10011010000111101011001010000100
+10011010001001001011001001111100
+10011010001010011011001001110101
+10011010001011111011001001101101
+10011010001101011011001001100110
+10011010001110101011001001011110
+10011010010000001011001001010111
+10011010010001101011001001001111
+10011010010011001011001001001000
+10011010010100011011001001000000
+10011010010101111011001000111001
+10011010010111011011001000110001
+10011010011000101011001000101010
+10011010011010001011001000100010
+10011010011011101011001000011011
+10011010011101001011001000010011
+10011010011110011011001000001100
+10011010011111111011001000000101
+10011010100001011011000111111101
+10011010100010111011000111110110
+10011010100100001011000111101110
+10011010100101101011000111100111
+10011010100111001011000111011111
+10011010101000101011000111011000
+10011010101001111011000111010000
+10011010101011011011000111001001
+10011010101100111011000111000001
+10011010101110011011000110111010
+10011010101111101011000110110010
+10011010110001001011000110101011
+10011010110010101011000110100100
+10011010110100001011000110011100
+10011010110101011011000110010101
+10011010110110111011000110001101
+10011010111000011011000110000110
+10011010111001111011000101111110
+10011010111011011011000101110111
+10011010111100101011000101101111
+10011010111110001011000101101000
+10011010111111101011000101100001
+10011011000001001011000101011001
+10011011000010101011000101010010
+10011011000011111011000101001010
+10011011000101011011000101000011
+10011011000110111011000100111011
+10011011001000011011000100110100
+10011011001001111011000100101101
+10011011001011001011000100100101
+10011011001100101011000100011110
+10011011001110001011000100010110
+10011011001111101011000100001111
+10011011010001001011000100000111
+10011011010010011011000100000000
+10011011010011111011000011111001
+10011011010101011011000011110001
+10011011010110111011000011101010
+10011011011000011011000011100010
+10011011011001101011000011011011
+10011011011011001011000011010100
+10011011011100101011000011001100
+10011011011110001011000011000101
+10011011011111101011000010111101
+10011011100001001011000010110110
+10011011100010011011000010101111
+10011011100011111011000010100111
+10011011100101011011000010100000
+10011011100110111011000010011000
+10011011101000011011000010010001
+10011011101001111011000010001010
+10011011101011011011000010000010
+10011011101100101011000001111011
+10011011101110001011000001110011
+10011011101111101011000001101100
+10011011110001001011000001100101
+10011011110010101011000001011101
+10011011110100001011000001010110
+10011011110101101011000001001111
+10011011110110111011000001000111
+10011011111000011011000001000000
+10011011111001111011000000111000
+10011011111011011011000000110001
+10011011111100111011000000101010
+10011011111110011011000000100010
+10011011111111111011000000011011
+10011100000001011011000000010100
+10011100000010101011000000001100
+10011100000100001011000000000101
+10011100000101101010111111111101
+10011100000111001010111111110110
+10011100001000101010111111101111
+10011100001010001010111111100111
+10011100001011101010111111100000
+10011100001101001010111111011001
+10011100001110101010111111010001
+10011100010000001010111111001010
+10011100010001011010111111000011
+10011100010010111010111110111011
+10011100010100011010111110110100
+10011100010101111010111110101101
+10011100010111011010111110100101
+10011100011000111010111110011110
+10011100011010011010111110010111
+10011100011011111010111110001111
+10011100011101011010111110001000
+10011100011110111010111110000001
+10011100100000011010111101111001
+10011100100001111010111101110010
+10011100100011001010111101101011
+10011100100100101010111101100011
+10011100100110001010111101011100
+10011100100111101010111101010101
+10011100101001001010111101001101
+10011100101010101010111101000110
+10011100101100001010111100111111
+10011100101101101010111100111000
+10011100101111001010111100110000
+10011100110000101010111100101001
+10011100110010001010111100100010
+10011100110011101010111100011010
+10011100110101001010111100010011
+10011100110110101010111100001100
+10011100111000001010111100000100
+10011100111001101010111011111101
+10011100111011001010111011110110
+10011100111100101010111011101110
+10011100111110001010111011100111
+10011100111111101010111011100000
+10011101000001001010111011011001
+10011101000010101010111011010001
+10011101000100001010111011001010
+10011101000101101010111011000011
+10011101000110111010111010111011
+10011101001000011010111010110100
+10011101001001111010111010101101
+10011101001011011010111010100110
+10011101001100111010111010011110
+10011101001110011010111010010111
+10011101001111111010111010010000
+10011101010001011010111010001001
+10011101010010111010111010000001
+10011101010100011010111001111010
+10011101010101111010111001110011
+10011101010111011010111001101011
+10011101011000111010111001100100
+10011101011010011010111001011101
+10011101011011111010111001010110
+10011101011101011010111001001110
+10011101011110111010111001000111
+10011101100000101010111001000000
+10011101100010001010111000111001
+10011101100011101010111000110001
+10011101100101001010111000101010
+10011101100110101010111000100011
+10011101101000001010111000011100
+10011101101001101010111000010100
+10011101101011001010111000001101
+10011101101100101010111000000110
+10011101101110001010110111111111
+10011101101111101010110111111000
+10011101110001001010110111110000
+10011101110010101010110111101001
+10011101110100001010110111100010
+10011101110101101010110111011011
+10011101110111001010110111010011
+10011101111000101010110111001100
+10011101111010001010110111000101
+10011101111011101010110110111110
+10011101111101001010110110110110
+10011101111110101010110110101111
+10011110000000001010110110101000
+10011110000001101010110110100001
+10011110000011011010110110011010
+10011110000100111010110110010010
+10011110000110011010110110001011
+10011110000111111010110110000100
+10011110001001011010110101111101
+10011110001010111010110101110110
+10011110001100011010110101101110
+10011110001101111010110101100111
+10011110001111011010110101100000
+10011110010000111010110101011001
+10011110010010011010110101010010
+10011110010011111010110101001010
+10011110010101011010110101000011
+10011110010111001010110100111100
+10011110011000101010110100110101
+10011110011010001010110100101110
+10011110011011101010110100100110
+10011110011101001010110100011111
+10011110011110101010110100011000
+10011110100000001010110100010001
+10011110100001101010110100001010
+10011110100011001010110100000011
+10011110100100101010110011111011
+10011110100110011010110011110100
+10011110100111111010110011101101
+10011110101001011010110011100110
+10011110101010111010110011011111
+10011110101100011010110011011000
+10011110101101111010110011010000
+10011110101111011010110011001001
+10011110110000111010110011000010
+10011110110010101010110010111011
+10011110110100001010110010110100
+10011110110101101010110010101101
+10011110110111001010110010100101
+10011110111000101010110010011110
+10011110111010001010110010010111
+10011110111011101010110010010000
+10011110111101011010110010001001
+10011110111110111010110010000010
+10011111000000011010110001111011
+10011111000001111010110001110011
+10011111000011011010110001101100
+10011111000100111010110001100101
+10011111000110011010110001011110
+10011111001000001010110001010111
+10011111001001101010110001010000
+10011111001011001010110001001001
+10011111001100101010110001000001
+10011111001110001010110000111010
+10011111001111101010110000110011
+10011111010001011010110000101100
+10011111010010111010110000100101
+10011111010100011010110000011110
+10011111010101111010110000010111
+10011111010111011010110000010000
+10011111011000111010110000001001
+10011111011010101010110000000001
+10011111011100001010101111111010
+10011111011101101010101111110011
+10011111011111001010101111101100
+10011111100000101010101111100101
+10011111100010011010101111011110
+10011111100011111010101111010111
+10011111100101011010101111010000
+10011111100110111010101111001001
+10011111101000011010101111000001
+10011111101010001010101110111010
+10011111101011101010101110110011
+10011111101101001010101110101100
+10011111101110101010101110100101
+10011111110000001010101110011110
+10011111110001111010101110010111
+10011111110011011010101110010000
+10011111110100111010101110001001
+10011111110110011010101110000010
+10011111111000001010101101111011
+10011111111001101010101101110100
+10011111111011001010101101101100
+10011111111100101010101101100101
+10011111111110001010101101011110
+10011111111111111010101101010111
+10100000000001011010101101010000
+10100000000010111010101101001001
+10100000000100011010101101000010
+10100000000110001010101100111011
+10100000000111101010101100110100
+10100000001001001010101100101101
+10100000001010101010101100100110
+10100000001100011010101100011111
+10100000001101111010101100011000
+10100000001111011010101100010001
+10100000010000111010101100001010
+10100000010010101010101100000011
+10100000010100001010101011111100
+10100000010101101010101011110100
+10100000010111001010101011101101
+10100000011000111010101011100110
+10100000011010011010101011011111
+10100000011011111010101011011000
+10100000011101011010101011010001
+10100000011111001010101011001010
+10100000100000101010101011000011
+10100000100010001010101010111100
+10100000100011111010101010110101
+10100000100101011010101010101110
+10100000100110111010101010100111
+10100000101000011010101010100000
+10100000101010001010101010011001
+10100000101011101010101010010010
+10100000101101001010101010001011
+10100000101110111010101010000100
+10100000110000011010101001111101
+10100000110001111010101001110110
+10100000110011011010101001101111
+10100000110101001010101001101000
+10100000110110101010101001100001
+10100000111000001010101001011010
+10100000111001111010101001010011
+10100000111011011010101001001100
+10100000111100111010101001000101
+10100000111110101010101000111110
+10100001000000001010101000110111
+10100001000001101010101000110000
+10100001000011011010101000101001
+10100001000100111010101000100010
+10100001000110011010101000011011
+10100001001000001010101000010100
+10100001001001101010101000001101
+10100001001011001010101000000110
+10100001001100111010100111111111
+10100001001110011010100111111000
+10100001001111111010100111110001
+10100001010001101010100111101010
+10100001010011001010100111100011
+10100001010100101010100111011100
+10100001010110011010100111010101
+10100001010111111010100111001110
+10100001011001011010100111000111
+10100001011011001010100111000000
+10100001011100101010100110111001
+10100001011110001010100110110010
+10100001011111111010100110101011
+10100001100001011010100110100100
+10100001100010111010100110011110
+10100001100100101010100110010111
+10100001100110001010100110010000
+10100001100111101010100110001001
+10100001101001011010100110000010
+10100001101010111010100101111011
+10100001101100101010100101110100
+10100001101110001010100101101101
+10100001101111101010100101100110
+10100001110001011010100101011111
+10100001110010111010100101011000
+10100001110100011010100101010001
+10100001110110001010100101001010
+10100001110111101010100101000011
+10100001111001011010100100111100
+10100001111010111010100100110101
+10100001111100011010100100101110
+10100001111110001010100100101000
+10100001111111101010100100100001
+10100010000001011010100100011010
+10100010000010111010100100010011
+10100010000100011010100100001100
+10100010000110001010100100000101
+10100010000111101010100011111110
+10100010001001011010100011110111
+10100010001010111010100011110000
+10100010001100011010100011101001
+10100010001110001010100011100010
+10100010001111101010100011011100
+10100010010001011010100011010101
+10100010010010111010100011001110
+10100010010100101010100011000111
+10100010010110001010100011000000
+10100010010111101010100010111001
+10100010011001011010100010110010
+10100010011010111010100010101011
+10100010011100101010100010100100
+10100010011110001010100010011101
+10100010011111111010100010010111
+10100010100001011010100010010000
+10100010100010111010100010001001
+10100010100100101010100010000010
+10100010100110001010100001111011
+10100010100111111010100001110100
+10100010101001011010100001101101
+10100010101011001010100001100110
+10100010101100101010100001100000
+10100010101110011010100001011001
+10100010101111111010100001010010
+10100010110001011010100001001011
+10100010110011001010100001000100
+10100010110100101010100000111101
+10100010110110011010100000110110
+10100010110111111010100000110000
+10100010111001101010100000101001
+10100010111011001010100000100010
+10100010111100111010100000011011
+10100010111110011010100000010100
+10100011000000001010100000001101
+10100011000001101010100000000110
+10100011000011011010100000000000
+10100011000100111010011111111001
+10100011000110101010011111110010
+10100011001000001010011111101011
+10100011001001111010011111100100
+10100011001011011010011111011101
+10100011001101001010011111010111
+10100011001110101010011111010000
+10100011010000001010011111001001
+10100011010001111010011111000010
+10100011010011011010011110111011
+10100011010101001010011110110100
+10100011010110101010011110101110
+10100011011000011010011110100111
+10100011011001111010011110100000
+10100011011011101010011110011001
+10100011011101011010011110010010
+10100011011110111010011110001100
+10100011100000101010011110000101
+10100011100010001010011101111110
+10100011100011111010011101110111
+10100011100101011010011101110000
+10100011100111001010011101101001
+10100011101000101010011101100011
+10100011101010011010011101011100
+10100011101011111010011101010101
+10100011101101101010011101001110
+10100011101111001010011101000111
+10100011110000111010011101000001
+10100011110010011010011100111010
+10100011110100001010011100110011
+10100011110101101010011100101100
+10100011110111011010011100100110
+10100011111000111010011100011111
+10100011111010101010011100011000
+10100011111100011010011100010001
+10100011111101111010011100001010
+10100011111111101010011100000100
+10100100000001001010011011111101
+10100100000010111010011011110110
+10100100000100011010011011101111
+10100100000110001010011011101001
+10100100000111101010011011100010
+10100100001001011010011011011011
+10100100001011001010011011010100
+10100100001100101010011011001110
+10100100001110011010011011000111
+10100100001111111010011011000000
+10100100010001101010011010111001
+10100100010011001010011010110010
+10100100010100111010011010101100
+10100100010110101010011010100101
+10100100011000001010011010011110
+10100100011001111010011010011000
+10100100011011011010011010010001
+10100100011101001010011010001010
+10100100011110111010011010000011
+10100100100000011010011001111101
+10100100100010001010011001110110
+10100100100011101010011001101111
+10100100100101011010011001101000
+10100100100110111010011001100010
+10100100101000101010011001011011
+10100100101010011010011001010100
+10100100101011111010011001001101
+10100100101101101010011001000111
+10100100101111001010011001000000
+10100100110000111010011000111001
+10100100110010101010011000110011
+10100100110100001010011000101100
+10100100110101111010011000100101
+10100100110111101010011000011110
+10100100111001001010011000011000
+10100100111010111010011000010001
+10100100111100011010011000001010
+10100100111110001010011000000100
+10100100111111111010010111111101
+10100101000001011010010111110110
+10100101000011001010010111110000
+10100101000100111010010111101001
+10100101000110011010010111100010
+10100101001000001010010111011011
+10100101001001101010010111010101
+10100101001011011010010111001110
+10100101001101001010010111000111
+10100101001110101010010111000001
+10100101010000011010010110111010
+10100101010010001010010110110011
+10100101010011101010010110101101
+10100101010101011010010110100110
+10100101010111001010010110011111
+10100101011000101010010110011001
+10100101011010011010010110010010
+10100101011100001010010110001011
+10100101011101101010010110000101
+10100101011111011010010101111110
+10100101100001001010010101110111
+10100101100010101010010101110001
+10100101100100011010010101101010
+10100101100110001010010101100011
+10100101100111101010010101011101
+10100101101001011010010101010110
+10100101101011001010010101001111
+10100101101100101010010101001001
+10100101101110011010010101000010
+10100101110000001010010100111011
+10100101110001101010010100110101
+10100101110011011010010100101110
+10100101110101001010010100100111
+10100101110110101010010100100001
+10100101111000011010010100011010
+10100101111010001010010100010100
+10100101111011111010010100001101
+10100101111101011010010100000110
+10100101111111001010010100000000
+10100110000000111010010011111001
+10100110000010011010010011110010
+10100110000100001010010011101100
+10100110000101111010010011100101
+10100110000111011010010011011111
+10100110001001001010010011011000
+10100110001010111010010011010001
+10100110001100101010010011001011
+10100110001110001010010011000100
+10100110001111111010010010111101
+10100110010001101010010010110111
+10100110010011001010010010110000
+10100110010100111010010010101010
+10100110010110101010010010100011
+10100110011000011010010010011100
+10100110011001111010010010010110
+10100110011011101010010010001111
+10100110011101011010010010001001
+10100110011111001010010010000010
+10100110100000101010010001111100
+10100110100010011010010001110101
+10100110100100001010010001101110
+10100110100101111010010001101000
+10100110100111011010010001100001
+10100110101001001010010001011011
+10100110101010111010010001010100
+10100110101100011010010001001101
+10100110101110001010010001000111
+10100110101111111010010001000000
+10100110110001101010010000111010
+10100110110011011010010000110011
+10100110110100111010010000101101
+10100110110110101010010000100110
+10100110111000011010010000011111
+10100110111010001010010000011001
+10100110111011101010010000010010
+10100110111101011010010000001100
+10100110111111001010010000000101
+10100111000000111010001111111111
+10100111000010011010001111111000
+10100111000100001010001111110010
+10100111000101111010001111101011
+10100111000111101010001111100100
+10100111001001011010001111011110
+10100111001010111010001111010111
+10100111001100101010001111010001
+10100111001110011010001111001010
+10100111010000001010001111000100
+10100111010001101010001110111101
+10100111010011011010001110110111
+10100111010101001010001110110000
+10100111010110111010001110101010
+10100111011000101010001110100011
+10100111011010001010001110011101
+10100111011011111010001110010110
+10100111011101101010001110010000
+10100111011111011010001110001001
+10100111100001001010001110000011
+10100111100010111010001101111100
+10100111100100011010001101110110
+10100111100110001010001101101111
+10100111100111111010001101101000
+10100111101001101010001101100010
+10100111101011011010001101011011
+10100111101100111010001101010101
+10100111101110101010001101001110
+10100111110000011010001101001000
+10100111110010001010001101000001
+10100111110011111010001100111011
+10100111110101101010001100110101
+10100111110111001010001100101110
+10100111111000111010001100101000
+10100111111010101010001100100001
+10100111111100011010001100011011
+10100111111110001010001100010100
+10100111111111111010001100001110
+10101000000001011010001100000111
+10101000000011001010001100000001
+10101000000100111010001011111010
+10101000000110101010001011110100
+10101000001000011010001011101101
+10101000001010001010001011100111
+10101000001011111010001011100000
+10101000001101011010001011011010
+10101000001111001010001011010011
+10101000010000111010001011001101
+10101000010010101010001011000110
+10101000010100011010001011000000
+10101000010110001010001010111010
+10101000010111111010001010110011
+10101000011001011010001010101101
+10101000011011001010001010100110
+10101000011100111010001010100000
+10101000011110101010001010011001
+10101000100000011010001010010011
+10101000100010001010001010001100
+10101000100011111010001010000110
+10101000100101101010001010000000
+10101000100111001010001001111001
+10101000101000111010001001110011
+10101000101010101010001001101100
+10101000101100011010001001100110
+10101000101110001010001001011111
+10101000101111111010001001011001
+10101000110001101010001001010011
+10101000110011011010001001001100
+10101000110101001010001001000110
+10101000110110111010001000111111
+10101000111000011010001000111001
+10101000111010001010001000110010
+10101000111011111010001000101100
+10101000111101101010001000100110
+10101000111111011010001000011111
+10101001000001001010001000011001
+10101001000010111010001000010010
+10101001000100101010001000001100
+10101001000110011010001000000110
+10101001001000001010000111111111
+10101001001001111010000111111001
+10101001001011011010000111110010
+10101001001101001010000111101100
+10101001001110111010000111100110
+10101001010000101010000111011111
+10101001010010011010000111011001
+10101001010100001010000111010010
+10101001010101111010000111001100
+10101001010111101010000111000110
+10101001011001011010000110111111
+10101001011011001010000110111001
+10101001011100111010000110110011
+10101001011110101010000110101100
+10101001100000011010000110100110
+10101001100010001010000110011111
+10101001100011111010000110011001
+10101001100101101010000110010011
+10101001100111011010000110001100
+10101001101000111010000110000110
+10101001101010101010000110000000
+10101001101100011010000101111001
+10101001101110001010000101110011
+10101001101111111010000101101101
+10101001110001101010000101100110
+10101001110011011010000101100000
+10101001110101001010000101011010
+10101001110110111010000101010011
+10101001111000101010000101001101
+10101001111010011010000101000111
+10101001111100001010000101000000
+10101001111101111010000100111010
+10101001111111101010000100110100
+10101010000001011010000100101101
+10101010000011001010000100100111
+10101010000100111010000100100001
+10101010000110101010000100011010
+10101010001000011010000100010100
+10101010001010001010000100001110
+10101010001011111010000100000111
+10101010001101101010000100000001
+10101010001111011010000011111011
+10101010010001001010000011110100
+10101010010010111010000011101110
+10101010010100101010000011101000
+10101010010110011010000011100001
+10101010011000001010000011011011
+10101010011001111010000011010101
+10101010011011101010000011001110
+10101010011101011010000011001000
+10101010011111001010000011000010
+10101010100000111010000010111100
+10101010100010101010000010110101
+10101010100100011010000010101111
+10101010100110001010000010101001
+10101010100111111010000010100010
+10101010101001101010000010011100
+10101010101011011010000010010110
+10101010101101001010000010010000
+10101010101110111010000010001001
+10101010110000101010000010000011
+10101010110010011010000001111101
+10101010110100001010000001110110
+10101010110101111010000001110000
+10101010110111101010000001101010
+10101010111001011010000001100100
+10101010111011001010000001011101
+10101010111100111010000001010111
+10101010111110111010000001010001
+10101011000000101010000001001011
+10101011000010011010000001000100
+10101011000100001010000000111110
+10101011000101111010000000111000
+10101011000111101010000000110010
+10101011001001011010000000101011
+10101011001011001010000000100101
+10101011001100111010000000011111
+10101011001110101010000000011001
+10101011010000011010000000010010
+10101011010010001010000000001100
+10101011010011111010000000000110
+10101011010101101010000000000000
+10101011010111011001111111111001
+10101011011001001001111111110011
+10101011011010111001111111101101
+10101011011100111001111111100111
+10101011011110101001111111100001
+10101011100000011001111111011010
+10101011100010001001111111010100
+10101011100011111001111111001110
+10101011100101101001111111001000
+10101011100111011001111111000001
+10101011101001001001111110111011
+10101011101010111001111110110101
+10101011101100101001111110101111
+10101011101110011001111110101001
+10101011110000001001111110100010
+10101011110010001001111110011100
+10101011110011111001111110010110
+10101011110101101001111110010000
+10101011110111011001111110001010
+10101011111001001001111110000011
+10101011111010111001111101111101
+10101011111100101001111101110111
+10101011111110011001111101110001
+10101100000000001001111101101011
+10101100000010001001111101100100
+10101100000011111001111101011110
+10101100000101101001111101011000
+10101100000111011001111101010010
+10101100001001001001111101001100
+10101100001010111001111101000110
+10101100001100101001111100111111
+10101100001110011001111100111001
+10101100010000001001111100110011
+10101100010010001001111100101101
+10101100010011111001111100100111
+10101100010101101001111100100001
+10101100010111011001111100011010
+10101100011001001001111100010100
+10101100011010111001111100001110
+10101100011100101001111100001000
+10101100011110101001111100000010
+10101100100000011001111011111100
+10101100100010001001111011110110
+10101100100011111001111011101111
+10101100100101101001111011101001
+10101100100111011001111011100011
+10101100101001001001111011011101
+10101100101011001001111011010111
+10101100101100111001111011010001
+10101100101110101001111011001011
+10101100110000011001111011000100
+10101100110010001001111010111110
+10101100110011111001111010111000
+10101100110101111001111010110010
+10101100110111101001111010101100
+10101100111001011001111010100110
+10101100111011001001111010100000
+10101100111100111001111010011010
+10101100111110101001111010010011
+10101101000000101001111010001101
+10101101000010011001111010000111
+10101101000100001001111010000001
+10101101000101111001111001111011
+10101101000111101001111001110101
+10101101001001011001111001101111
+10101101001011011001111001101001
+10101101001101001001111001100011
+10101101001110111001111001011101
+10101101010000101001111001010110
+10101101010010011001111001010000
+10101101010100011001111001001010
+10101101010110001001111001000100
+10101101010111111001111000111110
+10101101011001101001111000111000
+10101101011011011001111000110010
+10101101011101011001111000101100
+10101101011111001001111000100110
+10101101100000111001111000100000
+10101101100010101001111000011010
+10101101100100011001111000010100
+10101101100110011001111000001110
+10101101101000001001111000000111
+10101101101001111001111000000001
+10101101101011101001110111111011
+10101101101101011001110111110101
+10101101101111011001110111101111
+10101101110001001001110111101001
+10101101110010111001110111100011
+10101101110100101001110111011101
+10101101110110101001110111010111
+10101101111000011001110111010001
+10101101111010001001110111001011
+10101101111011111001110111000101
+10101101111101111001110110111111
+10101101111111101001110110111001
+10101110000001011001110110110011
+10101110000011001001110110101101
+10101110000100111001110110100111
+10101110000110111001110110100001
+10101110001000101001110110011011
+10101110001010011001110110010101
+10101110001100001001110110001111
+10101110001110001001110110001001
+10101110001111111001110110000011
+10101110010001101001110101111100
+10101110010011011001110101110110
+10101110010101011001110101110000
+10101110010111001001110101101010
+10101110011000111001110101100100
+10101110011010101001110101011110
+10101110011100101001110101011000
+10101110011110011001110101010010
+10101110100000001001110101001100
+10101110100010001001110101000110
+10101110100011111001110101000000
+10101110100101101001110100111010
+10101110100111011001110100110100
+10101110101001011001110100101110
+10101110101011001001110100101000
+10101110101100111001110100100010
+10101110101110101001110100011100
+10101110110000101001110100010111
+10101110110010011001110100010001
+10101110110100001001110100001011
+10101110110110001001110100000101
+10101110110111111001110011111111
+10101110111001101001110011111001
+10101110111011011001110011110011
+10101110111101011001110011101101
+10101110111111001001110011100111
+10101111000000111001110011100001
+10101111000010111001110011011011
+10101111000100101001110011010101
+10101111000110011001110011001111
+10101111001000011001110011001001
+10101111001010001001110011000011
+10101111001011111001110010111101
+10101111001101111001110010110111
+10101111001111101001110010110001
+10101111010001011001110010101011
+10101111010011001001110010100101
+10101111010101001001110010011111
+10101111010110111001110010011001
+10101111011000101001110010010011
+10101111011010101001110010001101
+10101111011100011001110010001000
+10101111011110001001110010000010
+10101111100000001001110001111100
+10101111100001111001110001110110
+10101111100011101001110001110000
+10101111100101101001110001101010
+10101111100111011001110001100100
+10101111101001001001110001011110
+10101111101011001001110001011000
+10101111101100111001110001010010
+10101111101110101001110001001100
+10101111110000101001110001000110
+10101111110010011001110001000001
+10101111110100001001110000111011
+10101111110110001001110000110101
+10101111110111111001110000101111
+10101111111001101001110000101001
+10101111111011101001110000100011
+10101111111101011001110000011101
+10101111111111001001110000010111
+10110000000001001001110000010001
+10110000000010111001110000001011
+10110000000100111001110000000110
+10110000000110101001110000000000
+10110000001000011001101111111010
+10110000001010011001101111110100
+10110000001100001001101111101110
+10110000001101111001101111101000
+10110000001111111001101111100010
+10110000010001101001101111011100
+10110000010011101001101111010111
+10110000010101011001101111010001
+10110000010111001001101111001011
+10110000011001001001101111000101
+10110000011010111001101110111111
+10110000011100101001101110111001
+10110000011110101001101110110011
+10110000100000011001101110101110
+10110000100010011001101110101000
+10110000100100001001101110100010
+10110000100101111001101110011100
+10110000100111111001101110010110
+10110000101001101001101110010000
+10110000101011101001101110001010
+10110000101101011001101110000101
+10110000101111001001101101111111
+10110000110001001001101101111001
+10110000110010111001101101110011
+10110000110100111001101101101101
+10110000110110101001101101100111
+10110000111000011001101101100010
+10110000111010011001101101011100
+10110000111100001001101101010110
+10110000111110001001101101010000
+10110000111111111001101101001010
+10110001000001101001101101000101
+10110001000011101001101100111111
+10110001000101011001101100111001
+10110001000111011001101100110011
+10110001001001001001101100101101
+10110001001011001001101100101000
+10110001001100111001101100100010
+10110001001110101001101100011100
+10110001010000101001101100010110
+10110001010010011001101100010000
+10110001010100011001101100001011
+10110001010110001001101100000101
+10110001011000001001101011111111
+10110001011001111001101011111001
+10110001011011101001101011110011
+10110001011101101001101011101110
+10110001011111011001101011101000
+10110001100001011001101011100010
+10110001100011001001101011011100
+10110001100101001001101011010110
+10110001100110111001101011010001
+10110001101000111001101011001011
+10110001101010101001101011000101
+10110001101100011001101010111111
+10110001101110011001101010111010
+10110001110000001001101010110100
+10110001110010001001101010101110
+10110001110011111001101010101000
+10110001110101111001101010100011
+10110001110111101001101010011101
+10110001111001101001101010010111
+10110001111011011001101010010001
+10110001111101011001101010001100
+10110001111111001001101010000110
+10110010000001001001101010000000
+10110010000010111001101001111010
+10110010000100101001101001110101
+10110010000110101001101001101111
+10110010001000011001101001101001
+10110010001010011001101001100011
+10110010001100001001101001011110
+10110010001110001001101001011000
+10110010001111111001101001010010
+10110010010001111001101001001101
+10110010010011101001101001000111
+10110010010101101001101001000001
+10110010010111011001101000111011
+10110010011001011001101000110110
+10110010011011001001101000110000
+10110010011101001001101000101010
+10110010011110111001101000100101
+10110010100000111001101000011111
+10110010100010101001101000011001
+10110010100100101001101000010011
+10110010100110011001101000001110
+10110010101000011001101000001000
+10110010101010001001101000000010
+10110010101100001001100111111101
+10110010101101111001100111110111
+10110010101111111001100111110001
+10110010110001101001100111101100
+10110010110011101001100111100110
+10110010110101011001100111100000
+10110010110111011001100111011010
+10110010111001001001100111010101
+10110010111011001001100111001111
+10110010111101001001100111001001
+10110010111110111001100111000100
+10110011000000111001100110111110
+10110011000010101001100110111000
+10110011000100101001100110110011
+10110011000110011001100110101101
+10110011001000011001100110100111
+10110011001010001001100110100010
+10110011001100001001100110011100
+10110011001101111001100110010111
+10110011001111111001100110010001
+10110011010001101001100110001011
+10110011010011101001100110000110
+10110011010101101001100110000000
+10110011010111011001100101111010
+10110011011001011001100101110101
+10110011011011001001100101101111
+10110011011101001001100101101001
+10110011011110111001100101100100
+10110011100000111001100101011110
+10110011100010101001100101011000
+10110011100100101001100101010011
+10110011100110011001100101001101
+10110011101000011001100101001000
+10110011101010011001100101000010
+10110011101100001001100100111100
+10110011101110001001100100110111
+10110011101111111001100100110001
+10110011110001111001100100101011
+10110011110011101001100100100110
+10110011110101101001100100100000
+10110011110111101001100100011011
+10110011111001011001100100010101
+10110011111011011001100100001111
+10110011111101001001100100001010
+10110011111111001001100100000100
+10110100000001001001100011111111
+10110100000010111001100011111001
+10110100000100111001100011110011
+10110100000110101001100011101110
+10110100001000101001100011101000
+10110100001010011001100011100011
+10110100001100011001100011011101
+10110100001110011001100011011000
+10110100010000001001100011010010
+10110100010010001001100011001100
+10110100010011111001100011000111
+10110100010101111001100011000001
+10110100010111111001100010111100
+10110100011001101001100010110110
+10110100011011101001100010110001
+10110100011101011001100010101011
+10110100011111011001100010100101
+10110100100001011001100010100000
+10110100100011001001100010011010
+10110100100101001001100010010101
+10110100100111001001100010001111
+10110100101000111001100010001010
+10110100101010111001100010000100
+10110100101100101001100001111111
+10110100101110101001100001111001
+10110100110000101001100001110011
+10110100110010011001100001101110
+10110100110100011001100001101000
+10110100110110001001100001100011
+10110100111000001001100001011101
+10110100111010001001100001011000
+10110100111011111001100001010010
+10110100111101111001100001001101
+10110100111111111001100001000111
+10110101000001101001100001000010
+10110101000011101001100000111100
+10110101000101101001100000110111
+10110101000111011001100000110001
+10110101001001011001100000101100
+10110101001011011001100000100110
+10110101001101001001100000100001
+10110101001111001001100000011011
+10110101010000111001100000010110
+10110101010010111001100000010000
+10110101010100111001100000001011
+10110101010110101001100000000101
+10110101011000101001100000000000
+10110101011010101001011111111010
+10110101011100011001011111110101
+10110101011110011001011111101111
+10110101100000011001011111101010
+10110101100010001001011111100100
+10110101100100001001011111011111
+10110101100110001001011111011001
+10110101100111111001011111010100
+10110101101001111001011111001110
+10110101101011111001011111001001
+10110101101101101001011111000011
+10110101101111101001011110111110
+10110101110001101001011110111000
+10110101110011011001011110110011
+10110101110101011001011110101101
+10110101110111011001011110101000
+10110101111001001001011110100011
+10110101111011001001011110011101
+10110101111101001001011110011000
+10110101111111001001011110010010
+10110110000000111001011110001101
+10110110000010111001011110000111
+10110110000100111001011110000010
+10110110000110101001011101111100
+10110110001000101001011101110111
+10110110001010101001011101110010
+10110110001100011001011101101100
+10110110001110011001011101100111
+10110110010000011001011101100001
+10110110010010011001011101011100
+10110110010100001001011101010110
+10110110010110001001011101010001
+10110110011000001001011101001100
+10110110011001111001011101000110
+10110110011011111001011101000001
+10110110011101111001011100111011
+10110110011111101001011100110110
+10110110100001101001011100110000
+10110110100011101001011100101011
+10110110100101101001011100100110
+10110110100111011001011100100000
+10110110101001011001011100011011
+10110110101011011001011100010101
+10110110101101011001011100010000
+10110110101111001001011100001011
+10110110110001001001011100000101
+10110110110011001001011100000000
+10110110110100111001011011111010
+10110110110110111001011011110101
+10110110111000111001011011110000
+10110110111010111001011011101010
+10110110111100101001011011100101
+10110110111110101001011011100000
+10110111000000101001011011011010
+10110111000010101001011011010101
+10110111000100011001011011001111
+10110111000110011001011011001010
+10110111001000011001011011000101
+10110111001010011001011010111111
+10110111001100001001011010111010
+10110111001110001001011010110101
+10110111010000001001011010101111
+10110111010010001001011010101010
+10110111010011111001011010100101
+10110111010101111001011010011111
+10110111010111111001011010011010
+10110111011001111001011010010101
+10110111011011101001011010001111
+10110111011101101001011010001010
+10110111011111101001011010000101
+10110111100001101001011001111111
+10110111100011011001011001111010
+10110111100101011001011001110101
+10110111100111011001011001101111
+10110111101001011001011001101010
+10110111101011011001011001100101
+10110111101101001001011001011111
+10110111101111001001011001011010
+10110111110001001001011001010101
+10110111110011001001011001001111
+10110111110100111001011001001010
+10110111110110111001011001000101
+10110111111000111001011000111111
+10110111111010111001011000111010
+10110111111100111001011000110101
+10110111111110101001011000101111
+10111000000000101001011000101010
+10111000000010101001011000100101
+10111000000100101001011000011111
+10111000000110101001011000011010
+10111000001000011001011000010101
+10111000001010011001011000010000
+10111000001100011001011000001010
+10111000001110011001011000000101
+10111000010000011001011000000000
+10111000010010001001010111111010
+10111000010100001001010111110101
+10111000010110001001010111110000
+10111000011000001001010111101011
+10111000011010001001010111100101
+10111000011011111001010111100000
+10111000011101111001010111011011
+10111000011111111001010111010110
+10111000100001111001010111010000
+10111000100011111001010111001011
+10111000100101111001010111000110
+10111000100111101001010111000001
+10111000101001101001010110111011
+10111000101011101001010110110110
+10111000101101101001010110110001
+10111000101111101001010110101100
+10111000110001011001010110100110
+10111000110011011001010110100001
+10111000110101011001010110011100
+10111000110111011001010110010111
+10111000111001011001010110010001
+10111000111011011001010110001100
+10111000111101001001010110000111
+10111000111111001001010110000010
+10111001000001001001010101111100
+10111001000011001001010101110111
+10111001000101001001010101110010
+10111001000111001001010101101101
+10111001001001001001010101101000
+10111001001010111001010101100010
+10111001001100111001010101011101
+10111001001110111001010101011000
+10111001010000111001010101010011
+10111001010010111001010101001101
+10111001010100111001010101001000
+10111001010110111001010101000011
+10111001011000101001010100111110
+10111001011010101001010100111001
+10111001011100101001010100110011
+10111001011110101001010100101110
+10111001100000101001010100101001
+10111001100010101001010100100100
+10111001100100101001010100011111
+10111001100110011001010100011010
+10111001101000011001010100010100
+10111001101010011001010100001111
+10111001101100011001010100001010
+10111001101110011001010100000101
+10111001110000011001010100000000
+10111001110010011001010011111010
+10111001110100011001010011110101
+10111001110110001001010011110000
+10111001111000001001010011101011
+10111001111010001001010011100110
+10111001111100001001010011100001
+10111001111110001001010011011011
+10111010000000001001010011010110
+10111010000010001001010011010001
+10111010000100001001010011001100
+10111010000110001001010011000111
+10111010000111111001010011000010
+10111010001001111001010010111101
+10111010001011111001010010110111
+10111010001101111001010010110010
+10111010001111111001010010101101
+10111010010001111001010010101000
+10111010010011111001010010100011
+10111010010101111001010010011110
+10111010010111111001010010011001
+10111010011001111001010010010100
+10111010011011101001010010001110
+10111010011101101001010010001001
+10111010011111101001010010000100
+10111010100001101001010001111111
+10111010100011101001010001111010
+10111010100101101001010001110101
+10111010100111101001010001110000
+10111010101001101001010001101011
+10111010101011101001010001100101
+10111010101101101001010001100000
+10111010101111101001010001011011
+10111010110001101001010001010110
+10111010110011101001010001010001
+10111010110101011001010001001100
+10111010110111011001010001000111
+10111010111001011001010001000010
+10111010111011011001010000111101
+10111010111101011001010000111000
+10111010111111011001010000110011
+10111011000001011001010000101101
+10111011000011011001010000101000
+10111011000101011001010000100011
+10111011000111011001010000011110
+10111011001001011001010000011001
+10111011001011011001010000010100
+10111011001101011001010000001111
+10111011001111011001010000001010
+10111011010001011001010000000101
+10111011010011011001010000000000
+10111011010101011001001111111011
+10111011010111001001001111110110
+10111011011001001001001111110001
+10111011011011001001001111101100
+10111011011101001001001111100111
+10111011011111001001001111100010
+10111011100001001001001111011101
+10111011100011001001001111010111
+10111011100101001001001111010010
+10111011100111001001001111001101
+10111011101001001001001111001000
+10111011101011001001001111000011
+10111011101101001001001110111110
+10111011101111001001001110111001
+10111011110001001001001110110100
+10111011110011001001001110101111
+10111011110101001001001110101010
+10111011110111001001001110100101
+10111011111001001001001110100000
+10111011111011001001001110011011
+10111011111101001001001110010110
+10111011111111001001001110010001
+10111100000001001001001110001100
+10111100000011001001001110000111
+10111100000101001001001110000010
+10111100000111001001001101111101
+10111100001001001001001101111000
+10111100001011001001001101110011
+10111100001101001001001101101110
+10111100001111001001001101101001
+10111100010001001001001101100100
+10111100010011001001001101011111
+10111100010101001001001101011010
+10111100010111001001001101010101
+10111100011001001001001101010000
+10111100011011001001001101001011
+10111100011101001001001101000110
+10111100011111001001001101000001
+10111100100001001001001100111100
+10111100100011001001001100110111
+10111100100101001001001100110010
+10111100100111001001001100101101
+10111100101001001001001100101001
+10111100101011001001001100100100
+10111100101101001001001100011111
+10111100101111001001001100011010
+10111100110001001001001100010101
+10111100110011001001001100010000
+10111100110101001001001100001011
+10111100110111001001001100000110
+10111100111001001001001100000001
+10111100111011001001001011111100
+10111100111101001001001011110111
+10111100111111001001001011110010
+10111101000001001001001011101101
+10111101000011001001001011101000
+10111101000101001001001011100011
+10111101000111001001001011011110
+10111101001001001001001011011001
+10111101001011001001001011010101
+10111101001101001001001011010000
+10111101001111001001001011001011
+10111101010001001001001011000110
+10111101010011001001001011000001
+10111101010101001001001010111100
+10111101010111011001001010110111
+10111101011001011001001010110010
+10111101011011011001001010101101
+10111101011101011001001010101000
+10111101011111011001001010100011
+10111101100001011001001010011111
+10111101100011011001001010011010
+10111101100101011001001010010101
+10111101100111011001001010010000
+10111101101001011001001010001011
+10111101101011011001001010000110
+10111101101101011001001010000001
+10111101101111011001001001111100
+10111101110001011001001001110111
+10111101110011011001001001110011
+10111101110101011001001001101110
+10111101110111011001001001101001
+10111101111001101001001001100100
+10111101111011101001001001011111
+10111101111101101001001001011010
+10111101111111101001001001010101
+10111110000001101001001001010001
+10111110000011101001001001001100
+10111110000101101001001001000111
+10111110000111101001001001000010
+10111110001001101001001000111101
+10111110001011101001001000111000
+10111110001101101001001000110011
+10111110001111101001001000101111
+10111110010001101001001000101010
+10111110010011111001001000100101
+10111110010101111001001000100000
+10111110010111111001001000011011
+10111110011001111001001000010110
+10111110011011111001001000010010
+10111110011101111001001000001101
+10111110011111111001001000001000
+10111110100001111001001000000011
+10111110100011111001000111111110
+10111110100101111001000111111001
+10111110101000001001000111110101
+10111110101010001001000111110000
+10111110101100001001000111101011
+10111110101110001001000111100110
+10111110110000001001000111100001
+10111110110010001001000111011101
+10111110110100001001000111011000
+10111110110110001001000111010011
+10111110111000001001000111001110
+10111110111010001001000111001001
+10111110111100011001000111000101
+10111110111110011001000111000000
+10111111000000011001000110111011
+10111111000010011001000110110110
+10111111000100011001000110110010
+10111111000110011001000110101101
+10111111001000011001000110101000
+10111111001010011001000110100011
+10111111001100101001000110011110
+10111111001110101001000110011010
+10111111010000101001000110010101
+10111111010010101001000110010000
+10111111010100101001000110001011
+10111111010110101001000110000111
+10111111011000101001000110000010
+10111111011010111001000101111101
+10111111011100111001000101111000
+10111111011110111001000101110100
+10111111100000111001000101101111
+10111111100010111001000101101010
+10111111100100111001000101100101
+10111111100110111001000101100001
+10111111101000111001000101011100
+10111111101011001001000101010111
+10111111101101001001000101010010
+10111111101111001001000101001110
+10111111110001001001000101001001
+10111111110011001001000101000100
+10111111110101001001000100111111
+10111111110111011001000100111011
+10111111111001011001000100110110
+10111111111011011001000100110001
+10111111111101011001000100101101
+10111111111111011001000100101000
+11000000000001101001000100100011
+11000000000011111001000100011110
+11000000000101111001000100011010
+11000000000111111001000100010101
+11000000001001111001000100010000
+11000000001011111001000100001100
+11000000001101111001000100000111
+11000000010000001001000100000010
+11000000010010001001000011111110
+11000000010100001001000011111001
+11000000010110001001000011110100
+11000000011000001001000011101111
+11000000011010001001000011101011
+11000000011100011001000011100110
+11000000011110011001000011100001
+11000000100000011001000011011101
+11000000100010011001000011011000
+11000000100100011001000011010011
+11000000100110011001000011001111
+11000000101000101001000011001010
+11000000101010101001000011000101
+11000000101100101001000011000001
+11000000101110101001000010111100
+11000000110000101001000010110111
+11000000110010111001000010110011
+11000000110100111001000010101110
+11000000110110111001000010101001
+11000000111000111001000010100101
+11000000111010111001000010100000
+11000000111101001001000010011100
+11000000111111001001000010010111
+11000001000001001001000010010010
+11000001000011001001000010001110
+11000001000101001001000010001001
+11000001000111011001000010000100
+11000001001001011001000010000000
+11000001001011011001000001111011
+11000001001101011001000001110110
+11000001001111101001000001110010
+11000001010001101001000001101101
+11000001010011101001000001101001
+11000001010101101001000001100100
+11000001010111101001000001011111
+11000001011001111001000001011011
+11000001011011111001000001010110
+11000001011101111001000001010010
+11000001011111111001000001001101
+11000001100001111001000001001000
+11000001100100001001000001000100
+11000001100110001001000000111111
+11000001101000001001000000111011
+11000001101010001001000000110110
+11000001101100011001000000110001
+11000001101110011001000000101101
+11000001110000011001000000101000
+11000001110010011001000000100100
+11000001110100101001000000011111
+11000001110110101001000000011010
+11000001111000101001000000010110
+11000001111010101001000000010001
+11000001111100111001000000001101
+11000001111110111001000000001000
+11000010000000111001000000000100
+11000010000010111000111111111111
+11000010000101001000111111111011
+11000010000111001000111111110110
+11000010001001001000111111110001
+11000010001011001000111111101101
+11000010001101011000111111101000
+11000010001111011000111111100100
+11000010010001011000111111011111
+11000010010011011000111111011011
+11000010010101101000111111010110
+11000010010111101000111111010010
+11000010011001101000111111001101
+11000010011011101000111111001001
+11000010011101111000111111000100
+11000010011111111000111110111111
+11000010100001111000111110111011
+11000010100011111000111110110110
+11000010100110001000111110110010
+11000010101000001000111110101101
+11000010101010001000111110101001
+11000010101100001000111110100100
+11000010101110011000111110100000
+11000010110000011000111110011011
+11000010110010011000111110010111
+11000010110100101000111110010010
+11000010110110101000111110001110
+11000010111000101000111110001001
+11000010111010101000111110000101
+11000010111100111000111110000000
+11000010111110111000111101111100
+11000011000000111000111101110111
+11000011000011001000111101110011
+11000011000101001000111101101110
+11000011000111001000111101101010
+11000011001001001000111101100101
+11000011001011011000111101100001
+11000011001101011000111101011100
+11000011001111011000111101011000
+11000011010001101000111101010011
+11000011010011101000111101001111
+11000011010101101000111101001011
+11000011010111101000111101000110
+11000011011001111000111101000010
+11000011011011111000111100111101
+11000011011101111000111100111001
+11000011100000001000111100110100
+11000011100010001000111100110000
+11000011100100001000111100101011
+11000011100110011000111100100111
+11000011101000011000111100100010
+11000011101010011000111100011110
+11000011101100101000111100011010
+11000011101110101000111100010101
+11000011110000101000111100010001
+11000011110010101000111100001100
+11000011110100111000111100001000
+11000011110110111000111100000011
+11000011111000111000111011111111
+11000011111011001000111011111011
+11000011111101001000111011110110
+11000011111111001000111011110010
+11000100000001011000111011101101
+11000100000011011000111011101001
+11000100000101011000111011100100
+11000100000111101000111011100000
+11000100001001101000111011011100
+11000100001011101000111011010111
+11000100001101111000111011010011
+11000100001111111000111011001110
+11000100010001111000111011001010
+11000100010100001000111011000110
+11000100010110001000111011000001
+11000100011000001000111010111101
+11000100011010011000111010111000
+11000100011100011000111010110100
+11000100011110011000111010110000
+11000100100000101000111010101011
+11000100100010101000111010100111
+11000100100100101000111010100011
+11000100100110111000111010011110
+11000100101000111000111010011010
+11000100101010111000111010010101
+11000100101101001000111010010001
+11000100101111001000111010001101
+11000100110001011000111010001000
+11000100110011011000111010000100
+11000100110101011000111010000000
+11000100110111101000111001111011
+11000100111001101000111001110111
+11000100111011101000111001110011
+11000100111101111000111001101110
+11000100111111111000111001101010
+11000101000001111000111001100101
+11000101000100001000111001100001
+11000101000110001000111001011101
+11000101001000011000111001011000
+11000101001010011000111001010100
+11000101001100011000111001010000
+11000101001110101000111001001011
+11000101010000101000111001000111
+11000101010010101000111001000011
+11000101010100111000111000111111
+11000101010110111000111000111010
+11000101011000111000111000110110
+11000101011011001000111000110010
+11000101011101001000111000101101
+11000101011111011000111000101001
+11000101100001011000111000100101
+11000101100011011000111000100000
+11000101100101101000111000011100
+11000101100111101000111000011000
+11000101101001111000111000010011
+11000101101011111000111000001111
+11000101101101111000111000001011
+11000101110000001000111000000111
+11000101110010001000111000000010
+11000101110100011000110111111110
+11000101110110011000110111111010
+11000101111000011000110111110101
+11000101111010101000110111110001
+11000101111100101000110111101101
+11000101111110111000110111101001
+11000110000000111000110111100100
+11000110000010111000110111100000
+11000110000101001000110111011100
+11000110000111001000110111011000
+11000110001001011000110111010011
+11000110001011011000110111001111
+11000110001101011000110111001011
+11000110001111101000110111000110
+11000110010001101000110111000010
+11000110010011111000110110111110
+11000110010101111000110110111010
+11000110010111111000110110110110
+11000110011010001000110110110001
+11000110011100001000110110101101
+11000110011110011000110110101001
+11000110100000011000110110100101
+11000110100010011000110110100000
+11000110100100101000110110011100
+11000110100110101000110110011000
+11000110101000111000110110010100
+11000110101010111000110110001111
+11000110101101001000110110001011
+11000110101111001000110110000111
+11000110110001001000110110000011
+11000110110011011000110101111111
+11000110110101011000110101111010
+11000110110111101000110101110110
+11000110111001101000110101110010
+11000110111011111000110101101110
+11000110111101111000110101101010
+11000111000000001000110101100101
+11000111000010001000110101100001
+11000111000100001000110101011101
+11000111000110011000110101011001
+11000111001000011000110101010101
+11000111001010101000110101010000
+11000111001100101000110101001100
+11000111001110111000110101001000
+11000111010000111000110101000100
+11000111010010111000110101000000
+11000111010101001000110100111011
+11000111010111001000110100110111
+11000111011001011000110100110011
+11000111011011011000110100101111
+11000111011101101000110100101011
+11000111011111101000110100100111
+11000111100001111000110100100010
+11000111100011111000110100011110
+11000111100110001000110100011010
+11000111101000001000110100010110
+11000111101010011000110100010010
+11000111101100011000110100001110
+11000111101110011000110100001010
+11000111110000101000110100000101
+11000111110010101000110100000001
+11000111110100111000110011111101
+11000111110110111000110011111001
+11000111111001001000110011110101
+11000111111011001000110011110001
+11000111111101011000110011101101
+11000111111111011000110011101001
+11001000000001101000110011100100
+11001000000011101000110011100000
+11001000000101111000110011011100
+11001000000111111000110011011000
+11001000001010001000110011010100
+11001000001100001000110011010000
+11001000001110011000110011001100
+11001000010000011000110011001000
+11001000010010101000110011000100
+11001000010100101000110010111111
+11001000010110101000110010111011
+11001000011000111000110010110111
+11001000011010111000110010110011
+11001000011101001000110010101111
+11001000011111001000110010101011
+11001000100001011000110010100111
+11001000100011011000110010100011
+11001000100101101000110010011111
+11001000100111101000110010011011
+11001000101001111000110010010111
+11001000101011111000110010010010
+11001000101110001000110010001110
+11001000110000001000110010001010
+11001000110010011000110010000110
+11001000110100011000110010000010
+11001000110110101000110001111110
+11001000111000101000110001111010
+11001000111010111000110001110110
+11001000111100111000110001110010
+11001000111111001000110001101110
+11001001000001001000110001101010
+11001001000011011000110001100110
+11001001000101011000110001100010
+11001001000111101000110001011110
+11001001001001101000110001011010
+11001001001011111000110001010110
+11001001001110001000110001010010
+11001001010000001000110001001110
+11001001010010011000110001001010
+11001001010100011000110001000110
+11001001010110101000110001000001
+11001001011000101000110000111101
+11001001011010111000110000111001
+11001001011100111000110000110101
+11001001011111001000110000110001
+11001001100001001000110000101101
+11001001100011011000110000101001
+11001001100101011000110000100101
+11001001100111101000110000100001
+11001001101001101000110000011101
+11001001101011111000110000011001
+11001001101101111000110000010101
+11001001110000001000110000010001
+11001001110010001000110000001101
+11001001110100011000110000001001
+11001001110110101000110000000101
+11001001111000101000110000000001
+11001001111010111000101111111101
+11001001111100111000101111111001
+11001001111111001000101111110101
+11001010000001001000101111110010
+11001010000011011000101111101110
+11001010000101011000101111101010
+11001010000111101000101111100110
+11001010001001101000101111100010
+11001010001011111000101111011110
+11001010001110001000101111011010
+11001010010000001000101111010110
+11001010010010011000101111010010
+11001010010100011000101111001110
+11001010010110101000101111001010
+11001010011000101000101111000110
+11001010011010111000101111000010
+11001010011100111000101110111110
+11001010011111001000101110111010
+11001010100001011000101110110110
+11001010100011011000101110110010
+11001010100101101000101110101110
+11001010100111101000101110101010
+11001010101001111000101110100110
+11001010101011111000101110100011
+11001010101110001000101110011111
+11001010110000011000101110011011
+11001010110010011000101110010111
+11001010110100101000101110010011
+11001010110110101000101110001111
+11001010111000111000101110001011
+11001010111010111000101110000111
+11001010111101001000101110000011
+11001010111111011000101101111111
+11001011000001011000101101111011
+11001011000011101000101101110111
+11001011000101101000101101110100
+11001011000111111000101101110000
+11001011001001111000101101101100
+11001011001100001000101101101000
+11001011001110011000101101100100
+11001011010000011000101101100000
+11001011010010101000101101011100
+11001011010100101000101101011000
+11001011010110111000101101010100
+11001011011001001000101101010001
+11001011011011001000101101001101
+11001011011101011000101101001001
+11001011011111011000101101000101
+11001011100001101000101101000001
+11001011100011111000101100111101
+11001011100101111000101100111001
+11001011101000001000101100110110
+11001011101010001000101100110010
+11001011101100011000101100101110
+11001011101110101000101100101010
+11001011110000101000101100100110
+11001011110010111000101100100010
+11001011110100111000101100011110
+11001011110111001000101100011011
+11001011111001011000101100010111
+11001011111011011000101100010011
+11001011111101101000101100001111
+11001011111111101000101100001011
+11001100000001111000101100000111
+11001100000100001000101100000100
+11001100000110001000101100000000
+11001100001000011000101011111100
+11001100001010011000101011111000
+11001100001100101000101011110100
+11001100001110111000101011110001
+11001100010000111000101011101101
+11001100010011001000101011101001
+11001100010101011000101011100101
+11001100010111011000101011100001
+11001100011001101000101011011110
+11001100011011101000101011011010
+11001100011101111000101011010110
+11001100100000001000101011010010
+11001100100010001000101011001110
+11001100100100011000101011001011
+11001100100110101000101011000111
+11001100101000101000101011000011
+11001100101010111000101010111111
+11001100101100111000101010111011
+11001100101111001000101010111000
+11001100110001011000101010110100
+11001100110011011000101010110000
+11001100110101101000101010101100
+11001100110111111000101010101001
+11001100111001111000101010100101
+11001100111100001000101010100001
+11001100111110011000101010011101
+11001101000000011000101010011010
+11001101000010101000101010010110
+11001101000100111000101010010010
+11001101000110111000101010001110
+11001101001001001000101010001011
+11001101001011001000101010000111
+11001101001101011000101010000011
+11001101001111101000101001111111
+11001101010001101000101001111100
+11001101010011111000101001111000
+11001101010110001000101001110100
+11001101011000001000101001110000
+11001101011010011000101001101101
+11001101011100101000101001101001
+11001101011110101000101001100101
+11001101100000111000101001100010
+11001101100011001000101001011110
+11001101100101001000101001011010
+11001101100111011000101001010110
+11001101101001101000101001010011
+11001101101011101000101001001111
+11001101101101111000101001001011
+11001101110000001000101001001000
+11001101110010001000101001000100
+11001101110100011000101001000000
+11001101110110101000101000111100
+11001101111000101000101000111001
+11001101111010111000101000110101
+11001101111101001000101000110001
+11001101111111001000101000101110
+11001110000001011000101000101010
+11001110000011101000101000100110
+11001110000101101000101000100011
+11001110000111111000101000011111
+11001110001010001000101000011011
+11001110001100001000101000011000
+11001110001110011000101000010100
+11001110010000101000101000010000
+11001110010010101000101000001101
+11001110010100111000101000001001
+11001110010111001000101000000101
+11001110011001011000101000000010
+11001110011011011000100111111110
+11001110011101101000100111111010
+11001110011111111000100111110111
+11001110100001111000100111110011
+11001110100100001000100111101111
+11001110100110011000100111101100
+11001110101000011000100111101000
+11001110101010101000100111100101
+11001110101100111000100111100001
+11001110101110111000100111011101
+11001110110001001000100111011010
+11001110110011011000100111010110
+11001110110101101000100111010010
+11001110110111101000100111001111
+11001110111001111000100111001011
+11001110111100001000100111001000
+11001110111110001000100111000100
+11001111000000011000100111000000
+11001111000010101000100110111101
+11001111000100111000100110111001
+11001111000110111000100110110110
+11001111001001001000100110110010
+11001111001011011000100110101110
+11001111001101011000100110101011
+11001111001111101000100110100111
+11001111010001111000100110100100
+11001111010011111000100110100000
+11001111010110001000100110011100
+11001111011000011000100110011001
+11001111011010101000100110010101
+11001111011100101000100110010010
+11001111011110111000100110001110
+11001111100001001000100110001011
+11001111100011011000100110000111
+11001111100101011000100110000011
+11001111100111101000100110000000
+11001111101001111000100101111100
+11001111101011111000100101111001
+11001111101110001000100101110101
+11001111110000011000100101110010
+11001111110010101000100101101110
+11001111110100101000100101101011
+11001111110110111000100101100111
+11001111111001001000100101100011
+11001111111011011000100101100000
+11001111111101011000100101011100
+11001111111111101000100101011001
+11010000000001111000100101010101
+11010000000011111000100101010010
+11010000000110001000100101001110
+11010000001000011000100101001011
+11010000001010101000100101000111
+11010000001100101000100101000100
+11010000001110111000100101000000
+11010000010001001000100100111101
+11010000010011011000100100111001
+11010000010101011000100100110110
+11010000010111101000100100110010
+11010000011001111000100100101111
+11010000011100001000100100101011
+11010000011110001000100100101000
+11010000100000011000100100100100
+11010000100010101000100100100001
+11010000100100111000100100011101
+11010000100110111000100100011010
+11010000101001001000100100010110
+11010000101011011000100100010011
+11010000101101101000100100001111
+11010000101111101000100100001100
+11010000110001111000100100001000
+11010000110100001000100100000101
+11010000110110011000100100000001
+11010000111000011000100011111110
+11010000111010101000100011111010
+11010000111100111000100011110111
+11010000111111001000100011110011
+11010001000001011000100011110000
+11010001000011011000100011101100
+11010001000101101000100011101001
+11010001000111111000100011100110
+11010001001010001000100011100010
+11010001001100001000100011011111
+11010001001110011000100011011011
+11010001010000101000100011011000
+11010001010010111000100011010100
+11010001010100111000100011010001
+11010001010111001000100011001101
+11010001011001011000100011001010
+11010001011011101000100011000111
+11010001011101111000100011000011
+11010001011111111000100011000000
+11010001100010001000100010111100
+11010001100100011000100010111001
+11010001100110101000100010110101
+11010001101000111000100010110010
+11010001101010111000100010101111
+11010001101101001000100010101011
+11010001101111011000100010101000
+11010001110001101000100010100100
+11010001110011101000100010100001
+11010001110101111000100010011110
+11010001111000001000100010011010
+11010001111010011000100010010111
+11010001111100101000100010010011
+11010001111110101000100010010000
+11010010000000111000100010001101
+11010010000011001000100010001001
+11010010000101011000100010000110
+11010010000111101000100010000011
+11010010001001101000100001111111
+11010010001011111000100001111100
+11010010001110001000100001111000
+11010010010000011000100001110101
+11010010010010101000100001110010
+11010010010100101000100001101110
+11010010010110111000100001101011
+11010010011001001000100001101000
+11010010011011011000100001100100
+11010010011101101000100001100001
+11010010011111101000100001011101
+11010010100001111000100001011010
+11010010100100001000100001010111
+11010010100110011000100001010011
+11010010101000101000100001010000
+11010010101010101000100001001101
+11010010101100111000100001001001
+11010010101111001000100001000110
+11010010110001011000100001000011
+11010010110011101000100000111111
+11010010110101111000100000111100
+11010010110111111000100000111001
+11010010111010001000100000110101
+11010010111100011000100000110010
+11010010111110101000100000101111
+11010011000000111000100000101100
+11010011000010111000100000101000
+11010011000101001000100000100101
+11010011000111011000100000100010
+11010011001001101000100000011110
+11010011001011111000100000011011
+11010011001110001000100000011000
+11010011010000001000100000010100
+11010011010010011000100000010001
+11010011010100101000100000001110
+11010011010110111000100000001011
+11010011011001001000100000000111
+11010011011011011000100000000100
+11010011011101011000100000000001
+11010011011111101000011111111101
+11010011100001111000011111111010
+11010011100100001000011111110111
+11010011100110011000011111110100
+11010011101000101000011111110000
+11010011101010101000011111101101
+11010011101100111000011111101010
+11010011101111001000011111100111
+11010011110001011000011111100011
+11010011110011101000011111100000
+11010011110101111000011111011101
+11010011111000001000011111011010
+11010011111010001000011111010110
+11010011111100011000011111010011
+11010011111110101000011111010000
+11010100000000111000011111001101
+11010100000011001000011111001001
+11010100000101011000011111000110
+11010100000111011000011111000011
+11010100001001101000011111000000
+11010100001011111000011110111100
+11010100001110001000011110111001
+11010100010000011000011110110110
+11010100010010101000011110110011
+11010100010100111000011110101111
+11010100010110111000011110101100
+11010100011001001000011110101001
+11010100011011011000011110100110
+11010100011101101000011110100011
+11010100011111111000011110011111
+11010100100010001000011110011100
+11010100100100011000011110011001
+11010100100110101000011110010110
+11010100101000101000011110010011
+11010100101010111000011110001111
+11010100101101001000011110001100
+11010100101111011000011110001001
+11010100110001101000011110000110
+11010100110011111000011110000011
+11010100110110001000011110000000
+11010100111000001000011101111100
+11010100111010011000011101111001
+11010100111100101000011101110110
+11010100111110111000011101110011
+11010101000001001000011101110000
+11010101000011011000011101101101
+11010101000101101000011101101001
+11010101000111111000011101100110
+11010101001001111000011101100011
+11010101001100001000011101100000
+11010101001110011000011101011101
+11010101010000101000011101011010
+11010101010010111000011101010110
+11010101010101001000011101010011
+11010101010111011000011101010000
+11010101011001101000011101001101
+11010101011011111000011101001010
+11010101011101111000011101000111
+11010101100000001000011101000100
+11010101100010011000011101000001
+11010101100100101000011100111101
+11010101100110111000011100111010
+11010101101001001000011100110111
+11010101101011011000011100110100
+11010101101101101000011100110001
+11010101101111111000011100101110
+11010101110001111000011100101011
+11010101110100001000011100101000
+11010101110110011000011100100100
+11010101111000101000011100100001
+11010101111010111000011100011110
+11010101111101001000011100011011
+11010101111111011000011100011000
+11010110000001101000011100010101
+11010110000011111000011100010010
+11010110000110001000011100001111
+11010110001000001000011100001100
+11010110001010011000011100001001
+11010110001100101000011100000110
+11010110001110111000011100000010
+11010110010001001000011011111111
+11010110010011011000011011111100
+11010110010101101000011011111001
+11010110010111111000011011110110
+11010110011010001000011011110011
+11010110011100011000011011110000
+11010110011110101000011011101101
+11010110100000111000011011101010
+11010110100010111000011011100111
+11010110100101001000011011100100
+11010110100111011000011011100001
+11010110101001101000011011011110
+11010110101011111000011011011011
+11010110101110001000011011011000
+11010110110000011000011011010101
+11010110110010101000011011010010
+11010110110100111000011011001111
+11010110110111001000011011001100
+11010110111001011000011011001001
+11010110111011101000011011000101
+11010110111101101000011011000010
+11010110111111111000011010111111
+11010111000010001000011010111100
+11010111000100011000011010111001
+11010111000110101000011010110110
+11010111001000111000011010110011
+11010111001011001000011010110000
+11010111001101011000011010101101
+11010111001111101000011010101010
+11010111010001111000011010100111
+11010111010100001000011010100100
+11010111010110011000011010100001
+11010111011000101000011010011110
+11010111011010111000011010011011
+11010111011101001000011010011000
+11010111011111001000011010010101
+11010111100001011000011010010010
+11010111100011101000011010001111
+11010111100101111000011010001101
+11010111101000001000011010001010
+11010111101010011000011010000111
+11010111101100101000011010000100
+11010111101110111000011010000001
+11010111110001001000011001111110
+11010111110011011000011001111011
+11010111110101101000011001111000
+11010111110111111000011001110101
+11010111111010001000011001110010
+11010111111100011000011001101111
+11010111111110101000011001101100
+11011000000000111000011001101001
+11011000000011001000011001100110
+11011000000101011000011001100011
+11011000000111101000011001100000
+11011000001001101000011001011101
+11011000001011111000011001011010
+11011000001110001000011001010111
+11011000010000011000011001010100
+11011000010010101000011001010010
+11011000010100111000011001001111
+11011000010111001000011001001100
+11011000011001011000011001001001
+11011000011011101000011001000110
+11011000011101111000011001000011
+11011000100000001000011001000000
+11011000100010011000011000111101
+11011000100100101000011000111010
+11011000100110111000011000110111
+11011000101001001000011000110100
+11011000101011011000011000110010
+11011000101101101000011000101111
+11011000101111111000011000101100
+11011000110010001000011000101001
+11011000110100011000011000100110
+11011000110110101000011000100011
+11011000111000111000011000100000
+11011000111011001000011000011101
+11011000111101011000011000011010
+11011000111111101000011000011000
+11011001000001111000011000010101
+11011001000100001000011000010010
+11011001000110011000011000001111
+11011001001000101000011000001100
+11011001001010111000011000001001
+11011001001101001000011000000110
+11011001001111011000011000000100
+11011001010001101000011000000001
+11011001010011101000010111111110
+11011001010101111000010111111011
+11011001011000001000010111111000
+11011001011010011000010111110101
+11011001011100101000010111110010
+11011001011110111000010111110000
+11011001100001001000010111101101
+11011001100011011000010111101010
+11011001100101101000010111100111
+11011001100111111000010111100100
+11011001101010001000010111100001
+11011001101100011000010111011111
+11011001101110101000010111011100
+11011001110000111000010111011001
+11011001110011001000010111010110
+11011001110101011000010111010011
+11011001110111101000010111010001
+11011001111001111000010111001110
+11011001111100001000010111001011
+11011001111110011000010111001000
+11011010000000101000010111000101
+11011010000010111000010111000011
+11011010000101001000010111000000
+11011010000111011000010110111101
+11011010001001101000010110111010
+11011010001011111000010110110111
+11011010001110001000010110110101
+11011010010000011000010110110010
+11011010010010101000010110101111
+11011010010100111000010110101100
+11011010010111001000010110101010
+11011010011001011000010110100111
+11011010011011101000010110100100
+11011010011101111000010110100001
+11011010100000001000010110011110
+11011010100010011000010110011100
+11011010100100101000010110011001
+11011010100110111000010110010110
+11011010101001001000010110010011
+11011010101011011000010110010001
+11011010101101101000010110001110
+11011010101111111000010110001011
+11011010110010011000010110001000
+11011010110100101000010110000110
+11011010110110111000010110000011
+11011010111001001000010110000000
+11011010111011011000010101111110
+11011010111101101000010101111011
+11011010111111111000010101111000
+11011011000010001000010101110101
+11011011000100011000010101110011
+11011011000110101000010101110000
+11011011001000111000010101101101
+11011011001011001000010101101010
+11011011001101011000010101101000
+11011011001111101000010101100101
+11011011010001111000010101100010
+11011011010100001000010101100000
+11011011010110011000010101011101
+11011011011000101000010101011010
+11011011011010111000010101011000
+11011011011101001000010101010101
+11011011011111011000010101010010
+11011011100001101000010101001111
+11011011100011111000010101001101
+11011011100110001000010101001010
+11011011101000011000010101000111
+11011011101010101000010101000101
+11011011101100111000010101000010
+11011011101111001000010100111111
+11011011110001011000010100111101
+11011011110011101000010100111010
+11011011110101111000010100110111
+11011011111000001000010100110101
+11011011111010011000010100110010
+11011011111100101000010100101111
+11011011111111001000010100101101
+11011100000001011000010100101010
+11011100000011101000010100100111
+11011100000101111000010100100101
+11011100001000001000010100100010
+11011100001010011000010100100000
+11011100001100101000010100011101
+11011100001110111000010100011010
+11011100010001001000010100011000
+11011100010011011000010100010101
+11011100010101101000010100010010
+11011100010111111000010100010000
+11011100011010001000010100001101
+11011100011100011000010100001011
+11011100011110101000010100001000
+11011100100000111000010100000101
+11011100100011001000010100000011
+11011100100101011000010100000000
+11011100100111101000010011111101
+11011100101001111000010011111011
+11011100101100011000010011111000
+11011100101110101000010011110110
+11011100110000111000010011110011
+11011100110011001000010011110000
+11011100110101011000010011101110
+11011100110111101000010011101011
+11011100111001111000010011101001
+11011100111100001000010011100110
+11011100111110011000010011100100
+11011101000000101000010011100001
+11011101000010111000010011011110
+11011101000101001000010011011100
+11011101000111011000010011011001
+11011101001001101000010011010111
+11011101001011111000010011010100
+11011101001110011000010011010010
+11011101010000101000010011001111
+11011101010010111000010011001100
+11011101010101001000010011001010
+11011101010111011000010011000111
+11011101011001101000010011000101
+11011101011011111000010011000010
+11011101011110001000010011000000
+11011101100000011000010010111101
+11011101100010101000010010111011
+11011101100100111000010010111000
+11011101100111001000010010110110
+11011101101001011000010010110011
+11011101101011101000010010110001
+11011101101110001000010010101110
+11011101110000011000010010101011
+11011101110010101000010010101001
+11011101110100111000010010100110
+11011101110111001000010010100100
+11011101111001011000010010100001
+11011101111011101000010010011111
+11011101111101111000010010011100
+11011110000000001000010010011010
+11011110000010011000010010010111
+11011110000100101000010010010101
+11011110000110111000010010010010
+11011110001001011000010010010000
+11011110001011101000010010001101
+11011110001101111000010010001011
+11011110010000001000010010001000
+11011110010010011000010010000110
+11011110010100101000010010000011
+11011110010110111000010010000001
+11011110011001001000010001111111
+11011110011011011000010001111100
+11011110011101101000010001111010
+11011110011111111000010001110111
+11011110100010011000010001110101
+11011110100100101000010001110010
+11011110100110111000010001110000
+11011110101001001000010001101101
+11011110101011011000010001101011
+11011110101101101000010001101000
+11011110101111111000010001100110
+11011110110010001000010001100011
+11011110110100011000010001100001
+11011110110110101000010001011111
+11011110111001001000010001011100
+11011110111011011000010001011010
+11011110111101101000010001010111
+11011110111111111000010001010101
+11011111000010001000010001010010
+11011111000100011000010001010000
+11011111000110101000010001001110
+11011111001000111000010001001011
+11011111001011001000010001001001
+11011111001101101000010001000110
+11011111001111111000010001000100
+11011111010010001000010001000001
+11011111010100011000010000111111
+11011111010110101000010000111101
+11011111011000111000010000111010
+11011111011011001000010000111000
+11011111011101011000010000110101
+11011111011111101000010000110011
+11011111100010001000010000110001
+11011111100100011000010000101110
+11011111100110101000010000101100
+11011111101000111000010000101010
+11011111101011001000010000100111
+11011111101101011000010000100101
+11011111101111101000010000100010
+11011111110001111000010000100000
+11011111110100011000010000011110
+11011111110110101000010000011011
+11011111111000111000010000011001
+11011111111011001000010000010111
+11011111111101011000010000010100
+11011111111111101000010000010010
+11100000000001111000010000001111
+11100000000100001000010000001101
+11100000000110101000010000001011
+11100000001000111000010000001000
+11100000001011001000010000000110
+11100000001101011000010000000100
+11100000001111101000010000000001
+11100000010001111000001111111111
+11100000010100001000001111111101
+11100000010110011000001111111010
+11100000011000111000001111111000
+11100000011011001000001111110110
+11100000011101011000001111110011
+11100000011111101000001111110001
+11100000100001111000001111101111
+11100000100100001000001111101100
+11100000100110011000001111101010
+11100000101000101000001111101000
+11100000101011001000001111100110
+11100000101101011000001111100011
+11100000101111101000001111100001
+11100000110001111000001111011111
+11100000110100001000001111011100
+11100000110110011000001111011010
+11100000111000101000001111011000
+11100000111011001000001111010101
+11100000111101011000001111010011
+11100000111111101000001111010001
+11100001000001111000001111001111
+11100001000100001000001111001100
+11100001000110011000001111001010
+11100001001000101000001111001000
+11100001001011001000001111000101
+11100001001101011000001111000011
+11100001001111101000001111000001
+11100001010001111000001110111111
+11100001010100001000001110111100
+11100001010110011000001110111010
+11100001011000111000001110111000
+11100001011011001000001110110110
+11100001011101011000001110110011
+11100001011111101000001110110001
+11100001100001111000001110101111
+11100001100100001000001110101101
+11100001100110011000001110101010
+11100001101000111000001110101000
+11100001101011001000001110100110
+11100001101101011000001110100100
+11100001101111101000001110100001
+11100001110001111000001110011111
+11100001110100001000001110011101
+11100001110110101000001110011011
+11100001111000111000001110011001
+11100001111011001000001110010110
+11100001111101011000001110010100
+11100001111111101000001110010010
+11100010000001111000001110010000
+11100010000100001000001110001110
+11100010000110101000001110001011
+11100010001000111000001110001001
+11100010001011001000001110000111
+11100010001101011000001110000101
+11100010001111101000001110000011
+11100010010001111000001110000000
+11100010010100011000001101111110
+11100010010110101000001101111100
+11100010011000111000001101111010
+11100010011011001000001101111000
+11100010011101011000001101110101
+11100010011111101000001101110011
+11100010100010001000001101110001
+11100010100100011000001101101111
+11100010100110101000001101101101
+11100010101000111000001101101011
+11100010101011001000001101101000
+11100010101101101000001101100110
+11100010101111111000001101100100
+11100010110010001000001101100010
+11100010110100011000001101100000
+11100010110110101000001101011110
+11100010111000111000001101011100
+11100010111011011000001101011001
+11100010111101101000001101010111
+11100010111111111000001101010101
+11100011000010001000001101010011
+11100011000100011000001101010001
+11100011000110101000001101001111
+11100011001001001000001101001101
+11100011001011011000001101001011
+11100011001101101000001101001000
+11100011001111111000001101000110
+11100011010010001000001101000100
+11100011010100101000001101000010
+11100011010110111000001101000000
+11100011011001001000001100111110
+11100011011011011000001100111100
+11100011011101101000001100111010
+11100011100000001000001100111000
+11100011100010011000001100110101
+11100011100100101000001100110011
+11100011100110111000001100110001
+11100011101001001000001100101111
+11100011101011011000001100101101
+11100011101101111000001100101011
+11100011110000001000001100101001
+11100011110010011000001100100111
+11100011110100101000001100100101
+11100011110110111000001100100011
+11100011111001011000001100100001
+11100011111011101000001100011111
+11100011111101111000001100011100
+11100100000000001000001100011010
+11100100000010011000001100011000
+11100100000100111000001100010110
+11100100000111001000001100010100
+11100100001001011000001100010010
+11100100001011101000001100010000
+11100100001101111000001100001110
+11100100010000011000001100001100
+11100100010010101000001100001010
+11100100010100111000001100001000
+11100100010111001000001100000110
+11100100011001011000001100000100
+11100100011011111000001100000010
+11100100011110001000001100000000
+11100100100000011000001011111110
+11100100100010101000001011111100
+11100100100100111000001011111010
+11100100100111011000001011111000
+11100100101001101000001011110110
+11100100101011111000001011110100
+11100100101110001000001011110010
+11100100110000011000001011110000
+11100100110010111000001011101110
+11100100110101001000001011101100
+11100100110111011000001011101010
+11100100111001101000001011101000
+11100100111011111000001011100110
+11100100111110011000001011100100
+11100101000000101000001011100010
+11100101000010111000001011100000
+11100101000101001000001011011110
+11100101000111101000001011011100
+11100101001001111000001011011010
+11100101001100001000001011011000
+11100101001110011000001011010110
+11100101010000101000001011010100
+11100101010011001000001011010010
+11100101010101011000001011010000
+11100101010111101000001011001110
+11100101011001111000001011001100
+11100101011100001000001011001010
+11100101011110101000001011001000
+11100101100000111000001011000110
+11100101100011001000001011000100
+11100101100101011000001011000010
+11100101100111111000001011000000
+11100101101010001000001010111110
+11100101101100011000001010111100
+11100101101110101000001010111010
+11100101110000111000001010111001
+11100101110011011000001010110111
+11100101110101101000001010110101
+11100101110111111000001010110011
+11100101111010001000001010110001
+11100101111100101000001010101111
+11100101111110111000001010101101
+11100110000001001000001010101011
+11100110000011011000001010101001
+11100110000101111000001010100111
+11100110001000001000001010100101
+11100110001010011000001010100011
+11100110001100101000001010100010
+11100110001110111000001010100000
+11100110010001011000001010011110
+11100110010011101000001010011100
+11100110010101111000001010011010
+11100110011000001000001010011000
+11100110011010101000001010010110
+11100110011100111000001010010100
+11100110011111001000001010010010
+11100110100001011000001010010001
+11100110100011111000001010001111
+11100110100110001000001010001101
+11100110101000011000001010001011
+11100110101010101000001010001001
+11100110101101001000001010000111
+11100110101111011000001010000101
+11100110110001101000001010000100
+11100110110011111000001010000010
+11100110110110001000001010000000
+11100110111000101000001001111110
+11100110111010111000001001111100
+11100110111101001000001001111010
+11100110111111011000001001111000
+11100111000001111000001001110111
+11100111000100001000001001110101
+11100111000110011000001001110011
+11100111001000101000001001110001
+11100111001011001000001001101111
+11100111001101011000001001101101
+11100111001111101000001001101100
+11100111010001111000001001101010
+11100111010100011000001001101000
+11100111010110101000001001100110
+11100111011000111000001001100100
+11100111011011001000001001100011
+11100111011101101000001001100001
+11100111011111111000001001011111
+11100111100010001000001001011101
+11100111100100011000001001011011
+11100111100110111000001001011010
+11100111101001001000001001011000
+11100111101011011000001001010110
+11100111101101101000001001010100
+11100111110000001000001001010010
+11100111110010011000001001010001
+11100111110100101000001001001111
+11100111110110111000001001001101
+11100111111001011000001001001011
+11100111111011101000001001001001
+11100111111101111000001001001000
+11101000000000001000001001000110
+11101000000010101000001001000100
+11101000000100111000001001000010
+11101000000111001000001001000001
+11101000001001011000001000111111
+11101000001011111000001000111101
+11101000001110001000001000111011
+11101000010000011000001000111010
+11101000010010101000001000111000
+11101000010101001000001000110110
+11101000010111011000001000110100
+11101000011001101000001000110011
+11101000011100001000001000110001
+11101000011110011000001000101111
+11101000100000101000001000101101
+11101000100010111000001000101100
+11101000100101011000001000101010
+11101000100111101000001000101000
+11101000101001111000001000100111
+11101000101100001000001000100101
+11101000101110101000001000100011
+11101000110000111000001000100001
+11101000110011001000001000100000
+11101000110101011000001000011110
+11101000110111111000001000011100
+11101000111010001000001000011011
+11101000111100011000001000011001
+11101000111110111000001000010111
+11101001000001001000001000010101
+11101001000011011000001000010100
+11101001000101101000001000010010
+11101001001000001000001000010000
+11101001001010011000001000001111
+11101001001100101000001000001101
+11101001001110111000001000001011
+11101001010001011000001000001010
+11101001010011101000001000001000
+11101001010101111000001000000110
+11101001011000011000001000000101
+11101001011010101000001000000011
+11101001011100111000001000000001
+11101001011111001000001000000000
+11101001100001101000000111111110
+11101001100011111000000111111100
+11101001100110001000000111111011
+11101001101000011000000111111001
+11101001101010111000000111110111
+11101001101101001000000111110110
+11101001101111011000000111110100
+11101001110001111000000111110011
+11101001110100001000000111110001
+11101001110110011000000111101111
+11101001111000101000000111101110
+11101001111011001000000111101100
+11101001111101011000000111101010
+11101001111111101000000111101001
+11101010000010001000000111100111
+11101010000100011000000111100110
+11101010000110101000000111100100
+11101010001000111000000111100010
+11101010001011011000000111100001
+11101010001101101000000111011111
+11101010001111111000000111011110
+11101010010010011000000111011100
+11101010010100101000000111011010
+11101010010110111000000111011001
+11101010011001001000000111010111
+11101010011011101000000111010110
+11101010011101111000000111010100
+11101010100000001000000111010010
+11101010100010101000000111010001
+11101010100100111000000111001111
+11101010100111001000000111001110
+11101010101001011000000111001100
+11101010101011111000000111001010
+11101010101110001000000111001001
+11101010110000011000000111000111
+11101010110010111000000111000110
+11101010110101001000000111000100
+11101010110111011000000111000011
+11101010111001111000000111000001
+11101010111100001000000111000000
+11101010111110011000000110111110
+11101011000000101000000110111100
+11101011000011001000000110111011
+11101011000101011000000110111001
+11101011000111101000000110111000
+11101011001010001000000110110110
+11101011001100011000000110110101
+11101011001110101000000110110011
+11101011010001001000000110110010
+11101011010011011000000110110000
+11101011010101101000000110101111
+11101011010111111000000110101101
+11101011011010011000000110101100
+11101011011100101000000110101010
+11101011011110111000000110101001
+11101011100001011000000110100111
+11101011100011101000000110100110
+11101011100101111000000110100100
+11101011101000011000000110100011
+11101011101010101000000110100001
+11101011101100111000000110100000
+11101011101111001000000110011110
+11101011110001101000000110011101
+11101011110011111000000110011011
+11101011110110001000000110011010
+11101011111000101000000110011000
+11101011111010111000000110010111
+11101011111101001000000110010101
+11101011111111101000000110010100
+11101100000001111000000110010010
+11101100000100001000000110010001
+11101100000110101000000110001111
+11101100001000111000000110001110
+11101100001011001000000110001100
+11101100001101011000000110001011
+11101100001111111000000110001001
+11101100010010001000000110001000
+11101100010100011000000110000111
+11101100010110111000000110000101
+11101100011001001000000110000100
+11101100011011011000000110000010
+11101100011101111000000110000001
+11101100100000001000000101111111
+11101100100010011000000101111110
+11101100100100111000000101111100
+11101100100111001000000101111011
+11101100101001011000000101111010
+11101100101011111000000101111000
+11101100101110001000000101110111
+11101100110000011000000101110101
+11101100110010101000000101110100
+11101100110101001000000101110011
+11101100110111011000000101110001
+11101100111001101000000101110000
+11101100111100001000000101101110
+11101100111110011000000101101101
+11101101000000101000000101101100
+11101101000011001000000101101010
+11101101000101011000000101101001
+11101101000111101000000101100111
+11101101001010001000000101100110
+11101101001100011000000101100101
+11101101001110101000000101100011
+11101101010001001000000101100010
+11101101010011011000000101100000
+11101101010101101000000101011111
+11101101011000001000000101011110
+11101101011010011000000101011100
+11101101011100101000000101011011
+11101101011111001000000101011010
+11101101100001011000000101011000
+11101101100011101000000101010111
+11101101100110001000000101010101
+11101101101000011000000101010100
+11101101101010101000000101010011
+11101101101101001000000101010001
+11101101101111011000000101010000
+11101101110001101000000101001111
+11101101110100001000000101001101
+11101101110110011000000101001100
+11101101111000101000000101001011
+11101101111011001000000101001001
+11101101111101011000000101001000
+11101101111111101000000101000111
+11101110000010001000000101000101
+11101110000100011000000101000100
+11101110000110101000000101000011
+11101110001001001000000101000001
+11101110001011011000000101000000
+11101110001101101000000100111111
+11101110010000001000000100111110
+11101110010010011000000100111100
+11101110010100101000000100111011
+11101110010111001000000100111010
+11101110011001011000000100111000
+11101110011011101000000100110111
+11101110011110001000000100110110
+11101110100000011000000100110100
+11101110100010101000000100110011
+11101110100101001000000100110010
+11101110100111011000000100110001
+11101110101001101000000100101111
+11101110101100001000000100101110
+11101110101110011000000100101101
+11101110110000101000000100101100
+11101110110011001000000100101010
+11101110110101011000000100101001
+11101110110111101000000100101000
+11101110111010001000000100100110
+11101110111100011000000100100101
+11101110111110101000000100100100
+11101111000001001000000100100011
+11101111000011011000000100100001
+11101111000101101000000100100000
+11101111001000001000000100011111
+11101111001010011000000100011110
+11101111001100101000000100011100
+11101111001111001000000100011011
+11101111010001011000000100011010
+11101111010011101000000100011001
+11101111010110001000000100011000
+11101111011000011000000100010110
+11101111011010101000000100010101
+11101111011101001000000100010100
+11101111011111011000000100010011
+11101111100001101000000100010001
+11101111100100001000000100010000
+11101111100110011000000100001111
+11101111101000101000000100001110
+11101111101011001000000100001101
+11101111101101011000000100001011
+11101111101111101000000100001010
+11101111110010001000000100001001
+11101111110100011000000100001000
+11101111110110111000000100000111
+11101111111001001000000100000101
+11101111111011011000000100000100
+11101111111101111000000100000011
+11110000000000001000000100000010
+11110000000010011000000100000001
+11110000000100111000000100000000
+11110000000111001000000011111110
+11110000001001011000000011111101
+11110000001011111000000011111100
+11110000001110001000000011111011
+11110000010000011000000011111010
+11110000010010111000000011111001
+11110000010101001000000011110111
+11110000010111011000000011110110
+11110000011001111000000011110101
+11110000011100001000000011110100
+11110000011110101000000011110011
+11110000100000111000000011110010
+11110000100011001000000011110001
+11110000100101101000000011101111
+11110000100111111000000011101110
+11110000101010001000000011101101
+11110000101100101000000011101100
+11110000101110111000000011101011
+11110000110001001000000011101010
+11110000110011101000000011101001
+11110000110101111000000011101000
+11110000111000001000000011100110
+11110000111010101000000011100101
+11110000111100111000000011100100
+11110000111111011000000011100011
+11110001000001101000000011100010
+11110001000011111000000011100001
+11110001000110011000000011100000
+11110001001000101000000011011111
+11110001001010111000000011011110
+11110001001101011000000011011101
+11110001001111101000000011011011
+11110001010001111000000011011010
+11110001010100011000000011011001
+11110001010110101000000011011000
+11110001011000111000000011010111
+11110001011011011000000011010110
+11110001011101101000000011010101
+11110001100000001000000011010100
+11110001100010011000000011010011
+11110001100100101000000011010010
+11110001100111001000000011010001
+11110001101001011000000011010000
+11110001101011101000000011001111
+11110001101110001000000011001110
+11110001110000011000000011001100
+11110001110010101000000011001011
+11110001110101001000000011001010
+11110001110111011000000011001001
+11110001111001111000000011001000
+11110001111100001000000011000111
+11110001111110011000000011000110
+11110010000000111000000011000101
+11110010000011001000000011000100
+11110010000101011000000011000011
+11110010000111111000000011000010
+11110010001010001000000011000001
+11110010001100101000000011000000
+11110010001110111000000010111111
+11110010010001001000000010111110
+11110010010011101000000010111101
+11110010010101111000000010111100
+11110010011000001000000010111011
+11110010011010101000000010111010
+11110010011100111000000010111001
+11110010011111001000000010111000
+11110010100001101000000010110111
+11110010100011111000000010110110
+11110010100110011000000010110101
+11110010101000101000000010110100
+11110010101010111000000010110011
+11110010101101011000000010110010
+11110010101111101000000010110001
+11110010110001111000000010110000
+11110010110100011000000010101111
+11110010110110101000000010101110
+11110010111001001000000010101101
+11110010111011011000000010101100
+11110010111101101000000010101011
+11110011000000001000000010101010
+11110011000010011000000010101001
+11110011000100101000000010101000
+11110011000111001000000010101000
+11110011001001011000000010100111
+11110011001011111000000010100110
+11110011001110001000000010100101
+11110011010000011000000010100100
+11110011010010111000000010100011
+11110011010101001000000010100010
+11110011010111011000000010100001
+11110011011001111000000010100000
+11110011011100001000000010011111
+11110011011110101000000010011110
+11110011100000111000000010011101
+11110011100011001000000010011100
+11110011100101101000000010011011
+11110011100111111000000010011010
+11110011101010011000000010011010
+11110011101100101000000010011001
+11110011101110111000000010011000
+11110011110001011000000010010111
+11110011110011101000000010010110
+11110011110101111000000010010101
+11110011111000011000000010010100
+11110011111010101000000010010011
+11110011111101001000000010010010
+11110011111111011000000010010010
+11110100000001101000000010010001
+11110100000100001000000010010000
+11110100000110011000000010001111
+11110100001000101000000010001110
+11110100001011001000000010001101
+11110100001101011000000010001100
+11110100001111111000000010001011
+11110100010010001000000010001011
+11110100010100011000000010001010
+11110100010110111000000010001001
+11110100011001001000000010001000
+11110100011011101000000010000111
+11110100011101111000000010000110
+11110100100000001000000010000101
+11110100100010101000000010000101
+11110100100100111000000010000100
+11110100100111001000000010000011
+11110100101001101000000010000010
+11110100101011111000000010000001
+11110100101110011000000010000000
+11110100110000101000000010000000
+11110100110010111000000001111111
+11110100110101011000000001111110
+11110100110111101000000001111101
+11110100111010001000000001111100
+11110100111100011000000001111011
+11110100111110101000000001111011
+11110101000001001000000001111010
+11110101000011011000000001111001
+11110101000101111000000001111000
+11110101001000001000000001110111
+11110101001010011000000001110111
+11110101001100111000000001110110
+11110101001111001000000001110101
+11110101010001011000000001110100
+11110101010011111000000001110011
+11110101010110001000000001110011
+11110101011000101000000001110010
+11110101011010111000000001110001
+11110101011101001000000001110000
+11110101011111101000000001110000
+11110101100001111000000001101111
+11110101100100011000000001101110
+11110101100110101000000001101101
+11110101101000111000000001101100
+11110101101011011000000001101100
+11110101101101101000000001101011
+11110101110000001000000001101010
+11110101110010011000000001101001
+11110101110100101000000001101001
+11110101110111001000000001101000
+11110101111001011000000001100111
+11110101111011111000000001100110
+11110101111110001000000001100110
+11110110000000011000000001100101
+11110110000010111000000001100100
+11110110000101001000000001100100
+11110110000111101000000001100011
+11110110001001111000000001100010
+11110110001100001000000001100001
+11110110001110101000000001100001
+11110110010000111000000001100000
+11110110010011011000000001011111
+11110110010101101000000001011110
+11110110010111111000000001011110
+11110110011010011000000001011101
+11110110011100101000000001011100
+11110110011111001000000001011100
+11110110100001011000000001011011
+11110110100011101000000001011010
+11110110100110001000000001011010
+11110110101000011000000001011001
+11110110101010111000000001011000
+11110110101101001000000001010111
+11110110101111011000000001010111
+11110110110001111000000001010110
+11110110110100001000000001010101
+11110110110110101000000001010101
+11110110111000111000000001010100
+11110110111011001000000001010011
+11110110111101101000000001010011
+11110110111111111000000001010010
+11110111000010011000000001010001
+11110111000100101000000001010001
+11110111000110111000000001010000
+11110111001001011000000001001111
+11110111001011101000000001001111
+11110111001110001000000001001110
+11110111010000011000000001001110
+11110111010010101000000001001101
+11110111010101001000000001001100
+11110111010111011000000001001100
+11110111011001111000000001001011
+11110111011100001000000001001010
+11110111011110011000000001001010
+11110111100000111000000001001001
+11110111100011001000000001001000
+11110111100101101000000001001000
+11110111100111111000000001000111
+11110111101010001000000001000111
+11110111101100101000000001000110
+11110111101110111000000001000101
+11110111110001011000000001000101
+11110111110011101000000001000100
+11110111110101111000000001000100
+11110111111000011000000001000011
+11110111111010101000000001000010
+11110111111101001000000001000010
+11110111111111011000000001000001
+11111000000001101000000001000001
+11111000000100001000000001000000
+11111000000110011000000000111111
+11111000001000111000000000111111
+11111000001011001000000000111110
+11111000001101011000000000111110
+11111000001111111000000000111101
+11111000010010001000000000111101
+11111000010100101000000000111100
+11111000010110111000000000111011
+11111000011001001000000000111011
+11111000011011101000000000111010
+11111000011101111000000000111010
+11111000100000011000000000111001
+11111000100010101000000000111001
+11111000100101001000000000111000
+11111000100111011000000000111000
+11111000101001101000000000110111
+11111000101100001000000000110110
+11111000101110011000000000110110
+11111000110000111000000000110101
+11111000110011001000000000110101
+11111000110101011000000000110100
+11111000110111111000000000110100
+11111000111010001000000000110011
+11111000111100101000000000110011
+11111000111110111000000000110010
+11111001000001001000000000110010
+11111001000011101000000000110001
+11111001000101111000000000110001
+11111001001000011000000000110000
+11111001001010101000000000110000
+11111001001100111000000000101111
+11111001001111011000000000101111
+11111001010001101000000000101110
+11111001010100001000000000101110
+11111001010110011000000000101101
+11111001011000111000000000101101
+11111001011011001000000000101100
+11111001011101011000000000101100
+11111001011111111000000000101011
+11111001100010001000000000101011
+11111001100100101000000000101010
+11111001100110111000000000101010
+11111001101001001000000000101001
+11111001101011101000000000101001
+11111001101101111000000000101000
+11111001110000011000000000101000
+11111001110010101000000000101000
+11111001110100111000000000100111
+11111001110111011000000000100111
+11111001111001101000000000100110
+11111001111100001000000000100110
+11111001111110011000000000100101
+11111010000000111000000000100101
+11111010000011001000000000100100
+11111010000101011000000000100100
+11111010000111111000000000100100
+11111010001010001000000000100011
+11111010001100101000000000100011
+11111010001110111000000000100010
+11111010010001001000000000100010
+11111010010011101000000000100001
+11111010010101111000000000100001
+11111010011000011000000000100001
+11111010011010101000000000100000
+11111010011101001000000000100000
+11111010011111011000000000011111
+11111010100001101000000000011111
+11111010100100001000000000011111
+11111010100110011000000000011110
+11111010101000111000000000011110
+11111010101011001000000000011101
+11111010101101011000000000011101
+11111010101111111000000000011101
+11111010110010001000000000011100
+11111010110100101000000000011100
+11111010110110111000000000011011
+11111010111001011000000000011011
+11111010111011101000000000011011
+11111010111101111000000000011010
+11111011000000011000000000011010
+11111011000010101000000000011010
+11111011000101001000000000011001
+11111011000111011000000000011001
+11111011001001101000000000011000
+11111011001100001000000000011000
+11111011001110011000000000011000
+11111011010000111000000000010111
+11111011010011001000000000010111
+11111011010101101000000000010111
+11111011010111111000000000010110
+11111011011010001000000000010110
+11111011011100101000000000010110
+11111011011110111000000000010101
+11111011100001011000000000010101
+11111011100011101000000000010101
+11111011100101111000000000010100
+11111011101000011000000000010100
+11111011101010101000000000010100
+11111011101101001000000000010011
+11111011101111011000000000010011
+11111011110001111000000000010011
+11111011110100001000000000010011
+11111011110110011000000000010010
+11111011111000111000000000010010
+11111011111011001000000000010010
+11111011111101101000000000010001
+11111011111111111000000000010001
+11111100000010001000000000010001
+11111100000100101000000000010000
+11111100000110111000000000010000
+11111100001001011000000000010000
+11111100001011101000000000010000
+11111100001110001000000000001111
+11111100010000011000000000001111
+11111100010010101000000000001111
+11111100010101001000000000001110
+11111100010111011000000000001110
+11111100011001111000000000001110
+11111100011100001000000000001110
+11111100011110101000000000001101
+11111100100000111000000000001101
+11111100100011001000000000001101
+11111100100101101000000000001101
+11111100100111111000000000001100
+11111100101010011000000000001100
+11111100101100101000000000001100
+11111100101110111000000000001100
+11111100110001011000000000001011
+11111100110011101000000000001011
+11111100110110001000000000001011
+11111100111000011000000000001011
+11111100111010111000000000001010
+11111100111101001000000000001010
+11111100111111011000000000001010
+11111101000001111000000000001010
+11111101000100001000000000001010
+11111101000110101000000000001001
+11111101001000111000000000001001
+11111101001011011000000000001001
+11111101001101101000000000001001
+11111101001111111000000000001001
+11111101010010011000000000001000
+11111101010100101000000000001000
+11111101010111001000000000001000
+11111101011001011000000000001000
+11111101011011101000000000001000
+11111101011110001000000000000111
+11111101100000011000000000000111
+11111101100010111000000000000111
+11111101100101001000000000000111
+11111101100111101000000000000111
+11111101101001111000000000000110
+11111101101100001000000000000110
+11111101101110101000000000000110
+11111101110000111000000000000110
+11111101110011011000000000000110
+11111101110101101000000000000110
+11111101111000001000000000000110
+11111101111010011000000000000101
+11111101111100101000000000000101
+11111101111111001000000000000101
+11111110000001011000000000000101
+11111110000011111000000000000101
+11111110000110001000000000000101
+11111110001000101000000000000100
+11111110001010111000000000000100
+11111110001101001000000000000100
+11111110001111101000000000000100
+11111110010001111000000000000100
+11111110010100011000000000000100
+11111110010110101000000000000100
+11111110011000111000000000000100
+11111110011011011000000000000011
+11111110011101101000000000000011
+11111110100000001000000000000011
+11111110100010011000000000000011
+11111110100100111000000000000011
+11111110100111001000000000000011
+11111110101001011000000000000011
+11111110101011111000000000000011
+11111110101110001000000000000011
+11111110110000101000000000000011
+11111110110010111000000000000010
+11111110110101011000000000000010
+11111110110111101000000000000010
+11111110111001111000000000000010
+11111110111100011000000000000010
+11111110111110101000000000000010
+11111111000001001000000000000010
+11111111000011011000000000000010
+11111111000101111000000000000010
+11111111001000001000000000000010
+11111111001010011000000000000010
+11111111001100111000000000000010
+11111111001111001000000000000010
+11111111010001101000000000000010
+11111111010011111000000000000001
+11111111010110011000000000000001
+11111111011000101000000000000001
+11111111011010111000000000000001
+11111111011101011000000000000001
+11111111011111101000000000000001
+11111111100010001000000000000001
+11111111100100011000000000000001
+11111111100110101000000000000001
+11111111101001001000000000000001
+11111111101011011000000000000001
+11111111101101111000000000000001
+11111111110000001000000000000001
+11111111110010101000000000000001
+11111111110100111000000000000001
+11111111110111001000000000000001
+11111111111001101000000000000001
+11111111111011111000000000000001
+11111111111110011000000000000001
+00000000000000101000000000000001
+00000000000011001000000000000001
+00000000000101011000000000000001
+00000000000111101000000000000001
+00000000001010001000000000000001
+00000000001100011000000000000001
+00000000001110111000000000000001
+00000000010001001000000000000001
+00000000010011101000000000000001
+00000000010101111000000000000001
+00000000011000001000000000000001
+00000000011010101000000000000001
+00000000011100111000000000000001
+00000000011111011000000000000001
+00000000100001101000000000000001
+00000000100100001000000000000001
+00000000100110011000000000000001
+00000000101000101000000000000001
+00000000101011001000000000000001
+00000000101101011000000000000010
+00000000101111111000000000000010
+00000000110010001000000000000010
+00000000110100011000000000000010
+00000000110110111000000000000010
+00000000111001001000000000000010
+00000000111011101000000000000010
+00000000111101111000000000000010
+00000001000000011000000000000010
+00000001000010101000000000000010
+00000001000100111000000000000010
+00000001000111011000000000000010
+00000001001001101000000000000010
+00000001001100001000000000000010
+00000001001110011000000000000011
+00000001010000111000000000000011
+00000001010011001000000000000011
+00000001010101011000000000000011
+00000001010111111000000000000011
+00000001011010001000000000000011
+00000001011100101000000000000011
+00000001011110111000000000000011
+00000001100001011000000000000011
+00000001100011101000000000000011
+00000001100101111000000000000100
+00000001101000011000000000000100
+00000001101010101000000000000100
+00000001101101001000000000000100
+00000001101111011000000000000100
+00000001110001101000000000000100
+00000001110100001000000000000100
+00000001110110011000000000000100
+00000001111000111000000000000101
+00000001111011001000000000000101
+00000001111101101000000000000101
+00000001111111111000000000000101
+00000010000010001000000000000101
+00000010000100101000000000000101
+00000010000110111000000000000101
+00000010001001011000000000000110
+00000010001011101000000000000110
+00000010001110001000000000000110
+00000010010000011000000000000110
+00000010010010101000000000000110
+00000010010101001000000000000110
+00000010010111011000000000000111
+00000010011001111000000000000111
+00000010011100001000000000000111
+00000010011110101000000000000111
+00000010100000111000000000000111
+00000010100011001000000000001000
+00000010100101101000000000001000
+00000010100111111000000000001000
+00000010101010011000000000001000
+00000010101100101000000000001000
+00000010101110111000000000001000
+00000010110001011000000000001001
+00000010110011101000000000001001
+00000010110110001000000000001001
+00000010111000011000000000001001
+00000010111010111000000000001010
+00000010111101001000000000001010
+00000010111111011000000000001010
+00000011000001111000000000001010
+00000011000100001000000000001010
+00000011000110101000000000001011
+00000011001000111000000000001011
+00000011001011011000000000001011
+00000011001101101000000000001011
+00000011001111111000000000001100
+00000011010010011000000000001100
+00000011010100101000000000001100
+00000011010111001000000000001100
+00000011011001011000000000001101
+00000011011011101000000000001101
+00000011011110001000000000001101
+00000011100000011000000000001101
+00000011100010111000000000001110
+00000011100101001000000000001110
+00000011100111101000000000001110
+00000011101001111000000000001110
+00000011101100001000000000001111
+00000011101110101000000000001111
+00000011110000111000000000001111
+00000011110011011000000000001111
+00000011110101101000000000010000
+00000011111000001000000000010000
+00000011111010011000000000010000
+00000011111100101000000000010001
+00000011111111001000000000010001
+00000100000001011000000000010001
+00000100000011111000000000010001
+00000100000110001000000000010010
+00000100001000011000000000010010
+00000100001010111000000000010010
+00000100001101001000000000010011
+00000100001111101000000000010011
+00000100010001111000000000010011
+00000100010100011000000000010100
+00000100010110101000000000010100
+00000100011000111000000000010100
+00000100011011011000000000010101
+00000100011101101000000000010101
+00000100100000001000000000010101
+00000100100010011000000000010110
+00000100100100101000000000010110
+00000100100111001000000000010110
+00000100101001011000000000010111
+00000100101011111000000000010111
+00000100101110001000000000010111
+00000100110000101000000000011000
+00000100110010111000000000011000
+00000100110101001000000000011000
+00000100110111101000000000011001
+00000100111001111000000000011001
+00000100111100011000000000011001
+00000100111110101000000000011010
+00000101000001001000000000011010
+00000101000011011000000000011011
+00000101000101101000000000011011
+00000101001000001000000000011011
+00000101001010011000000000011100
+00000101001100111000000000011100
+00000101001111001000000000011100
+00000101010001011000000000011101
+00000101010011111000000000011101
+00000101010110001000000000011110
+00000101011000101000000000011110
+00000101011010111000000000011110
+00000101011101001000000000011111
+00000101011111101000000000011111
+00000101100001111000000000100000
+00000101100100011000000000100000
+00000101100110101000000000100000
+00000101101001001000000000100001
+00000101101011011000000000100001
+00000101101101101000000000100010
+00000101110000001000000000100010
+00000101110010011000000000100011
+00000101110100111000000000100011
+00000101110111001000000000100011
+00000101111001011000000000100100
+00000101111011111000000000100100
+00000101111110001000000000100101
+00000110000000101000000000100101
+00000110000010111000000000100110
+00000110000101011000000000100110
+00000110000111101000000000100110
+00000110001001111000000000100111
+00000110001100011000000000100111
+00000110001110101000000000101000
+00000110010001001000000000101000
+00000110010011011000000000101001
+00000110010101101000000000101001
+00000110011000001000000000101010
+00000110011010011000000000101010
+00000110011100111000000000101011
+00000110011111001000000000101011
+00000110100001101000000000101100
+00000110100011111000000000101100
+00000110100110001000000000101101
+00000110101000101000000000101101
+00000110101010111000000000101110
+00000110101101011000000000101110
+00000110101111101000000000101111
+00000110110001111000000000101111
+00000110110100011000000000110000
+00000110110110101000000000110000
+00000110111001001000000000110001
+00000110111011011000000000110001
+00000110111101101000000000110010
+00000111000000001000000000110010
+00000111000010011000000000110011
+00000111000100111000000000110011
+00000111000111001000000000110100
+00000111001001011000000000110100
+00000111001011111000000000110101
+00000111001110001000000000110101
+00000111010000101000000000110110
+00000111010010111000000000110110
+00000111010101011000000000110111
+00000111010111101000000000110111
+00000111011001111000000000111000
+00000111011100011000000000111000
+00000111011110101000000000111001
+00000111100001001000000000111010
+00000111100011011000000000111010
+00000111100101101000000000111011
+00000111101000001000000000111011
+00000111101010011000000000111100
+00000111101100111000000000111100
+00000111101111001000000000111101
+00000111110001011000000000111110
+00000111110011111000000000111110
+00000111110110001000000000111111
+00000111111000101000000000111111
+00000111111010111000000001000000
+00000111111101001000000001000000
+00000111111111101000000001000001
+00001000000001111000000001000010
+00001000000100011000000001000010
+00001000000110101000000001000011
+00001000001000111000000001000011
+00001000001011011000000001000100
+00001000001101101000000001000101
+00001000010000001000000001000101
+00001000010010011000000001000110
+00001000010100111000000001000110
+00001000010111001000000001000111
+00001000011001011000000001001000
+00001000011011111000000001001000
+00001000011110001000000001001001
+00001000100000101000000001001010
+00001000100010111000000001001010
+00001000100101001000000001001011
+00001000100111101000000001001011
+00001000101001111000000001001100
+00001000101100011000000001001101
+00001000101110101000000001001101
+00001000110000111000000001001110
+00001000110011011000000001001111
+00001000110101101000000001001111
+00001000111000001000000001010000
+00001000111010011000000001010001
+00001000111100101000000001010001
+00001000111111001000000001010010
+00001001000001011000000001010011
+00001001000011111000000001010011
+00001001000110001000000001010100
+00001001001000011000000001010101
+00001001001010111000000001010101
+00001001001101001000000001010110
+00001001001111101000000001010111
+00001001010001111000000001010111
+00001001010100001000000001011000
+00001001010110101000000001011001
+00001001011000111000000001011001
+00001001011011011000000001011010
+00001001011101101000000001011011
+00001001011111111000000001011011
+00001001100010011000000001011100
+00001001100100101000000001011101
+00001001100111001000000001011110
+00001001101001011000000001011110
+00001001101011101000000001011111
+00001001101110001000000001100000
+00001001110000011000000001100000
+00001001110010111000000001100001
+00001001110101001000000001100010
+00001001110111011000000001100011
+00001001111001111000000001100011
+00001001111100001000000001100100
+00001001111110101000000001100101
+00001010000000111000000001100101
+00001010000011001000000001100110
+00001010000101101000000001100111
+00001010000111111000000001101000
+00001010001010001000000001101000
+00001010001100101000000001101001
+00001010001110111000000001101010
+00001010010001011000000001101011
+00001010010011101000000001101011
+00001010010101111000000001101100
+00001010011000011000000001101101
+00001010011010101000000001101110
+00001010011101001000000001101111
+00001010011111011000000001101111
+00001010100001101000000001110000
+00001010100100001000000001110001
+00001010100110011000000001110010
+00001010101000111000000001110010
+00001010101011001000000001110011
+00001010101101011000000001110100
+00001010101111111000000001110101
+00001010110010001000000001110110
+00001010110100101000000001110110
+00001010110110111000000001110111
+00001010111001001000000001111000
+00001010111011101000000001111001
+00001010111101111000000001111010
+00001011000000001000000001111010
+00001011000010101000000001111011
+00001011000100111000000001111100
+00001011000111011000000001111101
+00001011001001101000000001111110
+00001011001011111000000001111110
+00001011001110011000000001111111
+00001011010000101000000010000000
+00001011010011001000000010000001
+00001011010101011000000010000010
+00001011010111101000000010000011
+00001011011010001000000010000011
+00001011011100011000000010000100
+00001011011110111000000010000101
+00001011100001001000000010000110
+00001011100011011000000010000111
+00001011100101111000000010001000
+00001011101000001000000010001001
+00001011101010011000000010001001
+00001011101100111000000010001010
+00001011101111001000000010001011
+00001011110001101000000010001100
+00001011110011111000000010001101
+00001011110110001000000010001110
+00001011111000101000000010001111
+00001011111010111000000010001111
+00001011111101011000000010010000
+00001011111111101000000010010001
+00001100000001111000000010010010
+00001100000100011000000010010011
+00001100000110101000000010010100
+00001100001000111000000010010101
+00001100001011011000000010010110
+00001100001101101000000010010111
+00001100010000001000000010010111
+00001100010010011000000010011000
+00001100010100101000000010011001
+00001100010111001000000010011010
+00001100011001011000000010011011
+00001100011011101000000010011100
+00001100011110001000000010011101
+00001100100000011000000010011110
+00001100100010111000000010011111
+00001100100101001000000010100000
+00001100100111011000000010100001
+00001100101001111000000010100010
+00001100101100001000000010100010
+00001100101110101000000010100011
+00001100110000111000000010100100
+00001100110011001000000010100101
+00001100110101101000000010100110
+00001100110111111000000010100111
+00001100111010001000000010101000
+00001100111100101000000010101001
+00001100111110111000000010101010
+00001101000001011000000010101011
+00001101000011101000000010101100
+00001101000101111000000010101101
+00001101001000011000000010101110
+00001101001010101000000010101111
+00001101001100111000000010110000
+00001101001111011000000010110001
+00001101010001101000000010110010
+00001101010100001000000010110011
+00001101010110011000000010110100
+00001101011000101000000010110101
+00001101011011001000000010110110
+00001101011101011000000010110111
+00001101011111101000000010111000
+00001101100010001000000010111001
+00001101100100011000000010111010
+00001101100110111000000010111011
+00001101101001001000000010111100
+00001101101011011000000010111101
+00001101101101111000000010111110
+00001101110000001000000010111111
+00001101110010011000000011000000
+00001101110100111000000011000001
+00001101110111001000000011000010
+00001101111001011000000011000011
+00001101111011111000000011000100
+00001101111110001000000011000101
+00001110000000101000000011000110
+00001110000010111000000011000111
+00001110000101001000000011001000
+00001110000111101000000011001001
+00001110001001111000000011001010
+00001110001100001000000011001011
+00001110001110101000000011001100
+00001110010000111000000011001101
+00001110010011001000000011001110
+00001110010101101000000011001111
+00001110010111111000000011010000
+00001110011010011000000011010001
+00001110011100101000000011010010
+00001110011110111000000011010100
+00001110100001011000000011010101
+00001110100011101000000011010110
+00001110100101111000000011010111
+00001110101000011000000011011000
+00001110101010101000000011011001
+00001110101100111000000011011010
+00001110101111011000000011011011
+00001110110001101000000011011100
+00001110110100001000000011011101
+00001110110110011000000011011110
+00001110111000101000000011011111
+00001110111011001000000011100001
+00001110111101011000000011100010
+00001110111111101000000011100011
+00001111000010001000000011100100
+00001111000100011000000011100101
+00001111000110101000000011100110
+00001111001001001000000011100111
+00001111001011011000000011101000
+00001111001101111000000011101001
+00001111010000001000000011101011
+00001111010010011000000011101100
+00001111010100111000000011101101
+00001111010111001000000011101110
+00001111011001011000000011101111
+00001111011011111000000011110000
+00001111011110001000000011110001
+00001111100000011000000011110010
+00001111100010111000000011110100
+00001111100101001000000011110101
+00001111100111011000000011110110
+00001111101001111000000011110111
+00001111101100001000000011111000
+00001111101110011000000011111001
+00001111110000111000000011111011
+00001111110011001000000011111100
+00001111110101101000000011111101
+00001111110111111000000011111110
+00001111111010001000000011111111
+00001111111100101000000100000000
+00001111111110111000000100000010
+00010000000001001000000100000011
+00010000000011101000000100000100
+00010000000101111000000100000101
+00010000001000001000000100000110
+00010000001010101000000100000111
+00010000001100111000000100001001
+00010000001111001000000100001010
+00010000010001101000000100001011
+00010000010011111000000100001100
+00010000010110001000000100001101
+00010000011000101000000100001111
+00010000011010111000000100010000
+00010000011101001000000100010001
+00010000011111101000000100010010
+00010000100001111000000100010011
+00010000100100011000000100010101
+00010000100110101000000100010110
+00010000101000111000000100010111
+00010000101011011000000100011000
+00010000101101101000000100011010
+00010000101111111000000100011011
+00010000110010011000000100011100
+00010000110100101000000100011101
+00010000110110111000000100011111
+00010000111001011000000100100000
+00010000111011101000000100100001
+00010000111101111000000100100010
+00010001000000011000000100100100
+00010001000010101000000100100101
+00010001000100111000000100100110
+00010001000111011000000100100111
+00010001001001101000000100101001
+00010001001011111000000100101010
+00010001001110011000000100101011
+00010001010000101000000100101100
+00010001010010111000000100101110
+00010001010101011000000100101111
+00010001010111101000000100110000
+00010001011001111000000100110001
+00010001011100011000000100110011
+00010001011110101000000100110100
+00010001100000111000000100110101
+00010001100011011000000100110111
+00010001100101101000000100111000
+00010001100111111000000100111001
+00010001101010011000000100111010
+00010001101100101000000100111100
+00010001101110111000000100111101
+00010001110001011000000100111110
+00010001110011101000000101000000
+00010001110101111000000101000001
+00010001111000011000000101000010
+00010001111010101000000101000100
+00010001111100111000000101000101
+00010001111111011000000101000110
+00010010000001101000000101001000
+00010010000011111000000101001001
+00010010000110011000000101001010
+00010010001000101000000101001100
+00010010001010111000000101001101
+00010010001101011000000101001110
+00010010001111101000000101010000
+00010010010001111000000101010001
+00010010010100011000000101010010
+00010010010110101000000101010100
+00010010011000111000000101010101
+00010010011011011000000101010110
+00010010011101101000000101011000
+00010010011111111000000101011001
+00010010100010011000000101011010
+00010010100100101000000101011100
+00010010100110111000000101011101
+00010010101001011000000101011111
+00010010101011101000000101100000
+00010010101101111000000101100001
+00010010110000011000000101100011
+00010010110010101000000101100100
+00010010110100111000000101100101
+00010010110111011000000101100111
+00010010111001101000000101101000
+00010010111011111000000101101010
+00010010111110001000000101101011
+00010011000000101000000101101100
+00010011000010111000000101101110
+00010011000101001000000101101111
+00010011000111101000000101110001
+00010011001001111000000101110010
+00010011001100001000000101110011
+00010011001110101000000101110101
+00010011010000111000000101110110
+00010011010011001000000101111000
+00010011010101101000000101111001
+00010011010111111000000101111011
+00010011011010001000000101111100
+00010011011100101000000101111101
+00010011011110111000000101111111
+00010011100001001000000110000000
+00010011100011101000000110000010
+00010011100101111000000110000011
+00010011101000001000000110000101
+00010011101010011000000110000110
+00010011101100111000000110001000
+00010011101111001000000110001001
+00010011110001011000000110001010
+00010011110011111000000110001100
+00010011110110001000000110001101
+00010011111000011000000110001111
+00010011111010111000000110010000
+00010011111101001000000110010010
+00010011111111011000000110010011
+00010100000001111000000110010101
+00010100000100001000000110010110
+00010100000110011000000110011000
+00010100001000111000000110011001
+00010100001011001000000110011011
+00010100001101011000000110011100
+00010100001111101000000110011110
+00010100010010001000000110011111
+00010100010100011000000110100001
+00010100010110101000000110100010
+00010100011001001000000110100100
+00010100011011011000000110100101
+00010100011101101000000110100111
+00010100100000001000000110101000
+00010100100010011000000110101010
+00010100100100101000000110101011
+00010100100110111000000110101101
+00010100101001011000000110101110
+00010100101011101000000110110000
+00010100101101111000000110110001
+00010100110000011000000110110011
+00010100110010101000000110110100
+00010100110100111000000110110110
+00010100110111011000000110110111
+00010100111001101000000110111001
+00010100111011111000000110111010
+00010100111110001000000110111100
+00010101000000101000000110111101
+00010101000010111000000110111111
+00010101000101001000000111000001
+00010101000111101000000111000010
+00010101001001111000000111000100
+00010101001100001000000111000101
+00010101001110101000000111000111
+00010101010000111000000111001000
+00010101010011001000000111001010
+00010101010101011000000111001100
+00010101010111111000000111001101
+00010101011010001000000111001111
+00010101011100011000000111010000
+00010101011110111000000111010010
+00010101100001001000000111010011
+00010101100011011000000111010101
+00010101100101101000000111010111
+00010101101000001000000111011000
+00010101101010011000000111011010
+00010101101100101000000111011011
+00010101101111001000000111011101
+00010101110001011000000111011111
+00010101110011101000000111100000
+00010101110101111000000111100010
+00010101111000011000000111100011
+00010101111010101000000111100101
+00010101111100111000000111100111
+00010101111111011000000111101000
+00010110000001101000000111101010
+00010110000011111000000111101011
+00010110000110001000000111101101
+00010110001000101000000111101111
+00010110001010111000000111110000
+00010110001101001000000111110010
+00010110001111101000000111110100
+00010110010001111000000111110101
+00010110010100001000000111110111
+00010110010110011000000111111001
+00010110011000111000000111111010
+00010110011011001000000111111100
+00010110011101011000000111111110
+00010110011111111000000111111111
+00010110100010001000001000000001
+00010110100100011000001000000010
+00010110100110101000001000000100
+00010110101001001000001000000110
+00010110101011011000001000000111
+00010110101101101000001000001001
+00010110101111111000001000001011
+00010110110010011000001000001101
+00010110110100101000001000001110
+00010110110110111000001000010000
+00010110111001011000001000010010
+00010110111011101000001000010011
+00010110111101111000001000010101
+00010111000000001000001000010111
+00010111000010101000001000011000
+00010111000100111000001000011010
+00010111000111001000001000011100
+00010111001001011000001000011101
+00010111001011111000001000011111
+00010111001110001000001000100001
+00010111010000011000001000100011
+00010111010010111000001000100100
+00010111010101001000001000100110
+00010111010111011000001000101000
+00010111011001101000001000101001
+00010111011100001000001000101011
+00010111011110011000001000101101
+00010111100000101000001000101111
+00010111100010111000001000110000
+00010111100101011000001000110010
+00010111100111101000001000110100
+00010111101001111000001000110110
+00010111101100001000001000110111
+00010111101110101000001000111001
+00010111110000111000001000111011
+00010111110011001000001000111101
+00010111110101011000001000111110
+00010111110111111000001001000000
+00010111111010001000001001000010
+00010111111100011000001001000100
+00010111111110101000001001000101
+00011000000001001000001001000111
+00011000000011011000001001001001
+00011000000101101000001001001011
+00011000001000001000001001001100
+00011000001010011000001001001110
+00011000001100101000001001010000
+00011000001110111000001001010010
+00011000010001011000001001010100
+00011000010011101000001001010101
+00011000010101111000001001010111
+00011000011000001000001001011001
+00011000011010101000001001011011
+00011000011100111000001001011101
+00011000011111001000001001011110
+00011000100001011000001001100000
+00011000100011111000001001100010
+00011000100110001000001001100100
+00011000101000011000001001100110
+00011000101010101000001001100111
+00011000101101001000001001101001
+00011000101111011000001001101011
+00011000110001101000001001101101
+00011000110011111000001001101111
+00011000110110011000001001110000
+00011000111000101000001001110010
+00011000111010111000001001110100
+00011000111101001000001001110110
+00011000111111011000001001111000
+00011001000001111000001001111010
+00011001000100001000001001111100
+00011001000110011000001001111101
+00011001001000101000001001111111
+00011001001011001000001010000001
+00011001001101011000001010000011
+00011001001111101000001010000101
+00011001010001111000001010000111
+00011001010100011000001010001000
+00011001010110101000001010001010
+00011001011000111000001010001100
+00011001011011001000001010001110
+00011001011101101000001010010000
+00011001011111111000001010010010
+00011001100010001000001010010100
+00011001100100011000001010010110
+00011001100110111000001010010111
+00011001101001001000001010011001
+00011001101011011000001010011011
+00011001101101101000001010011101
+00011001101111111000001010011111
+00011001110010011000001010100001
+00011001110100101000001010100011
+00011001110110111000001010100101
+00011001111001001000001010100111
+00011001111011101000001010101001
+00011001111101111000001010101010
+00011010000000001000001010101100
+00011010000010011000001010101110
+00011010000100111000001010110000
+00011010000111001000001010110010
+00011010001001011000001010110100
+00011010001011101000001010110110
+00011010001101111000001010111000
+00011010010000011000001010111010
+00011010010010101000001010111100
+00011010010100111000001010111110
+00011010010111001000001011000000
+00011010011001101000001011000010
+00011010011011111000001011000100
+00011010011110001000001011000110
+00011010100000011000001011000111
+00011010100010101000001011001001
+00011010100101001000001011001011
+00011010100111011000001011001101
+00011010101001101000001011001111
+00011010101011111000001011010001
+00011010101110011000001011010011
+00011010110000101000001011010101
+00011010110010111000001011010111
+00011010110101001000001011011001
+00011010110111011000001011011011
+00011010111001111000001011011101
+00011010111100001000001011011111
+00011010111110011000001011100001
+00011011000000101000001011100011
+00011011000010111000001011100101
+00011011000101011000001011100111
+00011011000111101000001011101001
+00011011001001111000001011101011
+00011011001100001000001011101101
+00011011001110011000001011101111
+00011011010000111000001011110001
+00011011010011001000001011110011
+00011011010101011000001011110101
+00011011010111101000001011110111
+00011011011010001000001011111001
+00011011011100011000001011111011
+00011011011110101000001011111101
+00011011100000111000001011111111
+00011011100011001000001100000001
+00011011100101101000001100000011
+00011011100111111000001100000101
+00011011101010001000001100000111
+00011011101100011000001100001001
+00011011101110101000001100001011
+00011011110001001000001100001101
+00011011110011011000001100001111
+00011011110101101000001100010001
+00011011110111111000001100010100
+00011011111010001000001100010110
+00011011111100101000001100011000
+00011011111110111000001100011010
+00011100000001001000001100011100
+00011100000011011000001100011110
+00011100000101101000001100100000
+00011100001000001000001100100010
+00011100001010011000001100100100
+00011100001100101000001100100110
+00011100001110111000001100101000
+00011100010001001000001100101010
+00011100010011011000001100101100
+00011100010101111000001100101110
+00011100011000001000001100110001
+00011100011010011000001100110011
+00011100011100101000001100110101
+00011100011110111000001100110111
+00011100100001011000001100111001
+00011100100011101000001100111011
+00011100100101111000001100111101
+00011100101000001000001100111111
+00011100101010011000001101000001
+00011100101100111000001101000011
+00011100101111001000001101000110
+00011100110001011000001101001000
+00011100110011101000001101001010
+00011100110101111000001101001100
+00011100111000001000001101001110
+00011100111010101000001101010000
+00011100111100111000001101010010
+00011100111111001000001101010100
+00011101000001011000001101010111
+00011101000011101000001101011001
+00011101000110001000001101011011
+00011101001000011000001101011101
+00011101001010101000001101011111
+00011101001100111000001101100001
+00011101001111001000001101100011
+00011101010001011000001101100110
+00011101010011111000001101101000
+00011101010110001000001101101010
+00011101011000011000001101101100
+00011101011010101000001101101110
+00011101011100111000001101110000
+00011101011111001000001101110011
+00011101100001101000001101110101
+00011101100011111000001101110111
+00011101100110001000001101111001
+00011101101000011000001101111011
+00011101101010101000001101111101
+00011101101100111000001110000000
+00011101101111011000001110000010
+00011101110001101000001110000100
+00011101110011111000001110000110
+00011101110110001000001110001000
+00011101111000011000001110001011
+00011101111010101000001110001101
+00011101111101001000001110001111
+00011101111111011000001110010001
+00011110000001101000001110010011
+00011110000011111000001110010110
+00011110000110001000001110011000
+00011110001000011000001110011010
+00011110001010111000001110011100
+00011110001101001000001110011111
+00011110001111011000001110100001
+00011110010001101000001110100011
+00011110010011111000001110100101
+00011110010110001000001110100111
+00011110011000101000001110101010
+00011110011010111000001110101100
+00011110011101001000001110101110
+00011110011111011000001110110000
+00011110100001101000001110110011
+00011110100011111000001110110101
+00011110100110001000001110110111
+00011110101000101000001110111001
+00011110101010111000001110111100
+00011110101101001000001110111110
+00011110101111011000001111000000
+00011110110001101000001111000010
+00011110110011111000001111000101
+00011110110110001000001111000111
+00011110111000101000001111001001
+00011110111010111000001111001100
+00011110111101001000001111001110
+00011110111111011000001111010000
+00011111000001101000001111010010
+00011111000011111000001111010101
+00011111000110001000001111010111
+00011111001000101000001111011001
+00011111001010111000001111011100
+00011111001101001000001111011110
+00011111001111011000001111100000
+00011111010001101000001111100010
+00011111010011111000001111100101
+00011111010110001000001111100111
+00011111011000101000001111101001
+00011111011010111000001111101100
+00011111011101001000001111101110
+00011111011111011000001111110000
+00011111100001101000001111110011
+00011111100011111000001111110101
+00011111100110001000001111110111
+00011111101000101000001111111010
+00011111101010111000001111111100
+00011111101101001000001111111110
+00011111101111011000010000000001
+00011111110001101000010000000011
+00011111110011111000010000000101
+00011111110110001000010000001000
+00011111111000011000010000001010
+00011111111010111000010000001100
+00011111111101001000010000001111
+00011111111111011000010000010001
+00100000000001101000010000010011
+00100000000011111000010000010110
+00100000000110001000010000011000
+00100000001000011000010000011010
+00100000001010101000010000011101
+00100000001101001000010000011111
+00100000001111011000010000100010
+00100000010001101000010000100100
+00100000010011111000010000100110
+00100000010110001000010000101001
+00100000011000011000010000101011
+00100000011010101000010000101101
+00100000011100111000010000110000
+00100000011111011000010000110010
+00100000100001101000010000110101
+00100000100011111000010000110111
+00100000100110001000010000111001
+00100000101000011000010000111100
+00100000101010101000010000111110
+00100000101100111000010001000001
+00100000101111001000010001000011
+00100000110001011000010001000101
+00100000110011111000010001001000
+00100000110110001000010001001010
+00100000111000011000010001001101
+00100000111010101000010001001111
+00100000111100111000010001010010
+00100000111111001000010001010100
+00100001000001011000010001010110
+00100001000011101000010001011001
+00100001000101111000010001011011
+00100001001000001000010001011110
+00100001001010101000010001100000
+00100001001100111000010001100011
+00100001001111001000010001100101
+00100001010001011000010001101000
+00100001010011101000010001101010
+00100001010101111000010001101100
+00100001011000001000010001101111
+00100001011010011000010001110001
+00100001011100101000010001110100
+00100001011110111000010001110110
+00100001100001011000010001111001
+00100001100011101000010001111011
+00100001100101111000010001111110
+00100001101000001000010010000000
+00100001101010011000010010000011
+00100001101100101000010010000101
+00100001101110111000010010001000
+00100001110001001000010010001010
+00100001110011011000010010001101
+00100001110101101000010010001111
+00100001110111111000010010010010
+00100001111010011000010010010100
+00100001111100101000010010010111
+00100001111110111000010010011001
+00100010000001001000010010011100
+00100010000011011000010010011110
+00100010000101101000010010100001
+00100010000111111000010010100011
+00100010001010001000010010100110
+00100010001100011000010010101000
+00100010001110101000010010101011
+00100010010000111000010010101101
+00100010010011001000010010110000
+00100010010101101000010010110010
+00100010010111111000010010110101
+00100010011010001000010010110111
+00100010011100011000010010111010
+00100010011110101000010010111100
+00100010100000111000010010111111
+00100010100011001000010011000001
+00100010100101011000010011000100
+00100010100111101000010011000111
+00100010101001111000010011001001
+00100010101100001000010011001100
+00100010101110011000010011001110
+00100010110000101000010011010001
+00100010110011001000010011010011
+00100010110101011000010011010110
+00100010110111101000010011011000
+00100010111001111000010011011011
+00100010111100001000010011011110
+00100010111110011000010011100000
+00100011000000101000010011100011
+00100011000010111000010011100101
+00100011000101001000010011101000
+00100011000111011000010011101010
+00100011001001101000010011101101
+00100011001011111000010011110000
+00100011001110001000010011110010
+00100011010000011000010011110101
+00100011010010101000010011110111
+00100011010100111000010011111010
+00100011010111011000010011111101
+00100011011001101000010011111111
+00100011011011111000010100000010
+00100011011110001000010100000100
+00100011100000011000010100000111
+00100011100010101000010100001010
+00100011100100111000010100001100
+00100011100111001000010100001111
+00100011101001011000010100010010
+00100011101011101000010100010100
+00100011101101111000010100010111
+00100011110000001000010100011001
+00100011110010011000010100011100
+00100011110100101000010100011111
+00100011110110111000010100100001
+00100011111001001000010100100100
+00100011111011011000010100100111
+00100011111101101000010100101001
+00100011111111111000010100101100
+00100100000010001000010100101111
+00100100000100101000010100110001
+00100100000110111000010100110100
+00100100001001001000010100110111
+00100100001011011000010100111001
+00100100001101101000010100111100
+00100100001111111000010100111111
+00100100010010001000010101000001
+00100100010100011000010101000100
+00100100010110101000010101000111
+00100100011000111000010101001001
+00100100011011001000010101001100
+00100100011101011000010101001111
+00100100011111101000010101010001
+00100100100001111000010101010100
+00100100100100001000010101010111
+00100100100110011000010101011001
+00100100101000101000010101011100
+00100100101010111000010101011111
+00100100101101001000010101100001
+00100100101111011000010101100100
+00100100110001101000010101100111
+00100100110011111000010101101010
+00100100110110001000010101101100
+00100100111000011000010101101111
+00100100111010101000010101110010
+00100100111100111000010101110100
+00100100111111001000010101110111
+00100101000001011000010101111010
+00100101000011101000010101111101
+00100101000101111000010101111111
+00100101001000001000010110000010
+00100101001010011000010110000101
+00100101001100101000010110001000
+00100101001111001000010110001010
+00100101010001011000010110001101
+00100101010011101000010110010000
+00100101010101111000010110010011
+00100101011000001000010110010101
+00100101011010011000010110011000
+00100101011100101000010110011011
+00100101011110111000010110011110
+00100101100001001000010110100000
+00100101100011011000010110100011
+00100101100101101000010110100110
+00100101100111111000010110101001
+00100101101010001000010110101011
+00100101101100011000010110101110
+00100101101110101000010110110001
+00100101110000111000010110110100
+00100101110011001000010110110110
+00100101110101011000010110111001
+00100101110111101000010110111100
+00100101111001111000010110111111
+00100101111100001000010111000010
+00100101111110011000010111000100
+00100110000000101000010111000111
+00100110000010111000010111001010
+00100110000101001000010111001101
+00100110000111011000010111010000
+00100110001001101000010111010010
+00100110001011111000010111010101
+00100110001110001000010111011000
+00100110010000011000010111011011
+00100110010010101000010111011110
+00100110010100111000010111100001
+00100110010111001000010111100011
+00100110011001011000010111100110
+00100110011011101000010111101001
+00100110011101111000010111101100
+00100110100000001000010111101111
+00100110100010011000010111110010
+00100110100100101000010111110100
+00100110100110111000010111110111
+00100110101001001000010111111010
+00100110101011011000010111111101
+00100110101101011000011000000000
+00100110101111101000011000000011
+00100110110001111000011000000101
+00100110110100001000011000001000
+00100110110110011000011000001011
+00100110111000101000011000001110
+00100110111010111000011000010001
+00100110111101001000011000010100
+00100110111111011000011000010111
+00100111000001101000011000011001
+00100111000011111000011000011100
+00100111000110001000011000011111
+00100111001000011000011000100010
+00100111001010101000011000100101
+00100111001100111000011000101000
+00100111001111001000011000101011
+00100111010001011000011000101110
+00100111010011101000011000110001
+00100111010101111000011000110011
+00100111011000001000011000110110
+00100111011010011000011000111001
+00100111011100101000011000111100
+00100111011110111000011000111111
+00100111100001001000011001000010
+00100111100011011000011001000101
+00100111100101101000011001001000
+00100111100111111000011001001011
+00100111101010001000011001001110
+00100111101100011000011001010001
+00100111101110101000011001010011
+00100111110000111000011001010110
+00100111110011001000011001011001
+00100111110101011000011001011100
+00100111110111011000011001011111
+00100111111001101000011001100010
+00100111111011111000011001100101
+00100111111110001000011001101000
+00101000000000011000011001101011
+00101000000010101000011001101110
+00101000000100111000011001110001
+00101000000111001000011001110100
+00101000001001011000011001110111
+00101000001011101000011001111010
+00101000001101111000011001111101
+00101000010000001000011010000000
+00101000010010011000011010000011
+00101000010100101000011010000110
+00101000010110111000011010001001
+00101000011001001000011010001100
+00101000011011011000011010001110
+00101000011101101000011010010001
+00101000011111111000011010010100
+00101000100001111000011010010111
+00101000100100001000011010011010
+00101000100110011000011010011101
+00101000101000101000011010100000
+00101000101010111000011010100011
+00101000101101001000011010100110
+00101000101111011000011010101001
+00101000110001101000011010101100
+00101000110011111000011010101111
+00101000110110001000011010110010
+00101000111000011000011010110101
+00101000111010101000011010111000
+00101000111100111000011010111011
+00101000111111001000011010111110
+00101001000001011000011011000001
+00101001000011011000011011000100
+00101001000101101000011011001000
+00101001000111111000011011001011
+00101001001010001000011011001110
+00101001001100011000011011010001
+00101001001110101000011011010100
+00101001010000111000011011010111
+00101001010011001000011011011010
+00101001010101011000011011011101
+00101001010111101000011011100000
+00101001011001111000011011100011
+00101001011100001000011011100110
+00101001011110011000011011101001
+00101001100000011000011011101100
+00101001100010101000011011101111
+00101001100100111000011011110010
+00101001100111001000011011110101
+00101001101001011000011011111000
+00101001101011101000011011111011
+00101001101101111000011011111110
+00101001110000001000011100000001
+00101001110010011000011100000101
+00101001110100101000011100001000
+00101001110110111000011100001011
+00101001111000111000011100001110
+00101001111011001000011100010001
+00101001111101011000011100010100
+00101001111111101000011100010111
+00101010000001111000011100011010
+00101010000100001000011100011101
+00101010000110011000011100100000
+00101010001000101000011100100011
+00101010001010111000011100100111
+00101010001101001000011100101010
+00101010001111001000011100101101
+00101010010001011000011100110000
+00101010010011101000011100110011
+00101010010101111000011100110110
+00101010011000001000011100111001
+00101010011010011000011100111100
+00101010011100101000011100111111
+00101010011110111000011101000011
+00101010100001001000011101000110
+00101010100011001000011101001001
+00101010100101011000011101001100
+00101010100111101000011101001111
+00101010101001111000011101010010
+00101010101100001000011101010101
+00101010101110011000011101011001
+00101010110000101000011101011100
+00101010110010111000011101011111
+00101010110101001000011101100010
+00101010110111001000011101100101
+00101010111001011000011101101000
+00101010111011101000011101101011
+00101010111101111000011101101111
+00101011000000001000011101110010
+00101011000010011000011101110101
+00101011000100101000011101111000
+00101011000110111000011101111011
+00101011001000111000011101111110
+00101011001011001000011110000010
+00101011001101011000011110000101
+00101011001111101000011110001000
+00101011010001111000011110001011
+00101011010100001000011110001110
+00101011010110011000011110010010
+00101011011000101000011110010101
+00101011011010101000011110011000
+00101011011100111000011110011011
+00101011011111001000011110011110
+00101011100001011000011110100010
+00101011100011101000011110100101
+00101011100101111000011110101000
+00101011101000001000011110101011
+00101011101010001000011110101110
+00101011101100011000011110110010
+00101011101110101000011110110101
+00101011110000111000011110111000
+00101011110011001000011110111011
+00101011110101011000011110111111
+00101011110111101000011111000010
+00101011111001101000011111000101
+00101011111011111000011111001000
+00101011111110001000011111001011
+00101100000000011000011111001111
+00101100000010101000011111010010
+00101100000100111000011111010101
+00101100000111001000011111011000
+00101100001001001000011111011100
+00101100001011011000011111011111
+00101100001101101000011111100010
+00101100001111111000011111100101
+00101100010010001000011111101001
+00101100010100011000011111101100
+00101100010110011000011111101111
+00101100011000101000011111110010
+00101100011010111000011111110110
+00101100011101001000011111111001
+00101100011111011000011111111100
+00101100100001101000100000000000
+00101100100011101000100000000011
+00101100100101111000100000000110
+00101100101000001000100000001001
+00101100101010011000100000001101
+00101100101100101000100000010000
+00101100101110111000100000010011
+00101100110000111000100000010111
+00101100110011001000100000011010
+00101100110101011000100000011101
+00101100110111101000100000100000
+00101100111001111000100000100100
+00101100111100001000100000100111
+00101100111110001000100000101010
+00101101000000011000100000101110
+00101101000010101000100000110001
+00101101000100111000100000110100
+00101101000111001000100000111000
+00101101001001011000100000111011
+00101101001011011000100000111110
+00101101001101101000100001000010
+00101101001111111000100001000101
+00101101010010001000100001001000
+00101101010100011000100001001100
+00101101010110011000100001001111
+00101101011000101000100001010010
+00101101011010111000100001010110
+00101101011101001000100001011001
+00101101011111011000100001011100
+00101101100001011000100001100000
+00101101100011101000100001100011
+00101101100101111000100001100110
+00101101101000001000100001101010
+00101101101010011000100001101101
+00101101101100011000100001110001
+00101101101110101000100001110100
+00101101110000111000100001110111
+00101101110011001000100001111011
+00101101110101011000100001111110
+00101101110111011000100010000001
+00101101111001101000100010000101
+00101101111011111000100010001000
+00101101111110001000100010001100
+00101110000000011000100010001111
+00101110000010011000100010010010
+00101110000100101000100010010110
+00101110000110111000100010011001
+00101110001001001000100010011100
+00101110001011011000100010100000
+00101110001101011000100010100011
+00101110001111101000100010100111
+00101110010001111000100010101010
+00101110010100001000100010101101
+00101110010110011000100010110001
+00101110011000011000100010110100
+00101110011010101000100010111000
+00101110011100111000100010111011
+00101110011111001000100010111111
+00101110100001001000100011000010
+00101110100011011000100011000101
+00101110100101101000100011001001
+00101110100111111000100011001100
+00101110101010001000100011010000
+00101110101100001000100011010011
+00101110101110011000100011010111
+00101110110000101000100011011010
+00101110110010111000100011011101
+00101110110100111000100011100001
+00101110110111001000100011100100
+00101110111001011000100011101000
+00101110111011101000100011101011
+00101110111101111000100011101111
+00101110111111111000100011110010
+00101111000010001000100011110110
+00101111000100011000100011111001
+00101111000110101000100011111101
+00101111001000101000100100000000
+00101111001010111000100100000100
+00101111001101001000100100000111
+00101111001111011000100100001010
+00101111010001011000100100001110
+00101111010011101000100100010001
+00101111010101111000100100010101
+00101111011000001000100100011000
+00101111011010001000100100011100
+00101111011100011000100100011111
+00101111011110101000100100100011
+00101111100000111000100100100110
+00101111100010111000100100101010
+00101111100101001000100100101101
+00101111100111011000100100110001
+00101111101001101000100100110100
+00101111101011101000100100111000
+00101111101101111000100100111011
+00101111110000001000100100111111
+00101111110010011000100101000010
+00101111110100011000100101000110
+00101111110110101000100101001010
+00101111111000111000100101001101
+00101111111011001000100101010001
+00101111111101001000100101010100
+00101111111111011000100101011000
+00110000000001101000100101011011
+00110000000011111000100101011111
+00110000000101111000100101100010
+00110000001000001000100101100110
+00110000001010011000100101101001
+00110000001100011000100101101101
+00110000001110101000100101110000
+00110000010000111000100101110100
+00110000010011001000100101111000
+00110000010101001000100101111011
+00110000010111011000100101111111
+00110000011001101000100110000010
+00110000011011111000100110000110
+00110000011101111000100110001001
+00110000100000001000100110001101
+00110000100010011000100110010000
+00110000100100011000100110010100
+00110000100110101000100110011000
+00110000101000111000100110011011
+00110000101011001000100110011111
+00110000101101001000100110100010
+00110000101111011000100110100110
+00110000110001101000100110101010
+00110000110011101000100110101101
+00110000110101111000100110110001
+00110000111000001000100110110100
+00110000111010011000100110111000
+00110000111100011000100110111100
+00110000111110101000100110111111
+00110001000000111000100111000011
+00110001000010111000100111000110
+00110001000101001000100111001010
+00110001000111011000100111001110
+00110001001001101000100111010001
+00110001001011101000100111010101
+00110001001101111000100111011000
+00110001010000001000100111011100
+00110001010010001000100111100000
+00110001010100011000100111100011
+00110001010110101000100111100111
+00110001011000101000100111101011
+00110001011010111000100111101110
+00110001011101001000100111110010
+00110001011111001000100111110110
+00110001100001011000100111111001
+00110001100011101000100111111101
+00110001100101111000101000000000
+00110001100111111000101000000100
+00110001101010001000101000001000
+00110001101100011000101000001011
+00110001101110011000101000001111
+00110001110000101000101000010011
+00110001110010111000101000010110
+00110001110100111000101000011010
+00110001110111001000101000011110
+00110001111001011000101000100001
+00110001111011011000101000100101
+00110001111101101000101000101001
+00110001111111111000101000101100
+00110010000001111000101000110000
+00110010000100001000101000110100
+00110010000110011000101000111000
+00110010001000011000101000111011
+00110010001010101000101000111111
+00110010001100111000101001000011
+00110010001110111000101001000110
+00110010010001001000101001001010
+00110010010011011000101001001110
+00110010010101011000101001010001
+00110010010111101000101001010101
+00110010011001111000101001011001
+00110010011011111000101001011101
+00110010011110001000101001100000
+00110010100000011000101001100100
+00110010100010011000101001101000
+00110010100100101000101001101011
+00110010100110111000101001101111
+00110010101000111000101001110011
+00110010101011001000101001110111
+00110010101101011000101001111010
+00110010101111011000101001111110
+00110010110001101000101010000010
+00110010110011111000101010000110
+00110010110101111000101010001001
+00110010111000001000101010001101
+00110010111010011000101010010001
+00110010111100011000101010010101
+00110010111110101000101010011000
+00110011000000111000101010011100
+00110011000010111000101010100000
+00110011000101001000101010100100
+00110011000111001000101010100111
+00110011001001011000101010101011
+00110011001011101000101010101111
+00110011001101101000101010110011
+00110011001111111000101010110110
+00110011010010001000101010111010
+00110011010100001000101010111110
+00110011010110011000101011000010
+00110011011000101000101011000110
+00110011011010101000101011001001
+00110011011100111000101011001101
+00110011011110111000101011010001
+00110011100001001000101011010101
+00110011100011011000101011011000
+00110011100101011000101011011100
+00110011100111101000101011100000
+00110011101001111000101011100100
+00110011101011111000101011101000
+00110011101110001000101011101011
+00110011110000001000101011101111
+00110011110010011000101011110011
+00110011110100101000101011110111
+00110011110110101000101011111011
+00110011111000111000101011111111
+00110011111010111000101100000010
+00110011111101001000101100000110
+00110011111111011000101100001010
+00110100000001011000101100001110
+00110100000011101000101100010010
+00110100000101111000101100010110
+00110100000111111000101100011001
+00110100001010001000101100011101
+00110100001100001000101100100001
+00110100001110011000101100100101
+00110100010000101000101100101001
+00110100010010101000101100101101
+00110100010100111000101100110000
+00110100010110111000101100110100
+00110100011001001000101100111000
+00110100011011011000101100111100
+00110100011101011000101101000000
+00110100011111101000101101000100
+00110100100001101000101101001000
+00110100100011111000101101001011
+00110100100110001000101101001111
+00110100101000001000101101010011
+00110100101010011000101101010111
+00110100101100011000101101011011
+00110100101110101000101101011111
+00110100110000101000101101100011
+00110100110010111000101101100111
+00110100110101001000101101101011
+00110100110111001000101101101110
+00110100111001011000101101110010
+00110100111011011000101101110110
+00110100111101101000101101111010
+00110100111111111000101101111110
+00110101000001111000101110000010
+00110101000100001000101110000110
+00110101000110001000101110001010
+00110101001000011000101110001110
+00110101001010011000101110010010
+00110101001100101000101110010101
+00110101001110111000101110011001
+00110101010000111000101110011101
+00110101010011001000101110100001
+00110101010101001000101110100101
+00110101010111011000101110101001
+00110101011001011000101110101101
+00110101011011101000101110110001
+00110101011101111000101110110101
+00110101011111111000101110111001
+00110101100010001000101110111101
+00110101100100001000101111000001
+00110101100110011000101111000101
+00110101101000011000101111001001
+00110101101010101000101111001101
+00110101101100101000101111010000
+00110101101110111000101111010100
+00110101110001001000101111011000
+00110101110011001000101111011100
+00110101110101011000101111100000
+00110101110111011000101111100100
+00110101111001101000101111101000
+00110101111011101000101111101100
+00110101111101111000101111110000
+00110101111111111000101111110100
+00110110000010001000101111111000
+00110110000100001000101111111100
+00110110000110011000110000000000
+00110110001000101000110000000100
+00110110001010101000110000001000
+00110110001100111000110000001100
+00110110001110111000110000010000
+00110110010001001000110000010100
+00110110010011001000110000011000
+00110110010101011000110000011100
+00110110010111011000110000100000
+00110110011001101000110000100100
+00110110011011101000110000101000
+00110110011101111000110000101100
+00110110011111111000110000110000
+00110110100010001000110000110100
+00110110100100011000110000111000
+00110110100110011000110000111100
+00110110101000101000110001000000
+00110110101010101000110001000100
+00110110101100111000110001001000
+00110110101110111000110001001100
+00110110110001001000110001010000
+00110110110011001000110001010100
+00110110110101011000110001011000
+00110110110111011000110001011100
+00110110111001101000110001100000
+00110110111011101000110001100100
+00110110111101111000110001101001
+00110110111111111000110001101101
+00110111000010001000110001110001
+00110111000100001000110001110101
+00110111000110011000110001111001
+00110111001000011000110001111101
+00110111001010101000110010000001
+00110111001100101000110010000101
+00110111001110111000110010001001
+00110111010000111000110010001101
+00110111010011001000110010010001
+00110111010101001000110010010101
+00110111010111011000110010011001
+00110111011001011000110010011101
+00110111011011101000110010100001
+00110111011101101000110010100101
+00110111011111111000110010101010
+00110111100001111000110010101110
+00110111100100001000110010110010
+00110111100110001000110010110110
+00110111101000011000110010111010
+00110111101010011000110010111110
+00110111101100101000110011000010
+00110111101110101000110011000110
+00110111110000111000110011001010
+00110111110010111000110011001110
+00110111110101001000110011010011
+00110111110111001000110011010111
+00110111111001011000110011011011
+00110111111011011000110011011111
+00110111111101101000110011100011
+00110111111111101000110011100111
+00111000000001101000110011101011
+00111000000011111000110011101111
+00111000000101111000110011110100
+00111000001000001000110011111000
+00111000001010001000110011111100
+00111000001100011000110100000000
+00111000001110011000110100000100
+00111000010000101000110100001000
+00111000010010101000110100001100
+00111000010100111000110100010000
+00111000010110111000110100010101
+00111000011001001000110100011001
+00111000011011001000110100011101
+00111000011101011000110100100001
+00111000011111011000110100100101
+00111000100001011000110100101001
+00111000100011101000110100101110
+00111000100101101000110100110010
+00111000100111111000110100110110
+00111000101001111000110100111010
+00111000101100001000110100111110
+00111000101110001000110101000010
+00111000110000011000110101000111
+00111000110010011000110101001011
+00111000110100011000110101001111
+00111000110110101000110101010011
+00111000111000101000110101010111
+00111000111010111000110101011100
+00111000111100111000110101100000
+00111000111111001000110101100100
+00111001000001001000110101101000
+00111001000011011000110101101100
+00111001000101011000110101110001
+00111001000111011000110101110101
+00111001001001101000110101111001
+00111001001011101000110101111101
+00111001001101111000110110000001
+00111001001111111000110110000110
+00111001010010001000110110001010
+00111001010100001000110110001110
+00111001010110001000110110010010
+00111001011000011000110110010110
+00111001011010011000110110011011
+00111001011100101000110110011111
+00111001011110101000110110100011
+00111001100000111000110110100111
+00111001100010111000110110101100
+00111001100100111000110110110000
+00111001100111001000110110110100
+00111001101001001000110110111000
+00111001101011011000110110111101
+00111001101101011000110111000001
+00111001101111011000110111000101
+00111001110001101000110111001001
+00111001110011101000110111001110
+00111001110101111000110111010010
+00111001110111111000110111010110
+00111001111001111000110111011010
+00111001111100001000110111011111
+00111001111110001000110111100011
+00111010000000011000110111100111
+00111010000010011000110111101011
+00111010000100011000110111110000
+00111010000110101000110111110100
+00111010001000101000110111111000
+00111010001010111000110111111101
+00111010001100111000111000000001
+00111010001110111000111000000101
+00111010010001001000111000001001
+00111010010011001000111000001110
+00111010010101011000111000010010
+00111010010111011000111000010110
+00111010011001011000111000011011
+00111010011011101000111000011111
+00111010011101101000111000100011
+00111010011111111000111000101000
+00111010100001111000111000101100
+00111010100011111000111000110000
+00111010100110001000111000110100
+00111010101000001000111000111001
+00111010101010001000111000111101
+00111010101100011000111001000001
+00111010101110011000111001000110
+00111010110000101000111001001010
+00111010110010101000111001001110
+00111010110100101000111001010011
+00111010110110111000111001010111
+00111010111000111000111001011011
+00111010111010111000111001100000
+00111010111101001000111001100100
+00111010111111001000111001101000
+00111011000001011000111001101101
+00111011000011011000111001110001
+00111011000101011000111001110101
+00111011000111101000111001111010
+00111011001001101000111001111110
+00111011001011101000111010000010
+00111011001101111000111010000111
+00111011001111111000111010001011
+00111011010001111000111010010000
+00111011010100001000111010010100
+00111011010110001000111010011000
+00111011011000001000111010011101
+00111011011010011000111010100001
+00111011011100011000111010100101
+00111011011110011000111010101010
+00111011100000101000111010101110
+00111011100010101000111010110011
+00111011100100111000111010110111
+00111011100110111000111010111011
+00111011101000111000111011000000
+00111011101011001000111011000100
+00111011101101001000111011001001
+00111011101111001000111011001101
+00111011110001011000111011010001
+00111011110011011000111011010110
+00111011110101011000111011011010
+00111011110111101000111011011111
+00111011111001101000111011100011
+00111011111011101000111011100111
+00111011111101111000111011101100
+00111011111111111000111011110000
+00111100000001111000111011110101
+00111100000011111000111011111001
+00111100000110001000111011111101
+00111100001000001000111100000010
+00111100001010001000111100000110
+00111100001100011000111100001011
+00111100001110011000111100001111
+00111100010000011000111100010100
+00111100010010101000111100011000
+00111100010100101000111100011100
+00111100010110101000111100100001
+00111100011000111000111100100101
+00111100011010111000111100101010
+00111100011100111000111100101110
+00111100011111001000111100110011
+00111100100001001000111100110111
+00111100100011001000111100111100
+00111100100101001000111101000000
+00111100100111011000111101000101
+00111100101001011000111101001001
+00111100101011011000111101001101
+00111100101101101000111101010010
+00111100101111101000111101010110
+00111100110001101000111101011011
+00111100110011111000111101011111
+00111100110101111000111101100100
+00111100110111111000111101101000
+00111100111001111000111101101101
+00111100111100001000111101110001
+00111100111110001000111101110110
+00111101000000001000111101111010
+00111101000010011000111101111111
+00111101000100011000111110000011
+00111101000110011000111110001000
+00111101001000011000111110001100
+00111101001010101000111110010001
+00111101001100101000111110010101
+00111101001110101000111110011010
+00111101010000111000111110011110
+00111101010010111000111110100011
+00111101010100111000111110100111
+00111101010110111000111110101100
+00111101011001001000111110110000
+00111101011011001000111110110101
+00111101011101001000111110111001
+00111101011111001000111110111110
+00111101100001011000111111000010
+00111101100011011000111111000111
+00111101100101011000111111001100
+00111101100111011000111111010000
+00111101101001101000111111010101
+00111101101011101000111111011001
+00111101101101101000111111011110
+00111101101111101000111111100010
+00111101110001111000111111100111
+00111101110011111000111111101011
+00111101110101111000111111110000
+00111101110111111000111111110100
+00111101111010001000111111111001
+00111101111100001000111111111110
+00111101111110001001000000000010
+00111110000000001001000000000111
+00111110000010011001000000001011
+00111110000100011001000000010000
+00111110000110011001000000010100
+00111110001000011001000000011001
+00111110001010101001000000011110
+00111110001100101001000000100010
+00111110001110101001000000100111
+00111110010000101001000000101011
+00111110010010111001000000110000
+00111110010100111001000000110100
+00111110010110111001000000111001
+00111110011000111001000000111110
+00111110011011001001000001000010
+00111110011101001001000001000111
+00111110011111001001000001001011
+00111110100001001001000001010000
+00111110100011001001000001010101
+00111110100101011001000001011001
+00111110100111011001000001011110
+00111110101001011001000001100010
+00111110101011011001000001100111
+00111110101101101001000001101100
+00111110101111101001000001110000
+00111110110001101001000001110101
+00111110110011101001000001111010
+00111110110101101001000001111110
+00111110110111111001000010000011
+00111110111001111001000010000111
+00111110111011111001000010001100
+00111110111101111001000010010001
+00111110111111111001000010010101
+00111111000010001001000010011010
+00111111000100001001000010011111
+00111111000110001001000010100011
+00111111001000001001000010101000
+00111111001010001001000010101101
+00111111001100011001000010110001
+00111111001110011001000010110110
+00111111010000011001000010111011
+00111111010010011001000010111111
+00111111010100011001000011000100
+00111111010110101001000011001001
+00111111011000101001000011001101
+00111111011010101001000011010010
+00111111011100101001000011010111
+00111111011110101001000011011011
+00111111100000111001000011100000
+00111111100010111001000011100101
+00111111100100111001000011101001
+00111111100110111001000011101110
+00111111101000111001000011110011
+00111111101010111001000011110111
+00111111101101001001000011111100
+00111111101111001001000100000001
+00111111110001001001000100000101
+00111111110011001001000100001010
+00111111110101001001000100001111
+00111111110111001001000100010011
+00111111111001011001000100011000
+00111111111011011001000100011101
+00111111111101011001000100100010
+00111111111111011001000100100110
+01000000000001101001000100101011
+01000000000011101001000100110000
+01000000000101111001000100110100
+01000000000111111001000100111001
+01000000001001111001000100111110
+01000000001011111001000101000011
+01000000001101111001000101000111
+01000000001111111001000101001100
+01000000010001111001000101010001
+01000000010100001001000101010110
+01000000010110001001000101011010
+01000000011000001001000101011111
+01000000011010001001000101100100
+01000000011100001001000101101000
+01000000011110001001000101101101
+01000000100000011001000101110010
+01000000100010011001000101110111
+01000000100100011001000101111011
+01000000100110011001000110000000
+01000000101000011001000110000101
+01000000101010011001000110001010
+01000000101100011001000110001111
+01000000101110011001000110010011
+01000000110000101001000110011000
+01000000110010101001000110011101
+01000000110100101001000110100010
+01000000110110101001000110100110
+01000000111000101001000110101011
+01000000111010101001000110110000
+01000000111100101001000110110101
+01000000111110101001000110111001
+01000001000000111001000110111110
+01000001000010111001000111000011
+01000001000100111001000111001000
+01000001000110111001000111001101
+01000001001000111001000111010001
+01000001001010111001000111010110
+01000001001100111001000111011011
+01000001001110111001000111100000
+01000001010000111001000111100101
+01000001010011001001000111101001
+01000001010101001001000111101110
+01000001010111001001000111110011
+01000001011001001001000111111000
+01000001011011001001000111111101
+01000001011101001001001000000010
+01000001011111001001001000000110
+01000001100001001001001000001011
+01000001100011001001001000010000
+01000001100101001001001000010101
+01000001100111011001001000011010
+01000001101001011001001000011110
+01000001101011011001001000100011
+01000001101101011001001000101000
+01000001101111011001001000101101
+01000001110001011001001000110010
+01000001110011011001001000110111
+01000001110101011001001000111100
+01000001110111011001001001000000
+01000001111001011001001001000101
+01000001111011011001001001001010
+01000001111101011001001001001111
+01000001111111101001001001010100
+01000010000001101001001001011001
+01000010000011101001001001011101
+01000010000101101001001001100010
+01000010000111101001001001100111
+01000010001001101001001001101100
+01000010001011101001001001110001
+01000010001101101001001001110110
+01000010001111101001001001111011
+01000010010001101001001010000000
+01000010010011101001001010000100
+01000010010101101001001010001001
+01000010010111101001001010001110
+01000010011001101001001010010011
+01000010011011101001001010011000
+01000010011101111001001010011101
+01000010011111111001001010100010
+01000010100001111001001010100111
+01000010100011111001001010101100
+01000010100101111001001010110001
+01000010100111111001001010110101
+01000010101001111001001010111010
+01000010101011111001001010111111
+01000010101101111001001011000100
+01000010101111111001001011001001
+01000010110001111001001011001110
+01000010110011111001001011010011
+01000010110101111001001011011000
+01000010110111111001001011011101
+01000010111001111001001011100010
+01000010111011111001001011100111
+01000010111101111001001011101100
+01000010111111111001001011110000
+01000011000001111001001011110101
+01000011000011111001001011111010
+01000011000101111001001011111111
+01000011000111111001001100000100
+01000011001001111001001100001001
+01000011001011111001001100001110
+01000011001101111001001100010011
+01000011001111111001001100011000
+01000011010001111001001100011101
+01000011010011111001001100100010
+01000011010101111001001100100111
+01000011011000001001001100101100
+01000011011010001001001100110001
+01000011011100001001001100110110
+01000011011110001001001100111011
+01000011100000001001001101000000
+01000011100010001001001101000101
+01000011100100001001001101001010
+01000011100110001001001101001111
+01000011101000001001001101010100
+01000011101010001001001101011001
+01000011101100001001001101011110
+01000011101110001001001101100011
+01000011110000001001001101101000
+01000011110010001001001101101100
+01000011110100001001001101110001
+01000011110110001001001101110110
+01000011111000001001001101111011
+01000011111010001001001110000000
+01000011111100001001001110000101
+01000011111101111001001110001010
+01000011111111111001001110001111
+01000100000001111001001110010100
+01000100000011111001001110011010
+01000100000101111001001110011111
+01000100000111111001001110100100
+01000100001001111001001110101001
+01000100001011111001001110101110
+01000100001101111001001110110011
+01000100001111111001001110111000
+01000100010001111001001110111101
+01000100010011111001001111000010
+01000100010101111001001111000111
+01000100010111111001001111001100
+01000100011001111001001111010001
+01000100011011111001001111010110
+01000100011101111001001111011011
+01000100011111111001001111100000
+01000100100001111001001111100101
+01000100100011111001001111101010
+01000100100101111001001111101111
+01000100100111111001001111110100
+01000100101001111001001111111001
+01000100101011111001001111111110
+01000100101101111001010000000011
+01000100101111111001010000001000
+01000100110001111001010000001101
+01000100110011111001010000010010
+01000100110101111001010000011000
+01000100110111101001010000011101
+01000100111001101001010000100010
+01000100111011101001010000100111
+01000100111101101001010000101100
+01000100111111101001010000110001
+01000101000001101001010000110110
+01000101000011101001010000111011
+01000101000101101001010001000000
+01000101000111101001010001000101
+01000101001001101001010001001010
+01000101001011101001010001001111
+01000101001101101001010001010100
+01000101001111101001010001011010
+01000101010001101001010001011111
+01000101010011101001010001100100
+01000101010101011001010001101001
+01000101010111011001010001101110
+01000101011001011001010001110011
+01000101011011011001010001111000
+01000101011101011001010001111101
+01000101011111011001010010000010
+01000101100001011001010010001000
+01000101100011011001010010001101
+01000101100101011001010010010010
+01000101100111011001010010010111
+01000101101001011001010010011100
+01000101101011011001010010100001
+01000101101101001001010010100110
+01000101101111001001010010101011
+01000101110001001001010010110001
+01000101110011001001010010110110
+01000101110101001001010010111011
+01000101110111001001010011000000
+01000101111001001001010011000101
+01000101111011001001010011001010
+01000101111101001001010011001111
+01000101111110111001010011010101
+01000110000000111001010011011010
+01000110000010111001010011011111
+01000110000100111001010011100100
+01000110000110111001010011101001
+01000110001000111001010011101110
+01000110001010111001010011110100
+01000110001100111001010011111001
+01000110001110111001010011111110
+01000110010000101001010100000011
+01000110010010101001010100001000
+01000110010100101001010100001101
+01000110010110101001010100010011
+01000110011000101001010100011000
+01000110011010101001010100011101
+01000110011100101001010100100010
+01000110011110101001010100100111
+01000110100000011001010100101101
+01000110100010011001010100110010
+01000110100100011001010100110111
+01000110100110011001010100111100
+01000110101000011001010101000001
+01000110101010011001010101000111
+01000110101100011001010101001100
+01000110101110001001010101010001
+01000110110000001001010101010110
+01000110110010001001010101011011
+01000110110100001001010101100001
+01000110110110001001010101100110
+01000110111000001001010101101011
+01000110111010001001010101110000
+01000110111011111001010101110101
+01000110111101111001010101111011
+01000110111111111001010110000000
+01000111000001111001010110000101
+01000111000011111001010110001010
+01000111000101111001010110010000
+01000111000111101001010110010101
+01000111001001101001010110011010
+01000111001011101001010110011111
+01000111001101101001010110100101
+01000111001111101001010110101010
+01000111010001101001010110101111
+01000111010011011001010110110100
+01000111010101011001010110111010
+01000111010111011001010110111111
+01000111011001011001010111000100
+01000111011011011001010111001001
+01000111011101011001010111001111
+01000111011111001001010111010100
+01000111100001001001010111011001
+01000111100011001001010111011110
+01000111100101001001010111100100
+01000111100111001001010111101001
+01000111101000111001010111101110
+01000111101010111001010111110011
+01000111101100111001010111111001
+01000111101110111001010111111110
+01000111110000111001011000000011
+01000111110010101001011000001001
+01000111110100101001011000001110
+01000111110110101001011000010011
+01000111111000101001011000011000
+01000111111010101001011000011110
+01000111111100011001011000100011
+01000111111110011001011000101000
+01001000000000011001011000101110
+01001000000010011001011000110011
+01001000000100011001011000111000
+01001000000110001001011000111110
+01001000001000001001011001000011
+01001000001010001001011001001000
+01001000001100001001011001001101
+01001000001110001001011001010011
+01001000001111111001011001011000
+01001000010001111001011001011101
+01001000010011111001011001100011
+01001000010101111001011001101000
+01001000010111101001011001101101
+01001000011001101001011001110011
+01001000011011101001011001111000
+01001000011101101001011001111101
+01001000011111011001011010000011
+01001000100001011001011010001000
+01001000100011011001011010001101
+01001000100101011001011010010011
+01001000100111011001011010011000
+01001000101001001001011010011101
+01001000101011001001011010100011
+01001000101101001001011010101000
+01001000101111001001011010101110
+01001000110000111001011010110011
+01001000110010111001011010111000
+01001000110100111001011010111110
+01001000110110111001011011000011
+01001000111000101001011011001000
+01001000111010101001011011001110
+01001000111100101001011011010011
+01001000111110101001011011011000
+01001001000000011001011011011110
+01001001000010011001011011100011
+01001001000100011001011011101001
+01001001000110011001011011101110
+01001001001000001001011011110011
+01001001001010001001011011111001
+01001001001100001001011011111110
+01001001001101111001011100000011
+01001001001111111001011100001001
+01001001010001111001011100001110
+01001001010011111001011100010100
+01001001010101101001011100011001
+01001001010111101001011100011110
+01001001011001101001011100100100
+01001001011011101001011100101001
+01001001011101011001011100101111
+01001001011111011001011100110100
+01001001100001011001011100111001
+01001001100011001001011100111111
+01001001100101001001011101000100
+01001001100111001001011101001010
+01001001101001001001011101001111
+01001001101010111001011101010101
+01001001101100111001011101011010
+01001001101110111001011101011111
+01001001110000101001011101100101
+01001001110010101001011101101010
+01001001110100101001011101110000
+01001001110110011001011101110101
+01001001111000011001011101111011
+01001001111010011001011110000000
+01001001111100011001011110000101
+01001001111110001001011110001011
+01001010000000001001011110010000
+01001010000010001001011110010110
+01001010000011111001011110011011
+01001010000101111001011110100001
+01001010000111111001011110100110
+01001010001001101001011110101100
+01001010001011101001011110110001
+01001010001101101001011110110111
+01001010001111011001011110111100
+01001010010001011001011111000010
+01001010010011011001011111000111
+01001010010101001001011111001100
+01001010010111001001011111010010
+01001010011001001001011111010111
+01001010011010111001011111011101
+01001010011100111001011111100010
+01001010011110111001011111101000
+01001010100000101001011111101101
+01001010100010101001011111110011
+01001010100100101001011111111000
+01001010100110011001011111111110
+01001010101000011001100000000011
+01001010101010011001100000001001
+01001010101100001001100000001110
+01001010101110001001100000010100
+01001010110000001001100000011001
+01001010110001111001100000011111
+01001010110011111001100000100100
+01001010110101111001100000101010
+01001010110111101001100000101111
+01001010111001101001100000110101
+01001010111011101001100000111010
+01001010111101011001100001000000
+01001010111111011001100001000101
+01001011000001001001100001001011
+01001011000011001001100001010000
+01001011000101001001100001010110
+01001011000110111001100001011100
+01001011001000111001100001100001
+01001011001010111001100001100111
+01001011001100101001100001101100
+01001011001110101001100001110010
+01001011010000011001100001110111
+01001011010010011001100001111101
+01001011010100011001100010000010
+01001011010110001001100010001000
+01001011011000001001100010001101
+01001011011010001001100010010011
+01001011011011111001100010011000
+01001011011101111001100010011110
+01001011011111101001100010100100
+01001011100001101001100010101001
+01001011100011101001100010101111
+01001011100101011001100010110100
+01001011100111011001100010111010
+01001011101001001001100010111111
+01001011101011001001100011000101
+01001011101101001001100011001011
+01001011101110111001100011010000
+01001011110000111001100011010110
+01001011110010101001100011011011
+01001011110100101001100011100001
+01001011110110101001100011100110
+01001011111000011001100011101100
+01001011111010011001100011110010
+01001011111100001001100011110111
+01001011111110001001100011111101
+01001100000000001001100100000010
+01001100000001111001100100001000
+01001100000011111001100100001110
+01001100000101101001100100010011
+01001100000111101001100100011001
+01001100001001011001100100011110
+01001100001011011001100100100100
+01001100001101011001100100101010
+01001100001111001001100100101111
+01001100010001001001100100110101
+01001100010010111001100100111010
+01001100010100111001100101000000
+01001100010110101001100101000110
+01001100011000101001100101001011
+01001100011010101001100101010001
+01001100011100011001100101010111
+01001100011110011001100101011100
+01001100100000001001100101100010
+01001100100010001001100101100111
+01001100100011111001100101101101
+01001100100101111001100101110011
+01001100100111101001100101111000
+01001100101001101001100101111110
+01001100101011101001100110000100
+01001100101101011001100110001001
+01001100101111011001100110001111
+01001100110001001001100110010101
+01001100110011001001100110011010
+01001100110100111001100110100000
+01001100110110111001100110100110
+01001100111000101001100110101011
+01001100111010101001100110110001
+01001100111100011001100110110111
+01001100111110011001100110111100
+01001101000000001001100111000010
+01001101000010001001100111001000
+01001101000011111001100111001101
+01001101000101111001100111010011
+01001101000111111001100111011001
+01001101001001101001100111011110
+01001101001011101001100111100100
+01001101001101011001100111101010
+01001101001111011001100111101111
+01001101010001001001100111110101
+01001101010011001001100111111011
+01001101010100111001101000000000
+01001101010110111001101000000110
+01001101011000101001101000001100
+01001101011010101001101000010001
+01001101011100011001101000010111
+01001101011110011001101000011101
+01001101100000001001101000100011
+01001101100010001001101000101000
+01001101100011111001101000101110
+01001101100101111001101000110100
+01001101100111101001101000111001
+01001101101001101001101000111111
+01001101101011011001101001000101
+01001101101101011001101001001011
+01001101101111001001101001010000
+01001101110001001001101001010110
+01001101110010111001101001011100
+01001101110100111001101001100010
+01001101110110101001101001100111
+01001101111000101001101001101101
+01001101111010011001101001110011
+01001101111100001001101001111000
+01001101111110001001101001111110
+01001101111111111001101010000100
+01001110000001111001101010001010
+01001110000011101001101010001111
+01001110000101101001101010010101
+01001110000111011001101010011011
+01001110001001011001101010100001
+01001110001011001001101010100110
+01001110001101001001101010101100
+01001110001110111001101010110010
+01001110010000111001101010111000
+01001110010010101001101010111101
+01001110010100101001101011000011
+01001110010110011001101011001001
+01001110011000001001101011001111
+01001110011010001001101011010101
+01001110011011111001101011011010
+01001110011101111001101011100000
+01001110011111101001101011100110
+01001110100001101001101011101100
+01001110100011011001101011110001
+01001110100101011001101011110111
+01001110100111001001101011111101
+01001110101000111001101100000011
+01001110101010111001101100001001
+01001110101100101001101100001110
+01001110101110101001101100010100
+01001110110000011001101100011010
+01001110110010011001101100100000
+01001110110100001001101100100110
+01001110110101111001101100101011
+01001110110111111001101100110001
+01001110111001101001101100110111
+01001110111011101001101100111101
+01001110111101011001101101000011
+01001110111111011001101101001000
+01001111000001001001101101001110
+01001111000010111001101101010100
+01001111000100111001101101011010
+01001111000110101001101101100000
+01001111001000101001101101100110
+01001111001010011001101101101011
+01001111001100001001101101110001
+01001111001110001001101101110111
+01001111001111111001101101111101
+01001111010001111001101110000011
+01001111010011101001101110001001
+01001111010101011001101110001110
+01001111010111011001101110010100
+01001111011001001001101110011010
+01001111011011001001101110100000
+01001111011100111001101110100110
+01001111011110101001101110101100
+01001111100000101001101110110001
+01001111100010011001101110110111
+01001111100100011001101110111101
+01001111100110001001101111000011
+01001111100111111001101111001001
+01001111101001111001101111001111
+01001111101011101001101111010101
+01001111101101011001101111011010
+01001111101111011001101111100000
+01001111110001001001101111100110
+01001111110011001001101111101100
+01001111110100111001101111110010
+01001111110110101001101111111000
+01001111111000101001101111111110
+01001111111010011001110000000100
+01001111111100001001110000001010
+01001111111110001001110000001111
+01001111111111111001110000010101
+01010000000001101001110000011011
+01010000000011101001110000100001
+01010000000101011001110000100111
+01010000000111001001110000101101
+01010000001001001001110000110011
+01010000001010111001110000111001
+01010000001100111001110000111111
+01010000001110101001110001000100
+01010000010000011001110001001010
+01010000010010011001110001010000
+01010000010100001001110001010110
+01010000010101111001110001011100
+01010000010111111001110001100010
+01010000011001101001110001101000
+01010000011011011001110001101110
+01010000011101011001110001110100
+01010000011111001001110001111010
+01010000100000111001110010000000
+01010000100010111001110010000110
+01010000100100101001110010001100
+01010000100110011001110010010001
+01010000101000001001110010010111
+01010000101010001001110010011101
+01010000101011111001110010100011
+01010000101101101001110010101001
+01010000101111101001110010101111
+01010000110001011001110010110101
+01010000110011001001110010111011
+01010000110101001001110011000001
+01010000110110111001110011000111
+01010000111000101001110011001101
+01010000111010101001110011010011
+01010000111100011001110011011001
+01010000111110001001110011011111
+01010000111111111001110011100101
+01010001000001111001110011101011
+01010001000011101001110011110001
+01010001000101011001110011110111
+01010001000111011001110011111101
+01010001001001001001110100000011
+01010001001010111001110100001001
+01010001001100111001110100001111
+01010001001110101001110100010101
+01010001010000011001110100011011
+01010001010010001001110100100000
+01010001010100001001110100100110
+01010001010101111001110100101100
+01010001010111101001110100110010
+01010001011001011001110100111000
+01010001011011011001110100111110
+01010001011101001001110101000100
+01010001011110111001110101001010
+01010001100000111001110101010000
+01010001100010101001110101010110
+01010001100100011001110101011100
+01010001100110001001110101100010
+01010001101000001001110101101000
+01010001101001111001110101101110
+01010001101011101001110101110100
+01010001101101011001110101111010
+01010001101111011001110110000001
+01010001110001001001110110000111
+01010001110010111001110110001101
+01010001110100101001110110010011
+01010001110110101001110110011001
+01010001111000011001110110011111
+01010001111010001001110110100101
+01010001111011111001110110101011
+01010001111101111001110110110001
+01010001111111101001110110110111
+01010010000001011001110110111101
+01010010000011001001110111000011
+01010010000101001001110111001001
+01010010000110111001110111001111
+01010010001000101001110111010101
+01010010001010011001110111011011
+01010010001100001001110111100001
+01010010001110001001110111100111
+01010010001111111001110111101101
+01010010010001101001110111110011
+01010010010011011001110111111001
+01010010010101011001110111111111
+01010010010111001001111000000101
+01010010011000111001111000001011
+01010010011010101001111000010010
+01010010011100011001111000011000
+01010010011110011001111000011110
+01010010100000001001111000100100
+01010010100001111001111000101010
+01010010100011101001111000110000
+01010010100101011001111000110110
+01010010100111011001111000111100
+01010010101001001001111001000010
+01010010101010111001111001001000
+01010010101100101001111001001110
+01010010101110011001111001010100
+01010010110000011001111001011011
+01010010110010001001111001100001
+01010010110011111001111001100111
+01010010110101101001111001101101
+01010010110111011001111001110011
+01010010111001011001111001111001
+01010010111011001001111001111111
+01010010111100111001111010000101
+01010010111110101001111010001011
+01010011000000011001111010010001
+01010011000010001001111010011000
+01010011000100001001111010011110
+01010011000101111001111010100100
+01010011000111101001111010101010
+01010011001001011001111010110000
+01010011001011001001111010110110
+01010011001100111001111010111100
+01010011001110111001111011000010
+01010011010000101001111011001001
+01010011010010011001111011001111
+01010011010100001001111011010101
+01010011010101111001111011011011
+01010011010111101001111011100001
+01010011011001011001111011100111
+01010011011011011001111011101101
+01010011011101001001111011110011
+01010011011110111001111011111010
+01010011100000101001111100000000
+01010011100010011001111100000110
+01010011100100001001111100001100
+01010011100101111001111100010010
+01010011100111111001111100011000
+01010011101001101001111100011111
+01010011101011011001111100100101
+01010011101101001001111100101011
+01010011101110111001111100110001
+01010011110000101001111100110111
+01010011110010011001111100111101
+01010011110100011001111101000100
+01010011110110001001111101001010
+01010011110111111001111101010000
+01010011111001101001111101010110
+01010011111011011001111101011100
+01010011111101001001111101100010
+01010011111110111001111101101001
+01010100000000101001111101101111
+01010100000010011001111101110101
+01010100000100011001111101111011
+01010100000110001001111110000001
+01010100000111111001111110001000
+01010100001001101001111110001110
+01010100001011011001111110010100
+01010100001101001001111110011010
+01010100001110111001111110100000
+01010100010000101001111110100111
+01010100010010011001111110101101
+01010100010100001001111110110011
+01010100010110001001111110111001
+01010100010111111001111110111111
+01010100011001101001111111000110
+01010100011011011001111111001100
+01010100011101001001111111010010
+01010100011110111001111111011000
+01010100100000101001111111011110
+01010100100010011001111111100101
+01010100100100001001111111101011
+01010100100101111001111111110001
+01010100100111101001111111110111
+01010100101001011001111111111110
+01010100101011001010000000000100
+01010100101101001010000000001010
+01010100101110111010000000010000
+01010100110000101010000000010111
+01010100110010011010000000011101
+01010100110100001010000000100011
+01010100110101111010000000101001
+01010100110111101010000000110000
+01010100111001011010000000110110
+01010100111011001010000000111100
+01010100111100111010000001000010
+01010100111110101010000001001001
+01010101000000011010000001001111
+01010101000010001010000001010101
+01010101000011111010000001011011
+01010101000101101010000001100010
+01010101000111011010000001101000
+01010101001001001010000001101110
+01010101001010111010000001110100
+01010101001100101010000001111011
+01010101001110011010000010000001
+01010101010000001010000010000111
+01010101010010001010000010001101
+01010101010011111010000010010100
+01010101010101101010000010011010
+01010101010111011010000010100000
+01010101011001001010000010100111
+01010101011010111010000010101101
+01010101011100101010000010110011
+01010101011110011010000010111001
+01010101100000001010000011000000
+01010101100001111010000011000110
+01010101100011101010000011001100
+01010101100101011010000011010011
+01010101100111001010000011011001
+01010101101000111010000011011111
+01010101101010101010000011100110
+01010101101100011010000011101100
+01010101101110001010000011110010
+01010101101111111010000011111001
+01010101110001101010000011111111
+01010101110011011010000100000101
+01010101110101001010000100001011
+01010101110110111010000100010010
+01010101111000101010000100011000
+01010101111010011010000100011110
+01010101111100001010000100100101
+01010101111101111010000100101011
+01010101111111101010000100110001
+01010110000001011010000100111000
+01010110000011001010000100111110
+01010110000100111010000101000100
+01010110000110101010000101001011
+01010110001000001010000101010001
+01010110001001111010000101010111
+01010110001011101010000101011110
+01010110001101011010000101100100
+01010110001111001010000101101011
+01010110010000111010000101110001
+01010110010010101010000101110111
+01010110010100011010000101111110
+01010110010110001010000110000100
+01010110010111111010000110001010
+01010110011001101010000110010001
+01010110011011011010000110010111
+01010110011101001010000110011101
+01010110011110111010000110100100
+01010110100000101010000110101010
+01010110100010011010000110110000
+01010110100100001010000110110111
+01010110100101111010000110111101
+01010110100111101010000111000100
+01010110101001011010000111001010
+01010110101011001010000111010000
+01010110101100101010000111010111
+01010110101110011010000111011101
+01010110110000001010000111100100
+01010110110001111010000111101010
+01010110110011101010000111110000
+01010110110101011010000111110111
+01010110110111001010000111111101
+01010110111000111010001000000011
+01010110111010101010001000001010
+01010110111100011010001000010000
+01010110111110001010001000010111
+01010110111111111010001000011101
+01010111000001101010001000100011
+01010111000011001010001000101010
+01010111000100111010001000110000
+01010111000110101010001000110111
+01010111001000011010001000111101
+01010111001010001010001001000100
+01010111001011111010001001001010
+01010111001101101010001001010000
+01010111001111011010001001010111
+01010111010001001010001001011101
+01010111010010111010001001100100
+01010111010100011010001001101010
+01010111010110001010001001110001
+01010111010111111010001001110111
+01010111011001101010001001111101
+01010111011011011010001010000100
+01010111011101001010001010001010
+01010111011110111010001010010001
+01010111100000101010001010010111
+01010111100010011010001010011110
+01010111100011111010001010100100
+01010111100101101010001010101010
+01010111100111011010001010110001
+01010111101001001010001010110111
+01010111101010111010001010111110
+01010111101100101010001011000100
+01010111101110011010001011001011
+01010111101111111010001011010001
+01010111110001101010001011011000
+01010111110011011010001011011110
+01010111110101001010001011100101
+01010111110110111010001011101011
+01010111111000101010001011110010
+01010111111010011010001011111000
+01010111111011111010001011111110
+01010111111101101010001100000101
+01010111111111011010001100001011
+01011000000001001010001100010010
+01011000000010111010001100011000
+01011000000100101010001100011111
+01011000000110001010001100100101
+01011000000111111010001100101100
+01011000001001101010001100110010
+01011000001011011010001100111001
+01011000001101001010001100111111
+01011000001110111010001101000110
+01011000010000011010001101001100
+01011000010010001010001101010011
+01011000010011111010001101011001
+01011000010101101010001101100000
+01011000010111011010001101100110
+01011000011001001010001101101101
+01011000011010101010001101110011
+01011000011100011010001101111010
+01011000011110001010001110000000
+01011000011111111010001110000111
+01011000100001101010001110001101
+01011000100011001010001110010100
+01011000100100111010001110011010
+01011000100110101010001110100001
+01011000101000011010001110100111
+01011000101010001010001110101110
+01011000101011101010001110110101
+01011000101101011010001110111011
+01011000101111001010001111000010
+01011000110000111010001111001000
+01011000110010101010001111001111
+01011000110100001010001111010101
+01011000110101111010001111011100
+01011000110111101010001111100010
+01011000111001011010001111101001
+01011000111011001010001111101111
+01011000111100101010001111110110
+01011000111110011010001111111100
+01011001000000001010010000000011
+01011001000001111010010000001010
+01011001000011011010010000010000
+01011001000101001010010000010111
+01011001000110111010010000011101
+01011001001000101010010000100100
+01011001001010001010010000101010
+01011001001011111010010000110001
+01011001001101101010010000111000
+01011001001111011010010000111110
+01011001010000111010010001000101
+01011001010010101010010001001011
+01011001010100011010010001010010
+01011001010110001010010001011000
+01011001010111101010010001011111
+01011001011001011010010001100110
+01011001011011001010010001101100
+01011001011100111010010001110011
+01011001011110011010010001111001
+01011001100000001010010010000000
+01011001100001111010010010000110
+01011001100011101010010010001101
+01011001100101001010010010010100
+01011001100110111010010010011010
+01011001101000101010010010100001
+01011001101010011010010010100111
+01011001101011111010010010101110
+01011001101101101010010010110101
+01011001101111011010010010111011
+01011001110000111010010011000010
+01011001110010101010010011001001
+01011001110100011010010011001111
+01011001110110001010010011010110
+01011001110111101010010011011100
+01011001111001011010010011100011
+01011001111011001010010011101010
+01011001111100101010010011110000
+01011001111110011010010011110111
+01011010000000001010010011111101
+01011010000001111010010100000100
+01011010000011011010010100001011
+01011010000101001010010100010001
+01011010000110111010010100011000
+01011010001000011010010100011111
+01011010001010001010010100100101
+01011010001011111010010100101100
+01011010001101011010010100110011
+01011010001111001010010100111001
+01011010010000111010010101000000
+01011010010010011010010101000110
+01011010010100001010010101001101
+01011010010101111010010101010100
+01011010010111011010010101011010
+01011010011001001010010101100001
+01011010011010111010010101101000
+01011010011100101010010101101110
+01011010011110001010010101110101
+01011010011111111010010101111100
+01011010100001101010010110000010
+01011010100011001010010110001001
+01011010100100111010010110010000
+01011010100110011010010110010110
+01011010101000001010010110011101
+01011010101001111010010110100100
+01011010101011011010010110101010
+01011010101101001010010110110001
+01011010101110111010010110111000
+01011010110000011010010110111110
+01011010110010001010010111000101
+01011010110011111010010111001100
+01011010110101011010010111010011
+01011010110111001010010111011001
+01011010111000111010010111100000
+01011010111010011010010111100111
+01011010111100001010010111101101
+01011010111101101010010111110100
+01011010111111011010010111111011
+01011011000001001010011000000001
+01011011000010101010011000001000
+01011011000100011010011000001111
+01011011000110001010011000010101
+01011011000111101010011000011100
+01011011001001011010011000100011
+01011011001010111010011000101010
+01011011001100101010011000110000
+01011011001110011010011000110111
+01011011001111111010011000111110
+01011011010001101010011001000100
+01011011010011011010011001001011
+01011011010100111010011001010010
+01011011010110101010011001011001
+01011011011000001010011001011111
+01011011011001111010011001100110
+01011011011011101010011001101101
+01011011011101001010011001110100
+01011011011110111010011001111010
+01011011100000011010011010000001
+01011011100010001010011010001000
+01011011100011101010011010001111
+01011011100101011010011010010101
+01011011100111001010011010011100
+01011011101000101010011010100011
+01011011101010011010011010101001
+01011011101011111010011010110000
+01011011101101101010011010110111
+01011011101111011010011010111110
+01011011110000111010011011000101
+01011011110010101010011011001011
+01011011110100001010011011010010
+01011011110101111010011011011001
+01011011110111011010011011100000
+01011011111001001010011011100110
+01011011111010101010011011101101
+01011011111100011010011011110100
+01011011111110001010011011111011
+01011011111111101010011100000001
+01011100000001011010011100001000
+01011100000010111010011100001111
+01011100000100101010011100010110
+01011100000110001010011100011101
+01011100000111111010011100100011
+01011100001001011010011100101010
+01011100001011001010011100110001
+01011100001100101010011100111000
+01011100001110011010011100111110
+01011100010000001010011101000101
+01011100010001101010011101001100
+01011100010011011010011101010011
+01011100010100111010011101011010
+01011100010110101010011101100000
+01011100011000001010011101100111
+01011100011001111010011101101110
+01011100011011011010011101110101
+01011100011101001010011101111100
+01011100011110101010011110000010
+01011100100000011010011110001001
+01011100100001111010011110010000
+01011100100011101010011110010111
+01011100100101001010011110011110
+01011100100110111010011110100101
+01011100101000011010011110101011
+01011100101010001010011110110010
+01011100101011101010011110111001
+01011100101101011010011111000000
+01011100101110111010011111000111
+01011100110000101010011111001101
+01011100110010001010011111010100
+01011100110011111010011111011011
+01011100110101011010011111100010
+01011100110111001010011111101001
+01011100111000101010011111110000
+01011100111010011010011111110110
+01011100111011111010011111111101
+01011100111101101010100000000100
+01011100111111001010100000001011
+01011101000000111010100000010010
+01011101000010011010100000011001
+01011101000100001010100000100000
+01011101000101101010100000100110
+01011101000111011010100000101101
+01011101001000111010100000110100
+01011101001010011010100000111011
+01011101001100001010100001000010
+01011101001101101010100001001001
+01011101001111011010100001010000
+01011101010000111010100001010110
+01011101010010101010100001011101
+01011101010100001010100001100100
+01011101010101111010100001101011
+01011101010111011010100001110010
+01011101011001001010100001111001
+01011101011010101010100010000000
+01011101011100001010100010000111
+01011101011101111010100010001101
+01011101011111011010100010010100
+01011101100001001010100010011011
+01011101100010101010100010100010
+01011101100100011010100010101001
+01011101100101111010100010110000
+01011101100111011010100010110111
+01011101101001001010100010111110
+01011101101010101010100011000101
+01011101101100011010100011001011
+01011101101101111010100011010010
+01011101101111101010100011011001
+01011101110001001010100011100000
+01011101110010101010100011100111
+01011101110100011010100011101110
+01011101110101111010100011110101
+01011101110111101010100011111100
+01011101111001001010100100000011
+01011101111010101010100100001010
+01011101111100011010100100010000
+01011101111101111010100100010111
+01011101111111101010100100011110
+01011110000001001010100100100101
+01011110000010101010100100101100
+01011110000100011010100100110011
+01011110000101111010100100111010
+01011110000111101010100101000001
+01011110001001001010100101001000
+01011110001010101010100101001111
+01011110001100011010100101010110
+01011110001101111010100101011101
+01011110001111101010100101100100
+01011110010001001010100101101011
+01011110010010101010100101110010
+01011110010100011010100101111000
+01011110010101111010100101111111
+01011110010111011010100110000110
+01011110011001001010100110001101
+01011110011010101010100110010100
+01011110011100001010100110011011
+01011110011101111010100110100010
+01011110011111011010100110101001
+01011110100001001010100110110000
+01011110100010101010100110110111
+01011110100100001010100110111110
+01011110100101111010100111000101
+01011110100111011010100111001100
+01011110101000111010100111010011
+01011110101010101010100111011010
+01011110101100001010100111100001
+01011110101101101010100111101000
+01011110101111011010100111101111
+01011110110000111010100111110110
+01011110110010011010100111111101
+01011110110100001010101000000100
+01011110110101101010101000001011
+01011110110111001010101000010010
+01011110111000111010101000011001
+01011110111010011010101000100000
+01011110111011111010101000100111
+01011110111101101010101000101110
+01011110111111001010101000110101
+01011111000000101010101000111100
+01011111000010011010101001000011
+01011111000011111010101001001010
+01011111000101011010101001010001
+01011111000111001010101001011000
+01011111001000101010101001011111
+01011111001010001010101001100110
+01011111001011101010101001101101
+01011111001101011010101001110100
+01011111001110111010101001111011
+01011111010000011010101010000010
+01011111010010001010101010001001
+01011111010011101010101010010000
+01011111010101001010101010010111
+01011111010110101010101010011110
+01011111011000011010101010100101
+01011111011001111010101010101100
+01011111011011011010101010110011
+01011111011101001010101010111010
+01011111011110101010101011000001
+01011111100000001010101011001000
+01011111100001101010101011001111
+01011111100011011010101011010110
+01011111100100111010101011011101
+01011111100110011010101011100100
+01011111100111111010101011101011
+01011111101001101010101011110010
+01011111101011001010101011111001
+01011111101100101010101100000000
+01011111101110011010101100000111
+01011111101111111010101100001110
+01011111110001011010101100010101
+01011111110010111010101100011100
+01011111110100101010101100100011
+01011111110110001010101100101011
+01011111110111101010101100110010
+01011111111001001010101100111001
+01011111111010111010101101000000
+01011111111100011010101101000111
+01011111111101111010101101001110
+01011111111111011010101101010101
+01100000000000111010101101011100
+01100000000010101010101101100011
+01100000000100001010101101101010
+01100000000101101010101101110001
+01100000000111001010101101111000
+01100000001000111010101101111111
+01100000001010011010101110000110
+01100000001011111010101110001101
+01100000001101011010101110010101
+01100000001110111010101110011100
+01100000010000101010101110100011
+01100000010010001010101110101010
+01100000010011101010101110110001
+01100000010101001010101110111000
+01100000010110111010101110111111
+01100000011000011010101111000110
+01100000011001111010101111001101
+01100000011011011010101111010100
+01100000011100111010101111011100
+01100000011110101010101111100011
+01100000100000001010101111101010
+01100000100001101010101111110001
+01100000100011001010101111111000
+01100000100100101010101111111111
+01100000100110001010110000000110
+01100000100111111010110000001101
+01100000101001011010110000010100
+01100000101010111010110000011011
+01100000101100011010110000100011
+01100000101101111010110000101010
+01100000101111101010110000110001
+01100000110001001010110000111000
+01100000110010101010110000111111
+01100000110100001010110001000110
+01100000110101101010110001001101
+01100000110111001010110001010100
+01100000111000101010110001011100
+01100000111010011010110001100011
+01100000111011111010110001101010
+01100000111101011010110001110001
+01100000111110111010110001111000
+01100001000000011010110001111111
+01100001000001111010110010000110
+01100001000011101010110010001110
+01100001000101001010110010010101
+01100001000110101010110010011100
+01100001001000001010110010100011
+01100001001001101010110010101010
+01100001001011001010110010110001
+01100001001100101010110010111001
+01100001001110011010110011000000
+01100001001111111010110011000111
+01100001010001011010110011001110
+01100001010010111010110011010101
+01100001010100011010110011011100
+01100001010101111010110011100011
+01100001010111011010110011101011
+01100001011000111010110011110010
+01100001011010011010110011111001
+01100001011100001010110100000000
+01100001011101101010110100000111
+01100001011111001010110100001111
+01100001100000101010110100010110
+01100001100010001010110100011101
+01100001100011101010110100100100
+01100001100101001010110100101011
+01100001100110101010110100110010
+01100001101000001010110100111010
+01100001101001111010110101000001
+01100001101011011010110101001000
+01100001101100111010110101001111
+01100001101110011010110101010110
+01100001101111111010110101011110
+01100001110001011010110101100101
+01100001110010111010110101101100
+01100001110100011010110101110011
+01100001110101111010110101111010
+01100001110111011010110110000010
+01100001111000111010110110001001
+01100001111010011010110110010000
+01100001111011111010110110010111
+01100001111101101010110110011110
+01100001111111001010110110100110
+01100010000000101010110110101101
+01100010000010001010110110110100
+01100010000011101010110110111011
+01100010000101001010110111000011
+01100010000110101010110111001010
+01100010001000001010110111010001
+01100010001001101010110111011000
+01100010001011001010110111011111
+01100010001100101010110111100111
+01100010001110001010110111101110
+01100010001111101010110111110101
+01100010010001001010110111111100
+01100010010010101010111000000100
+01100010010100001010111000001011
+01100010010101101010111000010010
+01100010010111001010111000011001
+01100010011000101010111000100001
+01100010011010001010111000101000
+01100010011011101010111000101111
+01100010011101001010111000110110
+01100010011110101010111000111110
+01100010100000001010111001000101
+01100010100001111010111001001100
+01100010100011011010111001010011
+01100010100100111010111001011011
+01100010100110011010111001100010
+01100010100111111010111001101001
+01100010101001011010111001110000
+01100010101010111010111001111000
+01100010101100011010111001111111
+01100010101101111010111010000110
+01100010101111011010111010001101
+01100010110000111010111010010101
+01100010110010011010111010011100
+01100010110011111010111010100011
+01100010110101011010111010101010
+01100010110110111010111010110010
+01100010111000011010111010111001
+01100010111001101010111011000000
+01100010111011001010111011001000
+01100010111100101010111011001111
+01100010111110001010111011010110
+01100010111111101010111011011101
+01100011000001001010111011100101
+01100011000010101010111011101100
+01100011000100001010111011110011
+01100011000101101010111011111011
+01100011000111001010111100000010
+01100011001000101010111100001001
+01100011001010001010111100010001
+01100011001011101010111100011000
+01100011001101001010111100011111
+01100011001110101010111100100110
+01100011010000001010111100101110
+01100011010001101010111100110101
+01100011010011001010111100111100
+01100011010100101010111101000100
+01100011010110001010111101001011
+01100011010111101010111101010010
+01100011011001001010111101011010
+01100011011010101010111101100001
+01100011011100001010111101101000
+01100011011101011010111101110000
+01100011011110111010111101110111
+01100011100000011010111101111110
+01100011100001111010111110000110
+01100011100011011010111110001101
+01100011100100111010111110010100
+01100011100110011010111110011100
+01100011100111111010111110100011
+01100011101001011010111110101010
+01100011101010111010111110110010
+01100011101100011010111110111001
+01100011101101111010111111000000
+01100011101111001010111111001000
+01100011110000101010111111001111
+01100011110010001010111111010110
+01100011110011101010111111011110
+01100011110101001010111111100101
+01100011110110101010111111101100
+01100011111000001010111111110100
+01100011111001101010111111111011
+01100011111011001011000000000010
+01100011111100101011000000001010
+01100011111101111011000000010001
+01100011111111011011000000011000
+01100100000000111011000000100000
+01100100000010011011000000100111
+01100100000011111011000000101111
+01100100000101011011000000110110
+01100100000110111011000000111101
+01100100001000011011000001000101
+01100100001001101011000001001100
+01100100001011001011000001010011
+01100100001100101011000001011011
+01100100001110001011000001100010
+01100100001111101011000001101010
+01100100010001001011000001110001
+01100100010010101011000001111000
+01100100010011111011000010000000
+01100100010101011011000010000111
+01100100010110111011000010001110
+01100100011000011011000010010110
+01100100011001111011000010011101
+01100100011011011011000010100101
+01100100011100111011000010101100
+01100100011110001011000010110011
+01100100011111101011000010111011
+01100100100001001011000011000010
+01100100100010101011000011001010
+01100100100100001011000011010001
+01100100100101101011000011011000
+01100100100110111011000011100000
+01100100101000011011000011100111
+01100100101001111011000011101111
+01100100101011011011000011110110
+01100100101100111011000011111110
+01100100101110011011000100000101
+01100100101111101011000100001100
+01100100110001001011000100010100
+01100100110010101011000100011011
+01100100110100001011000100100011
+01100100110101101011000100101010
+01100100110110111011000100110001
+01100100111000011011000100111001
+01100100111001111011000101000000
+01100100111011011011000101001000
+01100100111100111011000101001111
+01100100111110001011000101010111
+01100100111111101011000101011110
+01100101000001001011000101100101
+01100101000010101011000101101101
+01100101000011111011000101110100
+01100101000101011011000101111100
+01100101000110111011000110000011
+01100101001000011011000110001011
+01100101001001111011000110010010
+01100101001011001011000110011010
+01100101001100101011000110100001
+01100101001110001011000110101000
+01100101001111101011000110110000
+01100101010000111011000110110111
+01100101010010011011000110111111
+01100101010011111011000111000110
+01100101010101011011000111001110
+01100101010110101011000111010101
+01100101011000001011000111011101
+01100101011001101011000111100100
+01100101011011001011000111101100
+01100101011100011011000111110011
+01100101011101111011000111111011
+01100101011111011011001000000010
+01100101100000111011001000001010
+01100101100010001011001000010001
+01100101100011101011001000011000
+01100101100101001011001000100000
+01100101100110101011001000100111
+01100101100111111011001000101111
+01100101101001011011001000110110
+01100101101010111011001000111110
+01100101101100011011001001000101
+01100101101101101011001001001101
+01100101101111001011001001010100
+01100101110000101011001001011100
+01100101110001111011001001100011
+01100101110011011011001001101011
+01100101110100111011001001110010
+01100101110110011011001001111010
+01100101110111101011001010000001
+01100101111001001011001010001001
+01100101111010101011001010010000
+01100101111011111011001010011000
+01100101111101011011001010011111
+01100101111110111011001010100111
+01100110000000001011001010101110
+01100110000001101011001010110110
+01100110000011001011001010111101
+01100110000100101011001011000101
+01100110000101111011001011001100
+01100110000111011011001011010100
+01100110001000111011001011011011
+01100110001010001011001011100011
+01100110001011101011001011101010
+01100110001101001011001011110010
+01100110001110011011001011111010
+01100110001111111011001100000001
+01100110010001011011001100001001
+01100110010010101011001100010000
+01100110010100001011001100011000
+01100110010101101011001100011111
+01100110010110111011001100100111
+01100110011000011011001100101110
+01100110011001111011001100110110
+01100110011011001011001100111101
+01100110011100101011001101000101
+01100110011110001011001101001100
+01100110011111011011001101010100
+01100110100000111011001101011100
+01100110100010001011001101100011
+01100110100011101011001101101011
+01100110100101001011001101110010
+01100110100110011011001101111010
+01100110100111111011001110000001
+01100110101001011011001110001001
+01100110101010101011001110010000
+01100110101100001011001110011000
+01100110101101101011001110100000
+01100110101110111011001110100111
+01100110110000011011001110101111
+01100110110001101011001110110110
+01100110110011001011001110111110
+01100110110100101011001111000101
+01100110110101111011001111001101
+01100110110111011011001111010101
+01100110111000101011001111011100
+01100110111010001011001111100100
+01100110111011101011001111101011
+01100110111100111011001111110011
+01100110111110011011001111111010
+01100110111111101011010000000010
+01100111000001001011010000001010
+01100111000010101011010000010001
+01100111000011111011010000011001
+01100111000101011011010000100000
+01100111000110101011010000101000
+01100111001000001011010000110000
+01100111001001101011010000110111
+01100111001010111011010000111111
+01100111001100011011010001000110
+01100111001101101011010001001110
+01100111001111001011010001010101
+01100111010000011011010001011101
+01100111010001111011010001100101
+01100111010011011011010001101100
+01100111010100101011010001110100
+01100111010110001011010001111100
+01100111010111011011010010000011
+01100111011000111011010010001011
+01100111011010001011010010010010
+01100111011011101011010010011010
+01100111011100111011010010100010
+01100111011110011011010010101001
+01100111011111111011010010110001
+01100111100001001011010010111000
+01100111100010101011010011000000
+01100111100011111011010011001000
+01100111100101011011010011001111
+01100111100110101011010011010111
+01100111101000001011010011011111
+01100111101001011011010011100110
+01100111101010111011010011101110
+01100111101100001011010011110101
+01100111101101101011010011111101
+01100111101110111011010100000101
+01100111110000011011010100001100
+01100111110001101011010100010100
+01100111110011001011010100011100
+01100111110100101011010100100011
+01100111110101111011010100101011
+01100111110111011011010100110011
+01100111111000101011010100111010
+01100111111010001011010101000010
+01100111111011011011010101001010
+01100111111100111011010101010001
+01100111111110001011010101011001
+01100111111111101011010101100001
+01101000000000111011010101101000
+01101000000010011011010101110000
+01101000000011101011010101110111
+01101000000100111011010101111111
+01101000000110011011010110000111
+01101000000111101011010110001110
+01101000001001001011010110010110
+01101000001010011011010110011110
+01101000001011111011010110100101
+01101000001101001011010110101101
+01101000001110101011010110110101
+01101000001111111011010110111101
+01101000010001011011010111000100
+01101000010010101011010111001100
+01101000010100001011010111010100
+01101000010101011011010111011011
+01101000010110111011010111100011
+01101000011000001011010111101011
+01101000011001101011010111110010
+01101000011010111011010111111010
+01101000011100001011011000000010
+01101000011101101011011000001001
+01101000011110111011011000010001
+01101000100000011011011000011001
+01101000100001101011011000100000
+01101000100011001011011000101000
+01101000100100011011011000110000
+01101000100101111011011000111000
+01101000100111001011011000111111
+01101000101000011011011001000111
+01101000101001111011011001001111
+01101000101011001011011001010110
+01101000101100101011011001011110
+01101000101101111011011001100110
+01101000101111001011011001101101
+01101000110000101011011001110101
+01101000110001111011011001111101
+01101000110011011011011010000101
+01101000110100101011011010001100
+01101000110110001011011010010100
+01101000110111011011011010011100
+01101000111000101011011010100011
+01101000111010001011011010101011
+01101000111011011011011010110011
+01101000111100111011011010111011
+01101000111110001011011011000010
+01101000111111011011011011001010
+01101001000000111011011011010010
+01101001000010001011011011011010
+01101001000011011011011011100001
+01101001000100111011011011101001
+01101001000110001011011011110001
+01101001000111101011011011111001
+01101001001000111011011100000000
+01101001001010001011011100001000
+01101001001011101011011100010000
+01101001001100111011011100011000
+01101001001110001011011100011111
+01101001001111101011011100100111
+01101001010000111011011100101111
+01101001010010011011011100110111
+01101001010011101011011100111110
+01101001010100111011011101000110
+01101001010110011011011101001110
+01101001010111101011011101010110
+01101001011000111011011101011101
+01101001011010011011011101100101
+01101001011011101011011101101101
+01101001011100111011011101110101
+01101001011110011011011101111100
+01101001011111101011011110000100
+01101001100000111011011110001100
+01101001100010011011011110010100
+01101001100011101011011110011011
+01101001100100111011011110100011
+01101001100110011011011110101011
+01101001100111101011011110110011
+01101001101000111011011110111011
+01101001101010011011011111000010
+01101001101011101011011111001010
+01101001101100111011011111010010
+01101001101110011011011111011010
+01101001101111101011011111100001
+01101001110000111011011111101001
+01101001110010011011011111110001
+01101001110011101011011111111001
+01101001110100111011100000000001
+01101001110110001011100000001000
+01101001110111101011100000010000
+01101001111000111011100000011000
+01101001111010001011100000100000
+01101001111011101011100000101000
+01101001111100111011100000101111
+01101001111110001011100000110111
+01101001111111011011100000111111
+01101010000000111011100001000111
+01101010000010001011100001001111
+01101010000011011011100001010110
+01101010000100111011100001011110
+01101010000110001011100001100110
+01101010000111011011100001101110
+01101010001000101011100001110110
+01101010001010001011100001111101
+01101010001011011011100010000101
+01101010001100101011100010001101
+01101010001101111011100010010101
+01101010001111011011100010011101
+01101010010000101011100010100101
+01101010010001111011100010101100
+01101010010011001011100010110100
+01101010010100101011100010111100
+01101010010101111011100011000100
+01101010010111001011100011001100
+01101010011000011011100011010100
+01101010011001111011100011011011
+01101010011011001011100011100011
+01101010011100011011100011101011
+01101010011101101011100011110011
+01101010011111001011100011111011
+01101010100000011011100100000011
+01101010100001101011100100001010
+01101010100010111011100100010010
+01101010100100011011100100011010
+01101010100101101011100100100010
+01101010100110111011100100101010
+01101010101000001011100100110010
+01101010101001011011100100111001
+01101010101010111011100101000001
+01101010101100001011100101001001
+01101010101101011011100101010001
+01101010101110101011100101011001
+01101010101111111011100101100001
+01101010110001011011100101101001
+01101010110010101011100101110000
+01101010110011111011100101111000
+01101010110101001011100110000000
+01101010110110011011100110001000
+01101010110111111011100110010000
+01101010111001001011100110011000
+01101010111010011011100110100000
+01101010111011101011100110101000
+01101010111100111011100110101111
+01101010111110001011100110110111
+01101010111111101011100110111111
+01101011000000111011100111000111
+01101011000010001011100111001111
+01101011000011011011100111010111
+01101011000100101011100111011111
+01101011000101111011100111100111
+01101011000111011011100111101110
+01101011001000101011100111110110
+01101011001001111011100111111110
+01101011001011001011101000000110
+01101011001100011011101000001110
+01101011001101101011101000010110
+01101011001111001011101000011110
+01101011010000011011101000100110
+01101011010001101011101000101110
+01101011010010111011101000110110
+01101011010100001011101000111101
+01101011010101011011101001000101
+01101011010110101011101001001101
+01101011011000001011101001010101
+01101011011001011011101001011101
+01101011011010101011101001100101
+01101011011011111011101001101101
+01101011011101001011101001110101
+01101011011110011011101001111101
+01101011011111101011101010000101
+01101011100000111011101010001101
+01101011100010001011101010010100
+01101011100011101011101010011100
+01101011100100111011101010100100
+01101011100110001011101010101100
+01101011100111011011101010110100
+01101011101000101011101010111100
+01101011101001111011101011000100
+01101011101011001011101011001100
+01101011101100011011101011010100
+01101011101101101011101011011100
+01101011101110111011101011100100
+01101011110000011011101011101100
+01101011110001101011101011110100
+01101011110010111011101011111011
+01101011110100001011101100000011
+01101011110101011011101100001011
+01101011110110101011101100010011
+01101011110111111011101100011011
+01101011111001001011101100100011
+01101011111010011011101100101011
+01101011111011101011101100110011
+01101011111100111011101100111011
+01101011111110001011101101000011
+01101011111111011011101101001011
+01101100000000101011101101010011
+01101100000010001011101101011011
+01101100000011011011101101100011
+01101100000100101011101101101011
+01101100000101111011101101110011
+01101100000111001011101101111011
+01101100001000011011101110000011
+01101100001001101011101110001011
+01101100001010111011101110010011
+01101100001100001011101110011011
+01101100001101011011101110100010
+01101100001110101011101110101010
+01101100001111111011101110110010
+01101100010001001011101110111010
+01101100010010011011101111000010
+01101100010011101011101111001010
+01101100010100111011101111010010
+01101100010110001011101111011010
+01101100010111011011101111100010
+01101100011000101011101111101010
+01101100011001111011101111110010
+01101100011011001011101111111010
+01101100011100011011110000000010
+01101100011101101011110000001010
+01101100011110111011110000010010
+01101100100000001011110000011010
+01101100100001011011110000100010
+01101100100010101011110000101010
+01101100100011111011110000110010
+01101100100101001011110000111010
+01101100100110011011110001000010
+01101100100111101011110001001010
+01101100101000111011110001010010
+01101100101010001011110001011010
+01101100101011011011110001100010
+01101100101100101011110001101010
+01101100101101111011110001110010
+01101100101111001011110001111010
+01101100110000011011110010000010
+01101100110001101011110010001010
+01101100110010111011110010010010
+01101100110100001011110010011010
+01101100110101011011110010100010
+01101100110110101011110010101010
+01101100110111111011110010110010
+01101100111001001011110010111010
+01101100111010011011110011000010
+01101100111011101011110011001010
+01101100111100111011110011010010
+01101100111110001011110011011010
+01101100111111001011110011100010
+01101101000000011011110011101010
+01101101000001101011110011110010
+01101101000010111011110011111010
+01101101000100001011110100000010
+01101101000101011011110100001010
+01101101000110101011110100010010
+01101101000111111011110100011011
+01101101001001001011110100100011
+01101101001010011011110100101011
+01101101001011101011110100110011
+01101101001100111011110100111011
+01101101001110001011110101000011
+01101101001111001011110101001011
+01101101010000011011110101010011
+01101101010001101011110101011011
+01101101010010111011110101100011
+01101101010100001011110101101011
+01101101010101011011110101110011
+01101101010110101011110101111011
+01101101010111111011110110000011
+01101101011001001011110110001011
+01101101011010011011110110010011
+01101101011011011011110110011011
+01101101011100101011110110100011
+01101101011101111011110110101011
+01101101011111001011110110110011
+01101101100000011011110110111100
+01101101100001101011110111000100
+01101101100010111011110111001100
+01101101100100001011110111010100
+01101101100101011011110111011100
+01101101100110011011110111100100
+01101101100111101011110111101100
+01101101101000111011110111110100
+01101101101010001011110111111100
+01101101101011011011111000000100
+01101101101100101011111000001100
+01101101101101111011111000010100
+01101101101110111011111000011100
+01101101110000001011111000100100
+01101101110001011011111000101101
+01101101110010101011111000110101
+01101101110011111011111000111101
+01101101110101001011111001000101
+01101101110110001011111001001101
+01101101110111011011111001010101
+01101101111000101011111001011101
+01101101111001111011111001100101
+01101101111011001011111001101101
+01101101111100011011111001110101
+01101101111101011011111001111101
+01101101111110101011111010000110
+01101101111111111011111010001110
+01101110000001001011111010010110
+01101110000010011011111010011110
+01101110000011101011111010100110
+01101110000100101011111010101110
+01101110000101111011111010110110
+01101110000111001011111010111110
+01101110001000011011111011000110
+01101110001001101011111011001110
+01101110001010101011111011010111
+01101110001011111011111011011111
+01101110001101001011111011100111
+01101110001110011011111011101111
+01101110001111101011111011110111
+01101110010000101011111011111111
+01101110010001111011111100000111
+01101110010011001011111100001111
+01101110010100011011111100010111
+01101110010101011011111100100000
+01101110010110101011111100101000
+01101110010111111011111100110000
+01101110011001001011111100111000
+01101110011010011011111101000000
+01101110011011011011111101001000
+01101110011100101011111101010000
+01101110011101111011111101011001
+01101110011111001011111101100001
+01101110100000001011111101101001
+01101110100001011011111101110001
+01101110100010101011111101111001
+01101110100011111011111110000001
+01101110100100111011111110001001
+01101110100110001011111110010001
+01101110100111011011111110011010
+01101110101000101011111110100010
+01101110101001101011111110101010
+01101110101010111011111110110010
+01101110101100001011111110111010
+01101110101101011011111111000010
+01101110101110011011111111001011
+01101110101111101011111111010011
+01101110110000111011111111011011
+01101110110001111011111111100011
+01101110110011001011111111101011
+01101110110100011011111111110011
+01101110110101101011111111111011
+01101110110110101100000000000101
+01101110110111111100000000001101
+01101110111001001100000000010101
+01101110111010001100000000011101
+01101110111011011100000000100101
+01101110111100101100000000101101
+01101110111101111100000000110110
+01101110111110111100000000111110
+01101111000000001100000001000110
+01101111000001011100000001001110
+01101111000010011100000001010110
+01101111000011101100000001011110
+01101111000100111100000001100111
+01101111000101111100000001101111
+01101111000111001100000001110111
+01101111001000011100000001111111
+01101111001001011100000010000111
+01101111001010101100000010010000
+01101111001011111100000010011000
+01101111001100111100000010100000
+01101111001110001100000010101000
+01101111001111011100000010110000
+01101111010000011100000010111001
+01101111010001101100000011000001
+01101111010010111100000011001001
+01101111010011111100000011010001
+01101111010101001100000011011001
+01101111010110011100000011100001
+01101111010111011100000011101010
+01101111011000101100000011110010
+01101111011001111100000011111010
+01101111011010111100000100000010
+01101111011100001100000100001011
+01101111011101001100000100010011
+01101111011110011100000100011011
+01101111011111101100000100100011
+01101111100000101100000100101011
+01101111100001111100000100110100
+01101111100011001100000100111100
+01101111100100001100000101000100
+01101111100101011100000101001100
+01101111100110011100000101010100
+01101111100111101100000101011101
+01101111101000111100000101100101
+01101111101001111100000101101101
+01101111101011001100000101110101
+01101111101100011100000101111110
+01101111101101011100000110000110
+01101111101110101100000110001110
+01101111101111101100000110010110
+01101111110000111100000110011110
+01101111110001111100000110100111
+01101111110011001100000110101111
+01101111110100011100000110110111
+01101111110101011100000110111111
+01101111110110101100000111001000
+01101111110111101100000111010000
+01101111111000111100000111011000
+01101111111010001100000111100000
+01101111111011001100000111101001
+01101111111100011100000111110001
+01101111111101011100000111111001
+01101111111110101100001000000001
+01101111111111101100001000001010
+01110000000000111100001000010010
+01110000000010001100001000011010
+01110000000011001100001000100010
+01110000000100011100001000101011
+01110000000101011100001000110011
+01110000000110101100001000111011
+01110000000111101100001001000011
+01110000001000111100001001001100
+01110000001001111100001001010100
+01110000001011001100001001011100
+01110000001100001100001001100100
+01110000001101011100001001101101
+01110000001110101100001001110101
+01110000001111101100001001111101
+01110000010000111100001010000101
+01110000010001111100001010001110
+01110000010011001100001010010110
+01110000010100001100001010011110
+01110000010101011100001010100110
+01110000010110011100001010101111
+01110000010111101100001010110111
+01110000011000101100001010111111
+01110000011001111100001011001000
+01110000011010111100001011010000
+01110000011100001100001011011000
+01110000011101001100001011100000
+01110000011110011100001011101001
+01110000011111011100001011110001
+01110000100000101100001011111001
+01110000100001101100001100000001
+01110000100010111100001100001010
+01110000100011111100001100010010
+01110000100101001100001100011010
+01110000100110001100001100100011
+01110000100111011100001100101011
+01110000101000011100001100110011
+01110000101001101100001100111100
+01110000101010101100001101000100
+01110000101011111100001101001100
+01110000101100111100001101010100
+01110000101101111100001101011101
+01110000101111001100001101100101
+01110000110000001100001101101101
+01110000110001011100001101110110
+01110000110010011100001101111110
+01110000110011101100001110000110
+01110000110100101100001110001111
+01110000110101111100001110010111
+01110000110110111100001110011111
+01110000111000001100001110100111
+01110000111001001100001110110000
+01110000111010001100001110111000
+01110000111011011100001111000000
+01110000111100011100001111001001
+01110000111101101100001111010001
+01110000111110101100001111011001
+01110000111111111100001111100010
+01110001000000111100001111101010
+01110001000001111100001111110010
+01110001000011001100001111111011
+01110001000100001100010000000011
+01110001000101011100010000001011
+01110001000110011100010000010100
+01110001000111101100010000011100
+01110001001000101100010000100100
+01110001001001101100010000101101
+01110001001010111100010000110101
+01110001001011111100010000111101
+01110001001101001100010001000110
+01110001001110001100010001001110
+01110001001111001100010001010110
+01110001010000011100010001011111
+01110001010001011100010001100111
+01110001010010101100010001101111
+01110001010011101100010001111000
+01110001010100101100010010000000
+01110001010101111100010010001000
+01110001010110111100010010010001
+01110001010111111100010010011001
+01110001011001001100010010100001
+01110001011010001100010010101010
+01110001011011011100010010110010
+01110001011100011100010010111010
+01110001011101011100010011000011
+01110001011110101100010011001011
+01110001011111101100010011010011
+01110001100000101100010011011100
+01110001100001111100010011100100
+01110001100010111100010011101101
+01110001100011111100010011110101
+01110001100101001100010011111101
+01110001100110001100010100000110
+01110001100111001100010100001110
+01110001101000011100010100010110
+01110001101001011100010100011111
+01110001101010011100010100100111
+01110001101011101100010100101111
+01110001101100101100010100111000
+01110001101101101100010101000000
+01110001101110111100010101001001
+01110001101111111100010101010001
+01110001110000111100010101011001
+01110001110010001100010101100010
+01110001110011001100010101101010
+01110001110100001100010101110010
+01110001110101011100010101111011
+01110001110110011100010110000011
+01110001110111011100010110001100
+01110001111000101100010110010100
+01110001111001101100010110011100
+01110001111010101100010110100101
+01110001111011101100010110101101
+01110001111100111100010110110110
+01110001111101111100010110111110
+01110001111110111100010111000110
+01110010000000001100010111001111
+01110010000001001100010111010111
+01110010000010001100010111100000
+01110010000011001100010111101000
+01110010000100011100010111110000
+01110010000101011100010111111001
+01110010000110011100011000000001
+01110010000111101100011000001010
+01110010001000101100011000010010
+01110010001001101100011000011010
+01110010001010101100011000100011
+01110010001011111100011000101011
+01110010001100111100011000110100
+01110010001101111100011000111100
+01110010001110111100011001000100
+01110010010000001100011001001101
+01110010010001001100011001010101
+01110010010010001100011001011110
+01110010010011001100011001100110
+01110010010100011100011001101110
+01110010010101011100011001110111
+01110010010110011100011001111111
+01110010010111011100011010001000
+01110010011000011100011010010000
+01110010011001101100011010011001
+01110010011010101100011010100001
+01110010011011101100011010101001
+01110010011100101100011010110010
+01110010011101111100011010111010
+01110010011110111100011011000011
+01110010011111111100011011001011
+01110010100000111100011011010100
+01110010100001111100011011011100
+01110010100011001100011011100100
+01110010100100001100011011101101
+01110010100101001100011011110101
+01110010100110001100011011111110
+01110010100111001100011100000110
+01110010101000011100011100001111
+01110010101001011100011100010111
+01110010101010011100011100011111
+01110010101011011100011100101000
+01110010101100011100011100110000
+01110010101101101100011100111001
+01110010101110101100011101000001
+01110010101111101100011101001010
+01110010110000101100011101010010
+01110010110001101100011101011011
+01110010110010101100011101100011
+01110010110011111100011101101011
+01110010110100111100011101110100
+01110010110101111100011101111100
+01110010110110111100011110000101
+01110010110111111100011110001101
+01110010111000111100011110010110
+01110010111010001100011110011110
+01110010111011001100011110100111
+01110010111100001100011110101111
+01110010111101001100011110111000
+01110010111110001100011111000000
+01110010111111001100011111001001
+01110011000000001100011111010001
+01110011000001011100011111011001
+01110011000010011100011111100010
+01110011000011011100011111101010
+01110011000100011100011111110011
+01110011000101011100011111111011
+01110011000110011100100000000100
+01110011000111011100100000001100
+01110011001000011100100000010101
+01110011001001101100100000011101
+01110011001010101100100000100110
+01110011001011101100100000101110
+01110011001100101100100000110111
+01110011001101101100100000111111
+01110011001110101100100001001000
+01110011001111101100100001010000
+01110011010000101100100001011001
+01110011010001101100100001100001
+01110011010010111100100001101010
+01110011010011111100100001110010
+01110011010100111100100001111011
+01110011010101111100100010000011
+01110011010110111100100010001100
+01110011010111111100100010010100
+01110011011000111100100010011101
+01110011011001111100100010100101
+01110011011010111100100010101110
+01110011011011111100100010110110
+01110011011100111100100010111111
+01110011011101111100100011000111
+01110011011110111100100011010000
+01110011100000001100100011011000
+01110011100001001100100011100001
+01110011100010001100100011101001
+01110011100011001100100011110010
+01110011100100001100100011111010
+01110011100101001100100100000011
+01110011100110001100100100001011
+01110011100111001100100100010100
+01110011101000001100100100011100
+01110011101001001100100100100101
+01110011101010001100100100101101
+01110011101011001100100100110110
+01110011101100001100100100111110
+01110011101101001100100101000111
+01110011101110001100100101001111
+01110011101111001100100101011000
+01110011110000001100100101100000
+01110011110001001100100101101001
+01110011110010001100100101110001
+01110011110011001100100101111010
+01110011110100001100100110000010
+01110011110101001100100110001011
+01110011110110001100100110010011
+01110011110111001100100110011100
+01110011111000001100100110100101
+01110011111001001100100110101101
+01110011111010001100100110110110
+01110011111011001100100110111110
+01110011111100001100100111000111
+01110011111101001100100111001111
+01110011111110001100100111011000
+01110011111111001100100111100000
+01110100000000001100100111101001
+01110100000001001100100111110001
+01110100000010001100100111111010
+01110100000011001100101000000010
+01110100000100001100101000001011
+01110100000101001100101000010100
+01110100000110001100101000011100
+01110100000111001100101000100101
+01110100001000001100101000101101
+01110100001001001100101000110110
+01110100001010001100101000111110
+01110100001011001100101001000111
+01110100001100001100101001001111
+01110100001101001100101001011000
+01110100001110001100101001100000
+01110100001111001100101001101001
+01110100010000001100101001110010
+01110100010001001100101001111010
+01110100010010001100101010000011
+01110100010010111100101010001011
+01110100010011111100101010010100
+01110100010100111100101010011100
+01110100010101111100101010100101
+01110100010110111100101010101110
+01110100010111111100101010110110
+01110100011000111100101010111111
+01110100011001111100101011000111
+01110100011010111100101011010000
+01110100011011111100101011011000
+01110100011100111100101011100001
+01110100011101111100101011101010
+01110100011110111100101011110010
+01110100011111101100101011111011
+01110100100000101100101100000011
+01110100100001101100101100001100
+01110100100010101100101100010100
+01110100100011101100101100011101
+01110100100100101100101100100110
+01110100100101101100101100101110
+01110100100110101100101100110111
+01110100100111101100101100111111
+01110100101000011100101101001000
+01110100101001011100101101010001
+01110100101010011100101101011001
+01110100101011011100101101100010
+01110100101100011100101101101010
+01110100101101011100101101110011
+01110100101110011100101101111011
+01110100101111011100101110000100
+01110100110000001100101110001101
+01110100110001001100101110010101
+01110100110010001100101110011110
+01110100110011001100101110100110
+01110100110100001100101110101111
+01110100110101001100101110111000
+01110100110110001100101111000000
+01110100110110111100101111001001
+01110100110111111100101111010001
+01110100111000111100101111011010
+01110100111001111100101111100011
+01110100111010111100101111101011
+01110100111011111100101111110100
+01110100111100101100101111111101
+01110100111101101100110000000101
+01110100111110101100110000001110
+01110100111111101100110000010110
+01110101000000101100110000011111
+01110101000001101100110000101000
+01110101000010011100110000110000
+01110101000011011100110000111001
+01110101000100011100110001000001
+01110101000101011100110001001010
+01110101000110011100110001010011
+01110101000111001100110001011011
+01110101001000001100110001100100
+01110101001001001100110001101101
+01110101001010001100110001110101
+01110101001011001100110001111110
+01110101001011111100110010000110
+01110101001100111100110010001111
+01110101001101111100110010011000
+01110101001110111100110010100000
+01110101001111111100110010101001
+01110101010000101100110010110010
+01110101010001101100110010111010
+01110101010010101100110011000011
+01110101010011101100110011001100
+01110101010100011100110011010100
+01110101010101011100110011011101
+01110101010110011100110011100101
+01110101010111011100110011101110
+01110101011000001100110011110111
+01110101011001001100110011111111
+01110101011010001100110100001000
+01110101011011001100110100010001
+01110101011011111100110100011001
+01110101011100111100110100100010
+01110101011101111100110100101011
+01110101011110111100110100110011
+01110101011111101100110100111100
+01110101100000101100110101000101
+01110101100001101100110101001101
+01110101100010101100110101010110
+01110101100011011100110101011111
+01110101100100011100110101100111
+01110101100101011100110101110000
+01110101100110011100110101111000
+01110101100111001100110110000001
+01110101101000001100110110001010
+01110101101001001100110110010010
+01110101101001111100110110011011
+01110101101010111100110110100100
+01110101101011111100110110101100
+01110101101100111100110110110101
+01110101101101101100110110111110
+01110101101110101100110111000110
+01110101101111101100110111001111
+01110101110000011100110111011000
+01110101110001011100110111100000
+01110101110010011100110111101001
+01110101110011001100110111110010
+01110101110100001100110111111010
+01110101110101001100111000000011
+01110101110101111100111000001100
+01110101110110111100111000010101
+01110101110111111100111000011101
+01110101111000101100111000100110
+01110101111001101100111000101111
+01110101111010101100111000110111
+01110101111011011100111001000000
+01110101111100011100111001001001
+01110101111101011100111001010001
+01110101111110001100111001011010
+01110101111111001100111001100011
+01110110000000001100111001101011
+01110110000000111100111001110100
+01110110000001111100111001111101
+01110110000010111100111010000101
+01110110000011101100111010001110
+01110110000100101100111010010111
+01110110000101101100111010011111
+01110110000110011100111010101000
+01110110000111011100111010110001
+01110110001000001100111010111010
+01110110001001001100111011000010
+01110110001010001100111011001011
+01110110001010111100111011010100
+01110110001011111100111011011100
+01110110001100111100111011100101
+01110110001101101100111011101110
+01110110001110101100111011110110
+01110110001111011100111011111111
+01110110010000011100111100001000
+01110110010001011100111100010001
+01110110010010001100111100011001
+01110110010011001100111100100010
+01110110010011111100111100101011
+01110110010100111100111100110011
+01110110010101111100111100111100
+01110110010110101100111101000101
+01110110010111101100111101001110
+01110110011000011100111101010110
+01110110011001011100111101011111
+01110110011010011100111101101000
+01110110011011001100111101110000
+01110110011100001100111101111001
+01110110011100111100111110000010
+01110110011101111100111110001011
+01110110011110101100111110010011
+01110110011111101100111110011100
+01110110100000101100111110100101
+01110110100001011100111110101110
+01110110100010011100111110110110
+01110110100011001100111110111111
+01110110100100001100111111001000
+01110110100100111100111111010000
+01110110100101111100111111011001
+01110110100110101100111111100010
+01110110100111101100111111101011
+01110110101000011100111111110011
+01110110101001011100111111111100
+01110110101010011101000000000101
+01110110101011001101000000001110
+01110110101100001101000000010110
+01110110101100111101000000011111
+01110110101101111101000000101000
+01110110101110101101000000110001
+01110110101111101101000000111001
+01110110110000011101000001000010
+01110110110001011101000001001011
+01110110110010001101000001010100
+01110110110011001101000001011100
+01110110110011111101000001100101
+01110110110100111101000001101110
+01110110110101101101000001110111
+01110110110110101101000001111111
+01110110110111011101000010001000
+01110110111000011101000010010001
+01110110111001001101000010011010
+01110110111010001101000010100010
+01110110111010111101000010101011
+01110110111011111101000010110100
+01110110111100101101000010111101
+01110110111101101101000011000101
+01110110111110011101000011001110
+01110110111111011101000011010111
+01110111000000001101000011100000
+01110111000001001101000011101000
+01110111000001111101000011110001
+01110111000010101101000011111010
+01110111000011101101000100000011
+01110111000100011101000100001011
+01110111000101011101000100010100
+01110111000110001101000100011101
+01110111000111001101000100100110
+01110111000111111101000100101110
+01110111001000111101000100110111
+01110111001001101101000101000000
+01110111001010101101000101001001
+01110111001011011101000101010010
+01110111001100001101000101011010
+01110111001101001101000101100011
+01110111001101111101000101101100
+01110111001110111101000101110101
+01110111001111101101000101111101
+01110111010000101101000110000110
+01110111010001011101000110001111
+01110111010010001101000110011000
+01110111010011001101000110100001
+01110111010011111101000110101001
+01110111010100111101000110110010
+01110111010101101101000110111011
+01110111010110011101000111000100
+01110111010111011101000111001101
+01110111011000001101000111010101
+01110111011001001101000111011110
+01110111011001111101000111100111
+01110111011010101101000111110000
+01110111011011101101000111111000
+01110111011100011101001000000001
+01110111011101011101001000001010
+01110111011110001101001000010011
+01110111011110111101001000011100
+01110111011111111101001000100100
+01110111100000101101001000101101
+01110111100001011101001000110110
+01110111100010011101001000111111
+01110111100011001101001001001000
+01110111100100001101001001010000
+01110111100100111101001001011001
+01110111100101101101001001100010
+01110111100110101101001001101011
+01110111100111011101001001110100
+01110111101000001101001001111100
+01110111101001001101001010000101
+01110111101001111101001010001110
+01110111101010101101001010010111
+01110111101011101101001010100000
+01110111101100011101001010101001
+01110111101101001101001010110001
+01110111101110001101001010111010
+01110111101110111101001011000011
+01110111101111101101001011001100
+01110111110000101101001011010101
+01110111110001011101001011011101
+01110111110010001101001011100110
+01110111110011001101001011101111
+01110111110011111101001011111000
+01110111110100101101001100000001
+01110111110101101101001100001010
+01110111110110011101001100010010
+01110111110111001101001100011011
+01110111111000001101001100100100
+01110111111000111101001100101101
+01110111111001101101001100110110
+01110111111010011101001100111111
+01110111111011011101001101000111
+01110111111100001101001101010000
+01110111111100111101001101011001
+01110111111101111101001101100010
+01110111111110101101001101101011
+01110111111111011101001101110011
+01111000000000011101001101111100
+01111000000001001101001110000101
+01111000000001111101001110001110
+01111000000010101101001110010111
+01111000000011101101001110100000
+01111000000100011101001110101001
+01111000000101001101001110110001
+01111000000101111101001110111010
+01111000000110111101001111000011
+01111000000111101101001111001100
+01111000001000011101001111010101
+01111000001001001101001111011110
+01111000001010001101001111100110
+01111000001010111101001111101111
+01111000001011101101001111111000
+01111000001100011101010000000001
+01111000001101011101010000001010
+01111000001110001101010000010011
+01111000001110111101010000011100
+01111000001111101101010000100100
+01111000010000101101010000101101
+01111000010001011101010000110110
+01111000010010001101010000111111
+01111000010010111101010001001000
+01111000010011101101010001010001
+01111000010100101101010001011010
+01111000010101011101010001100010
+01111000010110001101010001101011
+01111000010110111101010001110100
+01111000010111101101010001111101
+01111000011000101101010010000110
+01111000011001011101010010001111
+01111000011010001101010010011000
+01111000011010111101010010100000
+01111000011011101101010010101001
+01111000011100101101010010110010
+01111000011101011101010010111011
+01111000011110001101010011000100
+01111000011110111101010011001101
+01111000011111101101010011010110
+01111000100000101101010011011111
+01111000100001011101010011100111
+01111000100010001101010011110000
+01111000100010111101010011111001
+01111000100011101101010100000010
+01111000100100011101010100001011
+01111000100101011101010100010100
+01111000100110001101010100011101
+01111000100110111101010100100110
+01111000100111101101010100101110
+01111000101000011101010100110111
+01111000101001001101010101000000
+01111000101001111101010101001001
+01111000101010111101010101010010
+01111000101011101101010101011011
+01111000101100011101010101100100
+01111000101101001101010101101101
+01111000101101111101010101110101
+01111000101110101101010101111110
+01111000101111011101010110000111
+01111000110000011101010110010000
+01111000110001001101010110011001
+01111000110001111101010110100010
+01111000110010101101010110101011
+01111000110011011101010110110100
+01111000110100001101010110111101
+01111000110100111101010111000110
+01111000110101101101010111001110
+01111000110110011101010111010111
+01111000110111011101010111100000
+01111000111000001101010111101001
+01111000111000111101010111110010
+01111000111001101101010111111011
+01111000111010011101011000000100
+01111000111011001101011000001101
+01111000111011111101011000010110
+01111000111100101101011000011111
+01111000111101011101011000100111
+01111000111110001101011000110000
+01111000111110111101011000111001
+01111000111111111101011001000010
+01111001000000101101011001001011
+01111001000001011101011001010100
+01111001000010001101011001011101
+01111001000010111101011001100110
+01111001000011101101011001101111
+01111001000100011101011001111000
+01111001000101001101011010000001
+01111001000101111101011010001001
+01111001000110101101011010010010
+01111001000111011101011010011011
+01111001001000001101011010100100
+01111001001000111101011010101101
+01111001001001101101011010110110
+01111001001010011101011010111111
+01111001001011001101011011001000
+01111001001011111101011011010001
+01111001001100101101011011011010
+01111001001101011101011011100011
+01111001001110001101011011101100
+01111001001111001101011011110101
+01111001001111111101011011111101
+01111001010000101101011100000110
+01111001010001011101011100001111
+01111001010010001101011100011000
+01111001010010111101011100100001
+01111001010011101101011100101010
+01111001010100011101011100110011
+01111001010101001101011100111100
+01111001010101111101011101000101
+01111001010110101101011101001110
+01111001010111011101011101010111
+01111001011000001101011101100000
+01111001011000111101011101101001
+01111001011001101101011101110010
+01111001011010011101011101111011
+01111001011011001101011110000011
+01111001011011111101011110001100
+01111001011100101101011110010101
+01111001011101001101011110011110
+01111001011101111101011110100111
+01111001011110101101011110110000
+01111001011111011101011110111001
+01111001100000001101011111000010
+01111001100000111101011111001011
+01111001100001101101011111010100
+01111001100010011101011111011101
+01111001100011001101011111100110
+01111001100011111101011111101111
+01111001100100101101011111111000
+01111001100101011101100000000001
+01111001100110001101100000001010
+01111001100110111101100000010011
+01111001100111101101100000011100
+01111001101000011101100000100101
+01111001101001001101100000101101
+01111001101001111101100000110110
+01111001101010101101100000111111
+01111001101011011101100001001000
+01111001101011111101100001010001
+01111001101100101101100001011010
+01111001101101011101100001100011
+01111001101110001101100001101100
+01111001101110111101100001110101
+01111001101111101101100001111110
+01111001110000011101100010000111
+01111001110001001101100010010000
+01111001110001111101100010011001
+01111001110010101101100010100010
+01111001110011011101100010101011
+01111001110011111101100010110100
+01111001110100101101100010111101
+01111001110101011101100011000110
+01111001110110001101100011001111
+01111001110110111101100011011000
+01111001110111101101100011100001
+01111001111000011101100011101010
+01111001111001001101100011110011
+01111001111001101101100011111100
+01111001111010011101100100000101
+01111001111011001101100100001110
+01111001111011111101100100010111
+01111001111100101101100100100000
+01111001111101011101100100101001
+01111001111110001101100100110010
+01111001111110111101100100111011
+01111001111111011101100101000100
+01111010000000001101100101001100
+01111010000000111101100101010101
+01111010000001101101100101011110
+01111010000010011101100101100111
+01111010000011001101100101110000
+01111010000011101101100101111001
+01111010000100011101100110000010
+01111010000101001101100110001011
+01111010000101111101100110010100
+01111010000110101101100110011101
+01111010000111011101100110100110
+01111010000111111101100110101111
+01111010001000101101100110111000
+01111010001001011101100111000001
+01111010001010001101100111001010
+01111010001010111101100111010011
+01111010001011011101100111011100
+01111010001100001101100111100101
+01111010001100111101100111101110
+01111010001101101101100111110111
+01111010001110011101101000000000
+01111010001111001101101000001001
+01111010001111101101101000010010
+01111010010000011101101000011011
+01111010010001001101101000100100
+01111010010001111101101000101101
+01111010010010011101101000110110
+01111010010011001101101000111111
+01111010010011111101101001001000
+01111010010100101101101001010001
+01111010010101011101101001011010
+01111010010101111101101001100011
+01111010010110101101101001101100
+01111010010111011101101001110101
+01111010011000001101101001111110
+01111010011000101101101010000111
+01111010011001011101101010010000
+01111010011010001101101010011001
+01111010011010111101101010100010
+01111010011011011101101010101011
+01111010011100001101101010110100
+01111010011100111101101010111101
+01111010011101101101101011000110
+01111010011110001101101011010000
+01111010011110111101101011011001
+01111010011111101101101011100010
+01111010100000011101101011101011
+01111010100000111101101011110100
+01111010100001101101101011111101
+01111010100010011101101100000110
+01111010100010111101101100001111
+01111010100011101101101100011000
+01111010100100011101101100100001
+01111010100101001101101100101010
+01111010100101101101101100110011
+01111010100110011101101100111100
+01111010100111001101101101000101
+01111010100111101101101101001110
+01111010101000011101101101010111
+01111010101001001101101101100000
+01111010101001111101101101101001
+01111010101010011101101101110010
+01111010101011001101101101111011
+01111010101011111101101110000100
+01111010101100011101101110001101
+01111010101101001101101110010110
+01111010101101111101101110011111
+01111010101110011101101110101000
+01111010101111001101101110110001
+01111010101111111101101110111010
+01111010110000011101101111000011
+01111010110001001101101111001100
+01111010110001111101101111010101
+01111010110010011101101111011110
+01111010110011001101101111100111
+01111010110011111101101111110000
+01111010110100011101101111111010
+01111010110101001101110000000011
+01111010110101111101110000001100
+01111010110110011101110000010101
+01111010110111001101110000011110
+01111010110111111101110000100111
+01111010111000011101110000110000
+01111010111001001101110000111001
+01111010111001101101110001000010
+01111010111010011101110001001011
+01111010111011001101110001010100
+01111010111011101101110001011101
+01111010111100011101110001100110
+01111010111101001101110001101111
+01111010111101101101110001111000
+01111010111110011101110010000001
+01111010111110111101110010001010
+01111010111111101101110010010011
+01111011000000011101110010011100
+01111011000000111101110010100101
+01111011000001101101110010101111
+01111011000010001101110010111000
+01111011000010111101110011000001
+01111011000011101101110011001010
+01111011000100001101110011010011
+01111011000100111101110011011100
+01111011000101011101110011100101
+01111011000110001101110011101110
+01111011000110111101110011110111
+01111011000111011101110100000000
+01111011001000001101110100001001
+01111011001000101101110100010010
+01111011001001011101110100011011
+01111011001001111101110100100100
+01111011001010101101110100101101
+01111011001011011101110100110110
+01111011001011111101110101000000
+01111011001100101101110101001001
+01111011001101001101110101010010
+01111011001101111101110101011011
+01111011001110011101110101100100
+01111011001111001101110101101101
+01111011001111101101110101110110
+01111011010000011101110101111111
+01111011010001001101110110001000
+01111011010001101101110110010001
+01111011010010011101110110011010
+01111011010010111101110110100011
+01111011010011101101110110101100
+01111011010100001101110110110110
+01111011010100111101110110111111
+01111011010101011101110111001000
+01111011010110001101110111010001
+01111011010110101101110111011010
+01111011010111011101110111100011
+01111011010111111101110111101100
+01111011011000101101110111110101
+01111011011001001101110111111110
+01111011011001111101111000000111
+01111011011010011101111000010000
+01111011011011001101111000011001
+01111011011011101101111000100011
+01111011011100011101111000101100
+01111011011100111101111000110101
+01111011011101101101111000111110
+01111011011110001101111001000111
+01111011011110111101111001010000
+01111011011111011101111001011001
+01111011100000001101111001100010
+01111011100000101101111001101011
+01111011100001011101111001110100
+01111011100001111101111001111101
+01111011100010101101111010000111
+01111011100011001101111010010000
+01111011100011101101111010011001
+01111011100100011101111010100010
+01111011100100111101111010101011
+01111011100101101101111010110100
+01111011100110001101111010111101
+01111011100110111101111011000110
+01111011100111011101111011001111
+01111011101000001101111011011000
+01111011101000101101111011100010
+01111011101001011101111011101011
+01111011101001111101111011110100
+01111011101010011101111011111101
+01111011101011001101111100000110
+01111011101011101101111100001111
+01111011101100011101111100011000
+01111011101100111101111100100001
+01111011101101011101111100101010
+01111011101110001101111100110100
+01111011101110101101111100111101
+01111011101111011101111101000110
+01111011101111111101111101001111
+01111011110000101101111101011000
+01111011110001001101111101100001
+01111011110001101101111101101010
+01111011110010011101111101110011
+01111011110010111101111101111100
+01111011110011101101111110000110
+01111011110100001101111110001111
+01111011110100101101111110011000
+01111011110101011101111110100001
+01111011110101111101111110101010
+01111011110110011101111110110011
+01111011110111001101111110111100
+01111011110111101101111111000101
+01111011111000011101111111001110
+01111011111000111101111111011000
+01111011111001011101111111100001
+01111011111010001101111111101010
+01111011111010101101111111110011
+01111011111011001101111111111100
+01111011111011111110000000000101
+01111011111100011110000000001110
+01111011111100111110000000010111
+01111011111101101110000000100001
+01111011111110001110000000101010
+01111011111110111110000000110011
+01111011111111011110000000111100
+01111011111111111110000001000101
+01111100000000101110000001001110
+01111100000001001110000001010111
+01111100000001101110000001100001
+01111100000010011110000001101010
+01111100000010111110000001110011
+01111100000011011110000001111100
+01111100000011111110000010000101
+01111100000100101110000010001110
+01111100000101001110000010010111
+01111100000101101110000010100000
+01111100000110011110000010101010
+01111100000110111110000010110011
+01111100000111011110000010111100
+01111100001000001110000011000101
+01111100001000101110000011001110
+01111100001001001110000011010111
+01111100001001111110000011100000
+01111100001010011110000011101010
+01111100001010111110000011110011
+01111100001011011110000011111100
+01111100001100001110000100000101
+01111100001100101110000100001110
+01111100001101001110000100010111
+01111100001101101110000100100000
+01111100001110011110000100101010
+01111100001110111110000100110011
+01111100001111011110000100111100
+01111100010000001110000101000101
+01111100010000101110000101001110
+01111100010001001110000101010111
+01111100010001101110000101100000
+01111100010010011110000101101010
+01111100010010111110000101110011
+01111100010011011110000101111100
+01111100010011111110000110000101
+01111100010100101110000110001110
+01111100010101001110000110010111
+01111100010101101110000110100001
+01111100010110001110000110101010
+01111100010110111110000110110011
+01111100010111011110000110111100
+01111100010111111110000111000101
+01111100011000011110000111001110
+01111100011000111110000111010111
+01111100011001101110000111100001
+01111100011010001110000111101010
+01111100011010101110000111110011
+01111100011011001110000111111100
+01111100011011111110001000000101
+01111100011100011110001000001110
+01111100011100111110001000011000
+01111100011101011110001000100001
+01111100011101111110001000101010
+01111100011110101110001000110011
+01111100011111001110001000111100
+01111100011111101110001001000101
+01111100100000001110001001001111
+01111100100000101110001001011000
+01111100100001001110001001100001
+01111100100001111110001001101010
+01111100100010011110001001110011
+01111100100010111110001001111100
+01111100100011011110001010000110
+01111100100011111110001010001111
+01111100100100011110001010011000
+01111100100101001110001010100001
+01111100100101101110001010101010
+01111100100110001110001010110011
+01111100100110101110001010111101
+01111100100111001110001011000110
+01111100100111101110001011001111
+01111100101000011110001011011000
+01111100101000111110001011100001
+01111100101001011110001011101011
+01111100101001111110001011110100
+01111100101010011110001011111101
+01111100101010111110001100000110
+01111100101011011110001100001111
+01111100101100001110001100011000
+01111100101100101110001100100010
+01111100101101001110001100101011
+01111100101101101110001100110100
+01111100101110001110001100111101
+01111100101110101110001101000110
+01111100101111001110001101010000
+01111100101111101110001101011001
+01111100110000001110001101100010
+01111100110000111110001101101011
+01111100110001011110001101110100
+01111100110001111110001101111101
+01111100110010011110001110000111
+01111100110010111110001110010000
+01111100110011011110001110011001
+01111100110011111110001110100010
+01111100110100011110001110101011
+01111100110100111110001110110101
+01111100110101011110001110111110
+01111100110101111110001111000111
+01111100110110101110001111010000
+01111100110111001110001111011001
+01111100110111101110001111100011
+01111100111000001110001111101100
+01111100111000101110001111110101
+01111100111001001110001111111110
+01111100111001101110010000000111
+01111100111010001110010000010001
+01111100111010101110010000011010
+01111100111011001110010000100011
+01111100111011101110010000101100
+01111100111100001110010000110101
+01111100111100101110010000111111
+01111100111101001110010001001000
+01111100111101101110010001010001
+01111100111110001110010001011010
+01111100111110101110010001100011
+01111100111111001110010001101101
+01111100111111111110010001110110
+01111101000000011110010001111111
+01111101000000111110010010001000
+01111101000001011110010010010001
+01111101000001111110010010011011
+01111101000010011110010010100100
+01111101000010111110010010101101
+01111101000011011110010010110110
+01111101000011111110010010111111
+01111101000100011110010011001001
+01111101000100111110010011010010
+01111101000101011110010011011011
+01111101000101111110010011100100
+01111101000110011110010011101101
+01111101000110111110010011110111
+01111101000111011110010100000000
+01111101000111111110010100001001
+01111101001000011110010100010010
+01111101001000111110010100011011
+01111101001001011110010100100101
+01111101001001111110010100101110
+01111101001010011110010100110111
+01111101001010101110010101000000
+01111101001011001110010101001010
+01111101001011101110010101010011
+01111101001100001110010101011100
+01111101001100101110010101100101
+01111101001101001110010101101110
+01111101001101101110010101111000
+01111101001110001110010110000001
+01111101001110101110010110001010
+01111101001111001110010110010011
+01111101001111101110010110011101
+01111101010000001110010110100110
+01111101010000101110010110101111
+01111101010001001110010110111000
+01111101010001101110010111000001
+01111101010010001110010111001011
+01111101010010101110010111010100
+01111101010011001110010111011101
+01111101010011011110010111100110
+01111101010011111110010111110000
+01111101010100011110010111111001
+01111101010100111110011000000010
+01111101010101011110011000001011
+01111101010101111110011000010100
+01111101010110011110011000011110
+01111101010110111110011000100111
+01111101010111011110011000110000
+01111101010111111110011000111001
+01111101011000011110011001000011
+01111101011000101110011001001100
+01111101011001001110011001010101
+01111101011001101110011001011110
+01111101011010001110011001101000
+01111101011010101110011001110001
+01111101011011001110011001111010
+01111101011011101110011010000011
+01111101011100001110011010001100
+01111101011100101110011010010110
+01111101011100111110011010011111
+01111101011101011110011010101000
+01111101011101111110011010110001
+01111101011110011110011010111011
+01111101011110111110011011000100
+01111101011111011110011011001101
+01111101011111111110011011010110
+01111101100000001110011011100000
+01111101100000101110011011101001
+01111101100001001110011011110010
+01111101100001101110011011111011
+01111101100010001110011100000101
+01111101100010101110011100001110
+01111101100010111110011100010111
+01111101100011011110011100100000
+01111101100011111110011100101010
+01111101100100011110011100110011
+01111101100100111110011100111100
+01111101100101011110011101000101
+01111101100101101110011101001111
+01111101100110001110011101011000
+01111101100110101110011101100001
+01111101100111001110011101101010
+01111101100111101110011101110100
+01111101100111111110011101111101
+01111101101000011110011110000110
+01111101101000111110011110001111
+01111101101001011110011110011001
+01111101101001111110011110100010
+01111101101010001110011110101011
+01111101101010101110011110110100
+01111101101011001110011110111110
+01111101101011101110011111000111
+01111101101100001110011111010000
+01111101101100011110011111011001
+01111101101100111110011111100011
+01111101101101011110011111101100
+01111101101101111110011111110101
+01111101101110001110011111111110
+01111101101110101110100000001000
+01111101101111001110100000010001
+01111101101111101110100000011010
+01111101110000001110100000100011
+01111101110000011110100000101101
+01111101110000111110100000110110
+01111101110001011110100000111111
+01111101110001111110100001001000
+01111101110010001110100001010010
+01111101110010101110100001011011
+01111101110011001110100001100100
+01111101110011101110100001101101
+01111101110011111110100001110111
+01111101110100011110100010000000
+01111101110100111110100010001001
+01111101110101001110100010010011
+01111101110101101110100010011100
+01111101110110001110100010100101
+01111101110110101110100010101110
+01111101110110111110100010111000
+01111101110111011110100011000001
+01111101110111111110100011001010
+01111101111000001110100011010011
+01111101111000101110100011011101
+01111101111001001110100011100110
+01111101111001101110100011101111
+01111101111001111110100011111000
+01111101111010011110100100000010
+01111101111010111110100100001011
+01111101111011001110100100010100
+01111101111011101110100100011110
+01111101111100001110100100100111
+01111101111100011110100100110000
+01111101111100111110100100111001
+01111101111101011110100101000011
+01111101111101101110100101001100
+01111101111110001110100101010101
+01111101111110101110100101011110
+01111101111110111110100101101000
+01111101111111011110100101110001
+01111101111111111110100101111010
+01111110000000001110100110000100
+01111110000000101110100110001101
+01111110000001001110100110010110
+01111110000001011110100110011111
+01111110000001111110100110101001
+01111110000010011110100110110010
+01111110000010101110100110111011
+01111110000011001110100111000101
+01111110000011101110100111001110
+01111110000011111110100111010111
+01111110000100011110100111100000
+01111110000100101110100111101010
+01111110000101001110100111110011
+01111110000101101110100111111100
+01111110000101111110101000000110
+01111110000110011110101000001111
+01111110000110111110101000011000
+01111110000111001110101000100001
+01111110000111101110101000101011
+01111110000111111110101000110100
+01111110001000011110101000111101
+01111110001000111110101001000111
+01111110001001001110101001010000
+01111110001001101110101001011001
+01111110001001111110101001100010
+01111110001010011110101001101100
+01111110001010111110101001110101
+01111110001011001110101001111110
+01111110001011101110101010001000
+01111110001011111110101010010001
+01111110001100011110101010011010
+01111110001100101110101010100011
+01111110001101001110101010101101
+01111110001101101110101010110110
+01111110001101111110101010111111
+01111110001110011110101011001001
+01111110001110101110101011010010
+01111110001111001110101011011011
+01111110001111011110101011100100
+01111110001111111110101011101110
+01111110010000001110101011110111
+01111110010000101110101100000000
+01111110010001001110101100001010
+01111110010001011110101100010011
+01111110010001111110101100011100
+01111110010010001110101100100110
+01111110010010101110101100101111
+01111110010010111110101100111000
+01111110010011011110101101000001
+01111110010011101110101101001011
+01111110010100001110101101010100
+01111110010100011110101101011101
+01111110010100111110101101100111
+01111110010101001110101101110000
+01111110010101101110101101111001
+01111110010101111110101110000011
+01111110010110011110101110001100
+01111110010110101110101110010101
+01111110010111001110101110011110
+01111110010111011110101110101000
+01111110010111111110101110110001
+01111110011000001110101110111010
+01111110011000101110101111000100
+01111110011000111110101111001101
+01111110011001011110101111010110
+01111110011001101110101111100000
+01111110011010001110101111101001
+01111110011010011110101111110010
+01111110011010111110101111111100
+01111110011011001110110000000101
+01111110011011101110110000001110
+01111110011011111110110000010111
+01111110011100011110110000100001
+01111110011100101110110000101010
+01111110011101001110110000110011
+01111110011101011110110000111101
+01111110011101101110110001000110
+01111110011110001110110001001111
+01111110011110011110110001011001
+01111110011110111110110001100010
+01111110011111001110110001101011
+01111110011111101110110001110101
+01111110011111111110110001111110
+01111110100000011110110010000111
+01111110100000101110110010010000
+01111110100000111110110010011010
+01111110100001011110110010100011
+01111110100001101110110010101100
+01111110100010001110110010110110
+01111110100010011110110010111111
+01111110100010111110110011001000
+01111110100011001110110011010010
+01111110100011011110110011011011
+01111110100011111110110011100100
+01111110100100001110110011101110
+01111110100100101110110011110111
+01111110100100111110110100000000
+01111110100101001110110100001010
+01111110100101101110110100010011
+01111110100101111110110100011100
+01111110100110011110110100100110
+01111110100110101110110100101111
+01111110100110111110110100111000
+01111110100111011110110101000010
+01111110100111101110110101001011
+01111110100111111110110101010100
+01111110101000011110110101011110
+01111110101000101110110101100111
+01111110101001001110110101110000
+01111110101001011110110101111001
+01111110101001101110110110000011
+01111110101010001110110110001100
+01111110101010011110110110010101
+01111110101010101110110110011111
+01111110101011001110110110101000
+01111110101011011110110110110001
+01111110101011101110110110111011
+01111110101100001110110111000100
+01111110101100011110110111001101
+01111110101100101110110111010111
+01111110101101001110110111100000
+01111110101101011110110111101001
+01111110101101101110110111110011
+01111110101110001110110111111100
+01111110101110011110111000000101
+01111110101110101110111000001111
+01111110101111001110111000011000
+01111110101111011110111000100001
+01111110101111101110111000101011
+01111110110000001110111000110100
+01111110110000011110111000111101
+01111110110000101110111001000111
+01111110110001001110111001010000
+01111110110001011110111001011001
+01111110110001101110111001100011
+01111110110010001110111001101100
+01111110110010011110111001110101
+01111110110010101110111001111111
+01111110110010111110111010001000
+01111110110011011110111010010001
+01111110110011101110111010011011
+01111110110011111110111010100100
+01111110110100011110111010101101
+01111110110100101110111010110111
+01111110110100111110111011000000
+01111110110101001110111011001001
+01111110110101101110111011010011
+01111110110101111110111011011100
+01111110110110001110111011100101
+01111110110110011110111011101111
+01111110110110111110111011111000
+01111110110111001110111100000001
+01111110110111011110111100001011
+01111110110111101110111100010100
+01111110111000001110111100011110
+01111110111000011110111100100111
+01111110111000101110111100110000
+01111110111000111110111100111010
+01111110111001011110111101000011
+01111110111001101110111101001100
+01111110111001111110111101010110
+01111110111010001110111101011111
+01111110111010011110111101101000
+01111110111010111110111101110010
+01111110111011001110111101111011
+01111110111011011110111110000100
+01111110111011101110111110001110
+01111110111100001110111110010111
+01111110111100011110111110100000
+01111110111100101110111110101010
+01111110111100111110111110110011
+01111110111101001110111110111100
+01111110111101101110111111000110
+01111110111101111110111111001111
+01111110111110001110111111011000
+01111110111110011110111111100010
+01111110111110101110111111101011
+01111110111111001110111111110100
+01111110111111011110111111111110
+01111110111111101111000000000111
+01111110111111111111000000010001
+01111111000000001111000000011010
+01111111000000011111000000100011
+01111111000000111111000000101101
+01111111000001001111000000110110
+01111111000001011111000000111111
+01111111000001101111000001001001
+01111111000001111111000001010010
+01111111000010001111000001011011
+01111111000010101111000001100101
+01111111000010111111000001101110
+01111111000011001111000001110111
+01111111000011011111000010000001
+01111111000011101111000010001010
+01111111000011111111000010010011
+01111111000100001111000010011101
+01111111000100011111000010100110
+01111111000100111111000010110000
+01111111000101001111000010111001
+01111111000101011111000011000010
+01111111000101101111000011001100
+01111111000101111111000011010101
+01111111000110001111000011011110
+01111111000110011111000011101000
+01111111000110101111000011110001
+01111111000111001111000011111010
+01111111000111011111000100000100
+01111111000111101111000100001101
+01111111000111111111000100010110
+01111111001000001111000100100000
+01111111001000011111000100101001
+01111111001000101111000100110011
+01111111001000111111000100111100
+01111111001001001111000101000101
+01111111001001011111000101001111
+01111111001001101111000101011000
+01111111001010001111000101100001
+01111111001010011111000101101011
+01111111001010101111000101110100
+01111111001010111111000101111101
+01111111001011001111000110000111
+01111111001011011111000110010000
+01111111001011101111000110011010
+01111111001011111111000110100011
+01111111001100001111000110101100
+01111111001100011111000110110110
+01111111001100101111000110111111
+01111111001100111111000111001000
+01111111001101001111000111010010
+01111111001101011111000111011011
+01111111001101101111000111100100
+01111111001101111111000111101110
+01111111001110001111000111110111
+01111111001110011111001000000001
+01111111001110101111001000001010
+01111111001111001111001000010011
+01111111001111011111001000011101
+01111111001111101111001000100110
+01111111001111111111001000101111
+01111111010000001111001000111001
+01111111010000011111001001000010
+01111111010000101111001001001100
+01111111010000111111001001010101
+01111111010001001111001001011110
+01111111010001011111001001101000
+01111111010001101111001001110001
+01111111010001111111001001111010
+01111111010010001111001010000100
+01111111010010011111001010001101
+01111111010010101111001010010110
+01111111010010111111001010100000
+01111111010011001111001010101001
+01111111010011011111001010110011
+01111111010011101111001010111100
+01111111010011111111001011000101
+01111111010011111111001011001111
+01111111010100001111001011011000
+01111111010100011111001011100001
+01111111010100101111001011101011
+01111111010100111111001011110100
+01111111010101001111001011111110
+01111111010101011111001100000111
+01111111010101101111001100010000
+01111111010101111111001100011010
+01111111010110001111001100100011
+01111111010110011111001100101100
+01111111010110101111001100110110
+01111111010110111111001100111111
+01111111010111001111001101001001
+01111111010111011111001101010010
+01111111010111101111001101011011
+01111111010111111111001101100101
+01111111011000001111001101101110
+01111111011000011111001101110111
+01111111011000011111001110000001
+01111111011000101111001110001010
+01111111011000111111001110010100
+01111111011001001111001110011101
+01111111011001011111001110100110
+01111111011001101111001110110000
+01111111011001111111001110111001
+01111111011010001111001111000011
+01111111011010011111001111001100
+01111111011010101111001111010101
+01111111011010111111001111011111
+01111111011010111111001111101000
+01111111011011001111001111110001
+01111111011011011111001111111011
+01111111011011101111010000000100
+01111111011011111111010000001110
+01111111011100001111010000010111
+01111111011100011111010000100000
+01111111011100101111010000101010
+01111111011100101111010000110011
+01111111011100111111010000111101
+01111111011101001111010001000110
+01111111011101011111010001001111
+01111111011101101111010001011001
+01111111011101111111010001100010
+01111111011110001111010001101011
+01111111011110001111010001110101
+01111111011110011111010001111110
+01111111011110101111010010001000
+01111111011110111111010010010001
+01111111011111001111010010011010
+01111111011111011111010010100100
+01111111011111101111010010101101
+01111111011111101111010010110111
+01111111011111111111010011000000
+01111111100000001111010011001001
+01111111100000011111010011010011
+01111111100000101111010011011100
+01111111100000101111010011100101
+01111111100000111111010011101111
+01111111100001001111010011111000
+01111111100001011111010100000010
+01111111100001101111010100001011
+01111111100001111111010100010100
+01111111100001111111010100011110
+01111111100010001111010100100111
+01111111100010011111010100110001
+01111111100010101111010100111010
+01111111100010111111010101000011
+01111111100010111111010101001101
+01111111100011001111010101010110
+01111111100011011111010101100000
+01111111100011101111010101101001
+01111111100011101111010101110010
+01111111100011111111010101111100
+01111111100100001111010110000101
+01111111100100011111010110001111
+01111111100100101111010110011000
+01111111100100101111010110100001
+01111111100100111111010110101011
+01111111100101001111010110110100
+01111111100101011111010110111101
+01111111100101011111010111000111
+01111111100101101111010111010000
+01111111100101111111010111011010
+01111111100110001111010111100011
+01111111100110001111010111101100
+01111111100110011111010111110110
+01111111100110101111010111111111
+01111111100110111111011000001001
+01111111100110111111011000010010
+01111111100111001111011000011011
+01111111100111011111011000100101
+01111111100111011111011000101110
+01111111100111101111011000111000
+01111111100111111111011001000001
+01111111101000001111011001001010
+01111111101000001111011001010100
+01111111101000011111011001011101
+01111111101000101111011001100111
+01111111101000101111011001110000
+01111111101000111111011001111001
+01111111101001001111011010000011
+01111111101001011111011010001100
+01111111101001011111011010010110
+01111111101001101111011010011111
+01111111101001111111011010101000
+01111111101001111111011010110010
+01111111101010001111011010111011
+01111111101010011111011011000101
+01111111101010011111011011001110
+01111111101010101111011011010111
+01111111101010111111011011100001
+01111111101010111111011011101010
+01111111101011001111011011110100
+01111111101011011111011011111101
+01111111101011011111011100000110
+01111111101011101111011100010000
+01111111101011111111011100011001
+01111111101011111111011100100011
+01111111101100001111011100101100
+01111111101100011111011100110101
+01111111101100011111011100111111
+01111111101100101111011101001000
+01111111101100111111011101010010
+01111111101100111111011101011011
+01111111101101001111011101100100
+01111111101101001111011101101110
+01111111101101011111011101110111
+01111111101101101111011110000001
+01111111101101101111011110001010
+01111111101101111111011110010011
+01111111101110001111011110011101
+01111111101110001111011110100110
+01111111101110011111011110110000
+01111111101110011111011110111001
+01111111101110101111011111000010
+01111111101110111111011111001100
+01111111101110111111011111010101
+01111111101111001111011111011111
+01111111101111001111011111101000
+01111111101111011111011111110001
+01111111101111101111011111111011
+01111111101111101111100000000100
+01111111101111111111100000001110
+01111111101111111111100000010111
+01111111110000001111100000100000
+01111111110000011111100000101010
+01111111110000011111100000110011
+01111111110000101111100000111101
+01111111110000101111100001000110
+01111111110000111111100001010000
+01111111110000111111100001011001
+01111111110001001111100001100010
+01111111110001011111100001101100
+01111111110001011111100001110101
+01111111110001101111100001111111
+01111111110001101111100010001000
+01111111110001111111100010010001
+01111111110001111111100010011011
+01111111110010001111100010100100
+01111111110010001111100010101110
+01111111110010011111100010110111
+01111111110010011111100011000000
+01111111110010101111100011001010
+01111111110010101111100011010011
+01111111110010111111100011011101
+01111111110011001111100011100110
+01111111110011001111100011101111
+01111111110011011111100011111001
+01111111110011011111100100000010
+01111111110011101111100100001100
+01111111110011101111100100010101
+01111111110011111111100100011111
+01111111110011111111100100101000
+01111111110100001111100100110001
+01111111110100001111100100111011
+01111111110100011111100101000100
+01111111110100011111100101001110
+01111111110100101111100101010111
+01111111110100101111100101100000
+01111111110100111111100101101010
+01111111110100111111100101110011
+01111111110101001111100101111101
+01111111110101001111100110000110
+01111111110101011111100110001111
+01111111110101011111100110011001
+01111111110101011111100110100010
+01111111110101101111100110101100
+01111111110101101111100110110101
+01111111110101111111100110111111
+01111111110101111111100111001000
+01111111110110001111100111010001
+01111111110110001111100111011011
+01111111110110011111100111100100
+01111111110110011111100111101110
+01111111110110101111100111110111
+01111111110110101111101000000000
+01111111110110101111101000001010
+01111111110110111111101000010011
+01111111110110111111101000011101
+01111111110111001111101000100110
+01111111110111001111101000101111
+01111111110111011111101000111001
+01111111110111011111101001000010
+01111111110111011111101001001100
+01111111110111101111101001010101
+01111111110111101111101001011111
+01111111110111111111101001101000
+01111111110111111111101001110001
+01111111111000001111101001111011
+01111111111000001111101010000100
+01111111111000001111101010001110
+01111111111000011111101010010111
+01111111111000011111101010100000
+01111111111000101111101010101010
+01111111111000101111101010110011
+01111111111000101111101010111101
+01111111111000111111101011000110
+01111111111000111111101011010000
+01111111111000111111101011011001
+01111111111001001111101011100010
+01111111111001001111101011101100
+01111111111001011111101011110101
+01111111111001011111101011111111
+01111111111001011111101100001000
+01111111111001101111101100010001
+01111111111001101111101100011011
+01111111111001101111101100100100
+01111111111001111111101100101110
+01111111111001111111101100110111
+01111111111001111111101101000001
+01111111111010001111101101001010
+01111111111010001111101101010011
+01111111111010001111101101011101
+01111111111010011111101101100110
+01111111111010011111101101110000
+01111111111010011111101101111001
+01111111111010101111101110000010
+01111111111010101111101110001100
+01111111111010101111101110010101
+01111111111010111111101110011111
+01111111111010111111101110101000
+01111111111010111111101110110010
+01111111111011001111101110111011
+01111111111011001111101111000100
+01111111111011001111101111001110
+01111111111011011111101111010111
+01111111111011011111101111100001
+01111111111011011111101111101010
+01111111111011101111101111110100
+01111111111011101111101111111101
+01111111111011101111110000000110
+01111111111011101111110000010000
+01111111111011111111110000011001
+01111111111011111111110000100011
+01111111111011111111110000101100
+01111111111100001111110000110101
+01111111111100001111110000111111
+01111111111100001111110001001000
+01111111111100001111110001010010
+01111111111100011111110001011011
+01111111111100011111110001100101
+01111111111100011111110001101110
+01111111111100101111110001110111
+01111111111100101111110010000001
+01111111111100101111110010001010
+01111111111100101111110010010100
+01111111111100111111110010011101
+01111111111100111111110010100110
+01111111111100111111110010110000
+01111111111100111111110010111001
+01111111111101001111110011000011
+01111111111101001111110011001100
+01111111111101001111110011010110
+01111111111101001111110011011111
+01111111111101001111110011101000
+01111111111101011111110011110010
+01111111111101011111110011111011
+01111111111101011111110100000101
+01111111111101011111110100001110
+01111111111101101111110100011000
+01111111111101101111110100100001
+01111111111101101111110100101010
+01111111111101101111110100110100
+01111111111101101111110100111101
+01111111111101111111110101000111
+01111111111101111111110101010000
+01111111111101111111110101011010
+01111111111101111111110101100011
+01111111111101111111110101101100
+01111111111110001111110101110110
+01111111111110001111110101111111
+01111111111110001111110110001001
+01111111111110001111110110010010
+01111111111110001111110110011011
+01111111111110001111110110100101
+01111111111110011111110110101110
+01111111111110011111110110111000
+01111111111110011111110111000001
+01111111111110011111110111001011
+01111111111110011111110111010100
+01111111111110011111110111011101
+01111111111110101111110111100111
+01111111111110101111110111110000
+01111111111110101111110111111010
+01111111111110101111111000000011
+01111111111110101111111000001101
+01111111111110101111111000010110
+01111111111110101111111000011111
+01111111111110111111111000101001
+01111111111110111111111000110010
+01111111111110111111111000111100
+01111111111110111111111001000101
+01111111111110111111111001001110
+01111111111110111111111001011000
+01111111111110111111111001100001
+01111111111110111111111001101011
+01111111111111001111111001110100
+01111111111111001111111001111110
+01111111111111001111111010000111
+01111111111111001111111010010000
+01111111111111001111111010011010
+01111111111111001111111010100011
+01111111111111001111111010101101
+01111111111111001111111010110110
+01111111111111001111111011000000
+01111111111111011111111011001001
+01111111111111011111111011010010
+01111111111111011111111011011100
+01111111111111011111111011100101
+01111111111111011111111011101111
+01111111111111011111111011111000
+01111111111111011111111100000010
+01111111111111011111111100001011
+01111111111111011111111100010100
+01111111111111011111111100011110
+01111111111111011111111100100111
+01111111111111011111111100110001
+01111111111111011111111100111010
+01111111111111011111111101000100
+01111111111111101111111101001101
+01111111111111101111111101010110
+01111111111111101111111101100000
+01111111111111101111111101101001
+01111111111111101111111101110011
+01111111111111101111111101111100
+01111111111111101111111110000101
+01111111111111101111111110001111
+01111111111111101111111110011000
+01111111111111101111111110100010
+01111111111111101111111110101011
+01111111111111101111111110110101
+01111111111111101111111110111110
+01111111111111101111111111000111
+01111111111111101111111111010001
+01111111111111101111111111011010
+01111111111111101111111111100100
+01111111111111101111111111101101
+01111111111111101111111111110111
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_7.hex b/applications/lofar1/pft2/src/data/twiddle_16_7.hex
new file mode 100644
index 0000000000000000000000000000000000000000..f0f1fc5715aab08ca9a98cb59d175e0407e05d93
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_7.hex
@@ -0,0 +1,49153 @@
+:0400000000007FFF7E
+:04000100FFFA7FFF84
+:04000200FFF37FFF8A
+:04000300FFED7FFF8F
+:04000400FFE77FFF94
+:04000500FFE17FFF99
+:04000600FFDA7FFF9F
+:04000700FFD47FFFA4
+:04000800FFCE7FFFA9
+:04000900FFC77FFFAF
+:04000A00FFC17FFFB4
+:04000B00FFBB7FFFB9
+:04000C00FFB57FFFBE
+:04000D00FFAE7FFFC4
+:04000E00FFA87FFFC9
+:04000F00FFA27FFFCE
+:04001000FF9B7FFFD4
+:04001100FF957FFFD9
+:04001200FF8F7FFFDE
+:04001300FF897FFFE3
+:04001400FF827FFFE9
+:04001500FF7C7FFFEE
+:04001600FF767FFFF3
+:04001700FF6F7FFFF9
+:04001800FF697FFFFE
+:04001900FF637FFF03
+:04001A00FF5D7FFF08
+:04001B00FF567FFF0E
+:04001C00FF507FFF13
+:04001D00FF4A7FFE19
+:04001E00FF447FFE1E
+:04001F00FF3D7FFE24
+:04002000FF377FFE29
+:04002100FF317FFE2E
+:04002200FF2A7FFE34
+:04002300FF247FFE39
+:04002400FF1E7FFE3E
+:04002500FF187FFE43
+:04002600FF117FFE49
+:04002700FF0B7FFE4E
+:04002800FF057FFE53
+:04002900FEFE7FFE5A
+:04002A00FEF87FFE5F
+:04002B00FEF27FFE64
+:04002C00FEEC7FFE69
+:04002D00FEE57FFE6F
+:04002E00FEDF7FFE74
+:04002F00FED97FFE79
+:04003000FED27FFE7F
+:04003100FECC7FFE84
+:04003200FEC67FFD8A
+:04003300FEC07FFD8F
+:04003400FEB97FFD95
+:04003500FEB37FFD9A
+:04003600FEAD7FFD9F
+:04003700FEA67FFDA5
+:04003800FEA07FFDAA
+:04003900FE9A7FFDAF
+:04003A00FE947FFDB4
+:04003B00FE8D7FFDBA
+:04003C00FE877FFDBF
+:04003D00FE817FFDC4
+:04003E00FE7A7FFDCA
+:04003F00FE747FFDCF
+:04004000FE6E7FFDD4
+:04004100FE687FFCDA
+:04004200FE617FFCE0
+:04004300FE5B7FFCE5
+:04004400FE557FFCEA
+:04004500FE4E7FFCF0
+:04004600FE487FFCF5
+:04004700FE427FFCFA
+:04004800FE3C7FFCFF
+:04004900FE357FFC05
+:04004A00FE2F7FFC0A
+:04004B00FE297FFC0F
+:04004C00FE237FFC14
+:04004D00FE1C7FFB1B
+:04004E00FE167FFB20
+:04004F00FE107FFB25
+:04005000FE097FFB2B
+:04005100FE037FFB30
+:04005200FDFD7FFB36
+:04005300FDF77FFB3B
+:04005400FDF07FFB41
+:04005500FDEA7FFB46
+:04005600FDE47FFB4B
+:04005700FDDD7FFA52
+:04005800FDD77FFA57
+:04005900FDD17FFA5C
+:04005A00FDCB7FFA61
+:04005B00FDC47FFA67
+:04005C00FDBE7FFA6C
+:04005D00FDB87FFA71
+:04005E00FDB17FFA77
+:04005F00FDAB7FFA7C
+:04006000FDA57FF982
+:04006100FD9F7FF987
+:04006200FD987FF98D
+:04006300FD927FF992
+:04006400FD8C7FF997
+:04006500FD857FF99D
+:04006600FD7F7FF9A2
+:04006700FD797FF9A7
+:04006800FD737FF8AD
+:04006900FD6C7FF8B3
+:04006A00FD667FF8B8
+:04006B00FD607FF8BD
+:04006C00FD5A7FF8C2
+:04006D00FD537FF8C8
+:04006E00FD4D7FF8CD
+:04006F00FD477FF8D2
+:04007000FD407FF7D9
+:04007100FD3A7FF7DE
+:04007200FD347FF7E3
+:04007300FD2E7FF7E8
+:04007400FD277FF7EE
+:04007500FD217FF7F3
+:04007600FD1B7FF7F8
+:04007700FD147FF6FF
+:04007800FD0E7FF604
+:04007900FD087FF609
+:04007A00FD027FF60E
+:04007B00FCFB7FF615
+:04007C00FCF57FF61A
+:04007D00FCEF7FF61F
+:04007E00FCE87FF526
+:04007F00FCE27FF52B
+:04008000FCDC7FF530
+:04008100FCD67FF535
+:04008200FCCF7FF53B
+:04008300FCC97FF540
+:04008400FCC37FF545
+:04008500FCBC7FF44C
+:04008600FCB67FF451
+:04008700FCB07FF456
+:04008800FCAA7FF45B
+:04008900FCA37FF461
+:04008A00FC9D7FF466
+:04008B00FC977FF36C
+:04008C00FC917FF371
+:04008D00FC8A7FF377
+:04008E00FC847FF37C
+:04008F00FC7E7FF381
+:04009000FC777FF387
+:04009100FC717FF28D
+:04009200FC6B7FF292
+:04009300FC657FF297
+:04009400FC5E7FF29D
+:04009500FC587FF2A2
+:04009600FC527FF1A8
+:04009700FC4B7FF1AE
+:04009800FC457FF1B3
+:04009900FC3F7FF1B8
+:04009A00FC397FF1BD
+:04009B00FC327FF1C3
+:04009C00FC2C7FF0C9
+:04009D00FC267FF0CE
+:04009E00FC1F7FF0D4
+:04009F00FC197FF0D9
+:0400A000FC137FF0DE
+:0400A100FC0D7FEFE4
+:0400A200FC067FEFEA
+:0400A300FC007FEFEF
+:0400A400FBFA7FEFF5
+:0400A500FBF47FEFFA
+:0400A600FBED7FEE01
+:0400A700FBE77FEE06
+:0400A800FBE17FEE0B
+:0400A900FBDA7FEE11
+:0400AA00FBD47FEE16
+:0400AB00FBCE7FED1C
+:0400AC00FBC87FED21
+:0400AD00FBC17FED27
+:0400AE00FBBB7FED2C
+:0400AF00FBB57FED31
+:0400B000FBAE7FEC38
+:0400B100FBA87FEC3D
+:0400B200FBA27FEC42
+:0400B300FB9C7FEC47
+:0400B400FB957FEB4E
+:0400B500FB8F7FEB53
+:0400B600FB897FEB58
+:0400B700FB827FEB5E
+:0400B800FB7C7FEB63
+:0400B900FB767FEA69
+:0400BA00FB707FEA6E
+:0400BB00FB697FEA74
+:0400BC00FB637FEA79
+:0400BD00FB5D7FE97F
+:0400BE00FB577FE984
+:0400BF00FB507FE98A
+:0400C000FB4A7FE98F
+:0400C100FB447FE994
+:0400C200FB3D7FE89B
+:0400C300FB377FE8A0
+:0400C400FB317FE8A5
+:0400C500FB2B7FE8AA
+:0400C600FB247FE7B1
+:0400C700FB1E7FE7B6
+:0400C800FB187FE7BB
+:0400C900FB117FE7C1
+:0400CA00FB0B7FE6C7
+:0400CB00FB057FE6CC
+:0400CC00FAFF7FE6D2
+:0400CD00FAF87FE6D8
+:0400CE00FAF27FE5DE
+:0400CF00FAEC7FE5E3
+:0400D000FAE67FE5E8
+:0400D100FADF7FE5EE
+:0400D200FAD97FE4F4
+:0400D300FAD37FE4F9
+:0400D400FACC7FE4FF
+:0400D500FAC67FE404
+:0400D600FAC07FE30A
+:0400D700FABA7FE30F
+:0400D800FAB37FE315
+:0400D900FAAD7FE31A
+:0400DA00FAA77FE220
+:0400DB00FAA07FE226
+:0400DC00FA9A7FE22B
+:0400DD00FA947FE230
+:0400DE00FA8E7FE136
+:0400DF00FA877FE13C
+:0400E000FA817FE141
+:0400E100FA7B7FE146
+:0400E200FA757FE04C
+:0400E300FA6E7FE052
+:0400E400FA687FE057
+:0400E500FA627FDF5D
+:0400E600FA5B7FDF63
+:0400E700FA557FDF68
+:0400E800FA4F7FDF6D
+:0400E900FA497FDE73
+:0400EA00FA427FDE79
+:0400EB00FA3C7FDE7E
+:0400EC00FA367FDD84
+:0400ED00FA2F7FDD8A
+:0400EE00FA297FDD8F
+:0400EF00FA237FDD94
+:0400F000FA1D7FDC9A
+:0400F100FA167FDCA0
+:0400F200FA107FDCA5
+:0400F300FA0A7FDBAB
+:0400F400FA047FDBB0
+:0400F500F9FD7FDBB7
+:0400F600F9F77FDBBC
+:0400F700F9F17FDAC2
+:0400F800F9EA7FDAC8
+:0400F900F9E47FDACD
+:0400FA00F9DE7FD9D3
+:0400FB00F9D87FD9D8
+:0400FC00F9D17FD9DE
+:0400FD00F9CB7FD8E4
+:0400FE00F9C57FD8E9
+:0400FF00F9BF7FD8EE
+:04010000F9B87FD8F3
+:04010100F9B27FD7F9
+:04010200F9AC7FD7FE
+:04010300F9A57FD704
+:04010400F99F7FD60A
+:04010500F9997FD60F
+:04010600F9937FD614
+:04010700F98C7FD51B
+:04010800F9867FD520
+:04010900F9807FD525
+:04010A00F9797FD42C
+:04010B00F9737FD431
+:04010C00F96D7FD436
+:04010D00F9677FD33C
+:04010E00F9607FD342
+:04010F00F95A7FD347
+:04011000F9547FD24D
+:04011100F94E7FD252
+:04011200F9477FD258
+:04011300F9417FD15E
+:04011400F93B7FD163
+:04011500F9347FD169
+:04011600F92E7FD06F
+:04011700F9287FD074
+:04011800F9227FD079
+:04011900F91B7FCF80
+:04011A00F9157FCF85
+:04011B00F90F7FCF8A
+:04011C00F9097FCE90
+:04011D00F9027FCE96
+:04011E00F8FC7FCE9C
+:04011F00F8F67FCDA2
+:04012000F8EF7FCDA8
+:04012100F8E97FCDAD
+:04012200F8E37FCCB3
+:04012300F8DD7FCCB8
+:04012400F8D67FCCBE
+:04012500F8D07FCBC4
+:04012600F8CA7FCBC9
+:04012700F8C47FCBCE
+:04012800F8BD7FCAD5
+:04012900F8B77FCADA
+:04012A00F8B17FCADF
+:04012B00F8AA7FC9E6
+:04012C00F8A47FC9EB
+:04012D00F89E7FC8F1
+:04012E00F8987FC8F6
+:04012F00F8917FC8FC
+:04013000F88B7FC702
+:04013100F8857FC707
+:04013200F87F7FC70C
+:04013300F8787FC613
+:04013400F8727FC618
+:04013500F86C7FC61D
+:04013600F8657FC524
+:04013700F85F7FC529
+:04013800F8597FC42F
+:04013900F8537FC434
+:04013A00F84C7FC43A
+:04013B00F8467FC340
+:04013C00F8407FC345
+:04013D00F83A7FC24B
+:04013E00F8337FC251
+:04013F00F82D7FC256
+:04014000F8277FC15C
+:04014100F8207FC162
+:04014200F81A7FC167
+:04014300F8147FC06D
+:04014400F80E7FC072
+:04014500F8077FBF79
+:04014600F8017FBF7E
+:04014700F7FB7FBF84
+:04014800F7F57FBE8A
+:04014900F7EE7FBE90
+:04014A00F7E87FBD96
+:04014B00F7E27FBD9B
+:04014C00F7DC7FBDA0
+:04014D00F7D57FBCA7
+:04014E00F7CF7FBCAC
+:04014F00F7C97FBBB2
+:04015000F7C27FBBB8
+:04015100F7BC7FBBBD
+:04015200F7B67FBAC3
+:04015300F7B07FBAC8
+:04015400F7A97FB9CF
+:04015500F7A37FB9D4
+:04015600F79D7FB9D9
+:04015700F7977FB8DF
+:04015800F7907FB8E5
+:04015900F78A7FB7EB
+:04015A00F7847FB7F0
+:04015B00F77D7FB6F7
+:04015C00F7777FB6FC
+:04015D00F7717FB601
+:04015E00F76B7FB507
+:04015F00F7647FB50D
+:04016000F75E7FB413
+:04016100F7587FB418
+:04016200F7527FB41D
+:04016300F74B7FB324
+:04016400F7457FB329
+:04016500F73F7FB22F
+:04016600F7397FB234
+:04016700F7327FB13B
+:04016800F72C7FB140
+:04016900F7267FB145
+:04016A00F71F7FB04C
+:04016B00F7197FB051
+:04016C00F7137FAF57
+:04016D00F70D7FAF5C
+:04016E00F7067FAE63
+:04016F00F7007FAE68
+:04017000F6FA7FAD6F
+:04017100F6F47FAD74
+:04017200F6ED7FAD7A
+:04017300F6E77FAC80
+:04017400F6E17FAC85
+:04017500F6DB7FAB8B
+:04017600F6D47FAB91
+:04017700F6CE7FAA97
+:04017800F6C87FAA9C
+:04017900F6C17FA9A3
+:04017A00F6BB7FA9A8
+:04017B00F6B57FA9AD
+:04017C00F6AF7FA8B3
+:04017D00F6A87FA8B9
+:04017E00F6A27FA7BF
+:04017F00F69C7FA7C4
+:04018000F6967FA6CA
+:04018100F68F7FA6D0
+:04018200F6897FA5D6
+:04018300F6837FA5DB
+:04018400F67D7FA4E1
+:04018500F6767FA4E7
+:04018600F6707FA3ED
+:04018700F66A7FA3F2
+:04018800F6637FA2F9
+:04018900F65D7FA2FE
+:04018A00F6577FA203
+:04018B00F6517FA109
+:04018C00F64A7FA10F
+:04018D00F6447FA015
+:04018E00F63E7FA01A
+:04018F00F6387F9F20
+:04019000F6317F9F26
+:04019100F62B7F9E2C
+:04019200F6257F9E31
+:04019300F61F7F9D37
+:04019400F6187F9D3D
+:04019500F6127F9C43
+:04019600F60C7F9C48
+:04019700F6057F9B4F
+:04019800F5FF7F9B55
+:04019900F5F97F9A5B
+:04019A00F5F37F9A60
+:04019B00F5EC7F9967
+:04019C00F5E67F996C
+:04019D00F5E07F9872
+:04019E00F5DA7F9877
+:04019F00F5D37F977E
+:0401A000F5CD7F9783
+:0401A100F5C77F9689
+:0401A200F5C17F968E
+:0401A300F5BA7F9595
+:0401A400F5B47F959A
+:0401A500F5AE7F94A0
+:0401A600F5A87F94A5
+:0401A700F5A17F93AC
+:0401A800F59B7F93B1
+:0401A900F5957F92B7
+:0401AA00F58F7F92BC
+:0401AB00F5887F91C3
+:0401AC00F5827F91C8
+:0401AD00F57C7F90CE
+:0401AE00F5757F90D4
+:0401AF00F56F7F8FDA
+:0401B000F5697F8FDF
+:0401B100F5637F8EE5
+:0401B200F55C7F8EEB
+:0401B300F5567F8DF1
+:0401B400F5507F8DF6
+:0401B500F54A7F8CFC
+:0401B600F5437F8C02
+:0401B700F53D7F8B08
+:0401B800F5377F8A0E
+:0401B900F5317F8A13
+:0401BA00F52A7F891A
+:0401BB00F5247F891F
+:0401BC00F51E7F8825
+:0401BD00F5187F882A
+:0401BE00F5117F8731
+:0401BF00F50B7F8736
+:0401C000F5057F863C
+:0401C100F4FF7F8642
+:0401C200F4F87F8549
+:0401C300F4F27F854E
+:0401C400F4EC7F8454
+:0401C500F4E57F835B
+:0401C600F4DF7F8360
+:0401C700F4D97F8266
+:0401C800F4D37F826B
+:0401C900F4CC7F8172
+:0401CA00F4C67F8177
+:0401CB00F4C07F807D
+:0401CC00F4BA7F8082
+:0401CD00F4B37F7F89
+:0401CE00F4AD7F7F8E
+:0401CF00F4A77F7E94
+:0401D000F4A17F7D9A
+:0401D100F49A7F7DA0
+:0401D200F4947F7CA6
+:0401D300F48E7F7CAB
+:0401D400F4887F7BB1
+:0401D500F4817F7BB7
+:0401D600F47B7F7ABD
+:0401D700F4757F79C3
+:0401D800F46F7F79C8
+:0401D900F4687F78CF
+:0401DA00F4627F78D4
+:0401DB00F45C7F77DA
+:0401DC00F4567F77DF
+:0401DD00F44F7F76E6
+:0401DE00F4497F75EC
+:0401DF00F4437F75F1
+:0401E000F43D7F74F7
+:0401E100F4367F74FD
+:0401E200F4307F7303
+:0401E300F42A7F7308
+:0401E400F4237F720F
+:0401E500F41D7F7115
+:0401E600F4177F711A
+:0401E700F4117F7020
+:0401E800F40A7F7026
+:0401E900F4047F6F2C
+:0401EA00F3FE7F6E33
+:0401EB00F3F87F6E38
+:0401EC00F3F17F6D3F
+:0401ED00F3EB7F6D44
+:0401EE00F3E57F6C4A
+:0401EF00F3DF7F6C4F
+:0401F000F3D87F6B56
+:0401F100F3D27F6A5C
+:0401F200F3CC7F6A61
+:0401F300F3C67F6967
+:0401F400F3BF7F696D
+:0401F500F3B97F6873
+:0401F600F3B37F6779
+:0401F700F3AD7F677E
+:0401F800F3A67F6685
+:0401F900F3A07F668A
+:0401FA00F39A7F6590
+:0401FB00F3947F6496
+:0401FC00F38D7F649C
+:0401FD00F3877F63A2
+:0401FE00F3817F62A8
+:0401FF00F37B7F62AD
+:04020000F3747F61B3
+:04020100F36E7F61B8
+:04020200F3687F60BE
+:04020300F3627F5FC4
+:04020400F35B7F5FCA
+:04020500F3557F5ED0
+:04020600F34F7F5ED5
+:04020700F3497F5DDB
+:04020800F3427F5CE2
+:04020900F33C7F5CE7
+:04020A00F3367F5BED
+:04020B00F3307F5AF3
+:04020C00F3297F5AF9
+:04020D00F3237F59FF
+:04020E00F31D7F5805
+:04020F00F3177F580A
+:04021000F3107F5711
+:04021100F30A7F5716
+:04021200F3047F561C
+:04021300F2FE7F5523
+:04021400F2F77F5529
+:04021500F2F17F542F
+:04021600F2EB7F5335
+:04021700F2E57F533A
+:04021800F2DE7F5241
+:04021900F2D87F5147
+:04021A00F2D27F514C
+:04021B00F2CC7F5052
+:04021C00F2C57F5058
+:04021D00F2BF7F4F5E
+:04021E00F2B97F4E64
+:04021F00F2B37F4E69
+:04022000F2AC7F4D70
+:04022100F2A67F4C76
+:04022200F2A07F4C7B
+:04022300F29A7F4B81
+:04022400F2937F4A88
+:04022500F28D7F4A8D
+:04022600F2877F4993
+:04022700F2817F4899
+:04022800F27A7F489F
+:04022900F2747F47A5
+:04022A00F26E7F46AB
+:04022B00F2687F46B0
+:04022C00F2617F45B7
+:04022D00F25B7F44BD
+:04022E00F2557F44C2
+:04022F00F24F7F43C8
+:04023000F2487F42CF
+:04023100F2427F42D4
+:04023200F23C7F41DA
+:04023300F2367F40E0
+:04023400F22F7F40E6
+:04023500F2297F3FEC
+:04023600F2237F3EF2
+:04023700F21D7F3EF7
+:04023800F2167F3DFE
+:04023900F2107F3C04
+:04023A00F20A7F3B0A
+:04023B00F2047F3B0F
+:04023C00F1FD7F3A17
+:04023D00F1F77F391D
+:04023E00F1F17F3922
+:04023F00F1EB7F3828
+:04024000F1E47F372F
+:04024100F1DE7F3734
+:04024200F1D87F363A
+:04024300F1D27F3540
+:04024400F1CB7F3546
+:04024500F1C57F344C
+:04024600F1BF7F3352
+:04024700F1B97F3258
+:04024800F1B37F325D
+:04024900F1AC7F3164
+:04024A00F1A67F306A
+:04024B00F1A07F306F
+:04024C00F19A7F2F75
+:04024D00F1937F2E7C
+:04024E00F18D7F2E81
+:04024F00F1877F2D87
+:04025000F1817F2C8D
+:04025100F17A7F2B94
+:04025200F1747F2B99
+:04025300F16E7F2A9F
+:04025400F1687F29A5
+:04025500F1617F29AB
+:04025600F15B7F28B1
+:04025700F1557F27B7
+:04025800F14F7F26BD
+:04025900F1487F26C3
+:04025A00F1427F25C9
+:04025B00F13C7F24CF
+:04025C00F1367F23D5
+:04025D00F12F7F23DB
+:04025E00F1297F22E1
+:04025F00F1237F21E7
+:04026000F11D7F21EC
+:04026100F1167F20F3
+:04026200F1107F1FF9
+:04026300F10A7F1EFF
+:04026400F1047F1E04
+:04026500F0FE7F1D0B
+:04026600F0F77F1C12
+:04026700F0F17F1B18
+:04026800F0EB7F1B1D
+:04026900F0E57F1A23
+:04026A00F0DE7F192A
+:04026B00F0D87F1830
+:04026C00F0D27F1835
+:04026D00F0CC7F173B
+:04026E00F0C57F1642
+:04026F00F0BF7F1548
+:04027000F0B97F154D
+:04027100F0B37F1453
+:04027200F0AC7F135A
+:04027300F0A67F1260
+:04027400F0A07F1265
+:04027500F09A7F116B
+:04027600F0937F1072
+:04027700F08D7F0F78
+:04027800F0877F0F7D
+:04027900F0817F0E83
+:04027A00F07B7F0D89
+:04027B00F0747F0C90
+:04027C00F06E7F0C95
+:04027D00F0687F0B9B
+:04027E00F0627F0AA1
+:04027F00F05B7F09A8
+:04028000F0557F09AD
+:04028100F04F7F08B3
+:04028200F0497F07B9
+:04028300F0427F06C0
+:04028400F03C7F05C6
+:04028500F0367F05CB
+:04028600F0307F04D1
+:04028700F0297F03D8
+:04028800F0237F02DE
+:04028900F01D7F02E3
+:04028A00F0177F01E9
+:04028B00F0117F00EF
+:04028C00F00A7EFFF7
+:04028D00F0047EFEFD
+:04028E00EFFE7EFE03
+:04028F00EFF87EFD09
+:04029000EFF17EFC10
+:04029100EFEB7EFB16
+:04029200EFE57EFB1B
+:04029300EFDF7EFA21
+:04029400EFD87EF928
+:04029500EFD27EF82E
+:04029600EFCC7EF734
+:04029700EFC67EF739
+:04029800EFBF7EF640
+:04029900EFB97EF546
+:04029A00EFB37EF44C
+:04029B00EFAD7EF352
+:04029C00EFA77EF357
+:04029D00EFA07EF25E
+:04029E00EF9A7EF164
+:04029F00EF947EF06A
+:0402A000EF8E7EEF70
+:0402A100EF877EEF76
+:0402A200EF817EEE7C
+:0402A300EF7B7EED82
+:0402A400EF757EEC88
+:0402A500EF6E7EEB8F
+:0402A600EF687EEA95
+:0402A700EF627EEA9A
+:0402A800EF5C7EE9A0
+:0402A900EF567EE8A6
+:0402AA00EF4F7EE7AD
+:0402AB00EF497EE6B3
+:0402AC00EF437EE6B8
+:0402AD00EF3D7EE5BE
+:0402AE00EF367EE4C5
+:0402AF00EF307EE3CB
+:0402B000EF2A7EE2D1
+:0402B100EF247EE1D7
+:0402B200EF1E7EE1DC
+:0402B300EF177EE0E3
+:0402B400EF117EDFE9
+:0402B500EF0B7EDEEF
+:0402B600EF057EDDF5
+:0402B700EEFE7EDCFD
+:0402B800EEF87EDC02
+:0402B900EEF27EDB08
+:0402BA00EEEC7EDA0E
+:0402BB00EEE57ED915
+:0402BC00EEDF7ED81B
+:0402BD00EED97ED721
+:0402BE00EED37ED726
+:0402BF00EECD7ED62C
+:0402C000EEC67ED533
+:0402C100EEC07ED439
+:0402C200EEBA7ED33F
+:0402C300EEB47ED245
+:0402C400EEAD7ED24B
+:0402C500EEA77ED151
+:0402C600EEA17ED057
+:0402C700EE9B7ECF5D
+:0402C800EE957ECE63
+:0402C900EE8E7ECD6A
+:0402CA00EE887ECC70
+:0402CB00EE827ECC75
+:0402CC00EE7C7ECB7B
+:0402CD00EE757ECA82
+:0402CE00EE6F7EC988
+:0402CF00EE697EC88E
+:0402D000EE637EC794
+:0402D100EE5D7EC69A
+:0402D200EE567EC6A0
+:0402D300EE507EC5A6
+:0402D400EE4A7EC4AC
+:0402D500EE447EC3B2
+:0402D600EE3D7EC2B9
+:0402D700EE377EC1BF
+:0402D800EE317EC0C5
+:0402D900EE2B7EBFCB
+:0402DA00EE257EBFD0
+:0402DB00EE1E7EBED7
+:0402DC00EE187EBDDD
+:0402DD00EE127EBCE3
+:0402DE00EE0C7EBBE9
+:0402DF00EE057EBAF0
+:0402E000EDFF7EB9F7
+:0402E100EDF97EB8FD
+:0402E200EDF37EB703
+:0402E300EDED7EB708
+:0402E400EDE67EB60F
+:0402E500EDE07EB515
+:0402E600EDDA7EB41B
+:0402E700EDD47EB321
+:0402E800EDCD7EB228
+:0402E900EDC77EB12E
+:0402EA00EDC17EB034
+:0402EB00EDBB7EAF3A
+:0402EC00EDB57EAF3F
+:0402ED00EDAE7EAE46
+:0402EE00EDA87EAD4C
+:0402EF00EDA27EAC52
+:0402F000ED9C7EAB58
+:0402F100ED957EAA5F
+:0402F200ED8F7EA965
+:0402F300ED897EA86B
+:0402F400ED837EA771
+:0402F500ED7D7EA677
+:0402F600ED767EA67D
+:0402F700ED707EA583
+:0402F800ED6A7EA489
+:0402F900ED647EA38F
+:0402FA00ED5E7EA295
+:0402FB00ED577EA19C
+:0402FC00ED517EA0A2
+:0402FD00ED4B7E9FA8
+:0402FE00ED457E9EAE
+:0402FF00ED3E7E9DB5
+:04030000ED387E9CBA
+:04030100ED327E9BC0
+:04030200ED2C7E9BC5
+:04030300ED267E9ACB
+:04030400ED1F7E99D2
+:04030500ED197E98D8
+:04030600ED137E97DE
+:04030700ED0D7E96E4
+:04030800ED077E95EA
+:04030900ED007E94F1
+:04030A00ECFA7E93F8
+:04030B00ECF47E92FE
+:04030C00ECEE7E9104
+:04030D00ECE77E900B
+:04030E00ECE17E8F11
+:04030F00ECDB7E8E17
+:04031000ECD57E8D1D
+:04031100ECCF7E8D22
+:04031200ECC87E8C29
+:04031300ECC27E8B2F
+:04031400ECBC7E8A35
+:04031500ECB67E893B
+:04031600ECB07E8841
+:04031700ECA97E8748
+:04031800ECA37E864E
+:04031900EC9D7E8554
+:04031A00EC977E845A
+:04031B00EC907E8361
+:04031C00EC8A7E8267
+:04031D00EC847E816D
+:04031E00EC7E7E8073
+:04031F00EC787E7F79
+:04032000EC717E7E80
+:04032100EC6B7E7D86
+:04032200EC657E7C8C
+:04032300EC5F7E7B92
+:04032400EC597E7A98
+:04032500EC527E799F
+:04032600EC4C7E78A5
+:04032700EC467E77AB
+:04032800EC407E77B0
+:04032900EC3A7E76B6
+:04032A00EC337E75BD
+:04032B00EC2D7E74C3
+:04032C00EC277E73C9
+:04032D00EC217E72CF
+:04032E00EC1B7E71D5
+:04032F00EC147E70DC
+:04033000EC0E7E6FE2
+:04033100EC087E6EE8
+:04033200EC027E6DEE
+:04033300EBFC7E6CF5
+:04033400EBF57E6BFC
+:04033500EBEF7E6A02
+:04033600EBE97E6908
+:04033700EBE37E680E
+:04033800EBDC7E6715
+:04033900EBD67E661B
+:04033A00EBD07E6521
+:04033B00EBCA7E6427
+:04033C00EBC47E632D
+:04033D00EBBD7E6234
+:04033E00EBB77E613A
+:04033F00EBB17E6040
+:04034000EBAB7E5F46
+:04034100EBA57E5E4C
+:04034200EB9E7E5D53
+:04034300EB987E5C59
+:04034400EB927E5B5F
+:04034500EB8C7E5A65
+:04034600EB867E596B
+:04034700EB7F7E5872
+:04034800EB797E5778
+:04034900EB737E567E
+:04034A00EB6D7E5584
+:04034B00EB677E548A
+:04034C00EB607E5391
+:04034D00EB5A7E5297
+:04034E00EB547E519D
+:04034F00EB4E7E50A3
+:04035000EB487E4FA9
+:04035100EB417E4EB0
+:04035200EB3B7E4DB6
+:04035300EB357E4CBC
+:04035400EB2F7E4BC2
+:04035500EB297E4AC8
+:04035600EB227E49CF
+:04035700EB1C7E48D5
+:04035800EB167E47DB
+:04035900EB107E46E1
+:04035A00EB0A7E45E7
+:04035B00EB037E44EE
+:04035C00EAFD7E43F5
+:04035D00EAF77E41FC
+:04035E00EAF17E4002
+:04035F00EAEB7E3F08
+:04036000EAE47E3E0F
+:04036100EADE7E3D15
+:04036200EAD87E3C1B
+:04036300EAD27E3B21
+:04036400EACC7E3A27
+:04036500EAC57E392E
+:04036600EABF7E3834
+:04036700EAB97E373A
+:04036800EAB37E3640
+:04036900EAAD7E3546
+:04036A00EAA67E344D
+:04036B00EAA07E3353
+:04036C00EA9A7E3259
+:04036D00EA947E315F
+:04036E00EA8E7E3065
+:04036F00EA887E2F6B
+:04037000EA817E2E72
+:04037100EA7B7E2D78
+:04037200EA757E2C7E
+:04037300EA6F7E2A85
+:04037400EA697E298B
+:04037500EA627E2892
+:04037600EA5C7E2798
+:04037700EA567E269E
+:04037800EA507E25A4
+:04037900EA4A7E24AA
+:04037A00EA437E23B1
+:04037B00EA3D7E22B7
+:04037C00EA377E21BD
+:04037D00EA317E20C3
+:04037E00EA2B7E1FC9
+:04037F00EA247E1ED0
+:04038000EA1E7E1DD6
+:04038100EA187E1CDC
+:04038200EA127E1AE3
+:04038300EA0C7E19E9
+:04038400EA067E18EF
+:04038500E9FF7E17F7
+:04038600E9F97E16FD
+:04038700E9F37E1503
+:04038800E9ED7E1409
+:04038900E9E77E130F
+:04038A00E9E07E1216
+:04038B00E9DA7E111C
+:04038C00E9D47E1022
+:04038D00E9CE7E0F28
+:04038E00E9C87E0D2F
+:04038F00E9C17E0C36
+:04039000E9BB7E0B3C
+:04039100E9B57E0A42
+:04039200E9AF7E0948
+:04039300E9A97E084E
+:04039400E9A27E0755
+:04039500E99C7E065B
+:04039600E9967E0561
+:04039700E9907E0467
+:04039800E98A7E026E
+:04039900E9847E0174
+:04039A00E97D7E007B
+:04039B00E9777DFF82
+:04039C00E9717DFE88
+:04039D00E96B7DFD8E
+:04039E00E9657DFC94
+:04039F00E95E7DFB9B
+:0403A000E9587DFAA1
+:0403A100E9527DF9A7
+:0403A200E94C7DF7AE
+:0403A300E9467DF6B4
+:0403A400E9407DF5BA
+:0403A500E9397DF4C1
+:0403A600E9337DF3C7
+:0403A700E92D7DF2CD
+:0403A800E9277DF1D3
+:0403A900E9217DF0D9
+:0403AA00E91A7DEEE1
+:0403AB00E9147DEDE7
+:0403AC00E90E7DECED
+:0403AD00E9087DEBF3
+:0403AE00E9027DEAF9
+:0403AF00E8FC7DE900
+:0403B000E8F57DE807
+:0403B100E8EF7DE70D
+:0403B200E8E97DE514
+:0403B300E8E37DE41A
+:0403B400E8DD7DE320
+:0403B500E8D67DE227
+:0403B600E8D07DE12D
+:0403B700E8CA7DE033
+:0403B800E8C47DDF39
+:0403B900E8BE7DDD40
+:0403BA00E8B87DDC46
+:0403BB00E8B17DDB4D
+:0403BC00E8AB7DDA53
+:0403BD00E8A57DD959
+:0403BE00E89F7DD85F
+:0403BF00E8997DD765
+:0403C000E8937DD56C
+:0403C100E88C7DD473
+:0403C200E8867DD379
+:0403C300E8807DD27F
+:0403C400E87A7DD185
+:0403C500E8747DD08B
+:0403C600E86D7DCF92
+:0403C700E8677DCD99
+:0403C800E8617DCC9F
+:0403C900E85B7DCBA5
+:0403CA00E8557DCAAB
+:0403CB00E84F7DC9B1
+:0403CC00E8487DC8B8
+:0403CD00E8427DC6BF
+:0403CE00E83C7DC5C5
+:0403CF00E8367DC4CB
+:0403D000E8307DC3D1
+:0403D100E82A7DC2D7
+:0403D200E8237DC1DE
+:0403D300E81D7DBFE5
+:0403D400E8177DBEEB
+:0403D500E8117DBDF1
+:0403D600E80B7DBCF7
+:0403D700E8057DBBFD
+:0403D800E7FE7DB906
+:0403D900E7F87DB80C
+:0403DA00E7F27DB712
+:0403DB00E7EC7DB618
+:0403DC00E7E67DB51E
+:0403DD00E7DF7DB425
+:0403DE00E7D97DB22C
+:0403DF00E7D37DB132
+:0403E000E7CD7DB038
+:0403E100E7C77DAF3E
+:0403E200E7C17DAE44
+:0403E300E7BA7DAC4C
+:0403E400E7B47DAB52
+:0403E500E7AE7DAA58
+:0403E600E7A87DA95E
+:0403E700E7A27DA864
+:0403E800E79C7DA66B
+:0403E900E7957DA572
+:0403EA00E78F7DA478
+:0403EB00E7897DA37E
+:0403EC00E7837DA284
+:0403ED00E77D7DA08B
+:0403EE00E7777D9F91
+:0403EF00E7707D9E98
+:0403F000E76A7D9D9E
+:0403F100E7647D9CA4
+:0403F200E75E7D9AAB
+:0403F300E7587D99B1
+:0403F400E7527D98B7
+:0403F500E74B7D97BE
+:0403F600E7457D96C4
+:0403F700E73F7D94CB
+:0403F800E7397D93D1
+:0403F900E7337D92D7
+:0403FA00E72D7D91DD
+:0403FB00E7267D90E4
+:0403FC00E7207D8EEB
+:0403FD00E71A7D8DF1
+:0403FE00E7147D8CF7
+:0403FF00E70E7D8BFD
+:04040000E7087D8903
+:04040100E7027D8809
+:04040200E6FB7D8711
+:04040300E6F57D8617
+:04040400E6EF7D841E
+:04040500E6E97D8324
+:04040600E6E37D822A
+:04040700E6DD7D8130
+:04040800E6D67D8037
+:04040900E6D07D7E3E
+:04040A00E6CA7D7D44
+:04040B00E6C47D7C4A
+:04040C00E6BE7D7B50
+:04040D00E6B87D7957
+:04040E00E6B17D785E
+:04040F00E6AB7D7764
+:04041000E6A57D766A
+:04041100E69F7D7471
+:04041200E6997D7377
+:04041300E6937D727D
+:04041400E68C7D7184
+:04041500E6867D6F8B
+:04041600E6807D6E91
+:04041700E67A7D6D97
+:04041800E6747D6C9D
+:04041900E66E7D6AA4
+:04041A00E6687D69AA
+:04041B00E6617D68B1
+:04041C00E65B7D67B7
+:04041D00E6557D65BE
+:04041E00E64F7D64C4
+:04041F00E6497D63CA
+:04042000E6437D62D0
+:04042100E63C7D60D8
+:04042200E6367D5FDE
+:04042300E6307D5EE4
+:04042400E62A7D5DEA
+:04042500E6247D5BF1
+:04042600E61E7D5AF7
+:04042700E6187D59FD
+:04042800E6117D5705
+:04042900E60B7D560B
+:04042A00E6057D5511
+:04042B00E5FF7D5418
+:04042C00E5F97D521F
+:04042D00E5F37D5125
+:04042E00E5EC7D502C
+:04042F00E5E67D4E33
+:04043000E5E07D4D39
+:04043100E5DA7D4C3F
+:04043200E5D47D4B45
+:04043300E5CE7D494C
+:04043400E5C87D4852
+:04043500E5C17D4759
+:04043600E5BB7D465F
+:04043700E5B57D4466
+:04043800E5AF7D436C
+:04043900E5A97D4272
+:04043A00E5A37D4079
+:04043B00E59D7D3F7F
+:04043C00E5967D3E86
+:04043D00E5907D3C8D
+:04043E00E58A7D3B93
+:04043F00E5847D3A99
+:04044000E57E7D399F
+:04044100E5787D37A6
+:04044200E5717D36AD
+:04044300E56B7D35B3
+:04044400E5657D33BA
+:04044500E55F7D32C0
+:04044600E5597D31C6
+:04044700E5537D2FCD
+:04044800E54D7D2ED3
+:04044900E5467D2DDA
+:04044A00E5407D2BE1
+:04044B00E53A7D2AE7
+:04044C00E5347D29ED
+:04044D00E52E7D28F3
+:04044E00E5287D26FA
+:04044F00E5227D2500
+:04045000E51B7D2407
+:04045100E5157D220E
+:04045200E50F7D2114
+:04045300E5097D201A
+:04045400E5037D1E21
+:04045500E4FD7D1D28
+:04045600E4F77D1C2E
+:04045700E4F07D1A36
+:04045800E4EA7D193C
+:04045900E4E47D1842
+:04045A00E4DE7D1649
+:04045B00E4D87D154F
+:04045C00E4D27D1455
+:04045D00E4CC7D125C
+:04045E00E4C67D1162
+:04045F00E4BF7D1069
+:04046000E4B97D0E70
+:04046100E4B37D0D76
+:04046200E4AD7D0C7C
+:04046300E4A77D0A83
+:04046400E4A17D0989
+:04046500E49B7D088F
+:04046600E4947D0697
+:04046700E48E7D059D
+:04046800E4887D04A3
+:04046900E4827D02AA
+:04046A00E47C7D01B0
+:04046B00E4767D00B6
+:04046C00E4707CFEBE
+:04046D00E4697CFDC5
+:04046E00E4637CFBCC
+:04046F00E45D7CFAD2
+:04047000E4577CF9D8
+:04047100E4517CF7DF
+:04047200E44B7CF6E5
+:04047300E4457CF5EB
+:04047400E43F7CF3F2
+:04047500E4387CF2F9
+:04047600E4327CF1FF
+:04047700E42C7CEF06
+:04047800E4267CEE0C
+:04047900E4207CEC13
+:04047A00E41A7CEB19
+:04047B00E4147CEA1F
+:04047C00E40D7CE827
+:04047D00E4077CE72D
+:04047E00E4017CE633
+:04047F00E3FB7CE43B
+:04048000E3F57CE341
+:04048100E3EF7CE148
+:04048200E3E97CE04E
+:04048300E3E37CDF54
+:04048400E3DC7CDD5C
+:04048500E3D67CDC62
+:04048600E3D07CDB68
+:04048700E3CA7CD96F
+:04048800E3C47CD875
+:04048900E3BE7CD67C
+:04048A00E3B87CD582
+:04048B00E3B27CD488
+:04048C00E3AB7CD290
+:04048D00E3A57CD196
+:04048E00E39F7CCF9D
+:04048F00E3997CCEA3
+:04049000E3937CCDA9
+:04049100E38D7CCBB0
+:04049200E3877CCAB6
+:04049300E3817CC8BD
+:04049400E37A7CC7C4
+:04049500E3747CC6CA
+:04049600E36E7CC4D1
+:04049700E3687CC3D7
+:04049800E3627CC1DE
+:04049900E35C7CC0E4
+:04049A00E3567CBFEA
+:04049B00E3507CBDF1
+:04049C00E3497CBCF8
+:04049D00E3437CBAFF
+:04049E00E33D7CB905
+:04049F00E3377CB80B
+:0404A000E3317CB612
+:0404A100E32B7CB518
+:0404A200E3257CB31F
+:0404A300E31F7CB225
+:0404A400E3187CB12C
+:0404A500E3127CAF33
+:0404A600E30C7CAE39
+:0404A700E3067CAC40
+:0404A800E3007CAB46
+:0404A900E2FA7CA94E
+:0404AA00E2F47CA854
+:0404AB00E2EE7CA75A
+:0404AC00E2E77CA562
+:0404AD00E2E17CA468
+:0404AE00E2DB7CA26F
+:0404AF00E2D57CA175
+:0404B000E2CF7C9F7C
+:0404B100E2C97C9E82
+:0404B200E2C37C9D88
+:0404B300E2BD7C9B8F
+:0404B400E2B77C9A95
+:0404B500E2B07C989D
+:0404B600E2AA7C97A3
+:0404B700E2A47C95AA
+:0404B800E29E7C94B0
+:0404B900E2987C92B7
+:0404BA00E2927C91BD
+:0404BB00E28C7C90C3
+:0404BC00E2867C8ECA
+:0404BD00E27F7C8DD1
+:0404BE00E2797C8BD8
+:0404BF00E2737C8ADE
+:0404C000E26D7C88E5
+:0404C100E2677C87EB
+:0404C200E2617C85F2
+:0404C300E25B7C84F8
+:0404C400E2557C83FE
+:0404C500E24F7C8105
+:0404C600E2487C800C
+:0404C700E2427C7E13
+:0404C800E23C7C7D19
+:0404C900E2367C7B20
+:0404CA00E2307C7A26
+:0404CB00E22A7C782D
+:0404CC00E2247C7733
+:0404CD00E21E7C753A
+:0404CE00E2187C7440
+:0404CF00E2117C7248
+:0404D000E20B7C714E
+:0404D100E2057C7054
+:0404D200E1FF7C6E5C
+:0404D300E1F97C6D62
+:0404D400E1F37C6B69
+:0404D500E1ED7C6A6F
+:0404D600E1E77C6876
+:0404D700E1E17C677C
+:0404D800E1DB7C6583
+:0404D900E1D47C648A
+:0404DA00E1CE7C6291
+:0404DB00E1C87C6197
+:0404DC00E1C27C5F9E
+:0404DD00E1BC7C5EA4
+:0404DE00E1B67C5CAB
+:0404DF00E1B07C5BB1
+:0404E000E1AA7C59B8
+:0404E100E1A47C58BE
+:0404E200E19D7C56C6
+:0404E300E1977C55CC
+:0404E400E1917C53D3
+:0404E500E18B7C52D9
+:0404E600E1857C50E0
+:0404E700E17F7C4FE6
+:0404E800E1797C4DED
+:0404E900E1737C4CF3
+:0404EA00E16D7C4AFA
+:0404EB00E1677C4900
+:0404EC00E1607C4708
+:0404ED00E15A7C460E
+:0404EE00E1547C4415
+:0404EF00E14E7C431B
+:0404F000E1487C4122
+:0404F100E1427C4028
+:0404F200E13C7C3E2F
+:0404F300E1367C3D35
+:0404F400E1307C3B3C
+:0404F500E12A7C3A42
+:0404F600E1237C384A
+:0404F700E11D7C3750
+:0404F800E1177C3557
+:0404F900E1117C345D
+:0404FA00E10B7C3264
+:0404FB00E1057C316A
+:0404FC00E0FF7C2F72
+:0404FD00E0F97C2E78
+:0404FE00E0F37C2C7F
+:0404FF00E0ED7C2B85
+:04050000E0E77C298B
+:04050100E0E07C2892
+:04050200E0DA7C2699
+:04050300E0D47C24A0
+:04050400E0CE7C23A6
+:04050500E0C87C21AD
+:04050600E0C27C20B3
+:04050700E0BC7C1EBA
+:04050800E0B67C1DC0
+:04050900E0B07C1BC7
+:04050A00E0AA7C1ACD
+:04050B00E0A37C18D5
+:04050C00E09D7C17DB
+:04050D00E0977C15E2
+:04050E00E0917C14E8
+:04050F00E08B7C12EF
+:04051000E0857C10F6
+:04051100E07F7C0FFC
+:04051200E0797C0D03
+:04051300E0737C0C09
+:04051400E06D7C0A10
+:04051500E0677C0916
+:04051600E0617C071D
+:04051700E05A7C0624
+:04051800E0547C042B
+:04051900E04E7C0331
+:04051A00E0487C0138
+:04051B00E0427BFF40
+:04051C00E03C7BFE46
+:04051D00E0367BFC4D
+:04051E00E0307BFB53
+:04051F00E02A7BF95A
+:04052000E0247BF860
+:04052100E01E7BF667
+:04052200E0177BF46F
+:04052300E0117BF375
+:04052400E00B7BF17C
+:04052500E0057BF082
+:04052600DFFF7BEE8A
+:04052700DFF97BED90
+:04052800DFF37BEB97
+:04052900DFED7BE99E
+:04052A00DFE77BE8A4
+:04052B00DFE17BE6AB
+:04052C00DFDB7BE5B1
+:04052D00DFD57BE3B8
+:04052E00DFCE7BE2BF
+:04052F00DFC87BE0C6
+:04053000DFC27BDECD
+:04053100DFBC7BDDD3
+:04053200DFB67BDBDA
+:04053300DFB07BDAE0
+:04053400DFAA7BD8E7
+:04053500DFA47BD6EE
+:04053600DF9E7BD5F4
+:04053700DF987BD3FB
+:04053800DF927BD201
+:04053900DF8C7BD008
+:04053A00DF867BCF0E
+:04053B00DF7F7BCD16
+:04053C00DF797BCB1D
+:04053D00DF737BCA23
+:04053E00DF6D7BC82A
+:04053F00DF677BC730
+:04054000DF617BC537
+:04054100DF5B7BC33E
+:04054200DF557BC244
+:04054300DF4F7BC04B
+:04054400DF497BBF51
+:04054500DF437BBD58
+:04054600DF3D7BBB5F
+:04054700DF377BBA65
+:04054800DF307BB86D
+:04054900DF2A7BB674
+:04054A00DF247BB57A
+:04054B00DF1E7BB381
+:04054C00DF187BB287
+:04054D00DF127BB08E
+:04054E00DF0C7BAE95
+:04054F00DF067BAD9B
+:04055000DF007BABA2
+:04055100DEFA7BAAA9
+:04055200DEF47BA8B0
+:04055300DEEE7BA6B7
+:04055400DEE87BA5BD
+:04055500DEE27BA3C4
+:04055600DEDB7BA1CC
+:04055700DED57BA0D2
+:04055800DECF7B9ED9
+:04055900DEC97B9DDF
+:04055A00DEC37B9BE6
+:04055B00DEBD7B99ED
+:04055C00DEB77B98F3
+:04055D00DEB17B96FA
+:04055E00DEAB7B9401
+:04055F00DEA57B9307
+:04056000DE9F7B910E
+:04056100DE997B8F15
+:04056200DE937B8E1B
+:04056300DE8D7B8C22
+:04056400DE877B8B28
+:04056500DE807B8930
+:04056600DE7A7B8737
+:04056700DE747B863D
+:04056800DE6E7B8444
+:04056900DE687B824B
+:04056A00DE627B8151
+:04056B00DE5C7B7F58
+:04056C00DE567B7D5F
+:04056D00DE507B7C65
+:04056E00DE4A7B7A6C
+:04056F00DE447B7873
+:04057000DE3E7B7779
+:04057100DE387B7580
+:04057200DE327B7387
+:04057300DE2C7B728D
+:04057400DE267B7094
+:04057500DE207B6E9B
+:04057600DE197B6DA2
+:04057700DE137B6BA9
+:04057800DE0D7B69B0
+:04057900DE077B68B6
+:04057A00DE017B66BD
+:04057B00DDFB7B64C5
+:04057C00DDF57B63CB
+:04057D00DDEF7B61D2
+:04057E00DDE97B5FD9
+:04057F00DDE37B5EDF
+:04058000DDDD7B5CE6
+:04058100DDD77B5AED
+:04058200DDD17B59F3
+:04058300DDCB7B57FA
+:04058400DDC57B5501
+:04058500DDBF7B5407
+:04058600DDB97B520E
+:04058700DDB37B5015
+:04058800DDAC7B4F1C
+:04058900DDA67B4D23
+:04058A00DDA07B4B2A
+:04058B00DD9A7B4A30
+:04058C00DD947B4837
+:04058D00DD8E7B463E
+:04058E00DD887B4544
+:04058F00DD827B434B
+:04059000DD7C7B4152
+:04059100DD767B3F59
+:04059200DD707B3E5F
+:04059300DD6A7B3C66
+:04059400DD647B3A6D
+:04059500DD5E7B3973
+:04059600DD587B377A
+:04059700DD527B3581
+:04059800DD4C7B3487
+:04059900DD467B328E
+:04059A00DD407B3095
+:04059B00DD3A7B2E9C
+:04059C00DD337B2DA3
+:04059D00DD2D7B2BAA
+:04059E00DD277B29B1
+:04059F00DD217B28B7
+:0405A000DD1B7B26BE
+:0405A100DD157B24C5
+:0405A200DD0F7B22CC
+:0405A300DD097B21D2
+:0405A400DD037B1FD9
+:0405A500DCFD7B1DE1
+:0405A600DCF77B1CE7
+:0405A700DCF17B1AEE
+:0405A800DCEB7B18F5
+:0405A900DCE57B16FC
+:0405AA00DCDF7B1502
+:0405AB00DCD97B1309
+:0405AC00DCD37B1110
+:0405AD00DCCD7B1016
+:0405AE00DCC77B0E1D
+:0405AF00DCC17B0C24
+:0405B000DCBB7B0A2B
+:0405B100DCB57B0931
+:0405B200DCAF7B0738
+:0405B300DCA87B0540
+:0405B400DCA27B0347
+:0405B500DC9C7B024D
+:0405B600DC967B0054
+:0405B700DC907AFE5C
+:0405B800DC8A7AFC63
+:0405B900DC847AFB69
+:0405BA00DC7E7AF970
+:0405BB00DC787AF777
+:0405BC00DC727AF57E
+:0405BD00DC6C7AF484
+:0405BE00DC667AF28B
+:0405BF00DC607AF092
+:0405C000DC5A7AEE99
+:0405C100DC547AED9F
+:0405C200DC4E7AEBA6
+:0405C300DC487AE9AD
+:0405C400DC427AE7B4
+:0405C500DC3C7AE6BA
+:0405C600DC367AE4C1
+:0405C700DC307AE2C8
+:0405C800DC2A7AE0CF
+:0405C900DC247ADFD5
+:0405CA00DC1E7ADDDC
+:0405CB00DC187ADBE3
+:0405CC00DC127AD9EA
+:0405CD00DC0C7AD8F0
+:0405CE00DC067AD6F7
+:0405CF00DC007AD4FE
+:0405D000DBFA7AD206
+:0405D100DBF37AD10D
+:0405D200DBED7ACF14
+:0405D300DBE77ACD1B
+:0405D400DBE17ACB22
+:0405D500DBDB7AC929
+:0405D600DBD57AC82F
+:0405D700DBCF7AC636
+:0405D800DBC97AC43D
+:0405D900DBC37AC244
+:0405DA00DBBD7AC14A
+:0405DB00DBB77ABF51
+:0405DC00DBB17ABD58
+:0405DD00DBAB7ABB5F
+:0405DE00DBA57AB966
+:0405DF00DB9F7AB86C
+:0405E000DB997AB673
+:0405E100DB937AB47A
+:0405E200DB8D7AB281
+:0405E300DB877AB187
+:0405E400DB817AAF8E
+:0405E500DB7B7AAD95
+:0405E600DB757AAB9C
+:0405E700DB6F7AA9A3
+:0405E800DB697AA8A9
+:0405E900DB637AA6B0
+:0405EA00DB5D7AA4B7
+:0405EB00DB577AA2BE
+:0405EC00DB517AA0C5
+:0405ED00DB4B7A9FCB
+:0405EE00DB457A9DD2
+:0405EF00DB3F7A9BD9
+:0405F000DB397A99E0
+:0405F100DB337A97E7
+:0405F200DB2D7A96ED
+:0405F300DB277A94F4
+:0405F400DB217A92FB
+:0405F500DB1B7A9002
+:0405F600DB157A8E09
+:0405F700DB0F7A8C10
+:0405F800DB097A8B16
+:0405F900DB037A891D
+:0405FA00DAFD7A8725
+:0405FB00DAF77A852C
+:0405FC00DAF17A8333
+:0405FD00DAEB7A8239
+:0405FE00DAE57A8040
+:0405FF00DADF7A7E47
+:04060000DAD97A7C4D
+:04060100DAD37A7A54
+:04060200DACD7A785B
+:04060300DAC67A7762
+:04060400DAC07A7569
+:04060500DABA7A7370
+:04060600DAB47A7177
+:04060700DAAE7A6F7E
+:04060800DAA87A6D85
+:04060900DAA27A6C8B
+:04060A00DA9C7A6A92
+:04060B00DA967A6899
+:04060C00DA907A66A0
+:04060D00DA8A7A64A7
+:04060E00DA847A62AE
+:04060F00DA7E7A61B4
+:04061000DA787A5FBB
+:04061100DA727A5DC2
+:04061200DA6C7A5BC9
+:04061300DA667A59D0
+:04061400DA607A57D7
+:04061500DA5A7A56DD
+:04061600DA547A54E4
+:04061700DA4E7A52EB
+:04061800DA487A50F2
+:04061900DA427A4EF9
+:04061A00DA3C7A4C00
+:04061B00DA367A4A07
+:04061C00DA307A490D
+:04061D00DA2A7A4714
+:04061E00DA247A451B
+:04061F00DA1E7A4322
+:04062000DA187A4129
+:04062100DA127A3F30
+:04062200DA0C7A3D37
+:04062300DA067A3C3D
+:04062400DA007A3A44
+:04062500D9FA7A384C
+:04062600D9F47A3653
+:04062700D9EE7A345A
+:04062800D9E87A3261
+:04062900D9E27A3068
+:04062A00D9DC7A2E6F
+:04062B00D9D67A2D75
+:04062C00D9D07A2B7C
+:04062D00D9CA7A2983
+:04062E00D9C47A278A
+:04062F00D9BE7A2591
+:04063000D9B87A2398
+:04063100D9B27A219F
+:04063200D9AC7A1FA6
+:04063300D9A67A1EAC
+:04063400D9A07A1CB3
+:04063500D99A7A1ABA
+:04063600D9947A18C1
+:04063700D98E7A16C8
+:04063800D9887A14CF
+:04063900D9827A12D6
+:04063A00D97C7A10DD
+:04063B00D9767A0EE4
+:04063C00D9707A0DEA
+:04063D00D96A7A0BF1
+:04063E00D9647A09F8
+:04063F00D95E7A07FF
+:04064000D9587A0506
+:04064100D9527A030D
+:04064200D94C7A0114
+:04064300D94779FF1B
+:04064400D94179FD22
+:04064500D93B79FC28
+:04064600D93579FA2F
+:04064700D92F79F836
+:04064800D92979F63D
+:04064900D92379F444
+:04064A00D91D79F24B
+:04064B00D91779F052
+:04064C00D91179EE59
+:04064D00D90B79EC60
+:04064E00D90579EA67
+:04064F00D8FF79E86F
+:04065000D8F979E775
+:04065100D8F379E57C
+:04065200D8ED79E383
+:04065300D8E779E18A
+:04065400D8E179DF91
+:04065500D8DB79DD98
+:04065600D8D579DB9F
+:04065700D8CF79D9A6
+:04065800D8C979D7AD
+:04065900D8C379D5B4
+:04065A00D8BD79D3BB
+:04065B00D8B779D1C2
+:04065C00D8B179CFC9
+:04065D00D8AB79CECF
+:04065E00D8A579CCD6
+:04065F00D89F79CADD
+:04066000D89979C8E4
+:04066100D89379C6EB
+:04066200D88D79C4F2
+:04066300D88779C2F9
+:04066400D88179C000
+:04066500D87B79BE07
+:04066600D87579BC0E
+:04066700D86F79BA15
+:04066800D86979B81C
+:04066900D86379B623
+:04066A00D85D79B42A
+:04066B00D85779B231
+:04066C00D85179B038
+:04066D00D84B79AE3F
+:04066E00D84579AD45
+:04066F00D83F79AB4C
+:04067000D83979A953
+:04067100D83379A75A
+:04067200D82D79A561
+:04067300D82779A368
+:04067400D82279A16E
+:04067500D81C799F75
+:04067600D816799D7C
+:04067700D810799B83
+:04067800D80A79998A
+:04067900D804799791
+:04067A00D7FE799599
+:04067B00D7F87993A0
+:04067C00D7F27991A7
+:04067D00D7EC798FAE
+:04067E00D7E6798DB5
+:04067F00D7E0798BBC
+:04068000D7DA7989C3
+:04068100D7D47987CA
+:04068200D7CE7985D1
+:04068300D7C87983D8
+:04068400D7C27981DF
+:04068500D7BC797FE6
+:04068600D7B6797DED
+:04068700D7B0797BF4
+:04068800D7AA7979FB
+:04068900D7A4797702
+:04068A00D79E797509
+:04068B00D798797310
+:04068C00D792797216
+:04068D00D78C79701D
+:04068E00D786796E24
+:04068F00D780796C2B
+:04069000D77B796A31
+:04069100D775796838
+:04069200D76F79663F
+:04069300D769796446
+:04069400D76379624D
+:04069500D75D796054
+:04069600D757795E5B
+:04069700D751795C62
+:04069800D74B795A69
+:04069900D745795870
+:04069A00D73F795677
+:04069B00D73979547E
+:04069C00D733795285
+:04069D00D72D79508C
+:04069E00D727794E93
+:04069F00D721794C9A
+:0406A000D71B794AA1
+:0406A100D7157948A8
+:0406A200D70F7946AF
+:0406A300D7097944B6
+:0406A400D7037942BD
+:0406A500D6FD7940C5
+:0406A600D6F7793ECC
+:0406A700D6F2793CD2
+:0406A800D6EC7939DA
+:0406A900D6E67937E1
+:0406AA00D6E07935E8
+:0406AB00D6DA7933EF
+:0406AC00D6D47931F6
+:0406AD00D6CE792FFD
+:0406AE00D6C8792D04
+:0406AF00D6C2792B0B
+:0406B000D6BC792912
+:0406B100D6B6792719
+:0406B200D6B0792520
+:0406B300D6AA792327
+:0406B400D6A479212E
+:0406B500D69E791F35
+:0406B600D698791D3C
+:0406B700D692791B43
+:0406B800D68C79194A
+:0406B900D686791751
+:0406BA00D681791557
+:0406BB00D67B79135E
+:0406BC00D675791165
+:0406BD00D66F790F6C
+:0406BE00D669790D73
+:0406BF00D663790B7A
+:0406C000D65D790981
+:0406C100D657790788
+:0406C200D65179058F
+:0406C300D64B790396
+:0406C400D64579019D
+:0406C500D63F78FFA5
+:0406C600D63978FCAD
+:0406C700D63378FAB4
+:0406C800D62D78F8BB
+:0406C900D62778F6C2
+:0406CA00D62178F4C9
+:0406CB00D61C78F2CF
+:0406CC00D61678F0D6
+:0406CD00D61078EEDD
+:0406CE00D60A78ECE4
+:0406CF00D60478EAEB
+:0406D000D5FE78E8F3
+:0406D100D5F878E6FA
+:0406D200D5F278E401
+:0406D300D5EC78E208
+:0406D400D5E678E00F
+:0406D500D5E078DE16
+:0406D600D5DA78DC1D
+:0406D700D5D478D925
+:0406D800D5CE78D72C
+:0406D900D5C878D533
+:0406DA00D5C378D339
+:0406DB00D5BD78D140
+:0406DC00D5B778CF47
+:0406DD00D5B178CD4E
+:0406DE00D5AB78CB55
+:0406DF00D5A578C95C
+:0406E000D59F78C763
+:0406E100D59978C56A
+:0406E200D59378C371
+:0406E300D58D78C178
+:0406E400D58778BE80
+:0406E500D58178BC87
+:0406E600D57B78BA8E
+:0406E700D57578B895
+:0406E800D57078B69B
+:0406E900D56A78B4A2
+:0406EA00D56478B2A9
+:0406EB00D55E78B0B0
+:0406EC00D55878AEB7
+:0406ED00D55278ACBE
+:0406EE00D54C78AAC5
+:0406EF00D54678A7CD
+:0406F000D54078A5D4
+:0406F100D53A78A3DB
+:0406F200D53478A1E2
+:0406F300D52E789FE9
+:0406F400D528789DF0
+:0406F500D523789BF6
+:0406F600D51D7899FD
+:0406F700D517789704
+:0406F800D51178950B
+:0406F900D50B789213
+:0406FA00D50578901A
+:0406FB00D4FF788E22
+:0406FC00D4F9788C29
+:0406FD00D4F3788A30
+:0406FE00D4ED788837
+:0406FF00D4E778863E
+:04070000D4E1788444
+:04070100D4DC78824A
+:04070200D4D6787F52
+:04070300D4D0787D59
+:04070400D4CA787B60
+:04070500D4C4787967
+:04070600D4BE78776E
+:04070700D4B8787575
+:04070800D4B278737C
+:04070900D4AC787183
+:04070A00D4A6786E8B
+:04070B00D4A0786C92
+:04070C00D49B786A98
+:04070D00D49578689F
+:04070E00D48F7866A6
+:04070F00D4897864AD
+:04071000D4837862B4
+:04071100D47D785FBC
+:04071200D477785DC3
+:04071300D471785BCA
+:04071400D46B7859D1
+:04071500D4657857D8
+:04071600D45F7855DF
+:04071700D45A7853E5
+:04071800D4547851EC
+:04071900D44E784EF4
+:04071A00D448784CFB
+:04071B00D442784A02
+:04071C00D43C784809
+:04071D00D436784610
+:04071E00D430784417
+:04071F00D42A78411F
+:04072000D424783F26
+:04072100D41E783D2D
+:04072200D419783B33
+:04072300D41378393A
+:04072400D40D783741
+:04072500D407783548
+:04072600D401783250
+:04072700D3FB783058
+:04072800D3F5782E5F
+:04072900D3EF782C66
+:04072A00D3E9782A6D
+:04072B00D3E3782874
+:04072C00D3DE78257B
+:04072D00D3D8782382
+:04072E00D3D2782189
+:04072F00D3CC781F90
+:04073000D3C6781D97
+:04073100D3C0781B9E
+:04073200D3BA7818A6
+:04073300D3B47816AD
+:04073400D3AE7814B4
+:04073500D3A97812BA
+:04073600D3A37810C1
+:04073700D39D780EC8
+:04073800D397780BD0
+:04073900D3917809D7
+:04073A00D38B7807DE
+:04073B00D3857805E5
+:04073C00D37F7803EC
+:04073D00D3797800F4
+:04073E00D37377FEFC
+:04073F00D36E77FC02
+:04074000D36877FA09
+:04074100D36277F810
+:04074200D35C77F518
+:04074300D35677F31F
+:04074400D35077F126
+:04074500D34A77EF2D
+:04074600D34477ED34
+:04074700D33F77EA3B
+:04074800D33977E842
+:04074900D33377E649
+:04074A00D32D77E450
+:04074B00D32777E257
+:04074C00D32177E05E
+:04074D00D31B77DD66
+:04074E00D31577DB6D
+:04074F00D30F77D974
+:04075000D30A77D77A
+:04075100D30477D482
+:04075200D2FE77D28A
+:04075300D2F877D091
+:04075400D2F277CE98
+:04075500D2EC77CC9F
+:04075600D2E677C9A7
+:04075700D2E077C7AE
+:04075800D2DA77C5B5
+:04075900D2D577C3BB
+:04075A00D2CF77C1C2
+:04075B00D2C977BECA
+:04075C00D2C377BCD1
+:04075D00D2BD77BAD8
+:04075E00D2B777B8DF
+:04075F00D2B177B5E7
+:04076000D2AB77B3EE
+:04076100D2A677B1F4
+:04076200D2A077AFFB
+:04076300D29A77AD02
+:04076400D29477AA0A
+:04076500D28E77A811
+:04076600D28877A618
+:04076700D28277A41F
+:04076800D27C77A127
+:04076900D277779F2D
+:04076A00D271779D34
+:04076B00D26B779B3B
+:04076C00D265779843
+:04076D00D25F77964A
+:04076E00D259779451
+:04076F00D253779258
+:04077000D24E778F5F
+:04077100D248778D66
+:04077200D242778B6D
+:04077300D23C778974
+:04077400D23677867C
+:04077500D230778483
+:04077600D22A77828A
+:04077700D224778091
+:04077800D21F777D98
+:04077900D219777B9F
+:04077A00D2137779A6
+:04077B00D20D7777AD
+:04077C00D2077774B5
+:04077D00D2017772BC
+:04077E00D1FB7770C4
+:04077F00D1F6776ECA
+:04078000D1F0776BD2
+:04078100D1EA7769D9
+:04078200D1E47767E0
+:04078300D1DE7765E7
+:04078400D1D87762EF
+:04078500D1D27760F6
+:04078600D1CD775EFC
+:04078700D1C7775C03
+:04078800D1C177590B
+:04078900D1BB775712
+:04078A00D1B5775519
+:04078B00D1AF775320
+:04078C00D1A9775028
+:04078D00D1A4774E2E
+:04078E00D19E774C35
+:04078F00D19877493D
+:04079000D192774744
+:04079100D18C77454B
+:04079200D186774352
+:04079300D18077405A
+:04079400D17B773E60
+:04079500D175773C67
+:04079600D16F77396F
+:04079700D169773776
+:04079800D16377357D
+:04079900D15D773384
+:04079A00D15777308C
+:04079B00D152772E92
+:04079C00D14C772C99
+:04079D00D1467729A1
+:04079E00D1407727A8
+:04079F00D13A7725AF
+:0407A000D1347723B6
+:0407A100D12E7720BE
+:0407A200D129771EC4
+:0407A300D123771CCB
+:0407A400D11D7719D3
+:0407A500D1177717DA
+:0407A600D1117715E1
+:0407A700D10B7712E9
+:0407A800D1067710EF
+:0407A900D100770EF6
+:0407AA00D0FA770BFF
+:0407AB00D0F4770906
+:0407AC00D0EE77070D
+:0407AD00D0E8770514
+:0407AE00D0E277021C
+:0407AF00D0DD770022
+:0407B000D0D776FE2A
+:0407B100D0D176FB32
+:0407B200D0CB76F939
+:0407B300D0C576F740
+:0407B400D0BF76F448
+:0407B500D0BA76F24E
+:0407B600D0B476F055
+:0407B700D0AE76ED5D
+:0407B800D0A876EB64
+:0407B900D0A276E96B
+:0407BA00D09C76E673
+:0407BB00D09776E479
+:0407BC00D09176E280
+:0407BD00D08B76DF88
+:0407BE00D08576DD8F
+:0407BF00D07F76DB96
+:0407C000D07976D89E
+:0407C100D07476D6A4
+:0407C200D06E76D4AB
+:0407C300D06876D1B3
+:0407C400D06276CFBA
+:0407C500D05C76CDC1
+:0407C600D05676CAC9
+:0407C700D05176C8CF
+:0407C800D04B76C6D6
+:0407C900D04576C3DE
+:0407CA00D03F76C1E5
+:0407CB00D03976BFEC
+:0407CC00D03376BCF4
+:0407CD00D02E76BAFA
+:0407CE00D02876B801
+:0407CF00D02276B509
+:0407D000D01C76B310
+:0407D100D01676B117
+:0407D200D01076AE1F
+:0407D300D00B76AC25
+:0407D400D00576AA2C
+:0407D500CFFF76A735
+:0407D600CFF976A53C
+:0407D700CFF376A244
+:0407D800CFEE76A04A
+:0407D900CFE8769E51
+:0407DA00CFE2769B59
+:0407DB00CFDC769960
+:0407DC00CFD6769767
+:0407DD00CFD076946F
+:0407DE00CFCB769275
+:0407DF00CFC576907C
+:0407E000CFBF768D84
+:0407E100CFB9768B8B
+:0407E200CFB3768893
+:0407E300CFAE768699
+:0407E400CFA87684A0
+:0407E500CFA27681A8
+:0407E600CF9C767FAF
+:0407E700CF96767DB6
+:0407E800CF90767ABE
+:0407E900CF8B7678C4
+:0407EA00CF857675CC
+:0407EB00CF7F7673D3
+:0407EC00CF797671DA
+:0407ED00CF73766EE2
+:0407EE00CF6E766CE8
+:0407EF00CF68766AEF
+:0407F000CF627667F7
+:0407F100CF5C7665FE
+:0407F200CF56766206
+:0407F300CF5076600D
+:0407F400CF4B765E13
+:0407F500CF45765B1B
+:0407F600CF3F765922
+:0407F700CF3976562A
+:0407F800CF33765431
+:0407F900CF2E765237
+:0407FA00CF28764F3F
+:0407FB00CF22764D46
+:0407FC00CF1C764A4E
+:0407FD00CF16764855
+:0407FE00CF1176465B
+:0407FF00CF0B764363
+:04080000CF05764169
+:04080100CEFF763E72
+:04080200CEF9763C79
+:04080300CEF4763A7F
+:04080400CEEE763787
+:04080500CEE876358E
+:04080600CEE2763296
+:04080700CEDC76309D
+:04080800CED7762EA3
+:04080900CED1762BAB
+:04080A00CECB7629B2
+:04080B00CEC57626BA
+:04080C00CEBF7624C1
+:04080D00CEBA7621C8
+:04080E00CEB4761FCF
+:04080F00CEAE761DD6
+:04081000CEA8761ADE
+:04081100CEA27618E5
+:04081200CE9D7615EC
+:04081300CE977613F3
+:04081400CE917611FA
+:04081500CE8B760E02
+:04081600CE85760C09
+:04081700CE80760910
+:04081800CE7A760717
+:04081900CE7476041F
+:04081A00CE6E760226
+:04081B00CE6876002D
+:04081C00CE6375FD35
+:04081D00CE5D75FB3C
+:04081E00CE5775F844
+:04081F00CE5175F64B
+:04082000CE4B75F353
+:04082100CE4675F159
+:04082200CE4075EE61
+:04082300CE3A75EC68
+:04082400CE3475EA6F
+:04082500CE2F75E776
+:04082600CE2975E57D
+:04082700CE2375E285
+:04082800CE1D75E08C
+:04082900CE1775DD94
+:04082A00CE1275DB9A
+:04082B00CE0C75D8A2
+:04082C00CE0675D6A9
+:04082D00CE0075D4B0
+:04082E00CDFA75D1B9
+:04082F00CDF575CFBF
+:04083000CDEF75CCC7
+:04083100CDE975CACE
+:04083200CDE375C7D6
+:04083300CDDE75C5DC
+:04083400CDD875C2E4
+:04083500CDD275C0EB
+:04083600CDCC75BDF3
+:04083700CDC675BBFA
+:04083800CDC175B801
+:04083900CDBB75B608
+:04083A00CDB575B40F
+:04083B00CDAF75B117
+:04083C00CDAA75AF1D
+:04083D00CDA475AC25
+:04083E00CD9E75AA2C
+:04083F00CD9875A734
+:04084000CD9275A53B
+:04084100CD8D75A242
+:04084200CD8775A049
+:04084300CD81759D51
+:04084400CD7B759B58
+:04084500CD7675985F
+:04084600CD70759666
+:04084700CD6A75936E
+:04084800CD64759175
+:04084900CD5F758E7C
+:04084A00CD59758C83
+:04084B00CD5375898B
+:04084C00CD4D758792
+:04084D00CD4775849A
+:04084E00CD427582A0
+:04084F00CD3C757FA8
+:04085000CD36757DAF
+:04085100CD30757AB7
+:04085200CD2B7578BD
+:04085300CD257575C5
+:04085400CD1F7573CC
+:04085500CD197570D4
+:04085600CD14756EDA
+:04085700CD0E756BE2
+:04085800CD087569E9
+:04085900CD027566F1
+:04085A00CCFC7564F9
+:04085B00CCF7756100
+:04085C00CCF1755F07
+:04085D00CCEB755C0F
+:04085E00CCE5755A16
+:04085F00CCE075571D
+:04086000CCDA755524
+:04086100CCD475522C
+:04086200CCCE755033
+:04086300CCC9754D3A
+:04086400CCC3754B41
+:04086500CCBD754849
+:04086600CCB7754650
+:04086700CCB2754357
+:04086800CCAC75415E
+:04086900CCA6753E66
+:04086A00CCA0753C6D
+:04086B00CC9B753974
+:04086C00CC9575377B
+:04086D00CC8F753483
+:04086E00CC8975328A
+:04086F00CC84752F91
+:04087000CC7E752D98
+:04087100CC78752AA0
+:04087200CC727528A7
+:04087300CC6D7525AE
+:04087400CC677522B6
+:04087500CC617520BD
+:04087600CC5B751DC5
+:04087700CC56751BCB
+:04087800CC507518D3
+:04087900CC4A7516DA
+:04087A00CC447513E2
+:04087B00CC3F7511E8
+:04087C00CC39750EF0
+:04087D00CC33750CF7
+:04087E00CC2D7509FF
+:04087F00CC28750705
+:04088000CC2275040D
+:04088100CC1C750115
+:04088200CC1674FF1D
+:04088300CC1174FC24
+:04088400CC0B74FA2B
+:04088500CC0574F733
+:04088600CBFF74F53B
+:04088700CBFA74F242
+:04088800CBF474F049
+:04088900CBEE74ED51
+:04088A00CBE874EA59
+:04088B00CBE374E85F
+:04088C00CBDD74E567
+:04088D00CBD774E36E
+:04088E00CBD174E076
+:04088F00CBCC74DE7C
+:04089000CBC674DB84
+:04089100CBC074D98B
+:04089200CBBB74D692
+:04089300CBB574D39A
+:04089400CBAF74D1A1
+:04089500CBA974CEA9
+:04089600CBA474CCAF
+:04089700CB9E74C9B7
+:04089800CB9874C7BE
+:04089900CB9274C4C6
+:04089A00CB8D74C1CD
+:04089B00CB8774BFD4
+:04089C00CB8174BCDC
+:04089D00CB7B74BAE3
+:04089E00CB7674B7EA
+:04089F00CB7074B5F1
+:0408A000CB6A74B2F9
+:0408A100CB6574AF00
+:0408A200CB5F74AD07
+:0408A300CB5974AA0F
+:0408A400CB5374A816
+:0408A500CB4E74A51D
+:0408A600CB4874A225
+:0408A700CB4274A02C
+:0408A800CB3D749D33
+:0408A900CB37749B3A
+:0408AA00CB31749842
+:0408AB00CB2B74954A
+:0408AC00CB26749350
+:0408AD00CB20749058
+:0408AE00CB1A748E5F
+:0408AF00CB14748B67
+:0408B000CB0F74896D
+:0408B100CB09748675
+:0408B200CB0374837D
+:0408B300CAFE748184
+:0408B400CAF8747E8C
+:0408B500CAF2747C93
+:0408B600CAEC74799B
+:0408B700CAE77476A2
+:0408B800CAE17474A9
+:0408B900CADB7471B1
+:0408BA00CAD6746EB8
+:0408BB00CAD0746CBF
+:0408BC00CACA7469C7
+:0408BD00CAC47467CE
+:0408BE00CABF7464D5
+:0408BF00CAB97461DD
+:0408C000CAB3745FE4
+:0408C100CAAE745CEB
+:0408C200CAA8745AF2
+:0408C300CAA27457FA
+:0408C400CA9C745402
+:0408C500CA97745208
+:0408C600CA91744F10
+:0408C700CA8B744C18
+:0408C800CA86744A1E
+:0408C900CA80744726
+:0408CA00CA7A74452D
+:0408CB00CA74744235
+:0408CC00CA6F743F3C
+:0408CD00CA69743D43
+:0408CE00CA63743A4B
+:0408CF00CA5E743752
+:0408D000CA58743559
+:0408D100CA52743261
+:0408D200CA4D743067
+:0408D300CA47742D6F
+:0408D400CA41742A77
+:0408D500CA3B74287E
+:0408D600CA36742585
+:0408D700CA3074228D
+:0408D800CA2A742094
+:0408D900CA25741D9B
+:0408DA00CA1F741AA3
+:0408DB00CA197418AA
+:0408DC00CA147415B1
+:0408DD00CA0E7412B9
+:0408DE00CA087410C0
+:0408DF00CA02740DC8
+:0408E000C9FD740BCF
+:0408E100C9F77408D7
+:0408E200C9F17405DF
+:0408E300C9EC7403E5
+:0408E400C9E67400ED
+:0408E500C9E073FDF6
+:0408E600C9DB73FBFC
+:0408E700C9D573F804
+:0408E800C9CF73F50C
+:0408E900C9C973F313
+:0408EA00C9C473F01A
+:0408EB00C9BE73ED22
+:0408EC00C9B873EB29
+:0408ED00C9B373E830
+:0408EE00C9AD73E538
+:0408EF00C9A773E33F
+:0408F000C9A273E046
+:0408F100C99C73DD4E
+:0408F200C99673DB55
+:0408F300C99173D85C
+:0408F400C98B73D564
+:0408F500C98573D36B
+:0408F600C98073D072
+:0408F700C97A73CD7A
+:0408F800C97473CB81
+:0408F900C96E73C889
+:0408FA00C96973C590
+:0408FB00C96373C397
+:0408FC00C95D73C09F
+:0408FD00C95873BDA6
+:0408FE00C95273BAAE
+:0408FF00C94C73B8B5
+:04090000C94773B5BB
+:04090100C94173B2C3
+:04090200C93B73B0CA
+:04090300C93673ADD1
+:04090400C93073AAD9
+:04090500C92A73A8E0
+:04090600C92573A5E7
+:04090700C91F73A2EF
+:04090800C91973A0F6
+:04090900C914739DFD
+:04090A00C90E739A05
+:04090B00C90873970D
+:04090C00C903739513
+:04090D00C8FD73921C
+:04090E00C8F7738F24
+:04090F00C8F2738D2A
+:04091000C8EC738A32
+:04091100C8E673873A
+:04091200C8E1738540
+:04091300C8DB738248
+:04091400C8D5737F50
+:04091500C8D0737C57
+:04091600C8CA737A5E
+:04091700C8C4737766
+:04091800C8BF73746D
+:04091900C8B9737274
+:04091A00C8B3736F7C
+:04091B00C8AE736C83
+:04091C00C8A873698B
+:04091D00C8A2736792
+:04091E00C89D736499
+:04091F00C8977361A1
+:04092000C891735FA8
+:04092100C88C735CAF
+:04092200C8867359B7
+:04092300C8807356BF
+:04092400C87B7354C5
+:04092500C8757351CD
+:04092600C86F734ED5
+:04092700C86A734CDB
+:04092800C8647349E3
+:04092900C85E7346EB
+:04092A00C8597343F2
+:04092B00C8537341F9
+:04092C00C84D733E01
+:04092D00C848733B08
+:04092E00C842733810
+:04092F00C83C733617
+:04093000C83773331E
+:04093100C831733026
+:04093200C82B732D2E
+:04093300C826732B34
+:04093400C82073283C
+:04093500C81A732544
+:04093600C81573224B
+:04093700C80F732052
+:04093800C809731D5A
+:04093900C804731A61
+:04093A00C7FE73176A
+:04093B00C7F9731570
+:04093C00C7F3731278
+:04093D00C7ED730F80
+:04093E00C7E8730C87
+:04093F00C7E2730A8E
+:04094000C7DC730796
+:04094100C7D773049D
+:04094200C7D17301A5
+:04094300C7CB72FFAD
+:04094400C7C672FCB4
+:04094500C7C072F9BC
+:04094600C7BA72F6C4
+:04094700C7B572F4CA
+:04094800C7AF72F1D2
+:04094900C7AA72EED9
+:04094A00C7A472EBE1
+:04094B00C79E72E9E8
+:04094C00C79972E6EF
+:04094D00C79372E3F7
+:04094E00C78D72E0FF
+:04094F00C78872DE05
+:04095000C78272DB0D
+:04095100C77C72D815
+:04095200C77772D51C
+:04095300C77172D224
+:04095400C76B72D02B
+:04095500C76672CD32
+:04095600C76072CA3A
+:04095700C75B72C741
+:04095800C75572C548
+:04095900C74F72C250
+:04095A00C74A72BF57
+:04095B00C74472BC5F
+:04095C00C73E72B967
+:04095D00C73972B76D
+:04095E00C73372B475
+:04095F00C72E72B17C
+:04096000C72872AE84
+:04096100C72272AB8C
+:04096200C71D72A992
+:04096300C71772A69A
+:04096400C71172A3A2
+:04096500C70C72A0A9
+:04096600C706729DB1
+:04096700C701729BB7
+:04096800C6FB7298C0
+:04096900C6F57295C8
+:04096A00C6F07292CF
+:04096B00C6EA728FD7
+:04096C00C6E4728DDE
+:04096D00C6DF728AE5
+:04096E00C6D97287ED
+:04096F00C6D47284F4
+:04097000C6CE7281FC
+:04097100C6C8727F03
+:04097200C6C3727C0A
+:04097300C6BD727912
+:04097400C6B772761A
+:04097500C6B2727321
+:04097600C6AC727128
+:04097700C6A7726E2F
+:04097800C6A1726B37
+:04097900C69B72683F
+:04097A00C696726546
+:04097B00C69072624E
+:04097C00C68A726055
+:04097D00C685725D5C
+:04097E00C67F725A64
+:04097F00C67A72576B
+:04098000C674725473
+:04098100C66E72527A
+:04098200C669724F81
+:04098300C663724C89
+:04098400C65E724990
+:04098500C658724698
+:04098600C6527243A0
+:04098700C64D7241A6
+:04098800C647723EAE
+:04098900C642723BB5
+:04098A00C63C7238BD
+:04098B00C6367235C5
+:04098C00C6317232CC
+:04098D00C62B7230D3
+:04098E00C626722DDA
+:04098F00C620722AE2
+:04099000C61A7227EA
+:04099100C6157224F1
+:04099200C60F7221F9
+:04099300C60A721FFF
+:04099400C604721C07
+:04099500C5FE721910
+:04099600C5F9721617
+:04099700C5F372131F
+:04099800C5EE721026
+:04099900C5E8720D2E
+:04099A00C5E2720B35
+:04099B00C5DD72083C
+:04099C00C5D7720544
+:04099D00C5D272024B
+:04099E00C5CC71FF54
+:04099F00C5C671FC5C
+:0409A000C5C171F963
+:0409A100C5BB71F76A
+:0409A200C5B671F471
+:0409A300C5B071F179
+:0409A400C5AA71EE81
+:0409A500C5A571EB88
+:0409A600C59F71E890
+:0409A700C59A71E597
+:0409A800C59471E39E
+:0409A900C58E71E0A6
+:0409AA00C58971DDAD
+:0409AB00C58371DAB5
+:0409AC00C57E71D7BC
+:0409AD00C57871D4C4
+:0409AE00C57271D1CC
+:0409AF00C56D71CED3
+:0409B000C56771CCDA
+:0409B100C56271C9E1
+:0409B200C55C71C6E9
+:0409B300C55771C3F0
+:0409B400C55171C0F8
+:0409B500C54B71BD00
+:0409B600C54671BA07
+:0409B700C54071B70F
+:0409B800C53B71B515
+:0409B900C53571B21D
+:0409BA00C52F71AF25
+:0409BB00C52A71AC2C
+:0409BC00C52471A934
+:0409BD00C51F71A63B
+:0409BE00C51971A343
+:0409BF00C51471A04A
+:0409C000C50E719D52
+:0409C100C508719B59
+:0409C200C503719860
+:0409C300C4FD719569
+:0409C400C4F8719270
+:0409C500C4F2718F78
+:0409C600C4ED718C7F
+:0409C700C4E7718987
+:0409C800C4E171868F
+:0409C900C4DC718396
+:0409CA00C4D671809E
+:0409CB00C4D1717EA4
+:0409CC00C4CB717BAC
+:0409CD00C4C67178B3
+:0409CE00C4C07175BB
+:0409CF00C4BA7172C3
+:0409D000C4B5716FCA
+:0409D100C4AF716CD2
+:0409D200C4AA7169D9
+:0409D300C4A47166E1
+:0409D400C49F7163E8
+:0409D500C4997160F0
+:0409D600C493715DF8
+:0409D700C48E715BFE
+:0409D800C488715806
+:0409D900C48371550D
+:0409DA00C47D715215
+:0409DB00C478714F1C
+:0409DC00C472714C24
+:0409DD00C46C71492C
+:0409DE00C467714633
+:0409DF00C46171433B
+:0409E000C45C714042
+:0409E100C456713D4A
+:0409E200C451713A51
+:0409E300C44B713759
+:0409E400C44671355F
+:0409E500C440713267
+:0409E600C43A712F6F
+:0409E700C435712C76
+:0409E800C42F71297E
+:0409E900C42A712685
+:0409EA00C42471238D
+:0409EB00C41F712094
+:0409EC00C419711D9C
+:0409ED00C414711AA3
+:0409EE00C40E7117AB
+:0409EF00C4087114B3
+:0409F000C4037111BA
+:0409F100C3FD710EC3
+:0409F200C3F8710BCA
+:0409F300C3F27108D2
+:0409F400C3ED7105D9
+:0409F500C3E77103E0
+:0409F600C3E27100E7
+:0409F700C3DC70FDF0
+:0409F800C3D770FAF7
+:0409F900C3D170F7FF
+:0409FA00C3CB70F407
+:0409FB00C3C670F10E
+:0409FC00C3C070EE16
+:0409FD00C3BB70EB1D
+:0409FE00C3B570E825
+:0409FF00C3B070E52C
+:040A0000C3AA70E233
+:040A0100C3A570DF3A
+:040A0200C39F70DC42
+:040A0300C39A70D949
+:040A0400C39470D651
+:040A0500C38F70D358
+:040A0600C38970D060
+:040A0700C38370CD68
+:040A0800C37E70CA6F
+:040A0900C37870C777
+:040A0A00C37370C47E
+:040A0B00C36D70C186
+:040A0C00C36870BE8D
+:040A0D00C36270BB95
+:040A0E00C35D70B89C
+:040A0F00C35770B5A4
+:040A1000C35270B3AA
+:040A1100C34C70B0B2
+:040A1200C34770ADB9
+:040A1300C34170AAC1
+:040A1400C33C70A7C8
+:040A1500C33670A4D0
+:040A1600C33070A1D8
+:040A1700C32B709EDF
+:040A1800C325709BE7
+:040A1900C3207098EE
+:040A1A00C31A7095F6
+:040A1B00C3157092FD
+:040A1C00C30F708F05
+:040A1D00C30A708C0C
+:040A1E00C304708914
+:040A1F00C2FF70861C
+:040A2000C2F9708324
+:040A2100C2F470802B
+:040A2200C2EE707D33
+:040A2300C2E9707A3A
+:040A2400C2E3707742
+:040A2500C2DE707449
+:040A2600C2D8707151
+:040A2700C2D3706E58
+:040A2800C2CD706B60
+:040A2900C2C8706867
+:040A2A00C2C270656F
+:040A2B00C2BC706277
+:040A2C00C2B7705F7E
+:040A2D00C2B1705C86
+:040A2E00C2AC70598D
+:040A2F00C2A6705695
+:040A3000C2A170539C
+:040A3100C29B7050A4
+:040A3200C296704DAB
+:040A3300C290704AB3
+:040A3400C28B7047BA
+:040A3500C2857044C2
+:040A3600C2807041C9
+:040A3700C27A703ED1
+:040A3800C275703BD8
+:040A3900C26F7037E1
+:040A3A00C26A7034E8
+:040A3B00C2647031F0
+:040A3C00C25F702EF7
+:040A3D00C259702BFF
+:040A3E00C254702806
+:040A3F00C24E70250E
+:040A4000C249702215
+:040A4100C243701F1D
+:040A4200C23E701C24
+:040A4300C23870192C
+:040A4400C233701633
+:040A4500C22D70133B
+:040A4600C228701042
+:040A4700C222700D4A
+:040A4800C21D700A51
+:040A4900C217700759
+:040A4A00C212700460
+:040A4B00C20C700168
+:040A4C00C2076FFE70
+:040A4D00C2016FFB78
+:040A4E00C1FC6FF880
+:040A4F00C1F66FF588
+:040A5000C1F16FF28F
+:040A5100C1EB6FEF97
+:040A5200C1E66FEC9E
+:040A5300C1E06FE9A6
+:040A5400C1DB6FE6AD
+:040A5500C1D56FE2B6
+:040A5600C1D06FDFBD
+:040A5700C1CA6FDCC5
+:040A5800C1C56FD9CC
+:040A5900C1BF6FD6D4
+:040A5A00C1BA6FD3DB
+:040A5B00C1B46FD0E3
+:040A5C00C1AF6FCDEA
+:040A5D00C1A96FCAF2
+:040A5E00C1A46FC7F9
+:040A5F00C19E6FC401
+:040A6000C1996FC108
+:040A6100C1936FBE10
+:040A6200C18E6FBB17
+:040A6300C1886FB81F
+:040A6400C1836FB526
+:040A6500C17E6FB22D
+:040A6600C1786FAE36
+:040A6700C1736FAB3D
+:040A6800C16D6FA845
+:040A6900C1686FA54C
+:040A6A00C1626FA254
+:040A6B00C15D6F9F5B
+:040A6C00C1576F9C63
+:040A6D00C1526F996A
+:040A6E00C14C6F9672
+:040A6F00C1476F9379
+:040A7000C1416F9081
+:040A7100C13C6F8D88
+:040A7200C1366F8A90
+:040A7300C1316F8698
+:040A7400C12B6F83A0
+:040A7500C1266F80A7
+:040A7600C1206F7DAF
+:040A7700C11B6F7AB6
+:040A7800C1156F77BE
+:040A7900C1106F74C5
+:040A7A00C10B6F71CC
+:040A7B00C1056F6ED4
+:040A7C00C1006F6BDB
+:040A7D00C0FA6F68E4
+:040A7E00C0F56F64EC
+:040A7F00C0EF6F61F4
+:040A8000C0EA6F5EFB
+:040A8100C0E46F5B03
+:040A8200C0DF6F580A
+:040A8300C0D96F5512
+:040A8400C0D46F5219
+:040A8500C0CE6F4F21
+:040A8600C0C96F4C28
+:040A8700C0C36F4930
+:040A8800C0BE6F4538
+:040A8900C0B96F423F
+:040A8A00C0B36F3F47
+:040A8B00C0AE6F3C4E
+:040A8C00C0A86F3956
+:040A8D00C0A36F365D
+:040A8E00C09D6F3365
+:040A8F00C0986F306C
+:040A9000C0926F2D74
+:040A9100C08D6F297C
+:040A9200C0876F2684
+:040A9300C0826F238B
+:040A9400C07C6F2093
+:040A9500C0776F1D9A
+:040A9600C0726F1AA1
+:040A9700C06C6F17A9
+:040A9800C0676F14B0
+:040A9900C0616F11B8
+:040A9A00C05C6F0DC0
+:040A9B00C0566F0AC8
+:040A9C00C0516F07CF
+:040A9D00C04B6F04D7
+:040A9E00C0466F01DE
+:040A9F00C0416EFEE6
+:040AA000C03B6EFBEE
+:040AA100C0366EF8F5
+:040AA200C0306EF4FE
+:040AA300C02B6EF105
+:040AA400C0256EEE0D
+:040AA500C0206EEB14
+:040AA600C01A6EE81C
+:040AA700C0156EE523
+:040AA800C0106EE22A
+:040AA900C00A6EDE33
+:040AAA00C0056EDB3A
+:040AAB00BFFE6ED844
+:040AAC00BFF96ED54B
+:040AAD00BFF36ED253
+:040AAE00BFEE6ECF5A
+:040AAF00BFE86ECC62
+:040AB000BFE36EC86A
+:040AB100BFDE6EC571
+:040AB200BFD86EC279
+:040AB300BFD36EBF80
+:040AB400BFCD6EBC88
+:040AB500BFC86EB98F
+:040AB600BFC26EB697
+:040AB700BFBD6EB29F
+:040AB800BFB86EAFA6
+:040AB900BFB26EACAE
+:040ABA00BFAD6EA9B5
+:040ABB00BFA76EA6BD
+:040ABC00BFA26EA3C4
+:040ABD00BF9C6E9FCD
+:040ABE00BF976E9CD4
+:040ABF00BF916E99DC
+:040AC000BF8C6E96E3
+:040AC100BF876E93EA
+:040AC200BF816E90F2
+:040AC300BF7C6E8CFA
+:040AC400BF766E8902
+:040AC500BF716E8609
+:040AC600BF6C6E8310
+:040AC700BF666E8018
+:040AC800BF616E7D1F
+:040AC900BF5B6E7928
+:040ACA00BF566E762F
+:040ACB00BF506E7337
+:040ACC00BF4B6E703E
+:040ACD00BF466E6D45
+:040ACE00BF406E6A4D
+:040ACF00BF3B6E6655
+:040AD000BF356E635D
+:040AD100BF306E6064
+:040AD200BF2A6E5D6C
+:040AD300BF256E5A73
+:040AD400BF206E567B
+:040AD500BF1A6E5383
+:040AD600BF156E508A
+:040AD700BF0F6E4D92
+:040AD800BF0A6E4A99
+:040AD900BF056E47A0
+:040ADA00BEFF6E43AA
+:040ADB00BEFA6E40B1
+:040ADC00BEF46E3DB9
+:040ADD00BEEF6E3AC0
+:040ADE00BEE96E37C8
+:040ADF00BEE46E33D0
+:040AE000BEDF6E30D7
+:040AE100BED96E2DDF
+:040AE200BED46E2AE6
+:040AE300BECE6E27EE
+:040AE400BEC96E23F6
+:040AE500BEC46E20FD
+:040AE600BEBE6E1D05
+:040AE700BEB96E1A0C
+:040AE800BEB36E1714
+:040AE900BEAE6E131C
+:040AEA00BEA96E1023
+:040AEB00BEA36E0D2B
+:040AEC00BE9E6E0A32
+:040AED00BE986E073A
+:040AEE00BE936E0342
+:040AEF00BE8E6E0049
+:040AF000BE886DFD52
+:040AF100BE836DFA59
+:040AF200BE7D6DF662
+:040AF300BE786DF369
+:040AF400BE736DF070
+:040AF500BE6D6DED78
+:040AF600BE686DEA7F
+:040AF700BE626DE688
+:040AF800BE5D6DE38F
+:040AF900BE586DE096
+:040AFA00BE526DDD9E
+:040AFB00BE4D6DD9A6
+:040AFC00BE476DD6AE
+:040AFD00BE426DD3B5
+:040AFE00BE3D6DD0BC
+:040AFF00BE376DCDC4
+:040B0000BE326DC9CB
+:040B0100BE2D6DC6D2
+:040B0200BE276DC3DA
+:040B0300BE226DC0E1
+:040B0400BE1C6DBCEA
+:040B0500BE176DB9F1
+:040B0600BE126DB6F8
+:040B0700BE0C6DB300
+:040B0800BE076DAF08
+:040B0900BE016DAC10
+:040B0A00BDFC6DA918
+:040B0B00BDF76DA61F
+:040B0C00BDF16DA327
+:040B0D00BDEC6D9F2F
+:040B0E00BDE76D9C36
+:040B0F00BDE16D993E
+:040B1000BDDC6D9645
+:040B1100BDD66D924E
+:040B1200BDD16D8F55
+:040B1300BDCC6D8C5C
+:040B1400BDC66D8964
+:040B1500BDC16D856C
+:040B1600BDBC6D8273
+:040B1700BDB66D7F7B
+:040B1800BDB16D7C82
+:040B1900BDAB6D788B
+:040B1A00BDA66D7592
+:040B1B00BDA16D7299
+:040B1C00BD9B6D6EA2
+:040B1D00BD966D6BA9
+:040B1E00BD916D68B0
+:040B1F00BD8B6D65B8
+:040B2000BD866D61C0
+:040B2100BD806D5EC8
+:040B2200BD7B6D5BCF
+:040B2300BD766D58D6
+:040B2400BD706D54DF
+:040B2500BD6B6D51E6
+:040B2600BD666D4EED
+:040B2700BD606D4BF5
+:040B2800BD5B6D47FD
+:040B2900BD556D4405
+:040B2A00BD506D410C
+:040B2B00BD4B6D3D14
+:040B2C00BD456D3A1C
+:040B2D00BD406D3723
+:040B2E00BD3B6D342A
+:040B2F00BD356D3033
+:040B3000BD306D2D3A
+:040B3100BD2B6D2A41
+:040B3200BD256D2749
+:040B3300BD206D2351
+:040B3400BD1B6D2058
+:040B3500BD156D1D60
+:040B3600BD106D1968
+:040B3700BD0A6D1670
+:040B3800BD056D1377
+:040B3900BD006D107E
+:040B3A00BCFA6D0C88
+:040B3B00BCF56D098F
+:040B3C00BCF06D0696
+:040B3D00BCEA6D029F
+:040B3E00BCE56CFFA7
+:040B3F00BCE06CFCAE
+:040B4000BCDA6CF9B6
+:040B4100BCD56CF5BE
+:040B4200BCD06CF2C5
+:040B4300BCCA6CEFCD
+:040B4400BCC56CEBD5
+:040B4500BCC06CE8DC
+:040B4600BCBA6CE5E4
+:040B4700BCB56CE1EC
+:040B4800BCB06CDEF3
+:040B4900BCAA6CDBFB
+:040B4A00BCA56CD703
+:040B4B00BC9F6CD40B
+:040B4C00BC9A6CD112
+:040B4D00BC956CCE19
+:040B4E00BC8F6CCA22
+:040B4F00BC8A6CC729
+:040B5000BC856CC430
+:040B5100BC7F6CC039
+:040B5200BC7A6CBD40
+:040B5300BC756CBA47
+:040B5400BC6F6CB650
+:040B5500BC6A6CB357
+:040B5600BC656CB05E
+:040B5700BC5F6CAC67
+:040B5800BC5A6CA96E
+:040B5900BC556CA675
+:040B5A00BC4F6CA27E
+:040B5B00BC4A6C9F85
+:040B5C00BC456C9C8C
+:040B5D00BC3F6C9895
+:040B5E00BC3A6C959C
+:040B5F00BC356C92A3
+:040B6000BC2F6C8FAB
+:040B6100BC2A6C8BB3
+:040B6200BC256C88BA
+:040B6300BC1F6C85C2
+:040B6400BC1A6C81CA
+:040B6500BC156C7ED1
+:040B6600BC0F6C7BD9
+:040B6700BC0A6C77E1
+:040B6800BC056C74E8
+:040B6900BC006C71EF
+:040B6A00BBFA6C6DF9
+:040B6B00BBF56C6A00
+:040B6C00BBF06C6608
+:040B6D00BBEA6C6310
+:040B6E00BBE56C6017
+:040B6F00BBE06C5C1F
+:040B7000BBDA6C5927
+:040B7100BBD56C562E
+:040B7200BBD06C5236
+:040B7300BBCA6C4F3E
+:040B7400BBC56C4C45
+:040B7500BBC06C484D
+:040B7600BBBA6C4555
+:040B7700BBB56C425C
+:040B7800BBB06C3E64
+:040B7900BBAA6C3B6C
+:040B7A00BBA56C3873
+:040B7B00BBA06C347B
+:040B7C00BB9B6C3182
+:040B7D00BB956C2E8A
+:040B7E00BB906C2A92
+:040B7F00BB8B6C2799
+:040B8000BB856C23A2
+:040B8100BB806C20A9
+:040B8200BB7B6C1DB0
+:040B8300BB756C19B9
+:040B8400BB706C16C0
+:040B8500BB6B6C13C7
+:040B8600BB656C0FD0
+:040B8700BB606C0CD7
+:040B8800BB5B6C09DE
+:040B8900BB566C05E6
+:040B8A00BB506C02EE
+:040B8B00BB4B6BFEF7
+:040B8C00BB466BFBFE
+:040B8D00BB406BF806
+:040B8E00BB3B6BF40E
+:040B8F00BB366BF115
+:040B9000BB306BEE1D
+:040B9100BB2B6BEA25
+:040B9200BB266BE72C
+:040B9300BB216BE334
+:040B9400BB1B6BE03C
+:040B9500BB166BDD43
+:040B9600BB116BD94B
+:040B9700BB0B6BD653
+:040B9800BB066BD35A
+:040B9900BB016BCF62
+:040B9A00BAFB6BCC6B
+:040B9B00BAF66BC873
+:040B9C00BAF16BC57A
+:040B9D00BAEC6BC281
+:040B9E00BAE66BBE8A
+:040B9F00BAE16BBB91
+:040BA000BADC6BB799
+:040BA100BAD66BB4A1
+:040BA200BAD16BB1A8
+:040BA300BACC6BADB0
+:040BA400BAC76BAAB7
+:040BA500BAC16BA6C0
+:040BA600BABC6BA3C7
+:040BA700BAB76BA0CE
+:040BA800BAB16B9CD7
+:040BA900BAAC6B99DE
+:040BAA00BAA76B95E6
+:040BAB00BAA26B92ED
+:040BAC00BA9C6B8FF5
+:040BAD00BA976B8BFD
+:040BAE00BA926B8804
+:040BAF00BA8D6B840C
+:040BB000BA876B8114
+:040BB100BA826B7E1B
+:040BB200BA7D6B7A23
+:040BB300BA776B772B
+:040BB400BA726B7333
+:040BB500BA6D6B703A
+:040BB600BA686B6C42
+:040BB700BA626B694A
+:040BB800BA5D6B6651
+:040BB900BA586B6259
+:040BBA00BA526B5F61
+:040BBB00BA4D6B5B69
+:040BBC00BA486B5870
+:040BBD00BA436B5577
+:040BBE00BA3D6B5180
+:040BBF00BA386B4E87
+:040BC000BA336B4A8F
+:040BC100BA2E6B4796
+:040BC200BA286B439F
+:040BC300BA236B40A6
+:040BC400BA1E6B3DAD
+:040BC500BA196B39B5
+:040BC600BA136B36BD
+:040BC700BA0E6B32C5
+:040BC800BA096B2FCC
+:040BC900BA046B2BD4
+:040BCA00B9FE6B28DD
+:040BCB00B9F96B25E4
+:040BCC00B9F46B21EC
+:040BCD00B9EE6B1EF4
+:040BCE00B9E96B1AFC
+:040BCF00B9E46B1703
+:040BD000B9DF6B130B
+:040BD100B9D96B1013
+:040BD200B9D46B0C1B
+:040BD300B9CF6B0922
+:040BD400B9CA6B0629
+:040BD500B9C46B0232
+:040BD600B9BF6AFF3A
+:040BD700B9BA6AFB42
+:040BD800B9B56AF849
+:040BD900B9AF6AF452
+:040BDA00B9AA6AF159
+:040BDB00B9A56AED61
+:040BDC00B9A06AEA68
+:040BDD00B99A6AE671
+:040BDE00B9956AE378
+:040BDF00B9906AE07F
+:040BE000B98B6ADC87
+:040BE100B9856AD98F
+:040BE200B9806AD597
+:040BE300B97B6AD29E
+:040BE400B9766ACEA6
+:040BE500B9706ACBAE
+:040BE600B96B6AC7B6
+:040BE700B9666AC4BD
+:040BE800B9616AC0C5
+:040BE900B95C6ABDCC
+:040BEA00B9566AB9D5
+:040BEB00B9516AB6DC
+:040BEC00B94C6AB3E3
+:040BED00B9476AAFEB
+:040BEE00B9416AACF3
+:040BEF00B93C6AA8FB
+:040BF000B9376AA502
+:040BF100B9326AA10A
+:040BF200B92C6A9E12
+:040BF300B9276A9A1A
+:040BF400B9226A9721
+:040BF500B91D6A9329
+:040BF600B9176A9031
+:040BF700B9126A8C39
+:040BF800B90D6A8940
+:040BF900B9086A8548
+:040BFA00B9036A824F
+:040BFB00B8FD6A7E59
+:040BFC00B8F86A7B60
+:040BFD00B8F36A7768
+:040BFE00B8EE6A746F
+:040BFF00B8E86A7078
+:040C0000B8E36A6D7E
+:040C0100B8DE6A6986
+:040C0200B8D96A668D
+:040C0300B8D46A6295
+:040C0400B8CE6A5F9D
+:040C0500B8C96A5BA5
+:040C0600B8C46A58AC
+:040C0700B8BF6A54B4
+:040C0800B8B96A51BC
+:040C0900B8B46A4DC4
+:040C0A00B8AF6A4ACB
+:040C0B00B8AA6A46D3
+:040C0C00B8A56A43DA
+:040C0D00B89F6A3FE3
+:040C0E00B89A6A3CEA
+:040C0F00B8956A38F2
+:040C1000B8906A35F9
+:040C1100B88A6A3102
+:040C1200B8856A2E09
+:040C1300B8806A2A11
+:040C1400B87B6A2718
+:040C1500B8766A2320
+:040C1600B8706A2028
+:040C1700B86B6A1C30
+:040C1800B8666A1937
+:040C1900B8616A153F
+:040C1A00B85C6A1246
+:040C1B00B8566A0E4F
+:040C1C00B8516A0B56
+:040C1D00B84C6A075E
+:040C1E00B8476A0465
+:040C1F00B8426A006D
+:040C2000B83C69FD76
+:040C2100B83769F97E
+:040C2200B83269F685
+:040C2300B82D69F28D
+:040C2400B82869EF94
+:040C2500B82269EB9D
+:040C2600B81D69E8A4
+:040C2700B81869E4AC
+:040C2800B81369E1B3
+:040C2900B80E69DDBB
+:040C2A00B80869D9C4
+:040C2B00B80369D6CB
+:040C2C00B7FE69D2D4
+:040C2D00B7F969CFDB
+:040C2E00B7F469CBE3
+:040C2F00B7EE69C8EB
+:040C3000B7E969C4F3
+:040C3100B7E469C1FA
+:040C3200B7DF69BD02
+:040C3300B7DA69BA09
+:040C3400B7D469B612
+:040C3500B7CF69B319
+:040C3600B7CA69AF21
+:040C3700B7C569AB29
+:040C3800B7C069A830
+:040C3900B7BB69A438
+:040C3A00B7B569A140
+:040C3B00B7B0699D48
+:040C3C00B7AB699A4F
+:040C3D00B7A6699657
+:040C3E00B7A169935E
+:040C3F00B79B698F67
+:040C4000B796698B6F
+:040C4100B791698876
+:040C4200B78C69847E
+:040C4300B787698185
+:040C4400B782697D8D
+:040C4500B77C697A95
+:040C4600B77769769D
+:040C4700B7726973A4
+:040C4800B76D696FAC
+:040C4900B768696BB4
+:040C4A00B7626968BC
+:040C4B00B75D6964C4
+:040C4C00B7586961CB
+:040C4D00B753695DD3
+:040C4E00B74E695ADA
+:040C4F00B7496956E2
+:040C5000B7436952EB
+:040C5100B73E694FF2
+:040C5200B739694BFA
+:040C5300B734694801
+:040C5400B72F694409
+:040C5500B72A694110
+:040C5600B724693D19
+:040C5700B71F693921
+:040C5800B71A693628
+:040C5900B715693230
+:040C5A00B710692F37
+:040C5B00B70B692B3F
+:040C5C00B705692847
+:040C5D00B70069244F
+:040C5E00B6FB692058
+:040C5F00B6F6691D5F
+:040C6000B6F1691967
+:040C6100B6EC69166E
+:040C6200B6E6691277
+:040C6300B6E1690E7F
+:040C6400B6DC690B86
+:040C6500B6D769078E
+:040C6600B6D2690495
+:040C6700B6CD69009D
+:040C6800B6C868FDA5
+:040C6900B6C268F9AE
+:040C6A00B6BD68F5B6
+:040C6B00B6B868F2BD
+:040C6C00B6B368EEC5
+:040C6D00B6AE68EBCC
+:040C6E00B6A968E7D4
+:040C6F00B6A368E3DD
+:040C7000B69E68E0E4
+:040C7100B69968DCEC
+:040C7200B69468D9F3
+:040C7300B68F68D5FB
+:040C7400B68A68D103
+:040C7500B68568CE0A
+:040C7600B67F68CA13
+:040C7700B67A68C71A
+:040C7800B67568C322
+:040C7900B67068BF2A
+:040C7A00B66B68BC31
+:040C7B00B66668B839
+:040C7C00B66168B441
+:040C7D00B65B68B149
+:040C7E00B65668AD51
+:040C7F00B65168AA58
+:040C8000B64C68A660
+:040C8100B64768A268
+:040C8200B642689F6F
+:040C8300B63D689B77
+:040C8400B63868987E
+:040C8500B632689487
+:040C8600B62D68908F
+:040C8700B628688D96
+:040C8800B62368899E
+:040C8900B61E6885A6
+:040C8A00B6196882AD
+:040C8B00B614687EB5
+:040C8C00B60E687BBD
+:040C8D00B6096877C5
+:040C8E00B6046873CD
+:040C8F00B5FF6870D5
+:040C9000B5FA686CDD
+:040C9100B5F56868E5
+:040C9200B5F06865EC
+:040C9300B5EB6861F4
+:040C9400B5E5685DFD
+:040C9500B5E0685A04
+:040C9600B5DB68560C
+:040C9700B5D6685313
+:040C9800B5D1684F1B
+:040C9900B5CC684B23
+:040C9A00B5C768482A
+:040C9B00B5C2684432
+:040C9C00B5BD68403A
+:040C9D00B5B7683D42
+:040C9E00B5B268394A
+:040C9F00B5AD683552
+:040CA000B5A8683259
+:040CA100B5A3682E61
+:040CA200B59E682A69
+:040CA300B599682770
+:040CA400B594682378
+:040CA500B58E681F81
+:040CA600B589681C88
+:040CA700B584681890
+:040CA800B57F681498
+:040CA900B57A68119F
+:040CAA00B575680DA7
+:040CAB00B570680AAE
+:040CAC00B56B6806B6
+:040CAD00B5666802BE
+:040CAE00B56167FFC6
+:040CAF00B55B67FBCF
+:040CB000B55667F7D7
+:040CB100B55167F4DE
+:040CB200B54C67F0E6
+:040CB300B54767ECEE
+:040CB400B54267E9F5
+:040CB500B53D67E5FD
+:040CB600B53867E105
+:040CB700B53367DE0C
+:040CB800B52E67DA14
+:040CB900B52867D61D
+:040CBA00B52367D324
+:040CBB00B51E67CF2C
+:040CBC00B51967CB34
+:040CBD00B51467C73C
+:040CBE00B50F67C443
+:040CBF00B50A67C04B
+:040CC000B50567BC53
+:040CC100B50067B95A
+:040CC200B4FB67B563
+:040CC300B4F567B16C
+:040CC400B4F067AE73
+:040CC500B4EB67AA7B
+:040CC600B4E667A683
+:040CC700B4E167A38A
+:040CC800B4DC679F92
+:040CC900B4D7679B9A
+:040CCA00B4D26798A1
+:040CCB00B4CD6794A9
+:040CCC00B4C86790B1
+:040CCD00B4C3678DB8
+:040CCE00B4BE6789C0
+:040CCF00B4B86785C9
+:040CD000B4B36781D1
+:040CD100B4AE677ED8
+:040CD200B4A9677AE0
+:040CD300B4A46776E8
+:040CD400B49F6773EF
+:040CD500B49A676FF7
+:040CD600B495676BFF
+:040CD700B490676806
+:040CD800B48B67640E
+:040CD900B486676016
+:040CDA00B481675C1E
+:040CDB00B47C675925
+:040CDC00B47667552E
+:040CDD00B471675136
+:040CDE00B46C674E3D
+:040CDF00B467674A45
+:040CE000B46267464D
+:040CE100B45D674255
+:040CE200B458673F5C
+:040CE300B453673B64
+:040CE400B44E67376C
+:040CE500B449673473
+:040CE600B44467307B
+:040CE700B43F672C83
+:040CE800B43A67288B
+:040CE900B435672592
+:040CEA00B43067219A
+:040CEB00B42A671DA3
+:040CEC00B425671AAA
+:040CED00B4206716B2
+:040CEE00B41B6712BA
+:040CEF00B416670EC2
+:040CF000B411670BC9
+:040CF100B40C6707D1
+:040CF200B4076703D9
+:040CF300B40266FFE2
+:040CF400B3FD66FCEA
+:040CF500B3F866F8F2
+:040CF600B3F366F4FA
+:040CF700B3EE66F101
+:040CF800B3E966ED09
+:040CF900B3E466E911
+:040CFA00B3DF66E519
+:040CFB00B3DA66E220
+:040CFC00B3D566DE28
+:040CFD00B3CF66DA31
+:040CFE00B3CA66D639
+:040CFF00B3C566D340
+:040D0000B3C066CF47
+:040D0100B3BB66CB4F
+:040D0200B3B666C757
+:040D0300B3B166C45E
+:040D0400B3AC66C066
+:040D0500B3A766BC6E
+:040D0600B3A266B876
+:040D0700B39D66B57D
+:040D0800B39866B185
+:040D0900B39366AD8D
+:040D0A00B38E66A995
+:040D0B00B38966A69C
+:040D0C00B38466A2A4
+:040D0D00B37F669EAC
+:040D0E00B37A669AB4
+:040D0F00B3756697BB
+:040D1000B3706693C3
+:040D1100B36B668FCB
+:040D1200B366668BD3
+:040D1300B3616688DA
+:040D1400B35C6684E2
+:040D1500B3576680EA
+:040D1600B351667CF3
+:040D1700B34C6679FA
+:040D1800B347667502
+:040D1900B34266710A
+:040D1A00B33D666D12
+:040D1B00B33866691A
+:040D1C00B333666621
+:040D1D00B32E666229
+:040D1E00B329665E31
+:040D1F00B324665A39
+:040D2000B31F665740
+:040D2100B31A665348
+:040D2200B315664F50
+:040D2300B310664B58
+:040D2400B30B66485F
+:040D2500B306664467
+:040D2600B30166406F
+:040D2700B2FC663C78
+:040D2800B2F7663880
+:040D2900B2F2663587
+:040D2A00B2ED66318F
+:040D2B00B2E8662D97
+:040D2C00B2E366299F
+:040D2D00B2DE6626A6
+:040D2E00B2D96622AE
+:040D2F00B2D4661EB6
+:040D3000B2CF661ABE
+:040D3100B2CA6616C6
+:040D3200B2C56613CD
+:040D3300B2C0660FD5
+:040D3400B2BB660BDD
+:040D3500B2B66607E5
+:040D3600B2B16603ED
+:040D3700B2AC6600F4
+:040D3800B2A765FCFD
+:040D3900B2A265F805
+:040D3A00B29D65F40D
+:040D3B00B29865F015
+:040D3C00B29365ED1C
+:040D3D00B28E65E924
+:040D3E00B28965E52C
+:040D3F00B28465E134
+:040D4000B27F65DD3C
+:040D4100B27A65DA43
+:040D4200B27565D64B
+:040D4300B27065D253
+:040D4400B26B65CE5B
+:040D4500B26665CA63
+:040D4600B26165C76A
+:040D4700B25C65C372
+:040D4800B25765BF7A
+:040D4900B25265BB82
+:040D4A00B24D65B78A
+:040D4B00B24865B392
+:040D4C00B24365B099
+:040D4D00B23E65ACA1
+:040D4E00B23965A8A9
+:040D4F00B23465A4B1
+:040D5000B22F65A0B9
+:040D5100B22A659DC0
+:040D5200B2256599C8
+:040D5300B2206595D0
+:040D5400B21B6591D8
+:040D5500B216658DE0
+:040D5600B2116589E8
+:040D5700B20C6586EF
+:040D5800B2076582F7
+:040D5900B202657EFF
+:040D5A00B1FD657A08
+:040D5B00B1F8657610
+:040D5C00B1F3657218
+:040D5D00B1EE656F1F
+:040D5E00B1E9656B27
+:040D5F00B1E465672F
+:040D6000B1DF656337
+:040D6100B1DA655F3F
+:040D6200B1D5655B47
+:040D6300B1D065584E
+:040D6400B1CB655456
+:040D6500B1C665505E
+:040D6600B1C1654C66
+:040D6700B1BC65486E
+:040D6800B1B7654476
+:040D6900B1B265417D
+:040D6A00B1AD653D85
+:040D6B00B1A865398D
+:040D6C00B1A4653594
+:040D6D00B19F65319C
+:040D6E00B19A652DA4
+:040D6F00B195652AAB
+:040D7000B1906526B3
+:040D7100B18B6522BB
+:040D7200B186651EC3
+:040D7300B181651ACB
+:040D7400B17C6516D3
+:040D7500B1776512DB
+:040D7600B172650FE2
+:040D7700B16D650BEA
+:040D7800B1686507F2
+:040D7900B1636503FA
+:040D7A00B15E64FF03
+:040D7B00B15964FB0B
+:040D7C00B15464F713
+:040D7D00B14F64F41A
+:040D7E00B14A64F022
+:040D7F00B14564EC2A
+:040D8000B14064E832
+:040D8100B13B64E43A
+:040D8200B13664E042
+:040D8300B13164DC4A
+:040D8400B12D64D851
+:040D8500B12864D558
+:040D8600B12364D160
+:040D8700B11E64CD68
+:040D8800B11964C970
+:040D8900B11464C578
+:040D8A00B10F64C180
+:040D8B00B10A64BD88
+:040D8C00B10564BA8F
+:040D8D00B10064B697
+:040D8E00B0FB64B2A0
+:040D8F00B0F664AEA8
+:040D9000B0F164AAB0
+:040D9100B0EC64A6B8
+:040D9200B0E764A2C0
+:040D9300B0E2649EC8
+:040D9400B0DD649AD0
+:040D9500B0D86497D7
+:040D9600B0D46493DE
+:040D9700B0CF648FE6
+:040D9800B0CA648BEE
+:040D9900B0C56487F6
+:040D9A00B0C06483FE
+:040D9B00B0BB647F06
+:040D9C00B0B6647B0E
+:040D9D00B0B1647716
+:040D9E00B0AC64741D
+:040D9F00B0A7647025
+:040DA000B0A2646C2D
+:040DA100B09D646835
+:040DA200B09864643D
+:040DA300B093646045
+:040DA400B08E645C4D
+:040DA500B08A645854
+:040DA600B08564545C
+:040DA700B080645064
+:040DA800B07B644D6B
+:040DA900B076644973
+:040DAA00B07164457B
+:040DAB00B06C644183
+:040DAC00B067643D8B
+:040DAD00B062643993
+:040DAE00B05D64359B
+:040DAF00B0586431A3
+:040DB000B053642DAB
+:040DB100B04F6429B2
+:040DB200B04A6426B9
+:040DB300B0456422C1
+:040DB400B040641EC9
+:040DB500B03B641AD1
+:040DB600B0366416D9
+:040DB700B0316412E1
+:040DB800B02C640EE9
+:040DB900B027640AF1
+:040DBA00B0226406F9
+:040DBB00B01D640201
+:040DBC00B01863FE0A
+:040DBD00B01463FA11
+:040DBE00B00F63F619
+:040DBF00B00A63F320
+:040DC000B00563EF28
+:040DC100B00063EB30
+:040DC200AFFB63E739
+:040DC300AFF663E341
+:040DC400AFF163DF49
+:040DC500AFEC63DB51
+:040DC600AFE763D759
+:040DC700AFE363D360
+:040DC800AFDE63CF68
+:040DC900AFD963CB70
+:040DCA00AFD463C778
+:040DCB00AFCF63C380
+:040DCC00AFCA63BF88
+:040DCD00AFC563BC8F
+:040DCE00AFC063B897
+:040DCF00AFBB63B49F
+:040DD000AFB663B0A7
+:040DD100AFB263ACAE
+:040DD200AFAD63A8B6
+:040DD300AFA863A4BE
+:040DD400AFA363A0C6
+:040DD500AF9E639CCE
+:040DD600AF996398D6
+:040DD700AF946394DE
+:040DD800AF8F6390E6
+:040DD900AF8A638CEE
+:040DDA00AF866388F5
+:040DDB00AF816384FD
+:040DDC00AF7C638005
+:040DDD00AF77637C0D
+:040DDE00AF72637815
+:040DDF00AF6D63741D
+:040DE000AF68637124
+:040DE100AF63636D2C
+:040DE200AF5F636933
+:040DE300AF5A63653B
+:040DE400AF55636143
+:040DE500AF50635D4B
+:040DE600AF4B635953
+:040DE700AF4663555B
+:040DE800AF41635163
+:040DE900AF3C634D6B
+:040DEA00AF38634972
+:040DEB00AF3363457A
+:040DEC00AF2E634182
+:040DED00AF29633D8A
+:040DEE00AF24633992
+:040DEF00AF1F63359A
+:040DF000AF1A6331A2
+:040DF100AF15632DAA
+:040DF200AF116329B1
+:040DF300AF0C6325B9
+:040DF400AF076321C1
+:040DF500AF02631DC9
+:040DF600AEFD6319D2
+:040DF700AEF86315DA
+:040DF800AEF36311E2
+:040DF900AEEE630DEA
+:040DFA00AEEA6309F1
+:040DFB00AEE56305F9
+:040DFC00AEE0630101
+:040DFD00AEDB62FD0A
+:040DFE00AED662F912
+:040DFF00AED162F51A
+:040E0000AECC62F121
+:040E0100AEC862ED28
+:040E0200AEC362E930
+:040E0300AEBE62E538
+:040E0400AEB962E23F
+:040E0500AEB462DE47
+:040E0600AEAF62DA4F
+:040E0700AEAA62D657
+:040E0800AEA662D25E
+:040E0900AEA162CE66
+:040E0A00AE9C62CA6E
+:040E0B00AE9762C676
+:040E0C00AE9262C27E
+:040E0D00AE8D62BE86
+:040E0E00AE8962BA8D
+:040E0F00AE8462B695
+:040E1000AE7F62B29D
+:040E1100AE7A62AEA5
+:040E1200AE7562AAAD
+:040E1300AE7062A6B5
+:040E1400AE6B62A2BD
+:040E1500AE67629EC4
+:040E1600AE62629ACC
+:040E1700AE5D6296D4
+:040E1800AE586292DC
+:040E1900AE53628EE4
+:040E1A00AE4E628AEC
+:040E1B00AE4A6286F3
+:040E1C00AE456281FC
+:040E1D00AE40627D04
+:040E1E00AE3B62790C
+:040E1F00AE36627514
+:040E2000AE3162711C
+:040E2100AE2D626D23
+:040E2200AE2862692B
+:040E2300AE23626533
+:040E2400AE1E62613B
+:040E2500AE19625D43
+:040E2600AE1462594B
+:040E2700AE10625552
+:040E2800AE0B62515A
+:040E2900AE06624D62
+:040E2A00AE0162496A
+:040E2B00ADFC624573
+:040E2C00ADF862417A
+:040E2D00ADF3623D82
+:040E2E00ADEE62398A
+:040E2F00ADE9623592
+:040E3000ADE462319A
+:040E3100ADDF622DA2
+:040E3200ADDB6229A9
+:040E3300ADD66225B1
+:040E3400ADD16221B9
+:040E3500ADCC621DC1
+:040E3600ADC76219C9
+:040E3700ADC36215D0
+:040E3800ADBE6211D8
+:040E3900ADB9620DE0
+:040E3A00ADB46209E8
+:040E3B00ADAF6205F0
+:040E3C00ADAA6201F8
+:040E3D00ADA661FD00
+:040E3E00ADA161F908
+:040E3F00AD9C61F510
+:040E4000AD9761F019
+:040E4100AD9261EC21
+:040E4200AD8E61E828
+:040E4300AD8961E430
+:040E4400AD8461E038
+:040E4500AD7F61DC40
+:040E4600AD7A61D848
+:040E4700AD7661D44F
+:040E4800AD7161D057
+:040E4900AD6C61CC5F
+:040E4A00AD6761C867
+:040E4B00AD6261C46F
+:040E4C00AD5E61C076
+:040E4D00AD5961BC7E
+:040E4E00AD5461B886
+:040E4F00AD4F61B48E
+:040E5000AD4A61B096
+:040E5100AD4661AC9D
+:040E5200AD4161A8A5
+:040E5300AD3C61A3AE
+:040E5400AD37619FB6
+:040E5500AD32619BBE
+:040E5600AD2E6197C5
+:040E5700AD296193CD
+:040E5800AD24618FD5
+:040E5900AD1F618BDD
+:040E5A00AD1A6187E5
+:040E5B00AD166183EC
+:040E5C00AD11617FF4
+:040E5D00AD0C617BFC
+:040E5E00AD07617704
+:040E5F00AD0361730B
+:040E6000ACFE616F14
+:040E6100ACF9616A1D
+:040E6200ACF4616625
+:040E6300ACEF61622D
+:040E6400ACEB615E34
+:040E6500ACE6615A3C
+:040E6600ACE1615644
+:040E6700ACDC61524C
+:040E6800ACD8614E53
+:040E6900ACD3614A5B
+:040E6A00ACCE614663
+:040E6B00ACC961426B
+:040E6C00ACC4613E73
+:040E6D00ACC0613A7A
+:040E6E00ACBB613583
+:040E6F00ACB661318B
+:040E7000ACB1612D93
+:040E7100ACAD61299A
+:040E7200ACA86125A2
+:040E7300ACA36121AA
+:040E7400AC9E611DB2
+:040E7500AC9A6119B9
+:040E7600AC956115C1
+:040E7700AC906111C9
+:040E7800AC8B610DD1
+:040E7900AC866108DA
+:040E7A00AC826104E1
+:040E7B00AC7D6100E9
+:040E7C00AC7860FCF2
+:040E7D00AC7360F8FA
+:040E7E00AC6F60F401
+:040E7F00AC6A60F009
+:040E8000AC6560EC11
+:040E8100AC6060E819
+:040E8200AC5C60E321
+:040E8300AC5760DF29
+:040E8400AC5260DB31
+:040E8500AC4D60D739
+:040E8600AC4960D340
+:040E8700AC4460CF48
+:040E8800AC3F60CB50
+:040E8900AC3A60C758
+:040E8A00AC3660C35F
+:040E8B00AC3160BF67
+:040E8C00AC2C60BA70
+:040E8D00AC2760B678
+:040E8E00AC2360B27F
+:040E8F00AC1E60AE87
+:040E9000AC1960AA8F
+:040E9100AC1460A697
+:040E9200AC1060A29E
+:040E9300AC0B609EA6
+:040E9400AC066099AF
+:040E9500AC016095B7
+:040E9600ABFD6091BF
+:040E9700ABF8608DC7
+:040E9800ABF36089CF
+:040E9900ABEE6085D7
+:040E9A00ABEA6081DE
+:040E9B00ABE5607DE6
+:040E9C00ABE06078EF
+:040E9D00ABDC6074F6
+:040E9E00ABD76070FE
+:040E9F00ABD2606C06
+:040EA000ABCD60680E
+:040EA100ABC9606415
+:040EA200ABC460601D
+:040EA300ABBF605C25
+:040EA400ABBA60572E
+:040EA500ABB6605335
+:040EA600ABB1604F3D
+:040EA700ABAC604B45
+:040EA800ABA760474D
+:040EA900ABA3604354
+:040EAA00AB9E603F5C
+:040EAB00AB99603A65
+:040EAC00AB9560366C
+:040EAD00AB90603274
+:040EAE00AB8B602E7C
+:040EAF00AB86602A84
+:040EB000AB8260268B
+:040EB100AB7D602293
+:040EB200AB78601D9C
+:040EB300AB746019A3
+:040EB400AB6F6015AB
+:040EB500AB6A6011B3
+:040EB600AB65600DBB
+:040EB700AB616009C2
+:040EB800AB5C6004CB
+:040EB900AB576000D3
+:040EBA00AB535FFCDB
+:040EBB00AB4E5FF8E3
+:040EBC00AB495FF4EB
+:040EBD00AB445FF0F3
+:040EBE00AB405FECFA
+:040EBF00AB3B5FE703
+:040EC000AB365FE30B
+:040EC100AB325FDF12
+:040EC200AB2D5FDB1A
+:040EC300AB285FD722
+:040EC400AB235FD32A
+:040EC500AB1F5FCE32
+:040EC600AB1A5FCA3A
+:040EC700AB155FC642
+:040EC800AB115FC249
+:040EC900AB0C5FBE51
+:040ECA00AB075FBA59
+:040ECB00AB035FB561
+:040ECC00AAFE5FB16A
+:040ECD00AAF95FAD72
+:040ECE00AAF45FA97A
+:040ECF00AAF05FA581
+:040ED000AAEB5FA08A
+:040ED100AAE65F9C92
+:040ED200AAE25F9899
+:040ED300AADD5F94A1
+:040ED400AAD85F90A9
+:040ED500AAD45F8CB0
+:040ED600AACF5F87B9
+:040ED700AACA5F83C1
+:040ED800AAC65F7FC8
+:040ED900AAC15F7BD0
+:040EDA00AABC5F77D8
+:040EDB00AAB75F73E0
+:040EDC00AAB35F6EE8
+:040EDD00AAAE5F6AF0
+:040EDE00AAA95F66F8
+:040EDF00AAA55F62FF
+:040EE000AAA05F5E07
+:040EE100AA9B5F5910
+:040EE200AA975F5517
+:040EE300AA925F511F
+:040EE400AA8D5F4D27
+:040EE500AA895F492E
+:040EE600AA845F4437
+:040EE700AA7F5F403F
+:040EE800AA7B5F3C46
+:040EE900AA765F384E
+:040EEA00AA715F3456
+:040EEB00AA6D5F2F5E
+:040EEC00AA685F2B66
+:040EED00AA635F276E
+:040EEE00AA5F5F2375
+:040EEF00AA5A5F1F7D
+:040EF000AA555F1A86
+:040EF100AA515F168D
+:040EF200AA4C5F1295
+:040EF300AA475F0E9D
+:040EF400AA435F0AA4
+:040EF500AA3E5F05AD
+:040EF600AA395F01B5
+:040EF700AA355EFDBD
+:040EF800AA305EF9C5
+:040EF900AA2B5EF5CD
+:040EFA00AA275EF0D5
+:040EFB00AA225EECDD
+:040EFC00AA1D5EE8E5
+:040EFD00AA195EE4EC
+:040EFE00AA145EDFF5
+:040EFF00AA0F5EDBFD
+:040F0000AA0B5ED703
+:040F0100AA065ED30B
+:040F0200AA015ECF13
+:040F0300A9FD5ECA1C
+:040F0400A9F85EC624
+:040F0500A9F35EC22C
+:040F0600A9EF5EBE33
+:040F0700A9EA5EB93C
+:040F0800A9E55EB544
+:040F0900A9E15EB14B
+:040F0A00A9DC5EAD53
+:040F0B00A9D85EA95A
+:040F0C00A9D35EA463
+:040F0D00A9CE5EA06B
+:040F0E00A9CA5E9C72
+:040F0F00A9C55E987A
+:040F1000A9C05E9383
+:040F1100A9BC5E8F8A
+:040F1200A9B75E8B92
+:040F1300A9B25E879A
+:040F1400A9AE5E82A2
+:040F1500A9A95E7EAA
+:040F1600A9A45E7AB2
+:040F1700A9A05E76B9
+:040F1800A99B5E71C2
+:040F1900A9975E6DC9
+:040F1A00A9925E69D1
+:040F1B00A98D5E65D9
+:040F1C00A9895E61E0
+:040F1D00A9845E5CE9
+:040F1E00A97F5E58F1
+:040F1F00A97B5E54F8
+:040F2000A9765E5000
+:040F2100A9725E4B08
+:040F2200A96D5E4710
+:040F2300A9685E4318
+:040F2400A9645E3F1F
+:040F2500A95F5E3A28
+:040F2600A95A5E3630
+:040F2700A9565E3237
+:040F2800A9515E2E3F
+:040F2900A94D5E2947
+:040F2A00A9485E254F
+:040F2B00A9435E2157
+:040F2C00A93F5E1C5F
+:040F2D00A93A5E1867
+:040F2E00A9355E146F
+:040F2F00A9315E1076
+:040F3000A92C5E0B7F
+:040F3100A9285E0786
+:040F3200A9235E038E
+:040F3300A91E5DFF97
+:040F3400A91A5DFA9F
+:040F3500A9155DF6A7
+:040F3600A9105DF2AF
+:040F3700A90C5DEEB6
+:040F3800A9075DE9BF
+:040F3900A9035DE5C6
+:040F3A00A8FE5DE1CF
+:040F3B00A8F95DDDD7
+:040F3C00A8F55DD8DF
+:040F3D00A8F05DD4E7
+:040F3E00A8EC5DD0EE
+:040F3F00A8E75DCBF7
+:040F4000A8E25DC7FF
+:040F4100A8DE5DC306
+:040F4200A8D95DBF0E
+:040F4300A8D55DBA16
+:040F4400A8D05DB61E
+:040F4500A8CB5DB226
+:040F4600A8C75DAD2E
+:040F4700A8C25DA936
+:040F4800A8BE5DA53D
+:040F4900A8B95DA145
+:040F4A00A8B45D9C4E
+:040F4B00A8B05D9855
+:040F4C00A8AB5D945D
+:040F4D00A8A75D8F65
+:040F4E00A8A25D8B6D
+:040F4F00A89D5D8775
+:040F5000A8995D837C
+:040F5100A8945D7E85
+:040F5200A8905D7A8C
+:040F5300A88B5D7694
+:040F5400A8875D719C
+:040F5500A8825D6DA4
+:040F5600A87D5D69AC
+:040F5700A8795D65B3
+:040F5800A8745D60BC
+:040F5900A8705D5CC3
+:040F5A00A86B5D58CB
+:040F5B00A8665D53D4
+:040F5C00A8625D4FDB
+:040F5D00A85D5D4BE3
+:040F5E00A8595D46EB
+:040F5F00A8545D42F3
+:040F6000A8505D3EFA
+:040F6100A84B5D3A02
+:040F6200A8465D350B
+:040F6300A8425D3112
+:040F6400A83D5D2D1A
+:040F6500A8395D2822
+:040F6600A8345D242A
+:040F6700A8305D2031
+:040F6800A82B5D1B3A
+:040F6900A8265D1742
+:040F6A00A8225D1349
+:040F6B00A81D5D0E52
+:040F6C00A8195D0A59
+:040F6D00A8145D0661
+:040F6E00A8105D0268
+:040F6F00A80B5CFD72
+:040F7000A8065CF97A
+:040F7100A8025CF581
+:040F7200A7FD5CF08B
+:040F7300A7F95CEC92
+:040F7400A7F45CE89A
+:040F7500A7F05CE3A2
+:040F7600A7EB5CDFAA
+:040F7700A7E75CDBB1
+:040F7800A7E25CD6BA
+:040F7900A7DD5CD2C2
+:040F7A00A7D95CCEC9
+:040F7B00A7D45CC9D2
+:040F7C00A7D05CC5D9
+:040F7D00A7CB5CC1E1
+:040F7E00A7C75CBCE9
+:040F7F00A7C25CB8F1
+:040F8000A7BE5CB4F8
+:040F8100A7B95CAF01
+:040F8200A7B45CAB09
+:040F8300A7B05CA710
+:040F8400A7AB5CA219
+:040F8500A7A75C9E20
+:040F8600A7A25C9A28
+:040F8700A79E5C9530
+:040F8800A7995C9138
+:040F8900A7955C8D3F
+:040F8A00A7905C8848
+:040F8B00A78C5C844F
+:040F8C00A7875C8057
+:040F8D00A7825C7B60
+:040F8E00A77E5C7767
+:040F8F00A7795C736F
+:040F9000A7755C6E77
+:040F9100A7705C6A7F
+:040F9200A76C5C6686
+:040F9300A7675C618F
+:040F9400A7635C5D96
+:040F9500A75E5C599E
+:040F9600A75A5C54A6
+:040F9700A7555C50AE
+:040F9800A7515C4BB6
+:040F9900A74C5C47BE
+:040F9A00A7475C43C6
+:040F9B00A7435C3ECE
+:040F9C00A73E5C3AD6
+:040F9D00A73A5C36DD
+:040F9E00A7355C31E6
+:040F9F00A7315C2DED
+:040FA000A72C5C29F5
+:040FA100A7285C24FD
+:040FA200A7235C2005
+:040FA300A71F5C1C0C
+:040FA400A71A5C1715
+:040FA500A7165C131C
+:040FA600A7115C0E25
+:040FA700A70D5C0A2C
+:040FA800A7085C0634
+:040FA900A7045C013C
+:040FAA00A6FF5BFD46
+:040FAB00A6FB5BF94D
+:040FAC00A6F65BF456
+:040FAD00A6F25BF05D
+:040FAE00A6ED5BEB66
+:040FAF00A6E95BE76D
+:040FB000A6E45BE375
+:040FB100A6E05BDE7D
+:040FB200A6DB5BDA85
+:040FB300A6D75BD68C
+:040FB400A6D25BD195
+:040FB500A6CE5BCD9C
+:040FB600A6C95BC8A5
+:040FB700A6C55BC4AC
+:040FB800A6C05BC0B4
+:040FB900A6BC5BBBBC
+:040FBA00A6B75BB7C4
+:040FBB00A6B25BB3CC
+:040FBC00A6AE5BAED4
+:040FBD00A6A95BAADC
+:040FBE00A6A55BA5E4
+:040FBF00A6A15BA1EB
+:040FC000A69C5B9DF3
+:040FC100A6985B98FB
+:040FC200A6935B9403
+:040FC300A68F5B8F0B
+:040FC400A68A5B8B13
+:040FC500A6865B871A
+:040FC600A6815B8223
+:040FC700A67D5B7E2A
+:040FC800A6785B7A32
+:040FC900A6745B753A
+:040FCA00A66F5B7142
+:040FCB00A66B5B6C4A
+:040FCC00A6665B6852
+:040FCD00A6625B6459
+:040FCE00A65D5B5F62
+:040FCF00A6595B5B69
+:040FD000A6545B5672
+:040FD100A6505B5279
+:040FD200A64B5B4E81
+:040FD300A6475B4989
+:040FD400A6425B4591
+:040FD500A63E5B4099
+:040FD600A6395B3CA1
+:040FD700A6355B37A9
+:040FD800A6305B33B1
+:040FD900A62C5B2FB8
+:040FDA00A6275B2AC1
+:040FDB00A6235B26C8
+:040FDC00A61E5B21D1
+:040FDD00A61A5B1DD8
+:040FDE00A6155B19E0
+:040FDF00A6115B14E8
+:040FE000A60D5B10EF
+:040FE100A6085B0BF8
+:040FE200A6045B07FF
+:040FE300A5FF5B0308
+:040FE400A5FB5AFE11
+:040FE500A5F65AFA19
+:040FE600A5F25AF521
+:040FE700A5ED5AF129
+:040FE800A5E95AEC31
+:040FE900A5E45AE839
+:040FEA00A5E05AE440
+:040FEB00A5DB5ADF49
+:040FEC00A5D75ADB50
+:040FED00A5D35AD658
+:040FEE00A5CE5AD260
+:040FEF00A5CA5ACD68
+:040FF000A5C55AC970
+:040FF100A5C15AC577
+:040FF200A5BC5AC080
+:040FF300A5B85ABC87
+:040FF400A5B35AB790
+:040FF500A5AF5AB397
+:040FF600A5AA5AAEA0
+:040FF700A5A65AAAA7
+:040FF800A5A25AA6AE
+:040FF900A59D5AA1B7
+:040FFA00A5995A9DBE
+:040FFB00A5945A98C7
+:040FFC00A5905A94CE
+:040FFD00A58B5A8FD7
+:040FFE00A5875A8BDE
+:040FFF00A5825A87E6
+:04100000A57E5A82ED
+:04100100A5795A7EF5
+:04100200A5755A79FD
+:04100300A5715A7504
+:04100400A56C5A700D
+:04100500A5685A6C14
+:04100600A5635A671D
+:04100700A55F5A6324
+:04100800A55A5A5E2D
+:04100900A5565A5A34
+:04100A00A5525A563B
+:04100B00A54D5A5144
+:04100C00A5495A4D4B
+:04100D00A5445A4854
+:04100E00A5405A445B
+:04100F00A53B5A3F64
+:04101000A5375A3B6B
+:04101100A5335A3673
+:04101200A52E5A327B
+:04101300A52A5A2D83
+:04101400A5255A298B
+:04101500A5215A2592
+:04101600A51C5A209B
+:04101700A5185A1CA2
+:04101800A5145A17AA
+:04101900A50F5A13B2
+:04101A00A50B5A0EBA
+:04101B00A5065A0AC2
+:04101C00A5025A05CA
+:04101D00A4FD5A01D3
+:04101E00A4F959FCDC
+:04101F00A4F559F8E3
+:04102000A4F059F3EC
+:04102100A4EC59EFF3
+:04102200A4E759EBFB
+:04102300A4E359E603
+:04102400A4DF59E20A
+:04102500A4DA59DD13
+:04102600A4D659D91A
+:04102700A4D159D423
+:04102800A4CD59D02A
+:04102900A4C959CB32
+:04102A00A4C459C73A
+:04102B00A4C059C242
+:04102C00A4BB59BE4A
+:04102D00A4B759B952
+:04102E00A4B259B55A
+:04102F00A4AE59B062
+:04103000A4AA59AC69
+:04103100A4A559A772
+:04103200A4A159A379
+:04103300A49C599E82
+:04103400A498599A89
+:04103500A494599591
+:04103600A48F599199
+:04103700A48B598CA1
+:04103800A4865988A9
+:04103900A4825983B1
+:04103A00A47E597FB8
+:04103B00A479597AC1
+:04103C00A4755976C8
+:04103D00A4715971D0
+:04103E00A46C596DD8
+:04103F00A4685968E0
+:04104000A4635964E8
+:04104100A45F595FF0
+:04104200A45B595BF7
+:04104300A4565957FF
+:04104400A452595207
+:04104500A44D594E0F
+:04104600A449594917
+:04104700A44559441F
+:04104800A440594027
+:04104900A43C593B2F
+:04104A00A438593736
+:04104B00A43359323F
+:04104C00A42F592E46
+:04104D00A42A59294F
+:04104E00A426592556
+:04104F00A42259205E
+:04105000A41D591C66
+:04105100A41959176E
+:04105200A415591375
+:04105300A410590E7E
+:04105400A40C590A85
+:04105500A40759058E
+:04105600A403590195
+:04105700A3FF58FC9F
+:04105800A3FA58F8A7
+:04105900A3F658F3AF
+:04105A00A3F258EFB6
+:04105B00A3ED58EABF
+:04105C00A3E958E6C6
+:04105D00A3E458E1CF
+:04105E00A3E058DDD6
+:04105F00A3DC58D8DE
+:04106000A3D758D4E6
+:04106100A3D358CFEE
+:04106200A3CF58CBF5
+:04106300A3CA58C6FE
+:04106400A3C658C205
+:04106500A3C258BD0D
+:04106600A3BD58B915
+:04106700A3B958B41D
+:04106800A3B558AF25
+:04106900A3B058AB2D
+:04106A00A3AC58A635
+:04106B00A3A758A23D
+:04106C00A3A3589D45
+:04106D00A39F58994C
+:04106E00A39A589455
+:04106F00A39658905C
+:04107000A392588B64
+:04107100A38D58876C
+:04107200A389588274
+:04107300A385587E7B
+:04107400A380587984
+:04107500A37C58748C
+:04107600A378587093
+:04107700A373586B9C
+:04107800A36F5867A3
+:04107900A36B5862AB
+:04107A00A366585EB3
+:04107B00A3625859BB
+:04107C00A35E5855C2
+:04107D00A3595850CB
+:04107E00A355584CD2
+:04107F00A3515847DA
+:04108000A34C5842E3
+:04108100A348583EEA
+:04108200A3445839F2
+:04108300A33F5835FA
+:04108400A33B583002
+:04108500A337582C09
+:04108600A332582712
+:04108700A32E582319
+:04108800A32A581E21
+:04108900A32558192A
+:04108A00A321581531
+:04108B00A31D581039
+:04108C00A318580C41
+:04108D00A314580749
+:04108E00A310580350
+:04108F00A30B57FE5A
+:04109000A30757FA61
+:04109100A30357F569
+:04109200A2FE57F073
+:04109300A2FA57EC7A
+:04109400A2F657E782
+:04109500A2F257E389
+:04109600A2ED57DE92
+:04109700A2E957DA99
+:04109800A2E557D5A1
+:04109900A2E057D0AA
+:04109A00A2DC57CCB1
+:04109B00A2D857C7B9
+:04109C00A2D357C3C1
+:04109D00A2CF57BEC9
+:04109E00A2CB57BAD0
+:04109F00A2C657B5D9
+:0410A000A2C257B0E1
+:0410A100A2BE57ACE8
+:0410A200A2BA57A7F0
+:0410A300A2B557A3F8
+:0410A400A2B1579E00
+:0410A500A2AD579A07
+:0410A600A2A8579510
+:0410A700A2A4579018
+:0410A800A2A0578C1F
+:0410A900A29B578728
+:0410AA00A29757832F
+:0410AB00A293577E37
+:0410AC00A28F57793F
+:0410AD00A28A577547
+:0410AE00A28657704F
+:0410AF00A282576C56
+:0410B000A27D57675F
+:0410B100A279576366
+:0410B200A275575E6E
+:0410B300A271575976
+:0410B400A26C57557E
+:0410B500A268575086
+:0410B600A264574C8D
+:0410B700A25F574796
+:0410B800A25B57429E
+:0410B900A257573EA5
+:0410BA00A2535739AD
+:0410BB00A24E5735B5
+:0410BC00A24A5730BD
+:0410BD00A246572BC5
+:0410BE00A2415727CD
+:0410BF00A23D5722D5
+:0410C000A239571EDC
+:0410C100A2355719E4
+:0410C200A2305714ED
+:0410C300A22C5710F4
+:0410C400A228570BFC
+:0410C500A223570704
+:0410C600A21F57020C
+:0410C700A21B56FD15
+:0410C800A21756F91C
+:0410C900A21256F425
+:0410CA00A20E56F02C
+:0410CB00A20A56EB34
+:0410CC00A20656E63C
+:0410CD00A20156E244
+:0410CE00A1FD56DD4D
+:0410CF00A1F956D855
+:0410D000A1F556D45C
+:0410D100A1F056CF65
+:0410D200A1EC56CB6C
+:0410D300A1E856C674
+:0410D400A1E456C17C
+:0410D500A1DF56BD84
+:0410D600A1DB56B88C
+:0410D700A1D756B394
+:0410D800A1D256AF9C
+:0410D900A1CE56AAA4
+:0410DA00A1CA56A6AB
+:0410DB00A1C656A1B3
+:0410DC00A1C1569CBC
+:0410DD00A1BD5698C3
+:0410DE00A1B95693CB
+:0410DF00A1B5568ED3
+:0410E000A1B0568ADB
+:0410E100A1AC5685E3
+:0410E200A1A85681EA
+:0410E300A1A4567CF2
+:0410E400A19F5677FB
+:0410E500A19B567302
+:0410E600A197566E0A
+:0410E700A193566912
+:0410E800A18F566519
+:0410E900A18A566022
+:0410EA00A186565C29
+:0410EB00A182565731
+:0410EC00A17E565239
+:0410ED00A179564E41
+:0410EE00A175564949
+:0410EF00A171564451
+:0410F000A16D564058
+:0410F100A168563B61
+:0410F200A164563669
+:0410F300A160563270
+:0410F400A15C562D78
+:0410F500A157562881
+:0410F600A153562488
+:0410F700A14F561F90
+:0410F800A14B561B97
+:0410F900A14756169F
+:0410FA00A1425611A8
+:0410FB00A13E560DAF
+:0410FC00A13A5608B7
+:0410FD00A1365603BF
+:0410FE00A13155FFC8
+:0410FF00A12D55FAD0
+:04110000A12955F5D7
+:04110100A12555F1DE
+:04110200A12155ECE6
+:04110300A11C55E7EF
+:04110400A11855E3F6
+:04110500A11455DEFE
+:04110600A11055D906
+:04110700A10B55D50E
+:04110800A10755D016
+:04110900A10355CB1E
+:04110A00A0FF55C726
+:04110B00A0FB55C22E
+:04110C00A0F655BD37
+:04110D00A0F255B93E
+:04110E00A0EE55B446
+:04110F00A0EA55AF4E
+:04111000A0E655AB55
+:04111100A0E155A65E
+:04111200A0DD55A166
+:04111300A0D9559D6D
+:04111400A0D5559875
+:04111500A0D155937D
+:04111600A0CC558F85
+:04111700A0C8558A8D
+:04111800A0C4558595
+:04111900A0C055819C
+:04111A00A0BC557CA4
+:04111B00A0B75577AD
+:04111C00A0B35573B4
+:04111D00A0AF556EBC
+:04111E00A0AB5569C4
+:04111F00A0A75565CB
+:04112000A0A25560D4
+:04112100A09E555BDC
+:04112200A09A5557E3
+:04112300A0965552EB
+:04112400A092554DF3
+:04112500A08D5549FB
+:04112600A089554403
+:04112700A085553F0B
+:04112800A081553A13
+:04112900A07D55361A
+:04112A00A079553122
+:04112B00A074552C2B
+:04112C00A070552832
+:04112D00A06C55233A
+:04112E00A068551E42
+:04112F00A064551A49
+:04113000A060551551
+:04113100A05B55105A
+:04113200A057550C61
+:04113300A053550769
+:04113400A04F550271
+:04113500A04B54FD7A
+:04113600A04654F982
+:04113700A04254F48A
+:04113800A03E54EF92
+:04113900A03A54EB99
+:04113A00A03654E6A1
+:04113B00A03254E1A9
+:04113C00A02D54DDB1
+:04113D00A02954D8B9
+:04113E00A02554D3C1
+:04113F00A02154CEC9
+:04114000A01D54CAD0
+:04114100A01954C5D8
+:04114200A01454C0E1
+:04114300A01054BCE8
+:04114400A00C54B7F0
+:04114500A00854B2F8
+:04114600A00454AD00
+:04114700A00054A907
+:041148009FFC54A410
+:041149009FF7549F19
+:04114A009FF3549B20
+:04114B009FEF549628
+:04114C009FEB549130
+:04114D009FE7548C38
+:04114E009FE354883F
+:04114F009FDE548348
+:041150009FDA547E50
+:041151009FD6547A57
+:041152009FD254755F
+:041153009FCE547067
+:041154009FCA546B6F
+:041155009FC6546776
+:041156009FC154627F
+:041157009FBD545D87
+:041158009FB954598E
+:041159009FB5545496
+:04115A009FB1544F9E
+:04115B009FAD544AA6
+:04115C009FA95446AD
+:04115D009FA45441B6
+:04115E009FA0543CBE
+:04115F009F9C5437C6
+:041160009F985433CD
+:041161009F94542ED5
+:041162009F905429DD
+:041163009F8C5424E5
+:041164009F885420EC
+:041165009F83541BF5
+:041166009F7F5416FD
+:041167009F7B541204
+:041168009F77540D0C
+:041169009F73540814
+:04116A009F6F54031C
+:04116B009F6B53FF24
+:04116C009F6753FA2C
+:04116D009F6253F535
+:04116E009F5E53F03D
+:04116F009F5A53EC44
+:041170009F5653E74C
+:041171009F5253E254
+:041172009F4E53DD5C
+:041173009F4A53D963
+:041174009F4653D46B
+:041175009F4153CF74
+:041176009F3D53CA7C
+:041177009F3953C683
+:041178009F3553C18B
+:041179009F3153BC93
+:04117A009F2D53B79B
+:04117B009F2953B3A2
+:04117C009F2553AEAA
+:04117D009F2153A9B2
+:04117E009F1D53A4BA
+:04117F009F1853A0C2
+:041180009F14539BCA
+:041181009F105396D2
+:041182009F0C5391DA
+:041183009F08538DE1
+:041184009F045388E9
+:041185009F005383F1
+:041186009EFC537EFA
+:041187009EF8537A01
+:041188009EF353750A
+:041189009EEF537012
+:04118A009EEB536B1A
+:04118B009EE7536622
+:04118C009EE3536229
+:04118D009EDF535D31
+:04118E009EDB535839
+:04118F009ED7535341
+:041190009ED3534F48
+:041191009ECF534A50
+:041192009ECB534558
+:041193009EC6534061
+:041194009EC2533C68
+:041195009EBE533770
+:041196009EBA533278
+:041197009EB6532D80
+:041198009EB2532888
+:041199009EAE53248F
+:04119A009EAA531F97
+:04119B009EA6531A9F
+:04119C009EA25315A7
+:04119D009E9E5311AE
+:04119E009E9A530CB6
+:04119F009E965307BE
+:0411A0009E915302C7
+:0411A1009E8D52FDD0
+:0411A2009E8952F9D7
+:0411A3009E8552F4DF
+:0411A4009E8152EFE7
+:0411A5009E7D52EAEF
+:0411A6009E7952E6F6
+:0411A7009E7552E1FE
+:0411A8009E7152DC06
+:0411A9009E6D52D70E
+:0411AA009E6952D216
+:0411AB009E6552CE1D
+:0411AC009E6152C925
+:0411AD009E5D52C42D
+:0411AE009E5852BF36
+:0411AF009E5452BA3E
+:0411B0009E5052B645
+:0411B1009E4C52B14D
+:0411B2009E4852AC55
+:0411B3009E4452A75D
+:0411B4009E4052A265
+:0411B5009E3C529E6C
+:0411B6009E38529974
+:0411B7009E3452947C
+:0411B8009E30528F84
+:0411B9009E2C528A8C
+:0411BA009E28528693
+:0411BB009E2452819B
+:0411BC009E20527CA3
+:0411BD009E1C5277AB
+:0411BE009E185272B3
+:0411BF009E14526EBA
+:0411C0009E105269C2
+:0411C1009E0B5264CB
+:0411C2009E07525FD3
+:0411C3009E03525ADB
+:0411C4009DFF5256E3
+:0411C5009DFB5251EB
+:0411C6009DF7524CF3
+:0411C7009DF35247FB
+:0411C8009DEF524203
+:0411C9009DEB523D0B
+:0411CA009DE7523912
+:0411CB009DE352341A
+:0411CC009DDF522F22
+:0411CD009DDB522A2A
+:0411CE009DD7522532
+:0411CF009DD3522139
+:0411D0009DCF521C41
+:0411D1009DCB521749
+:0411D2009DC7521251
+:0411D3009DC3520D59
+:0411D4009DBF520861
+:0411D5009DBB520468
+:0411D6009DB751FF71
+:0411D7009DB351FA79
+:0411D8009DAF51F581
+:0411D9009DAB51F089
+:0411DA009DA751EC90
+:0411DB009DA351E798
+:0411DC009D9F51E2A0
+:0411DD009D9B51DDA8
+:0411DE009D9751D8B0
+:0411DF009D9351D3B8
+:0411E0009D8F51CFBF
+:0411E1009D8B51CAC7
+:0411E2009D8751C5CF
+:0411E3009D8351C0D7
+:0411E4009D7F51BBDF
+:0411E5009D7A51B6E8
+:0411E6009D7651B2EF
+:0411E7009D7251ADF7
+:0411E8009D6E51A8FF
+:0411E9009D6A51A307
+:0411EA009D66519E0F
+:0411EB009D62519917
+:0411EC009D5E51951E
+:0411ED009D5A519026
+:0411EE009D56518B2E
+:0411EF009D52518636
+:0411F0009D4E51813E
+:0411F1009D4A517C46
+:0411F2009D4651774E
+:0411F3009D42517355
+:0411F4009D3E516E5D
+:0411F5009D3A516965
+:0411F6009D3651646D
+:0411F7009D32515F75
+:0411F8009D2E515A7D
+:0411F9009D2A515684
+:0411FA009D2651518C
+:0411FB009D22514C94
+:0411FC009D1E51479C
+:0411FD009D1B5142A3
+:0411FE009D17513DAB
+:0411FF009D135138B3
+:041200009D0F5134B9
+:041201009D0B512FC1
+:041202009D07512AC9
+:041203009D035125D1
+:041204009CFF5120DA
+:041205009CFB511BE2
+:041206009CF75116EA
+:041207009CF35112F1
+:041208009CEF510DF9
+:041209009CEB510801
+:04120A009CE7510309
+:04120B009CE350FE12
+:04120C009CDF50F91A
+:04120D009CDB50F422
+:04120E009CD750EF2A
+:04120F009CD350EB31
+:041210009CCF50E639
+:041211009CCB50E141
+:041212009CC750DC49
+:041213009CC350D751
+:041214009CBF50D259
+:041215009CBB50CD61
+:041216009CB750C869
+:041217009CB350C470
+:041218009CAF50BF78
+:041219009CAB50BA80
+:04121A009CA750B588
+:04121B009CA350B090
+:04121C009C9F50AB98
+:04121D009C9B50A6A0
+:04121E009C9750A1A8
+:04121F009C93509DAF
+:041220009C8F5098B7
+:041221009C8C5093BE
+:041222009C88508EC6
+:041223009C845089CE
+:041224009C805084D6
+:041225009C7C507FDE
+:041226009C78507AE6
+:041227009C745076ED
+:041228009C705071F5
+:041229009C6C506CFD
+:04122A009C68506705
+:04122B009C6450620D
+:04122C009C60505D15
+:04122D009C5C50581D
+:04122E009C58505325
+:04122F009C54504E2D
+:041230009C50504A34
+:041231009C4C50453C
+:041232009C48504044
+:041233009C44503B4C
+:041234009C41503653
+:041235009C3D50315B
+:041236009C39502C63
+:041237009C3550276B
+:041238009C31502273
+:041239009C2D501D7B
+:04123A009C29501982
+:04123B009C2550148A
+:04123C009C21500F92
+:04123D009C1D500A9A
+:04123E009C195005A2
+:04123F009C155000AA
+:041240009C114FFBB3
+:041241009C0D4FF6BB
+:041242009C0A4FF1C2
+:041243009C064FECCA
+:041244009C024FE8D1
+:041245009BFE4FE3DA
+:041246009BFA4FDEE2
+:041247009BF64FD9EA
+:041248009BF24FD4F2
+:041249009BEE4FCFFA
+:04124A009BEA4FCA02
+:04124B009BE64FC50A
+:04124C009BE24FC012
+:04124D009BDE4FBB1A
+:04124E009BDA4FB622
+:04124F009BD74FB129
+:041250009BD34FAD30
+:041251009BCF4FA838
+:041252009BCB4FA340
+:041253009BC74F9E48
+:041254009BC34F9950
+:041255009BBF4F9458
+:041256009BBB4F8F60
+:041257009BB74F8A68
+:041258009BB34F8570
+:041259009BB04F8077
+:04125A009BAC4F7B7F
+:04125B009BA84F7687
+:04125C009BA44F728E
+:04125D009BA04F6D96
+:04125E009B9C4F689E
+:04125F009B984F63A6
+:041260009B944F5EAE
+:041261009B904F59B6
+:041262009B8C4F54BE
+:041263009B894F4FC5
+:041264009B854F4ACD
+:041265009B814F45D5
+:041266009B7D4F40DD
+:041267009B794F3BE5
+:041268009B754F36ED
+:041269009B714F31F5
+:04126A009B6D4F2CFD
+:04126B009B694F2804
+:04126C009B664F230B
+:04126D009B624F1E13
+:04126E009B5E4F191B
+:04126F009B5A4F1423
+:041270009B564F0F2B
+:041271009B524F0A33
+:041272009B4E4F053B
+:041273009B4A4F0043
+:041274009B464EFB4C
+:041275009B434EF653
+:041276009B3F4EF15B
+:041277009B3B4EEC63
+:041278009B374EE76B
+:041279009B334EE273
+:04127A009B2F4EDD7B
+:04127B009B2B4ED883
+:04127C009B284ED38A
+:04127D009B244ECF91
+:04127E009B204ECA99
+:04127F009B1C4EC5A1
+:041280009B184EC0A9
+:041281009B144EBBB1
+:041282009B104EB6B9
+:041283009B0C4EB1C1
+:041284009B094EACC8
+:041285009B054EA7D0
+:041286009B014EA2D8
+:041287009AFD4E9DE1
+:041288009AF94E98E9
+:041289009AF54E93F1
+:04128A009AF14E8EF9
+:04128B009AEE4E8900
+:04128C009AEA4E8408
+:04128D009AE64E7F10
+:04128E009AE24E7A18
+:04128F009ADE4E7520
+:041290009ADA4E7028
+:041291009AD64E6B30
+:041292009AD34E6637
+:041293009ACF4E613F
+:041294009ACB4E5C47
+:041295009AC74E584E
+:041296009AC34E5356
+:041297009ABF4E4E5E
+:041298009ABC4E4965
+:041299009AB84E446D
+:04129A009AB44E3F75
+:04129B009AB04E3A7D
+:04129C009AAC4E3585
+:04129D009AA84E308D
+:04129E009AA54E2B94
+:04129F009AA14E269C
+:0412A0009A9D4E21A4
+:0412A1009A994E1CAC
+:0412A2009A954E17B4
+:0412A3009A914E12BC
+:0412A4009A8E4E0DC3
+:0412A5009A8A4E08CB
+:0412A6009A864E03D3
+:0412A7009A824DFEDC
+:0412A8009A7E4DF9E4
+:0412A9009A7A4DF4EC
+:0412AA009A774DEFF3
+:0412AB009A734DEAFB
+:0412AC009A6F4DE503
+:0412AD009A6B4DE00B
+:0412AE009A674DDB13
+:0412AF009A634DD61B
+:0412B0009A604DD122
+:0412B1009A5C4DCC2A
+:0412B2009A584DC732
+:0412B3009A544DC23A
+:0412B4009A504DBD42
+:0412B5009A4D4DB849
+:0412B6009A494DB351
+:0412B7009A454DAE59
+:0412B8009A414DA961
+:0412B9009A3D4DA469
+:0412BA009A394D9F71
+:0412BB009A364D9A78
+:0412BC009A324D9580
+:0412BD009A2E4D9088
+:0412BE009A2A4D8B90
+:0412BF009A264D8698
+:0412C0009A234D819F
+:0412C1009A1F4D7CA7
+:0412C2009A1B4D77AF
+:0412C3009A174D72B7
+:0412C4009A134D6DBF
+:0412C5009A104D68C6
+:0412C6009A0C4D63CE
+:0412C7009A084D5ED6
+:0412C8009A044D59DE
+:0412C9009A004D54E6
+:0412CA0099FD4D4FEE
+:0412CB0099F94D4AF6
+:0412CC0099F54D45FE
+:0412CD0099F14D4006
+:0412CE0099ED4D3B0E
+:0412CF0099EA4D3615
+:0412D00099E64D311D
+:0412D10099E24D2C25
+:0412D20099DE4D272D
+:0412D30099DA4D2235
+:0412D40099D74D1D3C
+:0412D50099D34D1844
+:0412D60099CF4D134C
+:0412D70099CB4D0E54
+:0412D80099C84D095B
+:0412D90099C44D0463
+:0412DA0099C04CFF6C
+:0412DB0099BC4CFA74
+:0412DC0099B84CF57C
+:0412DD0099B54CF083
+:0412DE0099B14CEB8B
+:0412DF0099AD4CE693
+:0412E00099A94CE19B
+:0412E10099A64CDCA2
+:0412E20099A24CD7AA
+:0412E300999E4CD2B2
+:0412E400999A4CCDBA
+:0412E50099974CC8C1
+:0412E60099934CC3C9
+:0412E700998F4CBED1
+:0412E800998B4CB9D9
+:0412E90099874CB4E1
+:0412EA0099844CAFE8
+:0412EB0099804CA9F1
+:0412EC00997C4CA4F9
+:0412ED0099784C9F01
+:0412EE0099754C9A08
+:0412EF0099714C9510
+:0412F000996D4C9018
+:0412F10099694C8B20
+:0412F20099664C8627
+:0412F30099624C812F
+:0412F400995E4C7C37
+:0412F500995A4C773F
+:0412F60099574C7246
+:0412F70099534C6D4E
+:0412F800994F4C6856
+:0412F900994B4C635E
+:0412FA0099484C5E65
+:0412FB0099444C596D
+:0412FC0099404C5475
+:0412FD00993C4C4F7D
+:0412FE0099394C4A84
+:0412FF0099354C458C
+:0413000099314C4093
+:04130100992D4C3B9B
+:04130200992A4C36A2
+:0413030099264C31AA
+:0413040099224C2BB3
+:04130500991E4C26BB
+:04130600991B4C21C2
+:0413070099174C1CCA
+:0413080099134C17D2
+:04130900990F4C12DA
+:04130A00990C4C0DE1
+:04130B0099084C08E9
+:04130C0099044C03F1
+:04130D0099014BFEF9
+:04130E0098FD4BF902
+:04130F0098F94BF40A
+:0413100098F54BEF12
+:0413110098F24BEA19
+:0413120098EE4BE521
+:0413130098EA4BE029
+:0413140098E64BDB31
+:0413150098E34BD638
+:0413160098DF4BD041
+:0413170098DB4BCB49
+:0413180098D84BC650
+:0413190098D44BC158
+:04131A0098D04BBC60
+:04131B0098CC4BB768
+:04131C0098C94BB26F
+:04131D0098C54BAD77
+:04131E0098C14BA87F
+:04131F0098BE4BA386
+:0413200098BA4B9E8E
+:0413210098B64B9996
+:0413220098B24B949E
+:0413230098AF4B8FA5
+:0413240098AB4B8AAD
+:0413250098A74B84B6
+:0413260098A44B7FBD
+:0413270098A04B7AC5
+:04132800989C4B75CD
+:0413290098984B70D5
+:04132A0098954B6BDC
+:04132B0098914B66E4
+:04132C00988D4B61EC
+:04132D00988A4B5CF3
+:04132E0098864B57FB
+:04132F0098824B5203
+:04133000987F4B4D0A
+:04133100987B4B4812
+:0413320098774B421B
+:0413330098734B3D23
+:0413340098704B382A
+:04133500986C4B3332
+:0413360098684B2E3A
+:0413370098654B2941
+:0413380098614B2449
+:04133900985D4B1F51
+:04133A00985A4B1A58
+:04133B0098564B1560
+:04133C0098524B1068
+:04133D00984F4B0B6F
+:04133E00984B4B0578
+:04133F0098474B0080
+:0413400098444AFB88
+:0413410098404AF690
+:04134200983C4AF198
+:0413430098394AEC9F
+:0413440098354AE7A7
+:0413450098314AE2AF
+:04134600982D4ADDB7
+:04134700982A4AD8BE
+:0413480098264AD2C7
+:0413490098224ACDCF
+:04134A00981F4AC8D6
+:04134B00981B4AC3DE
+:04134C0098174ABEE6
+:04134D0098144AB9ED
+:04134E0098104AB4F5
+:04134F00980C4AAFFD
+:0413500098094AAA04
+:0413510098054AA50C
+:0413520098014A9F15
+:0413530097FE4A9A1D
+:0413540097FA4A9525
+:0413550097F64A902D
+:0413560097F34A8B34
+:0413570097EF4A863C
+:0413580097EC4A8143
+:0413590097E84A7C4B
+:04135A0097E44A7753
+:04135B0097E14A725A
+:04135C0097DD4A6C63
+:04135D0097D94A676B
+:04135E0097D64A6272
+:04135F0097D24A5D7A
+:0413600097CE4A5882
+:0413610097CB4A5389
+:0413620097C74A4E91
+:0413630097C34A4999
+:0413640097C04A43A1
+:0413650097BC4A3EA9
+:0413660097B84A39B1
+:0413670097B54A34B8
+:0413680097B14A2FC0
+:0413690097AD4A2AC8
+:04136A0097AA4A25CF
+:04136B0097A64A20D7
+:04136C0097A34A1BDE
+:04136D00979F4A15E7
+:04136E00979B4A10EF
+:04136F0097984A0BF6
+:0413700097944A06FE
+:0413710097904A0106
+:04137200978D49FC0E
+:04137300978949F716
+:04137400978549F21E
+:04137500978249EC26
+:04137600977E49E72E
+:04137700977B49E235
+:04137800977749DD3D
+:04137900977349D845
+:04137A00977049D34C
+:04137B00976C49CE54
+:04137C00976849C85D
+:04137D00976549C364
+:04137E00976149BE6C
+:04137F00975E49B973
+:04138000975A49B47B
+:04138100975649AF83
+:04138200975349AA8A
+:04138300974F49A592
+:04138400974C499F9A
+:041385009748499AA2
+:0413860097444995AA
+:0413870097414990B1
+:04138800973D498BB9
+:0413890097394986C1
+:04138A0097364981C8
+:04138B009732497BD1
+:04138C00972F4976D8
+:04138D00972B4971E0
+:04138E009727496CE8
+:04138F0097244967EF
+:0413900097204962F7
+:04139100971D495DFE
+:041392009719495707
+:04139300971549520F
+:041394009712494D16
+:04139500970E49481E
+:04139600970B494325
+:041397009707493E2D
+:041398009703493836
+:04139900970049333D
+:04139A0096FC492E46
+:04139B0096F949294D
+:04139C0096F5492455
+:04139D0096F2491F5C
+:04139E0096EE491A64
+:04139F0096EA49146D
+:0413A00096E7490F74
+:0413A10096E3490A7C
+:0413A20096E0490583
+:0413A30096DC49008B
+:0413A40096D848FB94
+:0413A50096D548F59C
+:0413A60096D148F0A4
+:0413A70096CE48EBAB
+:0413A80096CA48E6B3
+:0413A90096C748E1BA
+:0413AA0096C348DCC2
+:0413AB0096BF48D6CB
+:0413AC0096BC48D1D2
+:0413AD0096B848CCDA
+:0413AE0096B548C7E1
+:0413AF0096B148C2E9
+:0413B00096AE48BDF0
+:0413B10096AA48B7F9
+:0413B20096A648B201
+:0413B30096A348AD08
+:0413B400969F48A810
+:0413B500969C48A317
+:0413B6009698489E1F
+:0413B7009695489827
+:0413B800969148932F
+:0413B900968D488E37
+:0413BA00968A48893E
+:0413BB009686488446
+:0413BC009683487E4E
+:0413BD00967F487956
+:0413BE00967C48745D
+:0413BF009678486F65
+:0413C0009675486A6C
+:0413C1009671486574
+:0413C200966D485F7D
+:0413C300966A485A84
+:0413C400966648558C
+:0413C5009663485093
+:0413C600965F484B9B
+:0413C700965C4845A3
+:0413C80096584840AB
+:0413C9009655483BB2
+:0413CA0096514836BA
+:0413CB00964D4831C2
+:0413CC00964A482CC9
+:0413CD0096464826D2
+:0413CE0096434821D9
+:0413CF00963F481CE1
+:0413D000963C4817E8
+:0413D10096384812F0
+:0413D2009635480CF8
+:0413D3009631480700
+:0413D400962E480207
+:0413D500962A47FD10
+:0413D600962747F817
+:0413D700962347F220
+:0413D800961F47ED28
+:0413D900961C47E82F
+:0413DA00961847E337
+:0413DB00961547DE3E
+:0413DC00961147D847
+:0413DD00960E47D34E
+:0413DE00960A47CE56
+:0413DF00960747C95D
+:0413E000960347C465
+:0413E100960047BE6D
+:0413E20095FC47B976
+:0413E30095F947B47D
+:0413E40095F547AF85
+:0413E50095F247AA8C
+:0413E60095EE47A495
+:0413E70095EB479F9C
+:0413E80095E7479AA4
+:0413E90095E44795AB
+:0413EA0095E04790B3
+:0413EB0095DD478ABB
+:0413EC0095D94785C3
+:0413ED0095D64780CA
+:0413EE0095D2477BD2
+:0413EF0095CF4776D9
+:0413F00095CB4770E2
+:0413F10095C8476BE9
+:0413F20095C44766F1
+:0413F30095C14761F8
+:0413F40095BD475B01
+:0413F50095BA475608
+:0413F60095B6475110
+:0413F70095B3474C17
+:0413F80095AF47471F
+:0413F90095AC474127
+:0413FA0095A8473C2F
+:0413FB0095A5473736
+:0413FC0095A147323E
+:0413FD00959E472C46
+:0413FE00959A47274E
+:0413FF009597472255
+:041400009593471D5C
+:041401009590471863
+:04140200958C47126C
+:041403009589470D73
+:04140400958547087B
+:041405009582470382
+:04140600957E46FD8C
+:04140700957B46F893
+:04140800957746F39B
+:04140900957446EEA2
+:04140A00957046E9AA
+:04140B00956D46E3B2
+:04140C00956946DEBA
+:04140D00956646D9C1
+:04140E00956246D4C9
+:04140F00955F46CED1
+:04141000955B46C9D9
+:04141100955846C4E0
+:04141200955446BFE8
+:04141300955146B9F0
+:04141400954D46B4F8
+:04141500954A46AFFF
+:04141600954746AA06
+:04141700954346A40F
+:041418009540469F16
+:04141900953C469A1E
+:04141A009539469525
+:04141B00953546902D
+:04141C009532468A35
+:04141D00952E46853D
+:04141E00952B468044
+:04141F009527467B4C
+:041420009524467554
+:04142100952046705C
+:04142200951D466B63
+:04142300951A46666A
+:041424009516466073
+:041425009513465B7A
+:04142600950F465682
+:04142700950C465189
+:041428009508464B92
+:041429009505464699
+:04142A0095014641A1
+:04142B0094FE463CA9
+:04142C0094FA4636B2
+:04142D0094F74631B9
+:04142E0094F4462CC0
+:04142F0094F04627C8
+:0414300094ED4621D0
+:0414310094E9461CD8
+:0414320094E64617DF
+:0414330094E24612E7
+:0414340094DF460CEF
+:0414350094DB4607F7
+:0414360094D84602FE
+:0414370094D545FC07
+:0414380094D145F70F
+:0414390094CE45F216
+:04143A0094CA45ED1E
+:04143B0094C745E726
+:04143C0094C345E22E
+:04143D0094C045DD35
+:04143E0094BD45D83C
+:04143F0094B945D245
+:0414400094B645CD4C
+:0414410094B245C854
+:0414420094AF45C35B
+:0414430094AB45BD64
+:0414440094A845B86B
+:0414450094A545B372
+:0414460094A145AE7A
+:04144700949E45A882
+:04144800949A45A38A
+:041449009497459E91
+:04144A009494459899
+:04144B0094904593A1
+:04144C00948D458EA8
+:04144D0094894589B0
+:04144E0094864583B8
+:04144F009482457EC0
+:04145000947F4579C7
+:04145100947C4573CF
+:041452009478456ED7
+:0414530094754569DE
+:0414540094714564E6
+:04145500946E455EEE
+:04145600946B4559F5
+:0414570094674554FD
+:041458009464454F04
+:04145900946045490D
+:04145A00945D454414
+:04145B00945A453F1B
+:04145C009456453924
+:04145D00945345342B
+:04145E00944F452F33
+:04145F00944C452A3A
+:041460009449452442
+:041461009445451F4A
+:041462009442451A51
+:04146300943E45145A
+:04146400943B450F61
+:041465009438450A68
+:041466009434450570
+:04146700943144FF79
+:04146800942D44FA81
+:04146900942A44F588
+:04146A00942744EF90
+:04146B00942344EA98
+:04146C00942044E59F
+:04146D00941D44DFA7
+:04146E00941944DAAF
+:04146F00941644D5B6
+:04147000941244D0BE
+:04147100940F44CAC6
+:04147200940C44C5CD
+:04147300940844C0D5
+:04147400940544BADD
+:04147500940244B5E4
+:0414760093FE44B0ED
+:0414770093FB44AAF5
+:0414780093F744A5FD
+:0414790093F444A004
+:04147A0093F1449B0B
+:04147B0093ED449514
+:04147C0093EA44901B
+:04147D0093E7448B22
+:04147E0093E344852B
+:04147F0093E0448032
+:0414800093DD447B39
+:0414810093D9447542
+:0414820093D6447049
+:0414830093D2446B51
+:0414840093CF446559
+:0414850093CC446060
+:0414860093C8445B68
+:0414870093C544566F
+:0414880093C2445077
+:0414890093BE444B7F
+:04148A0093BB444686
+:04148B0093B844408E
+:04148C0093B4443B96
+:04148D0093B144369D
+:04148E0093AE4430A5
+:04148F0093AA442BAD
+:0414900093A74426B4
+:0414910093A44420BC
+:0414920093A0441BC4
+:04149300939D4416CB
+:04149400939A4410D3
+:041495009396440BDB
+:0414960093934406E2
+:04149700938F4400EB
+:04149800938C43FBF3
+:04149900938943F6FA
+:04149A00938543F102
+:04149B00938243EB0A
+:04149C00937F43E611
+:04149D00937B43E119
+:04149E00937843DB21
+:04149F00937543D628
+:0414A000937143D130
+:0414A100936E43CB38
+:0414A200936B43C63F
+:0414A300936843C146
+:0414A400936443BB4F
+:0414A500936143B656
+:0414A600935E43B15D
+:0414A700935A43AB66
+:0414A800935743A66D
+:0414A900935443A174
+:0414AA009350439B7D
+:0414AB00934D439684
+:0414AC00934A43918B
+:0414AD009346438B94
+:0414AE00934343869B
+:0414AF0093404381A2
+:0414B000933C437BAB
+:0414B10093394376B2
+:0414B20093364371B9
+:0414B3009332436BC2
+:0414B400932F4366C9
+:0414B500932C4361D0
+:0414B6009329435BD8
+:0414B70093254356E0
+:0414B80093224350E8
+:0414B900931F434BEF
+:0414BA00931B4346F7
+:0414BB0093184340FF
+:0414BC009315433B06
+:0414BD00931143360E
+:0414BE00930E433016
+:0414BF00930B432B1D
+:0414C0009307432625
+:0414C100930443202D
+:0414C2009301431B34
+:0414C30092FE43163C
+:0414C40092FA431045
+:0414C50092F7430B4C
+:0414C60092F4430653
+:0414C70092F043005C
+:0414C80092ED42FB64
+:0414C90092EA42F66B
+:0414CA0092E742F073
+:0414CB0092E342EB7B
+:0414CC0092E042E583
+:0414CD0092DD42E08A
+:0414CE0092D942DB92
+:0414CF0092D642D59A
+:0414D00092D342D0A1
+:0414D10092D042CBA8
+:0414D20092CC42C5B1
+:0414D30092C942C0B8
+:0414D40092C642BBBF
+:0414D50092C342B5C7
+:0414D60092BF42B0CF
+:0414D70092BC42ABD6
+:0414D80092B942A5DE
+:0414D90092B542A0E6
+:0414DA0092B2429AEE
+:0414DB0092AF4295F5
+:0414DC0092AC4290FC
+:0414DD0092A8428A05
+:0414DE0092A542850C
+:0414DF0092A2428013
+:0414E000929F427A1B
+:0414E100929B427523
+:0414E2009298426F2B
+:0414E3009295426A32
+:0414E4009292426539
+:0414E500928E425F42
+:0414E600928B425A49
+:0414E7009288425550
+:0414E8009284424F59
+:0414E9009281424A60
+:0414EA00927E424468
+:0414EB00927B423F6F
+:0414EC009277423A77
+:0414ED00927442347F
+:0414EE009271422F86
+:0414EF00926E422A8D
+:0414F000926A422496
+:0414F1009267421F9D
+:0414F20092644219A5
+:0414F30092614214AC
+:0414F400925D420FB4
+:0414F500925A4209BC
+:0414F60092574204C3
+:0414F700925441FFCB
+:0414F800925141F9D3
+:0414F900924D41F4DB
+:0414FA00924A41EEE3
+:0414FB00924741E9EA
+:0414FC00924441E4F1
+:0414FD00924041DEFA
+:0414FE00923D41D901
+:0414FF00923A41D309
+:04150000923741CE0F
+:04150100923341C917
+:04150200923041C31F
+:04150300922D41BE26
+:04150400922A41B92D
+:04150500922741B335
+:04150600922341AE3D
+:04150700922041A845
+:04150800921D41A34C
+:04150900921A419E53
+:04150A00921641985C
+:04150B009213419363
+:04150C009210418D6B
+:04150D00920D418872
+:04150E00920A418379
+:04150F009206417D82
+:041510009203417889
+:041511009200417291
+:0415120091FD416D99
+:0415130091F94168A1
+:0415140091F64162A9
+:0415150091F3415DB0
+:0415160091F04157B8
+:0415170091ED4152BF
+:0415180091E9414DC7
+:0415190091E64147CF
+:04151A0091E34142D6
+:04151B0091E0413CDE
+:04151C0091DD4137E5
+:04151D0091D94132ED
+:04151E0091D6412CF5
+:04151F0091D34127FC
+:0415200091D0412104
+:0415210091CD411C0B
+:0415220091C9411713
+:0415230091C641111B
+:0415240091C3410C22
+:0415250091C041062A
+:0415260091BD410131
+:0415270091B940FB3B
+:0415280091B640F642
+:0415290091B340F149
+:04152A0091B040EB51
+:04152B0091AD40E658
+:04152C0091AA40E060
+:04152D0091A640DB68
+:04152E0091A340D66F
+:04152F0091A040D077
+:04153000919D40CB7E
+:04153100919A40C586
+:04153200919640C08E
+:04153300919340BA96
+:04153400919040B59D
+:04153500918D40B0A4
+:04153600918A40AAAC
+:04153700918740A5B3
+:041538009183409FBC
+:041539009180409AC3
+:04153A00917D4094CB
+:04153B00917A408FD2
+:04153C009177408AD9
+:04153D0091744084E1
+:04153E009170407FE9
+:04153F00916D4079F1
+:04154000916A4074F8
+:041541009167406FFF
+:041542009164406907
+:04154300916140640E
+:04154400915D405E17
+:04154500915A40591E
+:041546009157405326
+:041547009154404E2D
+:041548009151404835
+:04154900914E40433C
+:04154A00914A403E44
+:04154B00914740384C
+:04154C009144403353
+:04154D009141402D5B
+:04154E00913E402862
+:04154F00913B40226A
+:041550009138401D71
+:041551009134401879
+:041552009131401281
+:04155300912E400D88
+:04155400912B400790
+:041555009128400297
+:0415560091253FFBA1
+:0415570091223FF6A8
+:04155800911E3FF0B1
+:04155900911B3FEBB8
+:04155A0091183FE6BF
+:04155B0091153FE0C7
+:04155C0091123FDBCE
+:04155D00910F3FD5D6
+:04155E00910C3FD0DD
+:04155F0091083FCAE6
+:0415600091053FC5ED
+:0415610091023FBFF5
+:0415620090FF3FBAFD
+:0415630090FC3FB504
+:0415640090F93FAF0C
+:0415650090F63FAA13
+:0415660090F33FA41B
+:0415670090EF3F9F23
+:0415680090EC3F992B
+:0415690090E93F9432
+:04156A0090E63F8E3A
+:04156B0090E33F8941
+:04156C0090E03F8448
+:04156D0090DD3F7E50
+:04156E0090DA3F7957
+:04156F0090D73F735F
+:0415700090D33F6E67
+:0415710090D03F686F
+:0415720090CD3F6376
+:0415730090CA3F5D7E
+:0415740090C73F5885
+:0415750090C43F528D
+:0415760090C13F4D94
+:0415770090BE3F479C
+:0415780090BB3F42A3
+:0415790090B73F3DAB
+:04157A0090B43F37B3
+:04157B0090B13F32BA
+:04157C0090AE3F2CC2
+:04157D0090AB3F27C9
+:04157E0090A83F21D1
+:04157F0090A53F1CD8
+:0415800090A23F16E0
+:04158100909F3F11E7
+:04158200909C3F0BEF
+:0415830090983F06F7
+:0415840090953F00FF
+:0415850090923EFB07
+:04158600908F3EF50F
+:04158700908C3EF016
+:0415880090893EEB1D
+:0415890090863EE525
+:04158A0090833EE02C
+:04158B0090803EDA34
+:04158C00907D3ED53B
+:04158D00907A3ECF43
+:04158E0090763ECA4B
+:04158F0090733EC453
+:0415900090703EBF5A
+:04159100906D3EB962
+:04159200906A3EB469
+:0415930090673EAE71
+:0415940090643EA978
+:0415950090613EA380
+:04159600905E3E9E87
+:04159700905B3E988F
+:0415980090583E9396
+:0415990090553E8D9E
+:04159A0090523E88A5
+:04159B00904E3E82AE
+:04159C00904B3E7DB5
+:04159D0090483E78BC
+:04159E0090453E72C4
+:04159F0090423E6DCB
+:0415A000903F3E67D3
+:0415A100903C3E62DA
+:0415A20090393E5CE2
+:0415A30090363E57E9
+:0415A40090333E51F1
+:0415A50090303E4CF8
+:0415A600902D3E4600
+:0415A700902A3E4107
+:0415A80090273E3B0F
+:0415A90090243E3616
+:0415AA0090213E301E
+:0415AB00901E3E2B25
+:0415AC00901A3E252E
+:0415AD0090173E2035
+:0415AE0090143E1A3D
+:0415AF0090113E1544
+:0415B000900E3E0F4C
+:0415B100900B3E0A53
+:0415B20090083E045B
+:0415B30090053DFF63
+:0415B40090023DF96B
+:0415B5008FFF3DF473
+:0415B6008FFC3DEE7B
+:0415B7008FF93DE982
+:0415B8008FF63DE38A
+:0415B9008FF33DDE91
+:0415BA008FF03DD899
+:0415BB008FED3DD3A0
+:0415BC008FEA3DCDA8
+:0415BD008FE73DC8AF
+:0415BE008FE43DC2B7
+:0415BF008FE13DBDBE
+:0415C0008FDE3DB7C6
+:0415C1008FDB3DB2CD
+:0415C2008FD83DACD5
+:0415C3008FD53DA7DC
+:0415C4008FD23DA1E4
+:0415C5008FCF3D9CEB
+:0415C6008FCC3D96F3
+:0415C7008FC93D91FA
+:0415C8008FC53D8B03
+:0415C9008FC23D860A
+:0415CA008FBF3D8012
+:0415CB008FBC3D7B19
+:0415CC008FB93D7521
+:0415CD008FB63D7028
+:0415CE008FB33D6A30
+:0415CF008FB03D6537
+:0415D0008FAD3D5F3F
+:0415D1008FAA3D5A46
+:0415D2008FA73D544E
+:0415D3008FA43D4F55
+:0415D4008FA13D495D
+:0415D5008F9E3D4464
+:0415D6008F9B3D3E6C
+:0415D7008F983D3874
+:0415D8008F953D337B
+:0415D9008F923D2D83
+:0415DA008F8F3D288A
+:0415DB008F8C3D2292
+:0415DC008F893D1D99
+:0415DD008F863D17A1
+:0415DE008F833D12A8
+:0415DF008F803D0CB0
+:0415E0008F7D3D07B7
+:0415E1008F7A3D01BF
+:0415E2008F773CFCC7
+:0415E3008F743CF6CF
+:0415E4008F713CF1D6
+:0415E5008F6E3CEBDE
+:0415E6008F6B3CE6E5
+:0415E7008F683CE0ED
+:0415E8008F653CDBF4
+:0415E9008F623CD5FC
+:0415EA008F5F3CD003
+:0415EB008F5C3CCA0B
+:0415EC008F593CC413
+:0415ED008F563CBF1A
+:0415EE008F533CB922
+:0415EF008F503CB429
+:0415F0008F4D3CAE31
+:0415F1008F4B3CA937
+:0415F2008F483CA33F
+:0415F3008F453C9E46
+:0415F4008F423C984E
+:0415F5008F3F3C9355
+:0415F6008F3C3C8D5D
+:0415F7008F393C8864
+:0415F8008F363C826C
+:0415F9008F333C7D73
+:0415FA008F303C777B
+:0415FB008F2D3C7183
+:0415FC008F2A3C6C8A
+:0415FD008F273C6692
+:0415FE008F243C6199
+:0415FF008F213C5BA1
+:041600008F1E3C56A7
+:041601008F1B3C50AF
+:041602008F183C4BB6
+:041603008F153C45BE
+:041604008F123C40C5
+:041605008F0F3C3ACD
+:041606008F0C3C35D4
+:041607008F093C2FDC
+:041608008F063C29E4
+:041609008F033C24EB
+:04160A008F003C1EF3
+:04160B008EFD3C19FB
+:04160C008EFB3C1302
+:04160D008EF83C0E09
+:04160E008EF53C0811
+:04160F008EF23C0318
+:041610008EEF3BFD21
+:041611008EEC3BF828
+:041612008EE93BF230
+:041613008EE63BEC38
+:041614008EE33BE73F
+:041615008EE03BE147
+:041616008EDD3BDC4E
+:041617008EDA3BD656
+:041618008ED73BD15D
+:041619008ED43BCB65
+:04161A008ED13BC66C
+:04161B008ECE3BC074
+:04161C008ECB3BBA7C
+:04161D008EC93BB582
+:04161E008EC63BAF8A
+:04161F008EC33BAA91
+:041620008EC03BA499
+:041621008EBD3B9FA0
+:041622008EBA3B99A8
+:041623008EB73B94AF
+:041624008EB43B8EB7
+:041625008EB13B88BF
+:041626008EAE3B83C6
+:041627008EAB3B7DCE
+:041628008EA83B78D5
+:041629008EA53B72DD
+:04162A008EA33B6DE3
+:04162B008EA03B67EB
+:04162C008E9D3B61F3
+:04162D008E9A3B5CFA
+:04162E008E973B5602
+:04162F008E943B5109
+:041630008E913B4B11
+:041631008E8E3B4618
+:041632008E8B3B4020
+:041633008E883B3A28
+:041634008E853B352F
+:041635008E823B2F37
+:041636008E803B2A3D
+:041637008E7D3B2445
+:041638008E7A3B1F4C
+:041639008E773B1954
+:04163A008E743B135C
+:04163B008E713B0E63
+:04163C008E6E3B086B
+:04163D008E6B3B0372
+:04163E008E683AFD7B
+:04163F008E653AF882
+:041640008E633AF289
+:041641008E603AEC91
+:041642008E5D3AE798
+:041643008E5A3AE1A0
+:041644008E573ADCA7
+:041645008E543AD6AF
+:041646008E513AD1B6
+:041647008E4E3ACBBE
+:041648008E4B3AC5C6
+:041649008E493AC0CC
+:04164A008E463ABAD4
+:04164B008E433AB5DB
+:04164C008E403AAFE3
+:04164D008E3D3AA9EB
+:04164E008E3A3AA4F2
+:04164F008E373A9EFA
+:041650008E343A9901
+:041651008E323A9308
+:041652008E2F3A8E0F
+:041653008E2C3A8817
+:041654008E293A821F
+:041655008E263A7D26
+:041656008E233A772E
+:041657008E203A7235
+:041658008E1D3A6C3D
+:041659008E1B3A6644
+:04165A008E183A614B
+:04165B008E153A5B53
+:04165C008E123A565A
+:04165D008E0F3A5062
+:04165E008E0C3A4A6A
+:04165F008E093A4571
+:041660008E073A3F78
+:041661008E043A3A7F
+:041662008E013A3487
+:041663008DFE3A2E90
+:041664008DFB3A2997
+:041665008DF83A239F
+:041666008DF53A1EA6
+:041667008DF33A18AD
+:041668008DF03A12B5
+:041669008DED3A0DBC
+:04166A008DEA3A07C4
+:04166B008DE73A02CB
+:04166C008DE439FCD4
+:04166D008DE139F6DC
+:04166E008DDF39F1E2
+:04166F008DDC39EBEA
+:041670008DD939E6F1
+:041671008DD639E0F9
+:041672008DD339DA01
+:041673008DD039D508
+:041674008DCE39CF0F
+:041675008DCB39CA16
+:041676008DC839C41E
+:041677008DC539BE26
+:041678008DC239B92D
+:041679008DBF39B335
+:04167A008DBD39AE3B
+:04167B008DBA39A843
+:04167C008DB739A24B
+:04167D008DB4399D52
+:04167E008DB139975A
+:04167F008DAE399261
+:041680008DAC398C68
+:041681008DA9398670
+:041682008DA6398177
+:041683008DA3397B7F
+:041684008DA0397686
+:041685008D9E39708D
+:041686008D9B396A95
+:041687008D9839659C
+:041688008D95395FA4
+:041689008D923959AC
+:04168A008D8F3954B3
+:04168B008D8D394EBA
+:04168C008D8A3949C1
+:04168D008D873943C9
+:04168E008D84393DD1
+:04168F008D813938D8
+:041690008D7F3932DF
+:041691008D7C392CE7
+:041692008D793927EE
+:041693008D763921F6
+:041694008D73391CFD
+:041695008D71391604
+:041696008D6E39100C
+:041697008D6B390B13
+:041698008D6839051B
+:041699008D6538FF24
+:04169A008D6338FA2A
+:04169B008D6038F432
+:04169C008D5D38EF39
+:04169D008D5A38E941
+:04169E008D5738E349
+:04169F008D5538DE4F
+:0416A0008D5238D857
+:0416A1008D4F38D25F
+:0416A2008D4C38CD66
+:0416A3008D4938C76E
+:0416A4008D4738C274
+:0416A5008D4438BC7C
+:0416A6008D4138B684
+:0416A7008D3E38B18B
+:0416A8008D3B38AB93
+:0416A9008D3938A59A
+:0416AA008D3638A0A1
+:0416AB008D33389AA9
+:0416AC008D303895B0
+:0416AD008D2E388FB7
+:0416AE008D2B3889BF
+:0416AF008D283884C6
+:0416B0008D25387ECE
+:0416B1008D223878D6
+:0416B2008D203873DC
+:0416B3008D1D386DE4
+:0416B4008D1A3867EC
+:0416B5008D173862F3
+:0416B6008D15385CFA
+:0416B7008D12385602
+:0416B8008D0F385109
+:0416B9008D0C384B11
+:0416BA008D0A384617
+:0416BB008D0738401F
+:0416BC008D04383A27
+:0416BD008D0138352E
+:0416BE008CFF382F36
+:0416BF008CFC38293E
+:0416C0008CF9382445
+:0416C1008CF6381E4D
+:0416C2008CF4381854
+:0416C3008CF138135B
+:0416C4008CEE380D63
+:0416C5008CEB38076B
+:0416C6008CE9380271
+:0416C7008CE637FC7A
+:0416C8008CE337F781
+:0416C9008CE037F189
+:0416CA008CDE37EB90
+:0416CB008CDB37E697
+:0416CC008CD837E09F
+:0416CD008CD537DAA7
+:0416CE008CD337D5AD
+:0416CF008CD037CFB5
+:0416D0008CCD37C9BD
+:0416D1008CCA37C4C4
+:0416D2008CC837BECB
+:0416D3008CC537B8D3
+:0416D4008CC237B3DA
+:0416D5008CBF37ADE2
+:0416D6008CBD37A7E9
+:0416D7008CBA37A2F0
+:0416D8008CB7379CF8
+:0416D9008CB4379600
+:0416DA008CB2379106
+:0416DB008CAF378B0E
+:0416DC008CAC378516
+:0416DD008CAA37801C
+:0416DE008CA7377A24
+:0416DF008CA437742C
+:0416E0008CA1376F33
+:0416E1008C9F37693A
+:0416E2008C9C376342
+:0416E3008C99375E49
+:0416E4008C97375850
+:0416E5008C94375258
+:0416E6008C91374D5F
+:0416E7008C8E374767
+:0416E8008C8C37416E
+:0416E9008C89373C75
+:0416EA008C8637367D
+:0416EB008C84373084
+:0416EC008C81372B8B
+:0416ED008C7E372593
+:0416EE008C7B371F9B
+:0416EF008C79371AA1
+:0416F0008C763714A9
+:0416F1008C73370EB1
+:0416F2008C713709B7
+:0416F3008C6E3703BF
+:0416F4008C6B36FDC8
+:0416F5008C6936F8CE
+:0416F6008C6636F2D6
+:0416F7008C6336ECDE
+:0416F8008C6036E7E5
+:0416F9008C5E36E1EC
+:0416FA008C5B36DBF4
+:0416FB008C5836D6FB
+:0416FC008C5636D002
+:0416FD008C5336CA0A
+:0416FE008C5036C511
+:0416FF008C4E36BF18
+:041700008C4B36B91F
+:041701008C4836B426
+:041702008C4636AE2D
+:041703008C4336A835
+:041704008C4036A33C
+:041705008C3D369D44
+:041706008C3B36974B
+:041707008C38369252
+:041708008C35368C5A
+:041709008C33368661
+:04170A008C30368069
+:04170B008C2D367B70
+:04170C008C2B367577
+:04170D008C28366F7F
+:04170E008C25366A86
+:04170F008C2336648D
+:041710008C20365E95
+:041711008C1D36599C
+:041712008C1B3653A3
+:041713008C18364DAB
+:041714008C153648B2
+:041715008C133642B9
+:041716008C10363CC1
+:041717008C0D3637C8
+:041718008C0B3631CF
+:041719008C08362BD7
+:04171A008C053625DF
+:04171B008C033620E5
+:04171C008C00361AED
+:04171D008BFD3614F6
+:04171E008BFB360FFC
+:04171F008BF8360904
+:041720008BF536030C
+:041721008BF335FE13
+:041722008BF035F81B
+:041723008BEE35F222
+:041724008BEB35EC2A
+:041725008BE835E731
+:041726008BE635E138
+:041727008BE335DB40
+:041728008BE035D647
+:041729008BDE35D04E
+:04172A008BDB35CA56
+:04172B008BD835C55D
+:04172C008BD635BF64
+:04172D008BD335B96C
+:04172E008BD035B374
+:04172F008BCE35AE7A
+:041730008BCB35A882
+:041731008BC935A289
+:041732008BC6359D90
+:041733008BC3359798
+:041734008BC135919F
+:041735008BBE358CA6
+:041736008BBB3586AE
+:041737008BB93580B5
+:041738008BB6357ABD
+:041739008BB43575C3
+:04173A008BB1356FCB
+:04173B008BAE3569D3
+:04173C008BAC3564D9
+:04173D008BA9355EE1
+:04173E008BA63558E9
+:04173F008BA43552F0
+:041740008BA1354DF7
+:041741008B9F3547FE
+:041742008B9C354106
+:041743008B99353C0D
+:041744008B97353614
+:041745008B9435301C
+:041746008B92352A23
+:041747008B8F35252A
+:041748008B8C351F32
+:041749008B8A351939
+:04174A008B87351440
+:04174B008B84350E48
+:04174C008B8235084F
+:04174D008B7F350257
+:04174E008B7D34FD5E
+:04174F008B7A34F766
+:041750008B7734F16E
+:041751008B7534EC74
+:041752008B7234E67C
+:041753008B7034E083
+:041754008B6D34DA8B
+:041755008B6B34D591
+:041756008B6834CF99
+:041757008B6534C9A1
+:041758008B6334C3A8
+:041759008B6034BEAF
+:04175A008B5E34B8B6
+:04175B008B5B34B2BE
+:04175C008B5834ADC5
+:04175D008B5634A7CC
+:04175E008B5334A1D4
+:04175F008B51349BDB
+:041760008B4E3496E2
+:041761008B4B3490EA
+:041762008B49348AF1
+:041763008B463485F8
+:041764008B44347FFF
+:041765008B41347907
+:041766008B3F34730E
+:041767008B3C346E15
+:041768008B3934681D
+:041769008B37346224
+:04176A008B34345C2C
+:04176B008B32345732
+:04176C008B2F34513A
+:04176D008B2D344B41
+:04176E008B2A344549
+:04176F008B27344050
+:041770008B25343A57
+:041771008B2234345F
+:041772008B20342F65
+:041773008B1D34296D
+:041774008B1B342374
+:041775008B18341D7C
+:041776008B16341882
+:041777008B1334128A
+:041778008B10340C92
+:041779008B0E340699
+:04177A008B0B3401A0
+:04177B008B0933FBA8
+:04177C008B0633F5B0
+:04177D008B0433EFB7
+:04177E008B0133EABE
+:04177F008AFF33E4C6
+:041780008AFC33DECE
+:041781008AF933D8D6
+:041782008AF733D3DC
+:041783008AF433CDE4
+:041784008AF233C7EB
+:041785008AEF33C1F3
+:041786008AED33BCF9
+:041787008AEA33B601
+:041788008AE833B008
+:041789008AE533AA10
+:04178A008AE333A516
+:04178B008AE0339F1E
+:04178C008ADE339925
+:04178D008ADB33932D
+:04178E008AD8338E34
+:04178F008AD633883B
+:041790008AD3338243
+:041791008AD1337C4A
+:041792008ACE337751
+:041793008ACC337158
+:041794008AC9336B60
+:041795008AC7336567
+:041796008AC433606E
+:041797008AC2335A75
+:041798008ABF33547D
+:041799008ABD334E84
+:04179A008ABA33498B
+:04179B008AB8334392
+:04179C008AB5333D9A
+:04179D008AB33337A1
+:04179E008AB03332A8
+:04179F008AAE332CAF
+:0417A0008AAB3326B7
+:0417A1008AA93320BE
+:0417A2008AA6331BC5
+:0417A3008AA43315CC
+:0417A4008AA1330FD4
+:0417A5008A9F3309DB
+:0417A6008A9C3304E2
+:0417A7008A9A32FEEA
+:0417A8008A9732F8F2
+:0417A9008A9532F2F9
+:0417AA008A9232EC01
+:0417AB008A9032E707
+:0417AC008A8D32E10F
+:0417AD008A8B32DB16
+:0417AE008A8832D51E
+:0417AF008A8632D024
+:0417B0008A8332CA2C
+:0417B1008A8132C433
+:0417B2008A7E32BE3B
+:0417B3008A7C32B941
+:0417B4008A7932B349
+:0417B5008A7732AD50
+:0417B6008A7432A758
+:0417B7008A7232A15F
+:0417B8008A6F329C66
+:0417B9008A6D32966D
+:0417BA008A6A329075
+:0417BB008A68328A7C
+:0417BC008A65328583
+:0417BD008A63327F8A
+:0417BE008A60327992
+:0417BF008A5E327399
+:0417C0008A5B326EA0
+:0417C1008A593268A7
+:0417C2008A563262AF
+:0417C3008A54325CB6
+:0417C4008A513256BE
+:0417C5008A4F3251C4
+:0417C6008A4C324BCC
+:0417C7008A4A3245D3
+:0417C8008A48323FDA
+:0417C9008A45323AE1
+:0417CA008A433234E8
+:0417CB008A40322EF0
+:0417CC008A3E3228F7
+:0417CD008A3B3222FF
+:0417CE008A39321D05
+:0417CF008A3632170D
+:0417D0008A34321114
+:0417D1008A31320B1C
+:0417D2008A2F320622
+:0417D3008A2C32002A
+:0417D4008A2A31FA32
+:0417D5008A2831F439
+:0417D6008A2531EE41
+:0417D7008A2331E947
+:0417D8008A2031E34F
+:0417D9008A1E31DD56
+:0417DA008A1B31D75E
+:0417DB008A1931D165
+:0417DC008A1631CC6C
+:0417DD008A1431C673
+:0417DE008A1231C07A
+:0417DF008A0F31BA82
+:0417E0008A0D31B588
+:0417E1008A0A31AF90
+:0417E2008A0831A997
+:0417E3008A0531A39F
+:0417E4008A03319DA6
+:0417E5008A003198AD
+:0417E60089FE3192B5
+:0417E70089FC318CBC
+:0417E80089F93186C4
+:0417E90089F73180CB
+:0417EA0089F4317BD2
+:0417EB0089F23175D9
+:0417EC0089EF316FE1
+:0417ED0089ED3169E8
+:0417EE0089EB3163EF
+:0417EF0089E8315EF6
+:0417F00089E63158FD
+:0417F10089E3315205
+:0417F20089E1314C0C
+:0417F30089DF314613
+:0417F40089DC31411A
+:0417F50089DA313B21
+:0417F60089D7313529
+:0417F70089D5312F30
+:0417F80089D2312938
+:0417F90089D031243E
+:0417FA0089CE311E45
+:0417FB0089CB31184D
+:0417FC0089C9311254
+:0417FD0089C6310C5C
+:0417FE0089C4310762
+:0417FF0089C2310169
+:0418000089BF30FB71
+:0418010089BD30F578
+:0418020089BA30EF80
+:0418030089B830EA86
+:0418040089B630E48D
+:0418050089B330DE95
+:0418060089B130D89C
+:0418070089AE30D2A4
+:0418080089AC30CDAA
+:0418090089AA30C7B1
+:04180A0089A730C1B9
+:04180B0089A530BBC0
+:04180C0089A230B5C8
+:04180D0089A030B0CE
+:04180E00899E30AAD5
+:04180F00899B30A4DD
+:041810008999309EE4
+:0418110089963098EC
+:0418120089943092F3
+:041813008992308DF9
+:04181400898F308701
+:04181500898D308108
+:04181600898B307B0F
+:041817008988307517
+:04181800898630701D
+:041819008983306A25
+:04181A00898130642C
+:04181B00897F305E33
+:04181C00897C30583B
+:04181D00897A305242
+:04181E008978304D48
+:04181F008975304750
+:041820008973304157
+:041821008970303B5F
+:04182200896E303566
+:04182300896C30306C
+:041824008969302A74
+:04182500896730247B
+:041826008965301E82
+:04182700896230188A
+:041828008960301291
+:04182900895E300D97
+:04182A00895B30079F
+:04182B0089593001A6
+:04182C0089562FFBAF
+:04182D0089542FF5B6
+:04182E0089522FF0BC
+:04182F00894F2FEAC4
+:04183000894D2FE4CB
+:04183100894B2FDED2
+:0418320089482FD8DA
+:0418330089462FD2E1
+:0418340089442FCDE7
+:0418350089412FC7EF
+:04183600893F2FC1F6
+:04183700893D2FBBFD
+:04183800893A2FB505
+:0418390089382FAF0C
+:04183A0089362FAA12
+:04183B0089332FA41A
+:04183C0089312F9E21
+:04183D00892F2F9828
+:04183E00892C2F9230
+:04183F00892A2F8C37
+:0418400089282F873D
+:0418410089252F8145
+:0418420089232F7B4C
+:0418430089212F7553
+:04184400891E2F6F5B
+:04184500891C2F6962
+:04184600891A2F6468
+:0418470089172F5E70
+:0418480089152F5877
+:0418490089132F527E
+:04184A0089102F4C86
+:04184B00890E2F468D
+:04184C00890C2F4193
+:04184D0089092F3B9B
+:04184E0089072F35A2
+:04184F0089052F2FA9
+:0418500089022F29B1
+:0418510089002F23B8
+:0418520088FE2F1EBF
+:0418530088FB2F18C7
+:0418540088F92F12CE
+:0418550088F72F0CD5
+:0418560088F52F06DC
+:0418570088F22F00E4
+:0418580088F02EFAEC
+:0418590088EE2EF5F2
+:04185A0088EB2EEFFA
+:04185B0088E92EE901
+:04185C0088E72EE308
+:04185D0088E42EDD10
+:04185E0088E22ED717
+:04185F0088E02ED21D
+:0418600088DD2ECC25
+:0418610088DB2EC62C
+:0418620088D92EC033
+:0418630088D72EBA3A
+:0418640088D42EB442
+:0418650088D22EAE49
+:0418660088D02EA94F
+:0418670088CD2EA357
+:0418680088CB2E9D5E
+:0418690088C92E9765
+:04186A0088C72E916C
+:04186B0088C42E8B74
+:04186C0088C22E857B
+:04186D0088C02E8081
+:04186E0088BD2E7A89
+:04186F0088BB2E7490
+:0418700088B92E6E97
+:0418710088B72E689E
+:0418720088B42E62A6
+:0418730088B22E5CAD
+:0418740088B02E57B3
+:0418750088AD2E51BB
+:0418760088AB2E4BC2
+:0418770088A92E45C9
+:0418780088A72E3FD0
+:0418790088A42E39D8
+:04187A0088A22E33DF
+:04187B0088A02E2EE5
+:04187C00889E2E28EC
+:04187D00889B2E22F4
+:04187E0088992E1CFB
+:04187F0088972E1602
+:0418800088952E1009
+:0418810088922E0A11
+:0418820088902E0517
+:04188300888E2DFF1F
+:04188400888C2DF926
+:0418850088892DF32E
+:0418860088872DED35
+:0418870088852DE73C
+:0418880088832DE143
+:0418890088802DDC4A
+:04188A00887E2DD651
+:04188B00887C2DD058
+:04188C00887A2DCA5F
+:04188D0088772DC467
+:04188E0088752DBE6E
+:04188F0088732DB875
+:0418900088712DB27C
+:04189100886E2DAD83
+:04189200886C2DA78A
+:04189300886A2DA191
+:0418940088682D9B98
+:0418950088652D95A0
+:0418960088632D8FA7
+:0418970088612D89AE
+:04189800885F2D84B4
+:04189900885C2D7EBC
+:04189A00885A2D78C3
+:04189B0088582D72CA
+:04189C0088562D6CD1
+:04189D0088532D66D9
+:04189E0088512D60E0
+:04189F00884F2D5AE7
+:0418A000884D2D55ED
+:0418A100884B2D4FF4
+:0418A20088482D49FC
+:0418A30088462D4303
+:0418A40088442D3D0A
+:0418A50088422D3711
+:0418A600883F2D3119
+:0418A700883D2D2B20
+:0418A800883B2D2626
+:0418A90088392D202D
+:0418AA0088372D1A34
+:0418AB0088342D143C
+:0418AC0088322D0E43
+:0418AD0088302D084A
+:0418AE00882E2D0251
+:0418AF00882C2CFC59
+:0418B00088292CF661
+:0418B10088272CF167
+:0418B20088252CEB6E
+:0418B30088232CE575
+:0418B40088202CDF7D
+:0418B500881E2CD984
+:0418B600881C2CD38B
+:0418B700881A2CCD92
+:0418B80088182CC799
+:0418B90088162CC1A0
+:0418BA0088132CBCA7
+:0418BB0088112CB6AE
+:0418BC00880F2CB0B5
+:0418BD00880D2CAABC
+:0418BE00880B2CA4C3
+:0418BF0088082C9ECB
+:0418C00088062C98D2
+:0418C10088042C92D9
+:0418C20088022C8DDF
+:0418C30088002C87E6
+:0418C40087FD2C81EF
+:0418C50087FB2C7BF6
+:0418C60087F92C75FD
+:0418C70087F72C6F04
+:0418C80087F52C690B
+:0418C90087F22C6313
+:0418CA0087F02C5D1A
+:0418CB0087EE2C5721
+:0418CC0087EC2C5227
+:0418CD0087EA2C4C2E
+:0418CE0087E82C4635
+:0418CF0087E52C403D
+:0418D00087E32C3A44
+:0418D10087E12C344B
+:0418D20087DF2C2E52
+:0418D30087DD2C2859
+:0418D40087DB2C2260
+:0418D50087D82C1D67
+:0418D60087D62C176E
+:0418D70087D42C1175
+:0418D80087D22C0B7C
+:0418D90087D02C0583
+:0418DA0087CE2BFF8B
+:0418DB0087CB2BF993
+:0418DC0087C92BF39A
+:0418DD0087C72BEDA1
+:0418DE0087C52BE7A8
+:0418DF0087C32BE2AE
+:0418E00087C12BDCB5
+:0418E10087BF2BD6BC
+:0418E20087BC2BD0C4
+:0418E30087BA2BCACB
+:0418E40087B82BC4D2
+:0418E50087B62BBED9
+:0418E60087B42BB8E0
+:0418E70087B22BB2E7
+:0418E80087AF2BACEF
+:0418E90087AD2BA6F6
+:0418EA0087AB2BA1FC
+:0418EB0087A92B9B03
+:0418EC0087A72B950A
+:0418ED0087A52B8F11
+:0418EE0087A32B8918
+:0418EF0087A12B831F
+:0418F000879E2B7D27
+:0418F100879C2B772E
+:0418F200879A2B7135
+:0418F30087982B6B3C
+:0418F40087962B6543
+:0418F50087942B6049
+:0418F60087922B5A50
+:0418F700878F2B5458
+:0418F800878D2B4E5F
+:0418F900878B2B4866
+:0418FA0087892B426D
+:0418FB0087872B3C74
+:0418FC0087852B367B
+:0418FD0087832B3082
+:0418FE0087812B2A89
+:0418FF00877E2B2491
+:04190000877C2B1F96
+:04190100877A2B199D
+:0419020087782B13A4
+:0419030087762B0DAB
+:0419040087742B07B2
+:0419050087722B01B9
+:0419060087702AFBC1
+:04190700876E2AF5C8
+:04190800876B2AEFD0
+:0419090087692AE9D7
+:04190A0087672AE3DE
+:04190B0087652ADDE5
+:04190C0087632AD8EB
+:04190D0087612AD2F2
+:04190E00875F2ACCF9
+:04190F00875D2AC600
+:04191000875B2AC007
+:0419110087592ABA0E
+:0419120087562AB416
+:0419130087542AAE1D
+:0419140087522AA824
+:0419150087502AA22B
+:04191600874E2A9C32
+:04191700874C2A9639
+:04191800874A2A9040
+:0419190087482A8B46
+:04191A0087462A854D
+:04191B0087442A7F54
+:04191C0087422A795B
+:04191D00873F2A7363
+:04191E00873D2A6D6A
+:04191F00873B2A6771
+:0419200087392A6178
+:0419210087372A5B7F
+:0419220087352A5586
+:0419230087332A4F8D
+:0419240087312A4994
+:04192500872F2A439B
+:04192600872D2A3DA2
+:04192700872B2A38A8
+:0419280087292A32AF
+:0419290087272A2CB6
+:04192A0087242A26BE
+:04192B0087222A20C5
+:04192C0087202A1ACC
+:04192D00871E2A14D3
+:04192E00871C2A0EDA
+:04192F00871A2A08E1
+:0419300087182A02E8
+:04193100871629FCF0
+:04193200871429F6F7
+:04193300871229F0FE
+:04193400871029EA05
+:04193500870E29E40C
+:04193600870C29DF12
+:04193700870A29D919
+:04193800870829D320
+:04193900870629CD27
+:04193A00870429C72E
+:04193B00870129C136
+:04193C0086FF29BB3E
+:04193D0086FD29B545
+:04193E0086FB29AF4C
+:04193F0086F929A953
+:0419400086F729A35A
+:0419410086F5299D61
+:0419420086F3299768
+:0419430086F129916F
+:0419440086EF298B76
+:0419450086ED29857D
+:0419460086EB297F84
+:0419470086E9297A8A
+:0419480086E7297491
+:0419490086E5296E98
+:04194A0086E329689F
+:04194B0086E12962A6
+:04194C0086DF295CAD
+:04194D0086DD2956B4
+:04194E0086DB2950BB
+:04194F0086D9294AC2
+:0419500086D72944C9
+:0419510086D5293ED0
+:0419520086D32938D7
+:0419530086D12932DE
+:0419540086CF292CE5
+:0419550086CD2926EC
+:0419560086CB2920F3
+:0419570086C9291AFA
+:0419580086C7291401
+:0419590086C4290E09
+:04195A0086C229090F
+:04195B0086C0290316
+:04195C0086BE28FD1E
+:04195D0086BC28F725
+:04195E0086BA28F12C
+:04195F0086B828EB33
+:0419600086B628E53A
+:0419610086B428DF41
+:0419620086B228D948
+:0419630086B028D34F
+:0419640086AE28CD56
+:0419650086AC28C75D
+:0419660086AA28C164
+:0419670086A828BB6B
+:0419680086A628B572
+:0419690086A428AF79
+:04196A0086A228A980
+:04196B0086A028A387
+:04196C00869E289D8E
+:04196D00869C289795
+:04196E00869A28919C
+:04196F008698288BA3
+:0419700086962885AA
+:0419710086942880B0
+:041972008692287AB7
+:0419730086902874BE
+:04197400868E286EC5
+:04197500868D2868CB
+:04197600868B2862D2
+:041977008689285CD9
+:0419780086872856E0
+:0419790086852850E7
+:04197A008683284AEE
+:04197B0086812844F5
+:04197C00867F283EFC
+:04197D00867D283803
+:04197E00867B28320A
+:04197F008679282C11
+:041980008677282618
+:04198100867528201F
+:041982008673281A26
+:04198300867128142D
+:04198400866F280E34
+:04198500866D28083B
+:04198600866B280242
+:04198700866927FC4A
+:04198800866727F651
+:04198900866527F058
+:04198A00866327EA5F
+:04198B00866127E466
+:04198C00865F27DE6D
+:04198D00865D27D973
+:04198E00865B27D37A
+:04198F00865927CD81
+:04199000865727C788
+:04199100865527C18F
+:04199200865327BB96
+:04199300865227B59C
+:04199400865027AFA3
+:04199500864E27A9AA
+:04199600864C27A3B1
+:04199700864A279DB8
+:0419980086482797BF
+:0419990086462791C6
+:04199A008644278BCD
+:04199B0086422785D4
+:04199C008640277FDB
+:04199D00863E2779E2
+:04199E00863C2773E9
+:04199F00863A276DF0
+:0419A00086382767F7
+:0419A10086362761FE
+:0419A2008634275B05
+:0419A300863227550C
+:0419A4008631274F12
+:0419A500862F274919
+:0419A600862D274320
+:0419A700862B273D27
+:0419A800862927372E
+:0419A9008627273135
+:0419AA008625272B3C
+:0419AB008623272543
+:0419AC008621271F4A
+:0419AD00861F271951
+:0419AE00861D271358
+:0419AF00861B270D5F
+:0419B0008619270766
+:0419B100861827016C
+:0419B200861626FB74
+:0419B300861426F57B
+:0419B400861226EF82
+:0419B500861026E989
+:0419B600860E26E390
+:0419B700860C26DD97
+:0419B800860A26D79E
+:0419B900860826D1A5
+:0419BA00860626CBAC
+:0419BB00860426C5B3
+:0419BC00860326BFB9
+:0419BD00860126B9C0
+:0419BE0085FF26B4C7
+:0419BF0085FD26AECE
+:0419C00085FB26A8D5
+:0419C10085F926A2DC
+:0419C20085F7269CE3
+:0419C30085F52696EA
+:0419C40085F32690F1
+:0419C50085F2268AF7
+:0419C60085F02684FE
+:0419C70085EE267E05
+:0419C80085EC26780C
+:0419C90085EA267213
+:0419CA0085E8266C1A
+:0419CB0085E6266621
+:0419CC0085E4266028
+:0419CD0085E2265A2F
+:0419CE0085E1265435
+:0419CF0085DF264E3C
+:0419D00085DD264843
+:0419D10085DB26424A
+:0419D20085D9263C51
+:0419D30085D7263658
+:0419D40085D526305F
+:0419D50085D3262A66
+:0419D60085D226246C
+:0419D70085D0261E73
+:0419D80085CE26187A
+:0419D90085CC261281
+:0419DA0085CA260C88
+:0419DB0085C826068F
+:0419DC0085C6260096
+:0419DD0085C425FA9E
+:0419DE0085C325F4A4
+:0419DF0085C125EEAB
+:0419E00085BF25E8B2
+:0419E10085BD25E2B9
+:0419E20085BB25DCC0
+:0419E30085B925D6C7
+:0419E40085B725D0CE
+:0419E50085B625CAD4
+:0419E60085B425C4DB
+:0419E70085B225BEE2
+:0419E80085B025B8E9
+:0419E90085AE25B2F0
+:0419EA0085AC25ACF7
+:0419EB0085AA25A6FE
+:0419EC0085A925A004
+:0419ED0085A7259A0B
+:0419EE0085A5259412
+:0419EF0085A3258E19
+:0419F00085A1258820
+:0419F100859F258227
+:0419F200859E257C2D
+:0419F300859C257634
+:0419F400859A25703B
+:0419F5008598256A42
+:0419F6008596256449
+:0419F7008594255E50
+:0419F8008593255856
+:0419F900859125525D
+:0419FA00858F254C64
+:0419FB00858D25466B
+:0419FC00858B254072
+:0419FD008589253A79
+:0419FE008588253380
+:0419FF008586252D87
+:041A0000858425278D
+:041A01008582252194
+:041A02008580251B9B
+:041A0300857E2515A2
+:041A0400857D250FA8
+:041A0500857B2509AF
+:041A060085792503B6
+:041A0700857724FDBE
+:041A0800857524F7C5
+:041A0900857424F1CB
+:041A0A00857224EBD2
+:041A0B00857024E5D9
+:041A0C00856E24DFE0
+:041A0D00856C24D9E7
+:041A0E00856A24D3EE
+:041A0F00856924CDF4
+:041A1000856724C7FB
+:041A1100856524C102
+:041A1200856324BB09
+:041A1300856124B510
+:041A1400856024AF16
+:041A1500855E24A91D
+:041A1600855C24A324
+:041A1700855A249D2B
+:041A18008558249732
+:041A19008557249138
+:041A1A008555248B3F
+:041A1B008553248546
+:041A1C008551247F4D
+:041A1D00854F247954
+:041A1E00854E24735A
+:041A1F00854C246D61
+:041A2000854A246768
+:041A2100854824616F
+:041A22008547245B75
+:041A2300854524557C
+:041A24008543244F83
+:041A2500854124498A
+:041A2600853F244391
+:041A2700853E243D97
+:041A2800853C24379E
+:041A2900853A2431A5
+:041A2A008538242BAC
+:041A2B0085372425B2
+:041A2C008535241FB9
+:041A2D0085332419C0
+:041A2E0085312413C7
+:041A2F00852F240DCE
+:041A3000852E2406D5
+:041A3100852C2400DC
+:041A3200852A23FAE4
+:041A3300852823F4EB
+:041A3400852723EEF1
+:041A3500852523E8F8
+:041A3600852323E2FF
+:041A3700852123DC06
+:041A3800852023D60C
+:041A3900851E23D013
+:041A3A00851C23CA1A
+:041A3B00851A23C421
+:041A3C00851923BE27
+:041A3D00851723B82E
+:041A3E00851523B235
+:041A3F00851323AC3C
+:041A4000851223A642
+:041A4100851023A049
+:041A4200850E239A50
+:041A4300850C239457
+:041A4400850B238E5D
+:041A45008509238864
+:041A4600850723826B
+:041A47008505237C72
+:041A48008504237678
+:041A4900850223707F
+:041A4A008500236A86
+:041A4B0084FE23648E
+:041A4C0084FD235E94
+:041A4D0084FB23589B
+:041A4E0084F92351A3
+:041A4F0084F7234BAA
+:041A500084F62345B0
+:041A510084F4233FB7
+:041A520084F22339BE
+:041A530084F02333C5
+:041A540084EF232DCB
+:041A550084ED2327D2
+:041A560084EB2321D9
+:041A570084EA231BDF
+:041A580084E82315E6
+:041A590084E6230FED
+:041A5A0084E42309F4
+:041A5B0084E32303FA
+:041A5C0084E122FD02
+:041A5D0084DF22F709
+:041A5E0084DE22F10F
+:041A5F0084DC22EB16
+:041A600084DA22E51D
+:041A610084D822DF24
+:041A620084D722D92A
+:041A630084D522D331
+:041A640084D322CD38
+:041A650084D222C63F
+:041A660084D022C046
+:041A670084CE22BA4D
+:041A680084CC22B454
+:041A690084CB22AE5A
+:041A6A0084C922A861
+:041A6B0084C722A268
+:041A6C0084C6229C6E
+:041A6D0084C4229675
+:041A6E0084C222907C
+:041A6F0084C1228A82
+:041A700084BF228489
+:041A710084BD227E90
+:041A720084BB227897
+:041A730084BA22729D
+:041A740084B8226CA4
+:041A750084B62266AB
+:041A760084B52260B1
+:041A770084B3225AB8
+:041A780084B12254BF
+:041A790084B0224DC6
+:041A7A0084AE2247CD
+:041A7B0084AC2241D4
+:041A7C0084AB223BDA
+:041A7D0084A92235E1
+:041A7E0084A7222FE8
+:041A7F0084A62229EE
+:041A800084A42223F5
+:041A810084A2221DFC
+:041A820084A1221702
+:041A8300849F221109
+:041A8400849D220B10
+:041A8500849C220516
+:041A8600849A21FF1E
+:041A8700849821F925
+:041A8800849721F32B
+:041A8900849521ED32
+:041A8A00849321E739
+:041A8B00849221E040
+:041A8C00849021DA47
+:041A8D00848E21D44E
+:041A8E00848D21CE54
+:041A8F00848B21C85B
+:041A9000848921C262
+:041A9100848821BC68
+:041A9200848621B66F
+:041A9300848421B076
+:041A9400848321AA7C
+:041A9500848121A483
+:041A9600847F219E8A
+:041A9700847E219890
+:041A9800847C219297
+:041A9900847A218C9E
+:041A9A0084792186A4
+:041A9B0084772180AB
+:041A9C0084752179B3
+:041A9D0084742173B9
+:041A9E008472216DC0
+:041A9F0084712167C6
+:041AA000846F2161CD
+:041AA100846D215BD4
+:041AA200846C2155DA
+:041AA300846A214FE1
+:041AA40084682149E8
+:041AA50084672143EE
+:041AA6008465213DF5
+:041AA70084632137FC
+:041AA8008462213102
+:041AA9008460212B09
+:041AAA00845F21250F
+:041AAB00845D211E17
+:041AAC00845B21181E
+:041AAD00845A211224
+:041AAE008458210C2B
+:041AAF008456210632
+:041AB0008455210038
+:041AB100845320FA40
+:041AB200845220F446
+:041AB300845020EE4D
+:041AB400844E20E854
+:041AB500844D20E25A
+:041AB600844B20DC61
+:041AB700844A20D667
+:041AB800844820D06E
+:041AB900844620C976
+:041ABA00844520C37C
+:041ABB00844320BD83
+:041ABC00844120B78A
+:041ABD00844020B190
+:041ABE00843E20AB97
+:041ABF00843D20A59D
+:041AC000843B209FA4
+:041AC10084392099AB
+:041AC20084382093B1
+:041AC3008436208DB8
+:041AC40084352087BE
+:041AC50084332081C5
+:041AC6008431207ACD
+:041AC70084302074D3
+:041AC800842E206EDA
+:041AC900842D2068E0
+:041ACA00842B2062E7
+:041ACB00842A205CED
+:041ACC0084282056F4
+:041ACD0084262050FB
+:041ACE008425204A01
+:041ACF008423204408
+:041AD0008422203E0E
+:041AD1008420203815
+:041AD200841E20321C
+:041AD300841D202B23
+:041AD400841B20252A
+:041AD500841A201F30
+:041AD6008418201937
+:041AD700841720133D
+:041AD8008415200D44
+:041AD900841320074B
+:041ADA008412200151
+:041ADB0084101FFB59
+:041ADC00840F1FF55F
+:041ADD00840D1FEF66
+:041ADE00840C1FE96C
+:041ADF00840A1FE274
+:041AE00084081FDC7B
+:041AE10084071FD681
+:041AE20084051FD088
+:041AE30084041FCA8E
+:041AE40084021FC495
+:041AE50084011FBE9B
+:041AE60083FF1FB8A3
+:041AE70083FD1FB2AA
+:041AE80083FC1FACB0
+:041AE90083FA1FA6B7
+:041AEA0083F91F9FBE
+:041AEB0083F71F99C5
+:041AEC0083F61F93CB
+:041AED0083F41F8DD2
+:041AEE0083F31F87D8
+:041AEF0083F11F81DF
+:041AF00083F01F7BE5
+:041AF10083EE1F75EC
+:041AF20083EC1F6FF3
+:041AF30083EB1F69F9
+:041AF40083E91F6300
+:041AF50083E81F5D06
+:041AF60083E61F560E
+:041AF70083E51F5014
+:041AF80083E31F4A1B
+:041AF90083E21F4421
+:041AFA0083E01F3E28
+:041AFB0083DF1F382E
+:041AFC0083DD1F3235
+:041AFD0083DC1F2C3B
+:041AFE0083DA1F2642
+:041AFF0083D81F2049
+:041B000083D71F194F
+:041B010083D51F1356
+:041B020083D41F0D5C
+:041B030083D21F0763
+:041B040083D11F0169
+:041B050083CF1EFB71
+:041B060083CE1EF577
+:041B070083CC1EEF7E
+:041B080083CB1EE984
+:041B090083C91EE38B
+:041B0A0083C81EDD91
+:041B0B0083C61ED699
+:041B0C0083C51ED09F
+:041B0D0083C31ECAA6
+:041B0E0083C21EC4AC
+:041B0F0083C01EBEB3
+:041B100083BF1EB8B9
+:041B110083BD1EB2C0
+:041B120083BC1EACC6
+:041B130083BA1EA6CD
+:041B140083B91EA0D3
+:041B150083B71E99DB
+:041B160083B61E93E1
+:041B170083B41E8DE8
+:041B180083B31E87EE
+:041B190083B11E81F5
+:041B1A0083B01E7BFB
+:041B1B0083AE1E7502
+:041B1C0083AD1E6F08
+:041B1D0083AB1E690F
+:041B1E0083AA1E6315
+:041B1F0083A81E5C1D
+:041B200083A71E5623
+:041B210083A51E502A
+:041B220083A41E4A30
+:041B230083A21E4437
+:041B240083A11E3E3D
+:041B2500839F1E3844
+:041B2600839E1E324A
+:041B2700839C1E2C51
+:041B2800839B1E2558
+:041B290083991E1F5F
+:041B2A0083981E1965
+:041B2B0083961E136C
+:041B2C0083951E0D72
+:041B2D0083931E0779
+:041B2E0083921E017F
+:041B2F0083901DFB87
+:041B3000838F1DF58D
+:041B3100838E1DEF93
+:041B3200838C1DE89B
+:041B3300838B1DE2A1
+:041B340083891DDCA8
+:041B350083881DD6AE
+:041B360083861DD0B5
+:041B370083851DCABB
+:041B380083831DC4C2
+:041B390083821DBEC8
+:041B3A0083801DB8CF
+:041B3B00837F1DB1D6
+:041B3C00837D1DABDD
+:041B3D00837C1DA5E3
+:041B3E00837B1D9FE9
+:041B3F0083791D99F0
+:041B400083781D93F6
+:041B410083761D8DFD
+:041B420083751D8703
+:041B430083731D810A
+:041B440083721D7A11
+:041B450083701D7418
+:041B4600836F1D6E1E
+:041B4700836E1D6824
+:041B4800836C1D622B
+:041B4900836B1D5C31
+:041B4A0083691D5638
+:041B4B0083681D503E
+:041B4C0083661D4946
+:041B4D0083651D434C
+:041B4E0083631D3D53
+:041B4F0083621D3759
+:041B500083611D315F
+:041B5100835F1D2B66
+:041B5200835E1D256C
+:041B5300835C1D1F73
+:041B5400835B1D1979
+:041B550083591D1281
+:041B560083581D0C87
+:041B570083571D068D
+:041B580083551D0094
+:041B590083541CFA9B
+:041B5A0083521CF4A2
+:041B5B0083511CEEA8
+:041B5C00834F1CE8AF
+:041B5D00834E1CE1B6
+:041B5E00834D1CDBBC
+:041B5F00834B1CD5C3
+:041B6000834A1CCFC9
+:041B610083481CC9D0
+:041B620083471CC3D6
+:041B630083461CBDDC
+:041B640083441CB7E3
+:041B650083431CB0EA
+:041B660083411CAAF1
+:041B670083401CA4F7
+:041B6800833F1C9EFD
+:041B6900833D1C9804
+:041B6A00833C1C920A
+:041B6B00833A1C8C11
+:041B6C0083391C8617
+:041B6D0083381C7F1E
+:041B6E0083361C7925
+:041B6F0083351C732B
+:041B700083331C6D32
+:041B710083321C6738
+:041B720083311C613E
+:041B7300832F1C5B45
+:041B7400832E1C554B
+:041B7500832C1C4E53
+:041B7600832B1C4859
+:041B7700832A1C425F
+:041B780083281C3C66
+:041B790083271C366C
+:041B7A0083251C3073
+:041B7B0083241C2A79
+:041B7C0083231C247F
+:041B7D0083211C1D87
+:041B7E0083201C178D
+:041B7F00831F1C1193
+:041B8000831D1C0B9A
+:041B8100831C1C05A0
+:041B8200831A1BFFA8
+:041B830083191BF9AE
+:041B840083181BF3B4
+:041B850083161BECBC
+:041B860083151BE6C2
+:041B870083141BE0C8
+:041B880083121BDACF
+:041B890083111BD4D5
+:041B8A00830F1BCEDC
+:041B8B00830E1BC8E2
+:041B8C00830D1BC1E9
+:041B8D00830B1BBBF0
+:041B8E00830A1BB5F6
+:041B8F0083091BAFFC
+:041B900083071BA903
+:041B910083061BA309
+:041B920083051B9D0F
+:041B930083031B9716
+:041B940083021B901D
+:041B950083001B8A24
+:041B960082FF1B842B
+:041B970082FE1B7E31
+:041B980082FC1B7838
+:041B990082FB1B723E
+:041B9A0082FA1B6C44
+:041B9B0082F81B654C
+:041B9C0082F71B5F52
+:041B9D0082F61B5958
+:041B9E0082F41B535F
+:041B9F0082F31B4D65
+:041BA00082F21B476B
+:041BA10082F01B4172
+:041BA20082EF1B3A79
+:041BA30082EE1B347F
+:041BA40082EC1B2E86
+:041BA50082EB1B288C
+:041BA60082EA1B2292
+:041BA70082E81B1C99
+:041BA80082E71B169F
+:041BA90082E61B10A5
+:041BAA0082E41B09AD
+:041BAB0082E31B03B3
+:041BAC0082E21AFDBA
+:041BAD0082E01AF7C1
+:041BAE0082DF1AF1C7
+:041BAF0082DE1AEBCD
+:041BB00082DC1AE5D4
+:041BB10082DB1ADEDB
+:041BB20082DA1AD8E1
+:041BB30082D81AD2E8
+:041BB40082D71ACCEE
+:041BB50082D61AC6F4
+:041BB60082D51AC0FA
+:041BB70082D31ABA01
+:041BB80082D21AB308
+:041BB90082D11AAD0E
+:041BBA0082CF1AA715
+:041BBB0082CE1AA11B
+:041BBC0082CD1A9B21
+:041BBD0082CB1A9528
+:041BBE0082CA1A8F2E
+:041BBF0082C91A8835
+:041BC00082C71A823C
+:041BC10082C61A7C42
+:041BC20082C51A7648
+:041BC30082C41A704E
+:041BC40082C21A6A55
+:041BC50082C11A635C
+:041BC60082C01A5D62
+:041BC70082BE1A5769
+:041BC80082BD1A516F
+:041BC90082BC1A4B75
+:041BCA0082BA1A457C
+:041BCB0082B91A3F82
+:041BCC0082B81A3889
+:041BCD0082B71A328F
+:041BCE0082B51A2C96
+:041BCF0082B41A269C
+:041BD00082B31A20A2
+:041BD10082B21A1AA8
+:041BD20082B01A14AF
+:041BD30082AF1A0DB6
+:041BD40082AE1A07BC
+:041BD50082AC1A01C3
+:041BD60082AB19FBCA
+:041BD70082AA19F5D0
+:041BD80082A919EFD6
+:041BD90082A719E8DE
+:041BDA0082A619E2E4
+:041BDB0082A519DCEA
+:041BDC0082A319D6F1
+:041BDD0082A219D0F7
+:041BDE0082A119CAFD
+:041BDF0082A019C403
+:041BE000829E19BD0B
+:041BE100829D19B711
+:041BE200829C19B117
+:041BE300829B19AB1D
+:041BE400829919A524
+:041BE5008298199F2A
+:041BE6008297199831
+:041BE7008296199237
+:041BE8008294198C3E
+:041BE9008293198644
+:041BEA00829219804A
+:041BEB008291197A50
+:041BEC00828F197457
+:041BED00828E196D5E
+:041BEE00828D196764
+:041BEF00828C19616A
+:041BF000828A195B71
+:041BF1008289195577
+:041BF2008288194F7D
+:041BF3008287194884
+:041BF400828519428B
+:041BF5008284193C91
+:041BF6008283193697
+:041BF700828219309D
+:041BF8008280192AA4
+:041BF900827F1923AB
+:041BFA00827E191DB1
+:041BFB00827D1917B7
+:041BFC00827C1911BD
+:041BFD00827A190BC4
+:041BFE0082791905CA
+:041BFF00827818FED2
+:041C0000827718F8D7
+:041C0100827518F2DE
+:041C0200827418ECE4
+:041C0300827318E6EA
+:041C0400827218E0F0
+:041C0500827018DAF7
+:041C0600826F18D3FE
+:041C0700826E18CD04
+:041C0800826D18C70A
+:041C0900826C18C110
+:041C0A00826A18BB17
+:041C0B00826918B51D
+:041C0C00826818AE24
+:041C0D00826718A82A
+:041C0E00826618A230
+:041C0F008264189C37
+:041C1000826318963D
+:041C11008262189043
+:041C1200826118894A
+:041C13008260188350
+:041C1400825E187D57
+:041C1500825D18775D
+:041C1600825C187163
+:041C1700825B186B69
+:041C1800825A186470
+:041C19008258185E77
+:041C1A00825718587D
+:041C1B008256185283
+:041C1C008255184C89
+:041C1D00825418468F
+:041C1E008252183F97
+:041C1F00825118399D
+:041C200082501833A3
+:041C2100824F182DA9
+:041C2200824E1827AF
+:041C2300824C1821B6
+:041C2400824B181ABD
+:041C2500824A1814C3
+:041C26008249180EC9
+:041C270082481808CF
+:041C280082471802D5
+:041C2900824517FBDE
+:041C2A00824417F5E4
+:041C2B00824317EFEA
+:041C2C00824217E9F0
+:041C2D00824117E3F6
+:041C2E00823F17DDFD
+:041C2F00823E17D604
+:041C3000823D17D00A
+:041C3100823C17CA10
+:041C3200823B17C416
+:041C3300823A17BE1C
+:041C3400823817B823
+:041C3500823717B12A
+:041C3600823617AB30
+:041C3700823517A536
+:041C38008234179F3C
+:041C39008233179942
+:041C3A008231179349
+:041C3B008230178C50
+:041C3C00822F178656
+:041C3D00822E17805C
+:041C3E00822D177A62
+:041C3F00822C177468
+:041C4000822B176D6F
+:041C41008229176776
+:041C4200822817617C
+:041C43008227175B82
+:041C44008226175588
+:041C45008225174F8E
+:041C46008224174895
+:041C4700822317429B
+:041C48008221173CA2
+:041C490082201736A8
+:041C4A00821F1730AE
+:041C4B00821E172AB4
+:041C4C00821D1723BB
+:041C4D00821C171DC1
+:041C4E00821B1717C7
+:041C4F0082191711CE
+:041C50008218170BD4
+:041C510082171704DB
+:041C5200821616FEE2
+:041C5300821516F8E8
+:041C5400821416F2EE
+:041C5500821316ECF4
+:041C5600821216E6FA
+:041C5700821016DF02
+:041C5800820F16D908
+:041C5900820E16D30E
+:041C5A00820D16CD14
+:041C5B00820C16C71A
+:041C5C00820B16C021
+:041C5D00820A16BA27
+:041C5E00820916B42D
+:041C5F00820716AE34
+:041C6000820616A83A
+:041C6100820516A240
+:041C62008204169B47
+:041C6300820316954D
+:041C64008202168F53
+:041C65008201168959
+:041C6600820016835F
+:041C670081FF167C67
+:041C680081FE16766D
+:041C690081FC167074
+:041C6A0081FB166A7A
+:041C6B0081FA166480
+:041C6C0081F9165E86
+:041C6D0081F816578D
+:041C6E0081F7165193
+:041C6F0081F6164B99
+:041C700081F516459F
+:041C710081F4163FA5
+:041C720081F31638AC
+:041C730081F11632B3
+:041C740081F0162CB9
+:041C750081EF1626BF
+:041C760081EE1620C5
+:041C770081ED1619CC
+:041C780081EC1613D2
+:041C790081EB160DD8
+:041C7A0081EA1607DE
+:041C7B0081E91601E4
+:041C7C0081E815FAEC
+:041C7D0081E715F4F2
+:041C7E0081E615EEF8
+:041C7F0081E415E8FF
+:041C800081E315E205
+:041C810081E215DC0B
+:041C820081E115D512
+:041C830081E015CF18
+:041C840081DF15C91E
+:041C850081DE15C324
+:041C860081DD15BD2A
+:041C870081DC15B631
+:041C880081DB15B037
+:041C890081DA15AA3D
+:041C8A0081D915A443
+:041C8B0081D8159E49
+:041C8C0081D7159750
+:041C8D0081D6159156
+:041C8E0081D4158B5D
+:041C8F0081D3158563
+:041C900081D2157F69
+:041C910081D1157870
+:041C920081D0157276
+:041C930081CF156C7C
+:041C940081CE156682
+:041C950081CD156088
+:041C960081CC155A8E
+:041C970081CB155395
+:041C980081CA154D9B
+:041C990081C91547A1
+:041C9A0081C81541A7
+:041C9B0081C7153BAD
+:041C9C0081C61534B4
+:041C9D0081C5152EBA
+:041C9E0081C41528C0
+:041C9F0081C31522C6
+:041CA00081C2151CCC
+:041CA10081C11515D3
+:041CA20081C0150FD9
+:041CA30081BF1509DF
+:041CA40081BD1503E6
+:041CA50081BC14FDED
+:041CA60081BB14F6F4
+:041CA70081BA14F0FA
+:041CA80081B914EA00
+:041CA90081B814E406
+:041CAA0081B714DE0C
+:041CAB0081B614D713
+:041CAC0081B514D119
+:041CAD0081B414CB1F
+:041CAE0081B314C525
+:041CAF0081B214BF2B
+:041CB00081B114B832
+:041CB10081B014B238
+:041CB20081AF14AC3E
+:041CB30081AE14A644
+:041CB40081AD14A04A
+:041CB50081AC149951
+:041CB60081AB149357
+:041CB70081AA148D5D
+:041CB80081A9148763
+:041CB90081A8148169
+:041CBA0081A7147A70
+:041CBB0081A6147476
+:041CBC0081A5146E7C
+:041CBD0081A4146882
+:041CBE0081A3146288
+:041CBF0081A2145B8F
+:041CC00081A1145595
+:041CC10081A0144F9B
+:041CC200819F1449A1
+:041CC300819E1443A7
+:041CC400819D143CAE
+:041CC500819C1436B4
+:041CC600819B1430BA
+:041CC700819A142AC0
+:041CC80081991424C6
+:041CC9008198141DCD
+:041CCA0081971417D3
+:041CCB0081961411D9
+:041CCC008195140BDF
+:041CCD0081941404E6
+:041CCE00819313FEED
+:041CCF00819213F8F3
+:041CD000819113F2F9
+:041CD100819013ECFF
+:041CD200818F13E506
+:041CD300818E13DF0C
+:041CD400818D13D912
+:041CD500818C13D318
+:041CD600818B13CD1E
+:041CD700818A13C625
+:041CD800818913C02B
+:041CD900818913BA30
+:041CDA00818813B436
+:041CDB00818713AE3C
+:041CDC00818613A743
+:041CDD00818513A149
+:041CDE008184139B4F
+:041CDF008183139555
+:041CE0008182138F5B
+:041CE1008181138862
+:041CE2008180138268
+:041CE300817F137C6E
+:041CE400817E137674
+:041CE500817D13707A
+:041CE600817C136981
+:041CE700817B136387
+:041CE800817A135D8D
+:041CE9008179135793
+:041CEA00817813509A
+:041CEB008177134AA0
+:041CEC0081761344A6
+:041CED008175133EAC
+:041CEE0081741338B2
+:041CEF0081731331B9
+:041CF0008173132BBE
+:041CF10081721325C4
+:041CF2008171131FCA
+:041CF30081701319D0
+:041CF400816F1312D7
+:041CF500816E130CDD
+:041CF600816D1306E3
+:041CF700816C1300E9
+:041CF800816B12F9F1
+:041CF900816A12F3F7
+:041CFA00816912EDFD
+:041CFB00816812E703
+:041CFC00816712E109
+:041CFD00816612DA10
+:041CFE00816512D416
+:041CFF00816512CE1B
+:041D0000816412C820
+:041D0100816312C226
+:041D0200816212BB2D
+:041D0300816112B533
+:041D0400816012AF39
+:041D0500815F12A93F
+:041D0600815E12A246
+:041D0700815D129C4C
+:041D0800815C129652
+:041D0900815B129058
+:041D0A00815A128A5E
+:041D0B00815A128364
+:041D0C008159127D6A
+:041D0D008158127770
+:041D0E008157127176
+:041D0F008156126B7C
+:041D10008155126483
+:041D11008154125E89
+:041D1200815312588F
+:041D13008152125295
+:041D14008151124B9C
+:041D150081511245A1
+:041D16008150123FA7
+:041D1700814F1239AD
+:041D1800814E1233B3
+:041D1900814D122CBA
+:041D1A00814C1226C0
+:041D1B00814B1220C6
+:041D1C00814A121ACC
+:041D1D0081491213D3
+:041D1E008149120DD8
+:041D1F0081481207DE
+:041D200081471201E4
+:041D2100814611FBEB
+:041D2200814511F4F2
+:041D2300814411EEF8
+:041D2400814311E8FE
+:041D2500814211E204
+:041D2600814111DB0B
+:041D2700814111D510
+:041D2800814011CF16
+:041D2900813F11C91C
+:041D2A00813E11C322
+:041D2B00813D11BC29
+:041D2C00813C11B62F
+:041D2D00813B11B035
+:041D2E00813A11AA3B
+:041D2F00813A11A341
+:041D30008139119D47
+:041D3100813811974D
+:041D32008137119153
+:041D33008136118B59
+:041D34008135118460
+:041D35008134117E66
+:041D3600813411786B
+:041D37008133117271
+:041D38008132116B78
+:041D3900813111657E
+:041D3A008130115F84
+:041D3B00812F11598A
+:041D3C00812E115390
+:041D3D00812E114C96
+:041D3E00812D11469C
+:041D3F00812C1140A2
+:041D4000812B113AA8
+:041D4100812A1133AF
+:041D42008129112DB5
+:041D430081291127BA
+:041D440081281121C0
+:041D45008127111BC6
+:041D460081261114CD
+:041D47008125110ED3
+:041D480081241108D9
+:041D490081241102DE
+:041D4A00812310FBE6
+:041D4B00812210F5EC
+:041D4C00812110EFF2
+:041D4D00812010E9F8
+:041D4E00811F10E2FF
+:041D4F00811F10DC04
+:041D5000811E10D60A
+:041D5100811D10D010
+:041D5200811C10CA16
+:041D5300811B10C31D
+:041D5400811A10BD23
+:041D5500811A10B728
+:041D5600811910B12E
+:041D5700811810AA35
+:041D5800811710A43B
+:041D59008116109E41
+:041D5A008116109846
+:041D5B00811510924C
+:041D5C008114108B53
+:041D5D008113108559
+:041D5E008112107F5F
+:041D5F008111107965
+:041D6000811110726B
+:041D61008110106C71
+:041D6200810F106677
+:041D6300810E10607D
+:041D6400810D105984
+:041D6500810D105389
+:041D6600810C104D8F
+:041D6700810B104795
+:041D6800810A10419B
+:041D69008109103AA2
+:041D6A0081091034A7
+:041D6B008108102EAD
+:041D6C0081071028B3
+:041D6D0081061021BA
+:041D6E008105101BC0
+:041D6F0081051015C5
+:041D70008104100FCB
+:041D710081031008D2
+:041D720081021002D8
+:041D730081020FFCDE
+:041D740081010FF6E4
+:041D750081000FEFEB
+:041D760080FF0FE9F2
+:041D770080FE0FE3F8
+:041D780080FE0FDDFD
+:041D790080FD0FD703
+:041D7A0080FC0FD00A
+:041D7B0080FB0FCA10
+:041D7C0080FB0FC415
+:041D7D0080FA0FBE1B
+:041D7E0080F90FB722
+:041D7F0080F80FB128
+:041D800080F70FAB2E
+:041D810080F70FA533
+:041D820080F60F9E3A
+:041D830080F50F9840
+:041D840080F40F9246
+:041D850080F40F8C4B
+:041D860080F30F8552
+:041D870080F20F7F58
+:041D880080F10F795E
+:041D890080F10F7363
+:041D8A0080F00F6D69
+:041D8B0080EF0F6670
+:041D8C0080EE0F6076
+:041D8D0080EE0F5A7B
+:041D8E0080ED0F5481
+:041D8F0080EC0F4D88
+:041D900080EB0F478E
+:041D910080EB0F4193
+:041D920080EA0F3B99
+:041D930080E90F34A0
+:041D940080E80F2EA6
+:041D950080E80F28AB
+:041D960080E70F22B1
+:041D970080E60F1BB8
+:041D980080E50F15BE
+:041D990080E50F0FC3
+:041D9A0080E40F09C9
+:041D9B0080E30F02D0
+:041D9C0080E20EFCD7
+:041D9D0080E20EF6DC
+:041D9E0080E10EF0E2
+:041D9F0080E00EEAE8
+:041DA00080DF0EE3EF
+:041DA10080DF0EDDF4
+:041DA20080DE0ED7FA
+:041DA30080DD0ED100
+:041DA40080DD0ECA06
+:041DA50080DC0EC40C
+:041DA60080DB0EBE12
+:041DA70080DA0EB818
+:041DA80080DA0EB11E
+:041DA90080D90EAB24
+:041DAA0080D80EA52A
+:041DAB0080D70E9F30
+:041DAC0080D70E9836
+:041DAD0080D60E923C
+:041DAE0080D50E8C42
+:041DAF0080D50E8647
+:041DB00080D40E7F4E
+:041DB10080D30E7954
+:041DB20080D20E735A
+:041DB30080D20E6D5F
+:041DB40080D10E6666
+:041DB50080D00E606C
+:041DB60080D00E5A71
+:041DB70080CF0E5477
+:041DB80080CE0E4D7E
+:041DB90080CE0E4783
+:041DBA0080CD0E4189
+:041DBB0080CC0E3B8F
+:041DBC0080CB0E3595
+:041DBD0080CB0E2E9B
+:041DBE0080CA0E28A1
+:041DBF0080C90E22A7
+:041DC00080C90E1CAC
+:041DC10080C80E15B3
+:041DC20080C70E0FB9
+:041DC30080C70E09BE
+:041DC40080C60E03C4
+:041DC50080C50DFCCC
+:041DC60080C50DF6D1
+:041DC70080C40DF0D7
+:041DC80080C30DEADD
+:041DC90080C20DE3E4
+:041DCA0080C20DDDE9
+:041DCB0080C10DD7EF
+:041DCC0080C00DD1F5
+:041DCD0080C00DCAFB
+:041DCE0080BF0DC401
+:041DCF0080BE0DBE07
+:041DD00080BE0DB80C
+:041DD10080BD0DB113
+:041DD20080BC0DAB19
+:041DD30080BC0DA51E
+:041DD40080BB0D9F24
+:041DD50080BA0D982B
+:041DD60080BA0D9230
+:041DD70080B90D8C36
+:041DD80080B80D863C
+:041DD90080B80D7F42
+:041DDA0080B70D7948
+:041DDB0080B60D734E
+:041DDC0080B60D6D53
+:041DDD0080B50D665A
+:041DDE0080B40D6060
+:041DDF0080B40D5A65
+:041DE00080B30D546B
+:041DE10080B20D4D72
+:041DE20080B20D4777
+:041DE30080B10D417D
+:041DE40080B00D3B83
+:041DE50080B00D3489
+:041DE60080AF0D2E8F
+:041DE70080AF0D2894
+:041DE80080AE0D229A
+:041DE90080AD0D1BA1
+:041DEA0080AD0D15A6
+:041DEB0080AC0D0FAC
+:041DEC0080AB0D09B2
+:041DED0080AB0D02B8
+:041DEE0080AA0CFCBF
+:041DEF0080A90CF6C5
+:041DF00080A90CF0CA
+:041DF10080A80CE9D1
+:041DF20080A80CE3D6
+:041DF30080A70CDDDC
+:041DF40080A60CD7E2
+:041DF50080A60CD0E8
+:041DF60080A50CCAEE
+:041DF70080A40CC4F4
+:041DF80080A40CBEF9
+:041DF90080A30CB700
+:041DFA0080A20CB106
+:041DFB0080A20CAB0B
+:041DFC0080A10CA511
+:041DFD0080A10C9E17
+:041DFE0080A00C981D
+:041DFF00809F0C9223
+:041E0000809F0C8C27
+:041E0100809E0C852E
+:041E0200809E0C7F33
+:041E0300809D0C7939
+:041E0400809C0C733F
+:041E0500809C0C6C45
+:041E0600809B0C664B
+:041E0700809A0C6051
+:041E0800809A0C5A56
+:041E090080990C535D
+:041E0A0080990C4D62
+:041E0B0080980C4768
+:041E0C0080970C416E
+:041E0D0080970C3A74
+:041E0E0080960C347A
+:041E0F0080960C2E7F
+:041E100080950C2885
+:041E110080940C218C
+:041E120080940C1B91
+:041E130080930C1597
+:041E140080930C0F9C
+:041E150080920C08A3
+:041E160080920C02A8
+:041E170080910BFCAF
+:041E180080900BF6B5
+:041E190080900BEFBB
+:041E1A00808F0BE9C1
+:041E1B00808F0BE3C6
+:041E1C00808E0BDDCC
+:041E1D00808D0BD6D3
+:041E1E00808D0BD0D8
+:041E1F00808C0BCADE
+:041E2000808C0BC3E4
+:041E2100808B0BBDEA
+:041E2200808B0BB7EF
+:041E2300808A0BB1F5
+:041E240080890BAAFC
+:041E250080890BA401
+:041E260080880B9E07
+:041E270080880B980C
+:041E280080870B9113
+:041E290080870B8B18
+:041E2A0080860B851E
+:041E2B0080850B7F24
+:041E2C0080850B782A
+:041E2D0080840B7230
+:041E2E0080840B6C35
+:041E2F0080830B663B
+:041E300080830B5F41
+:041E310080820B5947
+:041E320080810B534D
+:041E330080810B4D52
+:041E340080800B4659
+:041E350080800B405E
+:041E3600807F0B3A64
+:041E3700807F0B3469
+:041E3800807E0B2D70
+:041E3900807E0B2775
+:041E3A00807D0B217B
+:041E3B00807D0B1B80
+:041E3C00807C0B1487
+:041E3D00807B0B0E8D
+:041E3E00807B0B0892
+:041E3F00807A0B0199
+:041E4000807A0AFB9F
+:041E410080790AF5A5
+:041E420080790AEFAA
+:041E430080780AE8B1
+:041E440080780AE2B6
+:041E450080770ADCBC
+:041E460080770AD6C1
+:041E470080760ACFC8
+:041E480080760AC9CD
+:041E490080750AC3D3
+:041E4A0080740ABDD9
+:041E4B0080740AB6DF
+:041E4C0080730AB0E5
+:041E4D0080730AAAEA
+:041E4E0080720AA4F0
+:041E4F0080720A9DF6
+:041E500080710A97FC
+:041E510080710A9101
+:041E520080700A8B07
+:041E530080700A840D
+:041E5400806F0A7E13
+:041E5500806F0A7818
+:041E5600806E0A711F
+:041E5700806E0A6B24
+:041E5800806D0A652A
+:041E5900806D0A5F2F
+:041E5A00806C0A5836
+:041E5B00806C0A523B
+:041E5C00806B0A4C41
+:041E5D00806B0A4646
+:041E5E00806A0A3F4D
+:041E5F00806A0A3952
+:041E600080690A3358
+:041E610080690A2D5D
+:041E620080680A2664
+:041E630080680A2069
+:041E640080670A1A6F
+:041E650080670A1474
+:041E660080660A0D7B
+:041E670080660A0780
+:041E680080650A0186
+:041E6900806509FB8C
+:041E6A00806409F493
+:041E6B00806409EE98
+:041E6C00806309E89E
+:041E6D00806309E1A4
+:041E6E00806209DBAA
+:041E6F00806209D5AF
+:041E7000806109CFB5
+:041E7100806109C8BB
+:041E7200806009C2C1
+:041E7300806009BCC6
+:041E7400805F09B6CC
+:041E7500805F09AFD2
+:041E7600805E09A9D8
+:041E7700805E09A3DD
+:041E7800805E099DE2
+:041E7900805D0996E9
+:041E7A00805D0990EE
+:041E7B00805C098AF4
+:041E7C00805C0983FA
+:041E7D00805B097D00
+:041E7E00805B097705
+:041E7F00805A09710B
+:041E8000805A096A11
+:041E81008059096417
+:041E82008059095E1C
+:041E83008058095822
+:041E84008058095128
+:041E85008057094B2E
+:041E86008057094533
+:041E87008057093F38
+:041E8800805609383F
+:041E89008056093244
+:041E8A008055092C4A
+:041E8B008055092550
+:041E8C008054091F56
+:041E8D00805409195B
+:041E8E008053091361
+:041E8F008053090C67
+:041E9000805309066C
+:041E91008052090072
+:041E9200805208FA78
+:041E9300805108F37F
+:041E9400805108ED84
+:041E9500805008E78A
+:041E9600805008E18F
+:041E9700804F08DA96
+:041E9800804F08D49B
+:041E9900804F08CEA0
+:041E9A00804E08C7A7
+:041E9B00804E08C1AC
+:041E9C00804D08BBB2
+:041E9D00804D08B5B7
+:041E9E00804C08AEBE
+:041E9F00804C08A8C3
+:041EA000804C08A2C8
+:041EA100804B089CCE
+:041EA200804B0895D4
+:041EA300804A088FDA
+:041EA400804A0889DF
+:041EA500804A0883E4
+:041EA6008049087CEB
+:041EA70080490876F0
+:041EA80080480870F6
+:041EA90080480869FC
+:041EAA008047086302
+:041EAB008047085D07
+:041EAC00804708570C
+:041EAD008046085013
+:041EAE008046084A18
+:041EAF00804508441E
+:041EB0008045083E23
+:041EB1008045083729
+:041EB200804408312F
+:041EB3008044082B34
+:041EB400804308243B
+:041EB5008043081E40
+:041EB6008043081845
+:041EB700804208124B
+:041EB8008042080B51
+:041EB9008041080557
+:041EBA00804107FF5D
+:041EBB00804107F962
+:041EBC00804007F269
+:041EBD00804007EC6E
+:041EBE00803F07E674
+:041EBF00803F07E079
+:041EC000803F07D97F
+:041EC100803E07D385
+:041EC200803E07CD8A
+:041EC300803E07C690
+:041EC400803D07C096
+:041EC500803D07BA9B
+:041EC600803C07B4A1
+:041EC700803C07ADA7
+:041EC800803C07A7AC
+:041EC900803B07A1B2
+:041ECA00803B079BB7
+:041ECB00803A0794BE
+:041ECC00803A078EC3
+:041ECD00803A0788C8
+:041ECE0080390781CF
+:041ECF008039077BD4
+:041ED00080390775D9
+:041ED1008038076FDF
+:041ED20080380768E5
+:041ED30080380762EA
+:041ED4008037075CF0
+:041ED50080370756F5
+:041ED6008036074FFC
+:041ED7008036074901
+:041ED8008036074306
+:041ED9008035073C0D
+:041EDA008035073612
+:041EDB008035073017
+:041EDC008034072A1D
+:041EDD008034072323
+:041EDE008034071D28
+:041EDF00803307172E
+:041EE0008033071133
+:041EE1008033070A39
+:041EE200803207043F
+:041EE300803206FE45
+:041EE400803206F74B
+:041EE500803106F151
+:041EE600803106EB56
+:041EE700803106E55B
+:041EE800803006DE62
+:041EE900803006D867
+:041EEA00803006D26C
+:041EEB00802F06CC72
+:041EEC00802F06C578
+:041EED00802F06BF7D
+:041EEE00802E06B983
+:041EEF00802E06B289
+:041EF000802E06AC8E
+:041EF100802D06A694
+:041EF200802D06A099
+:041EF300802D06999F
+:041EF400802C0693A5
+:041EF500802C068DAA
+:041EF600802C0687AF
+:041EF700802B0680B6
+:041EF800802B067ABB
+:041EF900802B0674C0
+:041EFA00802A066DC7
+:041EFB00802A0667CC
+:041EFC00802A0661D1
+:041EFD008029065BD7
+:041EFE0080290654DD
+:041EFF008029064EE2
+:041F000080280648E7
+:041F010080280641ED
+:041F02008028063BF2
+:041F030080280635F7
+:041F04008027062FFD
+:041F05008027062803
+:041F06008027062208
+:041F07008026061C0E
+:041F08008026061613
+:041F09008026060F19
+:041F0A00802506091F
+:041F0B008025060324
+:041F0C00802505FC2B
+:041F0D00802505F630
+:041F0E00802405F036
+:041F0F00802405EA3B
+:041F1000802405E341
+:041F1100802305DD47
+:041F1200802305D74C
+:041F1300802305D151
+:041F1400802305CA57
+:041F1500802205C45D
+:041F1600802205BE62
+:041F1700802205B768
+:041F1800802105B16E
+:041F1900802105AB73
+:041F1A00802105A578
+:041F1B008021059E7E
+:041F1C008020059884
+:041F1D008020059289
+:041F1E008020058B8F
+:041F1F00801F058595
+:041F2000801F057F9A
+:041F2100801F05799F
+:041F2200801F0572A5
+:041F2300801E056CAB
+:041F2400801E0566B0
+:041F2500801E0560B5
+:041F2600801E0559BB
+:041F2700801D0553C1
+:041F2800801D054DC6
+:041F2900801D0546CC
+:041F2A00801D0540D1
+:041F2B00801C053AD7
+:041F2C00801C0534DC
+:041F2D00801C052DE2
+:041F2E00801C0527E7
+:041F2F00801B0521ED
+:041F3000801B051AF3
+:041F3100801B0514F8
+:041F3200801B050EFD
+:041F3300801A050803
+:041F3400801A050109
+:041F3500801A04FB0F
+:041F3600801A04F514
+:041F3700801904EF1A
+:041F3800801904E820
+:041F3900801904E225
+:041F3A00801904DC2A
+:041F3B00801804D531
+:041F3C00801804CF36
+:041F3D00801804C93B
+:041F3E00801804C340
+:041F3F00801704BC47
+:041F4000801704B64C
+:041F4100801704B051
+:041F4200801704A957
+:041F4300801704A35C
+:041F44008016049D62
+:041F45008016049767
+:041F4600801604906D
+:041F47008016048A72
+:041F48008015048478
+:041F49008015047E7D
+:041F4A008015047783
+:041F4B008015047188
+:041F4C008015046B8D
+:041F4D008014046494
+:041F4E008014045E99
+:041F4F00801404589E
+:041F500080140452A3
+:041F51008013044BAA
+:041F520080130445AF
+:041F53008013043FB4
+:041F540080130438BA
+:041F550080130432BF
+:041F56008012042CC5
+:041F570080120426CA
+:041F58008012041FD0
+:041F590080120419D5
+:041F5A0080120413DA
+:041F5B008011040CE1
+:041F5C0080110406E6
+:041F5D0080110400EB
+:041F5E00801103FAF1
+:041F5F00801103F3F7
+:041F6000801003EDFD
+:041F6100801003E702
+:041F6200801003E107
+:041F6300801003DA0D
+:041F6400801003D412
+:041F6500800F03CE18
+:041F6600800F03C71E
+:041F6700800F03C123
+:041F6800800F03BB28
+:041F6900800F03B52D
+:041F6A00800F03AE33
+:041F6B00800E03A839
+:041F6C00800E03A23E
+:041F6D00800E039B44
+:041F6E00800E039549
+:041F6F00800E038F4E
+:041F7000800D038954
+:041F7100800D03825A
+:041F7200800D037C5F
+:041F7300800D037664
+:041F7400800D036F6A
+:041F7500800D03696F
+:041F7600800C036375
+:041F7700800C035D7A
+:041F7800800C035680
+:041F7900800C035085
+:041F7A00800C034A8A
+:041F7B00800C03448F
+:041F7C00800B033D96
+:041F7D00800B03379B
+:041F7E00800B0331A0
+:041F7F00800B032AA6
+:041F8000800B0324AB
+:041F8100800B031EB0
+:041F8200800B0318B5
+:041F8300800A0311BC
+:041F8400800A030BC1
+:041F8500800A0305C6
+:041F8600800A02FECD
+:041F8700800A02F8D2
+:041F8800800A02F2D7
+:041F8900800A02ECDC
+:041F8A00800902E5E3
+:041F8B00800902DFE8
+:041F8C00800902D9ED
+:041F8D00800902D2F3
+:041F8E00800902CCF8
+:041F8F00800902C6FD
+:041F9000800902C002
+:041F9100800802B909
+:041F9200800802B30E
+:041F9300800802AD13
+:041F9400800802A619
+:041F9500800802A01E
+:041F96008008029A23
+:041F97008008029428
+:041F98008008028D2E
+:041F99008007028734
+:041F9A008007028139
+:041F9B008007027B3E
+:041F9C008007027444
+:041F9D008007026E49
+:041F9E00800702684E
+:041F9F008007026154
+:041FA0008007025B59
+:041FA100800602555F
+:041FA2008006024F64
+:041FA300800602486A
+:041FA400800602426F
+:041FA5008006023C74
+:041FA600800602357A
+:041FA7008006022F7F
+:041FA8008006022984
+:041FA9008006022389
+:041FAA008005021C90
+:041FAB008005021695
+:041FAC00800502109A
+:041FAD0080050209A0
+:041FAE0080050203A5
+:041FAF00800501FDAB
+:041FB000800501F7B0
+:041FB100800501F0B6
+:041FB200800501EABB
+:041FB300800501E4C0
+:041FB400800401DDC7
+:041FB500800401D7CC
+:041FB600800401D1D1
+:041FB700800401CBD6
+:041FB800800401C4DC
+:041FB900800401BEE1
+:041FBA00800401B8E6
+:041FBB00800401B2EB
+:041FBC00800401ABF1
+:041FBD00800401A5F6
+:041FBE008004019FFB
+:041FBF008004019801
+:041FC0008003019207
+:041FC1008003018C0C
+:041FC2008003018611
+:041FC3008003017F17
+:041FC400800301791C
+:041FC5008003017321
+:041FC6008003016C27
+:041FC700800301662C
+:041FC8008003016031
+:041FC9008003015A36
+:041FCA00800301533C
+:041FCB008003014D41
+:041FCC008003014746
+:041FCD00800301404C
+:041FCE008003013A51
+:041FCF008002013457
+:041FD0008002012E5C
+:041FD1008002012762
+:041FD2008002012167
+:041FD3008002011B6C
+:041FD4008002011472
+:041FD5008002010E77
+:041FD600800201087C
+:041FD7008002010281
+:041FD800800200FB88
+:041FD900800200F58D
+:041FDA00800200EF92
+:041FDB00800200E898
+:041FDC00800200E29D
+:041FDD00800200DCA2
+:041FDE00800200D6A7
+:041FDF00800200CFAD
+:041FE000800200C9B2
+:041FE100800200C3B7
+:041FE200800200BCBD
+:041FE300800200B6C2
+:041FE400800100B0C8
+:041FE500800100AACD
+:041FE600800100A3D3
+:041FE7008001009DD8
+:041FE80080010097DD
+:041FE90080010091E2
+:041FEA008001008AE8
+:041FEB0080010084ED
+:041FEC008001007EF2
+:041FED0080010077F8
+:041FEE0080010071FD
+:041FEF008001006B02
+:041FF0008001006507
+:041FF1008001005E0D
+:041FF2008001005812
+:041FF3008001005217
+:041FF4008001004B1D
+:041FF5008001004522
+:041FF6008001003F27
+:041FF700800100392C
+:041FF8008001003232
+:041FF9008001002C37
+:041FFA00800100263C
+:041FFB008001001F42
+:041FFC008001001947
+:041FFD00800100134C
+:041FFE008001000D51
+:041FFF008001000657
+:04200000800100005B
+:042001008000FFFA62
+:042002008000FFF368
+:042003008000FFED6D
+:042004008000FFE772
+:042005008000FFE177
+:042006008000FFDA7D
+:042007008000FFD482
+:042008008000FFCE87
+:042009008000FFC78D
+:04200A008000FFC192
+:04200B008000FFBB97
+:04200C008000FFB59C
+:04200D008000FFAEA2
+:04200E008000FFA8A7
+:04200F008000FFA2AC
+:042010008000FF9BB2
+:042011008000FF95B7
+:042012008000FF8FBC
+:042013008000FF89C1
+:042014008000FF82C7
+:042015008000FF7CCC
+:042016008000FF76D1
+:042017008000FF6FD7
+:042018008000FF69DC
+:042019008000FF63E1
+:04201A008000FF5DE6
+:04201B008000FF56EC
+:04201C008000FF50F1
+:04201D008001FF4AF5
+:04201E008001FF44FA
+:04201F008001FF3D00
+:042020008001FF3705
+:042021008001FF310A
+:042022008001FF2A10
+:042023008001FF2415
+:042024008001FF1E1A
+:042025008001FF181F
+:042026008001FF1125
+:042027008001FF0B2A
+:042028008001FF052F
+:042029008001FEFE36
+:04202A008001FEF83B
+:04202B008001FEF240
+:04202C008001FEEC45
+:04202D008001FEE54B
+:04202E008001FEDF50
+:04202F008001FED955
+:042030008001FED25B
+:042031008001FECC60
+:042032008002FEC664
+:042033008002FEC069
+:042034008002FEB96F
+:042035008002FEB374
+:042036008002FEAD79
+:042037008002FEA67F
+:042038008002FEA084
+:042039008002FE9A89
+:04203A008002FE948E
+:04203B008002FE8D94
+:04203C008002FE8799
+:04203D008002FE819E
+:04203E008002FE7AA4
+:04203F008002FE74A9
+:042040008002FE6EAE
+:042041008003FE68B2
+:042042008003FE61B8
+:042043008003FE5BBD
+:042044008003FE55C2
+:042045008003FE4EC8
+:042046008003FE48CD
+:042047008003FE42D2
+:042048008003FE3CD7
+:042049008003FE35DD
+:04204A008003FE2FE2
+:04204B008003FE29E7
+:04204C008003FE23EC
+:04204D008004FE1CF1
+:04204E008004FE16F6
+:04204F008004FE10FB
+:042050008004FE0901
+:042051008004FE0306
+:042052008004FDFD0C
+:042053008004FDF711
+:042054008004FDF017
+:042055008004FDEA1C
+:042056008004FDE421
+:042057008005FDDD26
+:042058008005FDD72B
+:042059008005FDD130
+:04205A008005FDCB35
+:04205B008005FDC43B
+:04205C008005FDBE40
+:04205D008005FDB845
+:04205E008005FDB14B
+:04205F008005FDAB50
+:042060008006FDA554
+:042061008006FD9F59
+:042062008006FD985F
+:042063008006FD9264
+:042064008006FD8C69
+:042065008006FD856F
+:042066008006FD7F74
+:042067008006FD7979
+:042068008007FD737D
+:042069008007FD6C83
+:04206A008007FD6688
+:04206B008007FD608D
+:04206C008007FD5A92
+:04206D008007FD5398
+:04206E008007FD4D9D
+:04206F008007FD47A2
+:042070008008FD40A7
+:042071008008FD3AAC
+:042072008008FD34B1
+:042073008008FD2EB6
+:042074008008FD27BC
+:042075008008FD21C1
+:042076008008FD1BC6
+:042077008009FD14CB
+:042078008009FD0ED0
+:042079008009FD08D5
+:04207A008009FD02DA
+:04207B008009FCFBE1
+:04207C008009FCF5E6
+:04207D008009FCEFEB
+:04207E00800AFCE8F0
+:04207F00800AFCE2F5
+:04208000800AFCDCFA
+:04208100800AFCD6FF
+:04208200800AFCCF05
+:04208300800AFCC90A
+:04208400800AFCC30F
+:04208500800BFCBC14
+:04208600800BFCB619
+:04208700800BFCB01E
+:04208800800BFCAA23
+:04208900800BFCA329
+:04208A00800BFC9D2E
+:04208B00800CFC9732
+:04208C00800CFC9137
+:04208D00800CFC8A3D
+:04208E00800CFC8442
+:04208F00800CFC7E47
+:04209000800CFC774D
+:04209100800DFC7151
+:04209200800DFC6B56
+:04209300800DFC655B
+:04209400800DFC5E61
+:04209500800DFC5866
+:04209600800EFC526A
+:04209700800EFC4B70
+:04209800800EFC4575
+:04209900800EFC3F7A
+:04209A00800EFC397F
+:04209B00800EFC3285
+:04209C00800FFC2C89
+:04209D00800FFC268E
+:04209E00800FFC1F94
+:04209F00800FFC1999
+:0420A000800FFC139E
+:0420A1008010FC0DA2
+:0420A2008010FC06A8
+:0420A3008010FC00AD
+:0420A4008010FBFAB3
+:0420A5008010FBF4B8
+:0420A6008011FBEDBD
+:0420A7008011FBE7C2
+:0420A8008011FBE1C7
+:0420A9008011FBDACD
+:0420AA008011FBD4D2
+:0420AB008012FBCED6
+:0420AC008012FBC8DB
+:0420AD008012FBC1E1
+:0420AE008012FBBBE6
+:0420AF008012FBB5EB
+:0420B0008013FBAEF0
+:0420B1008013FBA8F5
+:0420B2008013FBA2FA
+:0420B3008013FB9CFF
+:0420B4008014FB9504
+:0420B5008014FB8F09
+:0420B6008014FB890E
+:0420B7008014FB8214
+:0420B8008014FB7C19
+:0420B9008015FB761D
+:0420BA008015FB7022
+:0420BB008015FB6928
+:0420BC008015FB632D
+:0420BD008016FB5D31
+:0420BE008016FB5736
+:0420BF008016FB503C
+:0420C0008016FB4A41
+:0420C1008016FB4446
+:0420C2008017FB3D4B
+:0420C3008017FB3750
+:0420C4008017FB3155
+:0420C5008017FB2B5A
+:0420C6008018FB245F
+:0420C7008018FB1E64
+:0420C8008018FB1869
+:0420C9008018FB116F
+:0420CA008019FB0B73
+:0420CB008019FB0578
+:0420CC008019FAFF7E
+:0420CD008019FAF884
+:0420CE00801AFAF288
+:0420CF00801AFAEC8D
+:0420D000801AFAE692
+:0420D100801AFADF98
+:0420D200801BFAD99C
+:0420D300801BFAD3A1
+:0420D400801BFACCA7
+:0420D500801BFAC6AC
+:0420D600801CFAC0B0
+:0420D700801CFABAB5
+:0420D800801CFAB3BB
+:0420D900801CFAADC0
+:0420DA00801DFAA7C4
+:0420DB00801DFAA0CA
+:0420DC00801DFA9ACF
+:0420DD00801DFA94D4
+:0420DE00801EFA8ED8
+:0420DF00801EFA87DE
+:0420E000801EFA81E3
+:0420E100801EFA7BE8
+:0420E200801FFA75EC
+:0420E300801FFA6EF2
+:0420E400801FFA68F7
+:0420E5008020FA62FB
+:0420E6008020FA5B01
+:0420E7008020FA5506
+:0420E8008020FA4F0B
+:0420E9008021FA490F
+:0420EA008021FA4215
+:0420EB008021FA3C1A
+:0420EC008022FA361E
+:0420ED008022FA2F24
+:0420EE008022FA2929
+:0420EF008022FA232E
+:0420F0008023FA1D32
+:0420F1008023FA1638
+:0420F2008023FA103D
+:0420F3008024FA0A41
+:0420F4008024FA0446
+:0420F5008024F9FD4D
+:0420F6008024F9F752
+:0420F7008025F9F156
+:0420F8008025F9EA5C
+:0420F9008025F9E461
+:0420FA008026F9DE65
+:0420FB008026F9D86A
+:0420FC008026F9D170
+:0420FD008027F9CB74
+:0420FE008027F9C579
+:0420FF008027F9BF7E
+:042100008027F9B883
+:042101008028F9B287
+:042102008028F9AC8C
+:042103008028F9A592
+:042104008029F99F96
+:042105008029F9999B
+:042106008029F993A0
+:04210700802AF98CA5
+:04210800802AF986AA
+:04210900802AF980AF
+:04210A00802BF979B4
+:04210B00802BF973B9
+:04210C00802BF96DBE
+:04210D00802CF967C2
+:04210E00802CF960C8
+:04210F00802CF95ACD
+:04211000802DF954D1
+:04211100802DF94ED6
+:04211200802DF947DC
+:04211300802EF941E0
+:04211400802EF93BE5
+:04211500802EF934EB
+:04211600802FF92EEF
+:04211700802FF928F4
+:04211800802FF922F9
+:042119008030F91BFE
+:04211A008030F91503
+:04211B008030F90F08
+:04211C008031F9090C
+:04211D008031F90212
+:04211E008031F8FC18
+:04211F008032F8F61C
+:042120008032F8EF22
+:042121008032F8E927
+:042122008033F8E32B
+:042123008033F8DD30
+:042124008033F8D636
+:042125008034F8D03A
+:042126008034F8CA3F
+:042127008034F8C444
+:042128008035F8BD49
+:042129008035F8B74E
+:04212A008035F8B153
+:04212B008036F8AA58
+:04212C008036F8A45D
+:04212D008037F89E61
+:04212E008037F89866
+:04212F008037F8916C
+:042130008038F88B70
+:042131008038F88575
+:042132008038F87F7A
+:042133008039F8787F
+:042134008039F87284
+:042135008039F86C89
+:04213600803AF8658E
+:04213700803AF85F93
+:04213800803BF85997
+:04213900803BF8539C
+:04213A00803BF84CA2
+:04213B00803CF846A6
+:04213C00803CF840AB
+:04213D00803DF83AAF
+:04213E00803DF833B5
+:04213F00803DF82DBA
+:04214000803EF827BE
+:04214100803EF820C4
+:04214200803EF81AC9
+:04214300803FF814CD
+:04214400803FF80ED2
+:042145008040F807D7
+:042146008040F801DC
+:042147008040F7FBE2
+:042148008041F7F5E6
+:042149008041F7EEEC
+:04214A008042F7E8F0
+:04214B008042F7E2F5
+:04214C008042F7DCFA
+:04214D008043F7D5FF
+:04214E008043F7CF04
+:04214F008044F7C908
+:042150008044F7C20E
+:042151008044F7BC13
+:042152008045F7B617
+:042153008045F7B01C
+:042154008046F7A921
+:042155008046F7A326
+:042156008046F79D2B
+:042157008047F7972F
+:042158008047F79035
+:042159008048F78A39
+:04215A008048F7843E
+:04215B008049F77D43
+:04215C008049F77748
+:04215D008049F7714D
+:04215E00804AF76B51
+:04215F00804AF76457
+:04216000804BF75E5B
+:04216100804BF75860
+:04216200804BF75265
+:04216300804CF74B6A
+:04216400804CF7456F
+:04216500804DF73F73
+:04216600804DF73978
+:04216700804EF7327D
+:04216800804EF72C82
+:04216900804EF72687
+:04216A00804FF71F8C
+:04216B00804FF71991
+:04216C008050F71395
+:04216D008050F70D9A
+:04216E008051F7069F
+:04216F008051F700A4
+:042170008052F6FAA9
+:042171008052F6F4AE
+:042172008052F6EDB4
+:042173008053F6E7B8
+:042174008053F6E1BD
+:042175008054F6DBC1
+:042176008054F6D4C7
+:042177008055F6CECB
+:042178008055F6C8D0
+:042179008056F6C1D5
+:04217A008056F6BBDA
+:04217B008056F6B5DF
+:04217C008057F6AFE3
+:04217D008057F6A8E9
+:04217E008058F6A2ED
+:04217F008058F69CF2
+:042180008059F696F6
+:042181008059F68FFC
+:04218200805AF68900
+:04218300805AF68305
+:04218400805BF67D09
+:04218500805BF6760F
+:04218600805CF67013
+:04218700805CF66A18
+:04218800805DF6631D
+:04218900805DF65D22
+:04218A00805DF65727
+:04218B00805EF6512B
+:04218C00805EF64A31
+:04218D00805FF64435
+:04218E00805FF63E3A
+:04218F008060F6383E
+:042190008060F63144
+:042191008061F62B48
+:042192008061F6254D
+:042193008062F61F51
+:042194008062F61857
+:042195008063F6125B
+:042196008063F60C60
+:042197008064F60565
+:042198008064F5FF6B
+:042199008065F5F96F
+:04219A008065F5F374
+:04219B008066F5EC79
+:04219C008066F5E67E
+:04219D008067F5E082
+:04219E008067F5DA87
+:04219F008068F5D38C
+:0421A0008068F5CD91
+:0421A1008069F5C795
+:0421A2008069F5C19A
+:0421A300806AF5BA9F
+:0421A400806AF5B4A4
+:0421A500806BF5AEA8
+:0421A600806BF5A8AD
+:0421A700806CF5A1B2
+:0421A800806CF59BB7
+:0421A900806DF595BB
+:0421AA00806DF58FC0
+:0421AB00806EF588C5
+:0421AC00806EF582CA
+:0421AD00806FF57CCE
+:0421AE00806FF575D4
+:0421AF008070F56FD8
+:0421B0008070F569DD
+:0421B1008071F563E1
+:0421B2008071F55CE7
+:0421B3008072F556EB
+:0421B4008072F550F0
+:0421B5008073F54AF4
+:0421B6008073F543FA
+:0421B7008074F53DFE
+:0421B8008075F53702
+:0421B9008075F53107
+:0421BA008076F52A0C
+:0421BB008076F52411
+:0421BC008077F51E15
+:0421BD008077F5181A
+:0421BE008078F5111F
+:0421BF008078F50B24
+:0421C0008079F50528
+:0421C1008079F4FF2E
+:0421C200807AF4F833
+:0421C300807AF4F238
+:0421C400807BF4EC3C
+:0421C500807CF4E541
+:0421C600807CF4DF46
+:0421C700807DF4D94A
+:0421C800807DF4D34F
+:0421C900807EF4CC54
+:0421CA00807EF4C659
+:0421CB00807FF4C05D
+:0421CC00807FF4BA62
+:0421CD008080F4B367
+:0421CE008080F4AD6C
+:0421CF008081F4A770
+:0421D0008082F4A174
+:0421D1008082F49A7A
+:0421D2008083F4947E
+:0421D3008083F48E83
+:0421D4008084F48887
+:0421D5008084F4818D
+:0421D6008085F47B91
+:0421D7008086F47595
+:0421D8008086F46F9A
+:0421D9008087F4689F
+:0421DA008087F462A4
+:0421DB008088F45CA8
+:0421DC008088F456AD
+:0421DD008089F44FB2
+:0421DE00808AF449B6
+:0421DF00808AF443BB
+:0421E000808BF43DBF
+:0421E100808BF436C5
+:0421E200808CF430C9
+:0421E300808CF42ACE
+:0421E400808DF423D3
+:0421E500808EF41DD7
+:0421E600808EF417DC
+:0421E700808FF411E0
+:0421E800808FF40AE6
+:0421E9008090F404EA
+:0421EA008091F3FEEF
+:0421EB008091F3F8F4
+:0421EC008092F3F1F9
+:0421ED008092F3EBFE
+:0421EE008093F3E502
+:0421EF008093F3DF07
+:0421F0008094F3D80C
+:0421F1008095F3D210
+:0421F2008095F3CC15
+:0421F3008096F3C619
+:0421F4008096F3BF1F
+:0421F5008097F3B923
+:0421F6008098F3B327
+:0421F7008098F3AD2C
+:0421F8008099F3A631
+:0421F9008099F3A036
+:0421FA00809AF39A3A
+:0421FB00809BF3943E
+:0421FC00809BF38D44
+:0421FD00809CF38748
+:0421FE00809DF3814C
+:0421FF00809DF37B51
+:04220000809EF37455
+:04220100809EF36E5A
+:04220200809FF3685E
+:0422030080A0F36262
+:0422040080A0F35B68
+:0422050080A1F3556C
+:0422060080A1F34F71
+:0422070080A2F34975
+:0422080080A3F3427A
+:0422090080A3F33C7F
+:04220A0080A4F33683
+:04220B0080A5F33087
+:04220C0080A5F3298D
+:04220D0080A6F32391
+:04220E0080A7F31D95
+:04220F0080A7F3179A
+:0422100080A8F3109F
+:0422110080A8F30AA4
+:0422120080A9F304A8
+:0422130080AAF2FEAD
+:0422140080AAF2F7B3
+:0422150080ABF2F1B7
+:0422160080ACF2EBBB
+:0422170080ACF2E5C0
+:0422180080ADF2DEC5
+:0422190080AEF2D8C9
+:04221A0080AEF2D2CE
+:04221B0080AFF2CCD2
+:04221C0080AFF2C5D8
+:04221D0080B0F2BFDC
+:04221E0080B1F2B9E0
+:04221F0080B1F2B3E5
+:0422200080B2F2ACEA
+:0422210080B3F2A6EE
+:0422220080B3F2A0F3
+:0422230080B4F29AF7
+:0422240080B5F293FC
+:0422250080B5F28D01
+:0422260080B6F28705
+:0422270080B7F28109
+:0422280080B7F27A0F
+:0422290080B8F27413
+:04222A0080B9F26E17
+:04222B0080B9F2681C
+:04222C0080BAF26121
+:04222D0080BBF25B25
+:04222E0080BBF2552A
+:04222F0080BCF24F2E
+:0422300080BDF24833
+:0422310080BDF24238
+:0422320080BEF23C3C
+:0422330080BFF23640
+:0422340080BFF22F46
+:0422350080C0F2294A
+:0422360080C1F2234E
+:0422370080C1F21D53
+:0422380080C2F21658
+:0422390080C3F2105C
+:04223A0080C4F20A60
+:04223B0080C4F20465
+:04223C0080C5F1FD6B
+:04223D0080C6F1F76F
+:04223E0080C6F1F174
+:04223F0080C7F1EB78
+:0422400080C8F1E47D
+:0422410080C8F1DE82
+:0422420080C9F1D886
+:0422430080CAF1D28A
+:0422440080CAF1CB90
+:0422450080CBF1C594
+:0422460080CCF1BF98
+:0422470080CDF1B99C
+:0422480080CDF1B3A1
+:0422490080CEF1ACA6
+:04224A0080CFF1A6AA
+:04224B0080CFF1A0AF
+:04224C0080D0F19AB3
+:04224D0080D1F193B8
+:04224E0080D1F18DBD
+:04224F0080D2F187C1
+:0422500080D3F181C5
+:0422510080D4F17ACA
+:0422520080D4F174CF
+:0422530080D5F16ED3
+:0422540080D6F168D7
+:0422550080D6F161DD
+:0422560080D7F15BE1
+:0422570080D8F155E5
+:0422580080D9F14FE9
+:0422590080D9F148EF
+:04225A0080DAF142F3
+:04225B0080DBF13CF7
+:04225C0080DCF136FB
+:04225D0080DCF12F01
+:04225E0080DDF12905
+:04225F0080DEF12309
+:0422600080DEF11D0E
+:0422610080DFF11613
+:0422620080E0F11017
+:0422630080E1F10A1B
+:0422640080E1F10420
+:0422650080E2F0FE25
+:0422660080E3F0F72A
+:0422670080E4F0F12E
+:0422680080E4F0EB33
+:0422690080E5F0E537
+:04226A0080E6F0DE3C
+:04226B0080E7F0D840
+:04226C0080E7F0D245
+:04226D0080E8F0CC49
+:04226E0080E9F0C54E
+:04226F0080EAF0BF52
+:0422700080EAF0B957
+:0422710080EBF0B35B
+:0422720080ECF0AC60
+:0422730080EDF0A664
+:0422740080EDF0A069
+:0422750080EEF09A6D
+:0422760080EFF09372
+:0422770080F0F08D76
+:0422780080F0F0877B
+:0422790080F1F0817F
+:04227A0080F2F07B83
+:04227B0080F3F07488
+:04227C0080F3F06E8D
+:04227D0080F4F06891
+:04227E0080F5F06295
+:04227F0080F6F05B9A
+:0422800080F6F0559F
+:0422810080F7F04FA3
+:0422820080F8F049A7
+:0422830080F9F042AC
+:0422840080FAF03CB0
+:0422850080FAF036B5
+:0422860080FBF030B9
+:0422870080FCF029BE
+:0422880080FDF023C2
+:0422890080FDF01DC7
+:04228A0080FEF017CB
+:04228B0080FFF011CF
+:04228C008100F00AD3
+:04228D008101F004D7
+:04228E008101EFFEDD
+:04228F008102EFF8E1
+:042290008103EFF1E6
+:042291008104EFEBEA
+:042292008104EFE5EF
+:042293008105EFDFF3
+:042294008106EFD8F8
+:042295008107EFD2FC
+:042296008108EFCC00
+:042297008108EFC605
+:042298008109EFBF0A
+:04229900810AEFB90E
+:04229A00810BEFB312
+:04229B00810CEFAD16
+:04229C00810CEFA71B
+:04229D00810DEFA020
+:04229E00810EEF9A24
+:04229F00810FEF9428
+:0422A0008110EF8E2C
+:0422A1008110EF8732
+:0422A2008111EF8136
+:0422A3008112EF7B3A
+:0422A4008113EF753E
+:0422A5008114EF6E43
+:0422A6008115EF6847
+:0422A7008115EF624C
+:0422A8008116EF5C50
+:0422A9008117EF5654
+:0422AA008118EF4F59
+:0422AB008119EF495D
+:0422AC008119EF4362
+:0422AD00811AEF3D66
+:0422AE00811BEF366B
+:0422AF00811CEF306F
+:0422B000811DEF2A73
+:0422B100811EEF2477
+:0422B200811EEF1E7C
+:0422B300811FEF1781
+:0422B4008120EF1185
+:0422B5008121EF0B89
+:0422B6008122EF058D
+:0422B7008123EEFE93
+:0422B8008123EEF898
+:0422B9008124EEF29C
+:0422BA008125EEECA0
+:0422BB008126EEE5A5
+:0422BC008127EEDFA9
+:0422BD008128EED9AD
+:0422BE008128EED3B2
+:0422BF008129EECDB6
+:0422C000812AEEC6BB
+:0422C100812BEEC0BF
+:0422C200812CEEBAC3
+:0422C300812DEEB4C7
+:0422C400812DEEADCD
+:0422C500812EEEA7D1
+:0422C600812FEEA1D5
+:0422C7008130EE9BD9
+:0422C8008131EE95DD
+:0422C9008132EE8EE2
+:0422CA008133EE88E6
+:0422CB008133EE82EB
+:0422CC008134EE7CEF
+:0422CD008135EE75F4
+:0422CE008136EE6FF8
+:0422CF008137EE69FC
+:0422D0008138EE6300
+:0422D1008139EE5D04
+:0422D2008139EE560A
+:0422D300813AEE500E
+:0422D400813BEE4A12
+:0422D500813CEE4416
+:0422D600813DEE3D1B
+:0422D700813EEE371F
+:0422D800813FEE3123
+:0422D9008140EE2B27
+:0422DA008140EE252C
+:0422DB008141EE1E31
+:0422DC008142EE1835
+:0422DD008143EE1239
+:0422DE008144EE0C3D
+:0422DF008145EE0542
+:0422E0008146EDFF47
+:0422E1008147EDF94B
+:0422E2008148EDF34F
+:0422E3008148EDED54
+:0422E4008149EDE659
+:0422E500814AEDE05D
+:0422E600814BEDDA61
+:0422E700814CEDD465
+:0422E800814DEDCD6A
+:0422E900814EEDC76E
+:0422EA00814FEDC172
+:0422EB008150EDBB76
+:0422EC008150EDB57B
+:0422ED008151EDAE80
+:0422EE008152EDA884
+:0422EF008153EDA288
+:0422F0008154ED9C8C
+:0422F1008155ED9591
+:0422F2008156ED8F95
+:0422F3008157ED8999
+:0422F4008158ED839D
+:0422F5008159ED7DA1
+:0422F6008159ED76A7
+:0422F700815AED70AB
+:0422F800815BED6AAF
+:0422F900815CED64B3
+:0422FA00815DED5EB7
+:0422FB00815EED57BC
+:0422FC00815FED51C0
+:0422FD008160ED4BC4
+:0422FE008161ED45C8
+:0422FF008162ED3ECD
+:042300008163ED38D0
+:042301008164ED32D4
+:042302008164ED2CD9
+:042303008165ED26DD
+:042304008166ED1FE2
+:042305008167ED19E6
+:042306008168ED13EA
+:042307008169ED0DEE
+:04230800816AED07F2
+:04230900816BED00F7
+:04230A00816CECFAFC
+:04230B00816DECF400
+:04230C00816EECEE04
+:04230D00816FECE709
+:04230E008170ECE10D
+:04230F008171ECDB11
+:042310008172ECD515
+:042311008172ECCF1A
+:042312008173ECC81F
+:042313008174ECC223
+:042314008175ECBC27
+:042315008176ECB62B
+:042316008177ECB02F
+:042317008178ECA934
+:042318008179ECA338
+:04231900817AEC9D3C
+:04231A00817BEC9740
+:04231B00817CEC9045
+:04231C00817DEC8A49
+:04231D00817EEC844D
+:04231E00817FEC7E51
+:04231F008180EC7855
+:042320008181EC715A
+:042321008182EC6B5E
+:042322008183EC6562
+:042323008184EC5F66
+:042324008185EC596A
+:042325008186EC526F
+:042326008187EC4C73
+:042327008188EC4677
+:042328008188EC407C
+:042329008189EC3A80
+:04232A00818AEC3385
+:04232B00818BEC2D89
+:04232C00818CEC278D
+:04232D00818DEC2191
+:04232E00818EEC1B95
+:04232F00818FEC149A
+:042330008190EC0E9E
+:042331008191EC08A2
+:042332008192EC02A6
+:042333008193EBFCAB
+:042334008194EBF5B0
+:042335008195EBEFB4
+:042336008196EBE9B8
+:042337008197EBE3BC
+:042338008198EBDCC1
+:042339008199EBD6C5
+:04233A00819AEBD0C9
+:04233B00819BEBCACD
+:04233C00819CEBC4D1
+:04233D00819DEBBDD6
+:04233E00819EEBB7DA
+:04233F00819FEBB1DE
+:0423400081A0EBABE2
+:0423410081A1EBA5E6
+:0423420081A2EB9EEB
+:0423430081A3EB98EF
+:0423440081A4EB92F3
+:0423450081A5EB8CF7
+:0423460081A6EB86FB
+:0423470081A7EB7F00
+:0423480081A8EB7904
+:0423490081A9EB7308
+:04234A0081AAEB6D0C
+:04234B0081ABEB6710
+:04234C0081ACEB6015
+:04234D0081ADEB5A19
+:04234E0081AEEB541D
+:04234F0081AFEB4E21
+:0423500081B0EB4825
+:0423510081B1EB412A
+:0423520081B2EB3B2E
+:0423530081B3EB3532
+:0423540081B4EB2F36
+:0423550081B5EB293A
+:0423560081B6EB223F
+:0423570081B7EB1C43
+:0423580081B8EB1647
+:0423590081B9EB104B
+:04235A0081BAEB0A4F
+:04235B0081BBEB0354
+:04235C0081BCEAFD59
+:04235D0081BEEAF75C
+:04235E0081BFEAF160
+:04235F0081C0EAEB64
+:0423600081C1EAE469
+:0423610081C2EADE6D
+:0423620081C3EAD871
+:0423630081C4EAD275
+:0423640081C5EACC79
+:0423650081C6EAC57E
+:0423660081C7EABF82
+:0423670081C8EAB986
+:0423680081C9EAB38A
+:0423690081CAEAAD8E
+:04236A0081CBEAA693
+:04236B0081CCEAA097
+:04236C0081CDEA9A9B
+:04236D0081CEEA949F
+:04236E0081CFEA8EA3
+:04236F0081D0EA88A7
+:0423700081D1EA81AC
+:0423710081D2EA7BB0
+:0423720081D3EA75B4
+:0423730081D5EA6FB7
+:0423740081D6EA69BB
+:0423750081D7EA62C0
+:0423760081D8EA5CC4
+:0423770081D9EA56C8
+:0423780081DAEA50CC
+:0423790081DBEA4AD0
+:04237A0081DCEA43D5
+:04237B0081DDEA3DD9
+:04237C0081DEEA37DD
+:04237D0081DFEA31E1
+:04237E0081E0EA2BE5
+:04237F0081E1EA24EA
+:0423800081E2EA1EEE
+:0423810081E3EA18F2
+:0423820081E5EA12F5
+:0423830081E6EA0CF9
+:0423840081E7EA06FD
+:0423850081E8E9FF03
+:0423860081E9E9F907
+:0423870081EAE9F30B
+:0423880081EBE9ED0F
+:0423890081ECE9E713
+:04238A0081EDE9E018
+:04238B0081EEE9DA1C
+:04238C0081EFE9D420
+:04238D0081F0E9CE24
+:04238E0081F2E9C827
+:04238F0081F3E9C12C
+:0423900081F4E9BB30
+:0423910081F5E9B534
+:0423920081F6E9AF38
+:0423930081F7E9A93C
+:0423940081F8E9A241
+:0423950081F9E99C45
+:0423960081FAE99649
+:0423970081FBE9904D
+:0423980081FDE98A50
+:0423990081FEE98454
+:04239A0081FFE97D59
+:04239B008200E9775C
+:04239C008201E97160
+:04239D008202E96B64
+:04239E008203E96568
+:04239F008204E95E6D
+:0423A0008205E95871
+:0423A1008206E95275
+:0423A2008208E94C78
+:0423A3008209E9467C
+:0423A400820AE94080
+:0423A500820BE93985
+:0423A600820CE93389
+:0423A700820DE92D8D
+:0423A800820EE92791
+:0423A900820FE92195
+:0423AA008211E91A99
+:0423AB008212E9149D
+:0423AC008213E90EA1
+:0423AD008214E908A5
+:0423AE008215E902A9
+:0423AF008216E8FCAE
+:0423B0008217E8F5B3
+:0423B1008218E8EFB7
+:0423B200821AE8E9BA
+:0423B300821BE8E3BE
+:0423B400821CE8DDC2
+:0423B500821DE8D6C7
+:0423B600821EE8D0CB
+:0423B700821FE8CACF
+:0423B8008220E8C4D3
+:0423B9008222E8BED6
+:0423BA008223E8B8DA
+:0423BB008224E8B1DF
+:0423BC008225E8ABE3
+:0423BD008226E8A5E7
+:0423BE008227E89FEB
+:0423BF008228E899EF
+:0423C000822AE893F2
+:0423C100822BE88CF7
+:0423C200822CE886FB
+:0423C300822DE880FF
+:0423C400822EE87A03
+:0423C500822FE87407
+:0423C6008230E86D0C
+:0423C7008232E8670F
+:0423C8008233E86113
+:0423C9008234E85B17
+:0423CA008235E8551B
+:0423CB008236E84F1F
+:0423CC008237E84824
+:0423CD008239E84227
+:0423CE00823AE83C2B
+:0423CF00823BE8362F
+:0423D000823CE83033
+:0423D100823DE82A37
+:0423D200823EE8233C
+:0423D3008240E81D3F
+:0423D4008241E81743
+:0423D5008242E81147
+:0423D6008243E80B4B
+:0423D7008244E8054F
+:0423D8008246E7FE54
+:0423D9008247E7F858
+:0423DA008248E7F25C
+:0423DB008249E7EC60
+:0423DC00824AE7E664
+:0423DD00824BE7DF69
+:0423DE00824DE7D96C
+:0423DF00824EE7D370
+:0423E000824FE7CD74
+:0423E1008250E7C778
+:0423E2008251E7C17C
+:0423E3008253E7BA80
+:0423E4008254E7B484
+:0423E5008255E7AE88
+:0423E6008256E7A88C
+:0423E7008257E7A290
+:0423E8008259E79C93
+:0423E900825AE79598
+:0423EA00825BE78F9C
+:0423EB00825CE789A0
+:0423EC00825DE783A4
+:0423ED00825FE77DA7
+:0423EE008260E777AB
+:0423EF008261E770B0
+:0423F0008262E76AB4
+:0423F1008263E764B8
+:0423F2008265E75EBB
+:0423F3008266E758BF
+:0423F4008267E752C3
+:0423F5008268E74BC8
+:0423F6008269E745CC
+:0423F700826BE73FCF
+:0423F800826CE739D3
+:0423F900826DE733D7
+:0423FA00826EE72DDB
+:0423FB00826FE726E0
+:0423FC008271E720E3
+:0423FD008272E71AE7
+:0423FE008273E714EB
+:0423FF008274E70EEF
+:042400008276E708F1
+:042401008277E702F5
+:042402008278E6FBFB
+:042403008279E6F5FF
+:04240400827BE6EF02
+:04240500827CE6E906
+:04240600827DE6E30A
+:04240700827EE6DD0E
+:04240800827FE6D613
+:042409008281E6D016
+:04240A008282E6CA1A
+:04240B008283E6C41E
+:04240C008284E6BE22
+:04240D008286E6B825
+:04240E008287E6B12A
+:04240F008288E6AB2E
+:042410008289E6A532
+:04241100828BE69F35
+:04241200828CE69939
+:04241300828DE6933D
+:04241400828EE68C42
+:042415008290E68645
+:042416008291E68049
+:042417008292E67A4D
+:042418008293E67451
+:042419008295E66E54
+:04241A008296E66858
+:04241B008297E6615D
+:04241C008298E65B61
+:04241D00829AE65564
+:04241E00829BE64F68
+:04241F00829CE6496C
+:04242000829DE64370
+:04242100829FE63C74
+:0424220082A0E63678
+:0424230082A1E6307C
+:0424240082A2E62A80
+:0424250082A4E62483
+:0424260082A5E61E87
+:0424270082A6E6188B
+:0424280082A8E6118F
+:0424290082A9E60B93
+:04242A0082AAE60597
+:04242B0082ABE5FF9C
+:04242C0082ADE5F99F
+:04242D0082AEE5F3A3
+:04242E0082AFE5ECA8
+:04242F0082B1E5E6AB
+:0424300082B2E5E0AF
+:0424310082B3E5DAB3
+:0424320082B4E5D4B7
+:0424330082B6E5CEBA
+:0424340082B7E5C8BE
+:0424350082B8E5C1C3
+:0424360082B9E5BBC7
+:0424370082BBE5B5CA
+:0424380082BCE5AFCE
+:0424390082BDE5A9D2
+:04243A0082BFE5A3D5
+:04243B0082C0E59DD9
+:04243C0082C1E596DE
+:04243D0082C3E590E1
+:04243E0082C4E58AE5
+:04243F0082C5E584E9
+:0424400082C6E57EED
+:0424410082C8E578F0
+:0424420082C9E571F5
+:0424430082CAE56BF9
+:0424440082CCE565FC
+:0424450082CDE55F00
+:0424460082CEE55904
+:0424470082D0E55307
+:0424480082D1E54D0B
+:0424490082D2E54610
+:04244A0082D4E54013
+:04244B0082D5E53A17
+:04244C0082D6E5341B
+:04244D0082D7E52E1F
+:04244E0082D9E52822
+:04244F0082DAE52226
+:0424500082DBE51B2B
+:0424510082DDE5152E
+:0424520082DEE50F32
+:0424530082DFE50936
+:0424540082E1E50339
+:0424550082E2E4FD3E
+:0424560082E3E4F742
+:0424570082E5E4F046
+:0424580082E6E4EA4A
+:0424590082E7E4E44E
+:04245A0082E9E4DE51
+:04245B0082EAE4D855
+:04245C0082EBE4D259
+:04245D0082EDE4CC5C
+:04245E0082EEE4C660
+:04245F0082EFE4BF65
+:0424600082F1E4B968
+:0424610082F2E4B36C
+:0424620082F3E4AD70
+:0424630082F5E4A773
+:0424640082F6E4A177
+:0424650082F7E49B7B
+:0424660082F9E4947F
+:0424670082FAE48E83
+:0424680082FBE48887
+:0424690082FDE4828A
+:04246A0082FEE47C8E
+:04246B0082FFE47692
+:04246C008301E47094
+:04246D008302E46999
+:04246E008304E4639C
+:04246F008305E45DA0
+:042470008306E457A4
+:042471008308E451A7
+:042472008309E44BAB
+:04247300830AE445AF
+:04247400830CE43FB2
+:04247500830DE438B7
+:04247600830EE432BB
+:042477008310E42CBE
+:042478008311E426C2
+:042479008313E420C5
+:04247A008314E41AC9
+:04247B008315E414CD
+:04247C008317E40DD1
+:04247D008318E407D5
+:04247E008319E401D9
+:04247F00831BE3FBDD
+:04248000831CE3F5E1
+:04248100831EE3EFE4
+:04248200831FE3E9E8
+:042483008320E3E3EC
+:042484008322E3DCF0
+:042485008323E3D6F4
+:042486008324E3D0F8
+:042487008326E3CAFB
+:042488008327E3C4FF
+:042489008329E3BE02
+:04248A00832AE3B806
+:04248B00832BE3B20A
+:04248C00832DE3AB0E
+:04248D00832EE3A512
+:04248E008330E39F15
+:04248F008331E39919
+:042490008332E3931D
+:042491008334E38D20
+:042492008335E38724
+:042493008337E38127
+:042494008338E37A2C
+:042495008339E37430
+:04249600833BE36E33
+:04249700833CE36837
+:04249800833EE3623A
+:04249900833FE35C3E
+:04249A008340E35642
+:04249B008342E35045
+:04249C008343E3494A
+:04249D008345E3434D
+:04249E008346E33D51
+:04249F008347E33755
+:0424A0008349E33158
+:0424A100834AE32B5C
+:0424A200834CE3255F
+:0424A300834DE31F63
+:0424A400834EE31868
+:0424A5008350E3126B
+:0424A6008351E30C6F
+:0424A7008353E30672
+:0424A8008354E30076
+:0424A9008356E2FA7A
+:0424AA008357E2F47E
+:0424AB008358E2EE82
+:0424AC00835AE2E786
+:0424AD00835BE2E18A
+:0424AE00835DE2DB8D
+:0424AF00835EE2D591
+:0424B0008360E2CF94
+:0424B1008361E2C998
+:0424B2008362E2C39C
+:0424B3008364E2BD9F
+:0424B4008365E2B7A3
+:0424B5008367E2B0A7
+:0424B6008368E2AAAB
+:0424B700836AE2A4AE
+:0424B800836BE29EB2
+:0424B900836DE298B5
+:0424BA00836EE292B9
+:0424BB00836FE28CBD
+:0424BC008371E286C0
+:0424BD008372E27FC5
+:0424BE008374E279C8
+:0424BF008375E273CC
+:0424C0008377E26DCF
+:0424C1008378E267D3
+:0424C200837AE261D6
+:0424C300837BE25BDA
+:0424C400837CE255DE
+:0424C500837EE24FE1
+:0424C600837FE248E6
+:0424C7008381E242E9
+:0424C8008382E23CED
+:0424C9008384E236F0
+:0424CA008385E230F4
+:0424CB008387E22AF7
+:0424CC008388E224FB
+:0424CD00838AE21EFE
+:0424CE00838BE21802
+:0424CF00838DE21106
+:0424D000838EE20B0A
+:0424D100838FE2050E
+:0424D2008391E1FF12
+:0424D3008392E1F916
+:0424D4008394E1F319
+:0424D5008395E1ED1D
+:0424D6008397E1E720
+:0424D7008398E1E124
+:0424D800839AE1DB27
+:0424D900839BE1D42C
+:0424DA00839DE1CE2F
+:0424DB00839EE1C833
+:0424DC0083A0E1C236
+:0424DD0083A1E1BC3A
+:0424DE0083A3E1B63D
+:0424DF0083A4E1B041
+:0424E00083A6E1AA44
+:0424E10083A7E1A448
+:0424E20083A9E19D4C
+:0424E30083AAE19750
+:0424E40083ACE19153
+:0424E50083ADE18B57
+:0424E60083AFE1855A
+:0424E70083B0E17F5E
+:0424E80083B2E17961
+:0424E90083B3E17365
+:0424EA0083B5E16D68
+:0424EB0083B6E1676C
+:0424EC0083B8E16070
+:0424ED0083B9E15A74
+:0424EE0083BBE15477
+:0424EF0083BCE14E7B
+:0424F00083BEE1487E
+:0424F10083BFE14282
+:0424F20083C1E13C85
+:0424F30083C2E13689
+:0424F40083C4E1308C
+:0424F50083C5E12A90
+:0424F60083C7E12394
+:0424F70083C8E11D98
+:0424F80083CAE1179B
+:0424F90083CBE1119F
+:0424FA0083CDE10BA2
+:0424FB0083CEE105A6
+:0424FC0083D0E0FFAA
+:0424FD0083D1E0F9AE
+:0424FE0083D3E0F3B1
+:0424FF0083D4E0EDB5
+:0425000083D6E0E7B7
+:0425010083D7E0E0BC
+:0425020083D9E0DABF
+:0425030083DBE0D4C2
+:0425040083DCE0CEC6
+:0425050083DEE0C8C9
+:0425060083DFE0C2CD
+:0425070083E1E0BCD0
+:0425080083E2E0B6D4
+:0425090083E4E0B0D7
+:04250A0083E5E0AADB
+:04250B0083E7E0A3DF
+:04250C0083E8E09DE3
+:04250D0083EAE097E6
+:04250E0083EBE091EA
+:04250F0083EDE08BED
+:0425100083EFE085F0
+:0425110083F0E07FF4
+:0425120083F2E079F7
+:0425130083F3E073FB
+:0425140083F5E06DFE
+:0425150083F6E06702
+:0425160083F8E06105
+:0425170083F9E05A0A
+:0425180083FBE0540D
+:0425190083FCE04E11
+:04251A0083FEE04814
+:04251B008400E04216
+:04251C008401E03C1A
+:04251D008403E0361D
+:04251E008404E03021
+:04251F008406E02A24
+:042520008407E02428
+:042521008409E01E2B
+:04252200840BE0172F
+:04252300840CE01133
+:04252400840EE00B36
+:04252500840FE0053A
+:042526008411DFFF3E
+:042527008412DFF942
+:042528008414DFF345
+:042529008416DFED48
+:04252A008417DFE74C
+:04252B008419DFE14F
+:04252C00841ADFDB53
+:04252D00841CDFD556
+:04252E00841DDFCE5B
+:04252F00841FDFC85E
+:042530008421DFC261
+:042531008422DFBC65
+:042532008424DFB668
+:042533008425DFB06C
+:042534008427DFAA6F
+:042535008429DFA472
+:04253600842ADF9E76
+:04253700842CDF9879
+:04253800842DDF927D
+:04253900842FDF8C80
+:04253A008430DF8684
+:04253B008432DF7F88
+:04253C008434DF798B
+:04253D008435DF738F
+:04253E008437DF6D92
+:04253F008438DF6796
+:04254000843ADF6199
+:04254100843CDF5B9C
+:04254200843DDF55A0
+:04254300843FDF4FA3
+:042544008440DF49A7
+:042545008442DF43AA
+:042546008444DF3DAD
+:042547008445DF37B1
+:042548008447DF30B5
+:042549008449DF2AB8
+:04254A00844ADF24BC
+:04254B00844CDF1EBF
+:04254C00844DDF18C3
+:04254D00844FDF12C6
+:04254E008451DF0CC9
+:04254F008452DF06CD
+:042550008454DF00D0
+:042551008455DEFAD5
+:042552008457DEF4D8
+:042553008459DEEEDB
+:04255400845ADEE8DF
+:04255500845CDEE2E2
+:04255600845EDEDBE6
+:04255700845FDED5EA
+:042558008461DECFED
+:042559008462DEC9F1
+:04255A008464DEC3F4
+:04255B008466DEBDF7
+:04255C008467DEB7FB
+:04255D008469DEB1FE
+:04255E00846BDEAB01
+:04255F00846CDEA505
+:04256000846EDE9F08
+:042561008470DE990B
+:042562008471DE930F
+:042563008473DE8D12
+:042564008474DE8716
+:042565008476DE801A
+:042566008478DE7A1D
+:042567008479DE7421
+:04256800847BDE6E24
+:04256900847DDE6827
+:04256A00847EDE622B
+:04256B008480DE5C2E
+:04256C008482DE5631
+:04256D008483DE5035
+:04256E008485DE4A38
+:04256F008487DE443B
+:042570008488DE3E3F
+:04257100848ADE3842
+:04257200848CDE3245
+:04257300848DDE2C49
+:04257400848FDE264C
+:042575008491DE204F
+:042576008492DE1954
+:042577008494DE1357
+:042578008496DE0D5A
+:042579008497DE075E
+:04257A008499DE0161
+:04257B00849BDDFB65
+:04257C00849CDDF569
+:04257D00849EDDEF6C
+:04257E0084A0DDE96F
+:04257F0084A1DDE373
+:0425800084A3DDDD76
+:0425810084A5DDD779
+:0425820084A6DDD17D
+:0425830084A8DDCB80
+:0425840084AADDC583
+:0425850084ABDDBF87
+:0425860084ADDDB98A
+:0425870084AFDDB38D
+:0425880084B0DDAC92
+:0425890084B2DDA695
+:04258A0084B4DDA098
+:04258B0084B5DD9A9C
+:04258C0084B7DD949F
+:04258D0084B9DD8EA2
+:04258E0084BADD88A6
+:04258F0084BCDD82A9
+:0425900084BEDD7CAC
+:0425910084C0DD76AF
+:0425920084C1DD70B3
+:0425930084C3DD6AB6
+:0425940084C5DD64B9
+:0425950084C6DD5EBD
+:0425960084C8DD58C0
+:0425970084CADD52C3
+:0425980084CBDD4CC7
+:0425990084CDDD46CA
+:04259A0084CFDD40CD
+:04259B0084D1DD3AD0
+:04259C0084D2DD33D5
+:04259D0084D4DD2DD8
+:04259E0084D6DD27DB
+:04259F0084D7DD21DF
+:0425A00084D9DD1BE2
+:0425A10084DBDD15E5
+:0425A20084DDDD0FE8
+:0425A30084DEDD09EC
+:0425A40084E0DD03EF
+:0425A50084E2DCFDF3
+:0425A60084E3DCF7F7
+:0425A70084E5DCF1FA
+:0425A80084E7DCEBFD
+:0425A90084E9DCE500
+:0425AA0084EADCDF04
+:0425AB0084ECDCD907
+:0425AC0084EEDCD30A
+:0425AD0084EFDCCD0E
+:0425AE0084F1DCC711
+:0425AF0084F3DCC114
+:0425B00084F5DCBB17
+:0425B10084F6DCB51B
+:0425B20084F8DCAF1E
+:0425B30084FADCA822
+:0425B40084FCDCA225
+:0425B50084FDDC9C29
+:0425B60084FFDC962C
+:0425B7008501DC902E
+:0425B8008503DC8A31
+:0425B9008504DC8435
+:0425BA008506DC7E38
+:0425BB008508DC783B
+:0425BC00850ADC723E
+:0425BD00850BDC6C42
+:0425BE00850DDC6645
+:0425BF00850FDC6048
+:0425C0008511DC5A4B
+:0425C1008512DC544F
+:0425C2008514DC4E52
+:0425C3008516DC4855
+:0425C4008518DC4258
+:0425C5008519DC3C5C
+:0425C600851BDC365F
+:0425C700851DDC3062
+:0425C800851FDC2A65
+:0425C9008520DC2469
+:0425CA008522DC1E6C
+:0425CB008524DC186F
+:0425CC008526DC1272
+:0425CD008527DC0C76
+:0425CE008529DC0679
+:0425CF00852BDC007C
+:0425D000852DDBFA80
+:0425D100852EDBF385
+:0425D2008530DBED88
+:0425D3008532DBE78B
+:0425D4008534DBE18E
+:0425D5008536DBDB91
+:0425D6008537DBD595
+:0425D7008539DBCF98
+:0425D800853BDBC99B
+:0425D900853DDBC39E
+:0425DA00853EDBBDA2
+:0425DB008540DBB7A5
+:0425DC008542DBB1A8
+:0425DD008544DBABAB
+:0425DE008546DBA5AE
+:0425DF008547DB9FB2
+:0425E0008549DB99B5
+:0425E100854BDB93B8
+:0425E200854DDB8DBB
+:0425E300854EDB87BF
+:0425E4008550DB81C2
+:0425E5008552DB7BC5
+:0425E6008554DB75C8
+:0425E7008556DB6FCB
+:0425E8008557DB69CF
+:0425E9008559DB63D2
+:0425EA00855BDB5DD5
+:0425EB00855DDB57D8
+:0425EC00855FDB51DB
+:0425ED008560DB4BDF
+:0425EE008562DB45E2
+:0425EF008564DB3FE5
+:0425F0008566DB39E8
+:0425F1008568DB33EB
+:0425F2008569DB2DEF
+:0425F300856BDB27F2
+:0425F400856DDB21F5
+:0425F500856FDB1BF8
+:0425F6008571DB15FB
+:0425F7008573DB0FFE
+:0425F8008574DB0902
+:0425F9008576DB0305
+:0425FA008578DAFD09
+:0425FB00857ADAF70C
+:0425FC00857CDAF10F
+:0425FD00857DDAEB13
+:0425FE00857FDAE516
+:0425FF008581DADF19
+:042600008583DAD91B
+:042601008585DAD31E
+:042602008587DACD21
+:042603008588DAC626
+:04260400858ADAC029
+:04260500858CDABA2C
+:04260600858EDAB42F
+:042607008590DAAE32
+:042608008592DAA835
+:042609008593DAA239
+:04260A008595DA9C3C
+:04260B008597DA963F
+:04260C008599DA9042
+:04260D00859BDA8A45
+:04260E00859DDA8448
+:04260F00859EDA7E4C
+:0426100085A0DA784F
+:0426110085A2DA7252
+:0426120085A4DA6C55
+:0426130085A6DA6658
+:0426140085A8DA605B
+:0426150085A9DA5A5F
+:0426160085ABDA5462
+:0426170085ADDA4E65
+:0426180085AFDA4868
+:0426190085B1DA426B
+:04261A0085B3DA3C6E
+:04261B0085B5DA3671
+:04261C0085B6DA3075
+:04261D0085B8DA2A78
+:04261E0085BADA247B
+:04261F0085BCDA1E7E
+:0426200085BEDA1881
+:0426210085C0DA1284
+:0426220085C2DA0C87
+:0426230085C3DA068B
+:0426240085C5DA008E
+:0426250085C7D9FA92
+:0426260085C9D9F495
+:0426270085CBD9EE98
+:0426280085CDD9E89B
+:0426290085CFD9E29E
+:04262A0085D1D9DCA1
+:04262B0085D2D9D6A5
+:04262C0085D4D9D0A8
+:04262D0085D6D9CAAB
+:04262E0085D8D9C4AE
+:04262F0085DAD9BEB1
+:0426300085DCD9B8B4
+:0426310085DED9B2B7
+:0426320085E0D9ACBA
+:0426330085E1D9A6BE
+:0426340085E3D9A0C1
+:0426350085E5D99AC4
+:0426360085E7D994C7
+:0426370085E9D98ECA
+:0426380085EBD988CD
+:0426390085EDD982D0
+:04263A0085EFD97CD3
+:04263B0085F1D976D6
+:04263C0085F2D970DA
+:04263D0085F4D96ADD
+:04263E0085F6D964E0
+:04263F0085F8D95EE3
+:0426400085FAD958E6
+:0426410085FCD952E9
+:0426420085FED94CEC
+:042643008600D947ED
+:042644008602D941F0
+:042645008603D93BF4
+:042646008605D935F7
+:042647008607D92FFA
+:042648008609D929FD
+:04264900860BD92300
+:04264A00860DD91D03
+:04264B00860FD91706
+:04264C008611D91109
+:04264D008613D90B0C
+:04264E008615D9050F
+:04264F008617D8FF13
+:042650008618D8F917
+:04265100861AD8F31A
+:04265200861CD8ED1D
+:04265300861ED8E720
+:042654008620D8E123
+:042655008622D8DB26
+:042656008624D8D529
+:042657008626D8CF2C
+:042658008628D8C92F
+:04265900862AD8C332
+:04265A00862CD8BD35
+:04265B00862ED8B738
+:04265C008630D8B13B
+:04265D008631D8AB3F
+:04265E008633D8A542
+:04265F008635D89F45
+:042660008637D89948
+:042661008639D8934B
+:04266200863BD88D4E
+:04266300863DD88751
+:04266400863FD88154
+:042665008641D87B57
+:042666008643D8755A
+:042667008645D86F5D
+:042668008647D86960
+:042669008649D86363
+:04266A00864BD85D66
+:04266B00864DD85769
+:04266C00864FD8516C
+:04266D008651D84B6F
+:04266E008652D84573
+:04266F008654D83F76
+:042670008656D83979
+:042671008658D8337C
+:04267200865AD82D7F
+:04267300865CD82782
+:04267400865ED82284
+:042675008660D81C87
+:042676008662D8168A
+:042677008664D8108D
+:042678008666D80A90
+:042679008668D80493
+:04267A00866AD7FE97
+:04267B00866CD7F89A
+:04267C00866ED7F29D
+:04267D008670D7ECA0
+:04267E008672D7E6A3
+:04267F008674D7E0A6
+:042680008676D7DAA9
+:042681008678D7D4AC
+:04268200867AD7CEAF
+:04268300867CD7C8B2
+:04268400867ED7C2B5
+:042685008680D7BCB8
+:042686008682D7B6BB
+:042687008684D7B0BE
+:042688008686D7AAC1
+:042689008688D7A4C4
+:04268A00868AD79EC7
+:04268B00868CD798CA
+:04268C00868DD792CE
+:04268D00868FD78CD1
+:04268E008691D786D4
+:04268F008693D780D7
+:042690008695D77BD9
+:042691008697D775DC
+:042692008699D76FDF
+:04269300869BD769E2
+:04269400869DD763E5
+:04269500869FD75DE8
+:0426960086A1D757EB
+:0426970086A3D751EE
+:0426980086A5D74BF1
+:0426990086A7D745F4
+:04269A0086A9D73FF7
+:04269B0086ABD739FA
+:04269C0086ADD733FD
+:04269D0086AFD72D00
+:04269E0086B1D72703
+:04269F0086B3D72106
+:0426A00086B5D71B09
+:0426A10086B7D7150C
+:0426A20086B9D70F0F
+:0426A30086BBD70912
+:0426A40086BDD70315
+:0426A50086BFD6FD19
+:0426A60086C1D6F71C
+:0426A70086C3D6F21E
+:0426A80086C6D6EC20
+:0426A90086C8D6E623
+:0426AA0086CAD6E026
+:0426AB0086CCD6DA29
+:0426AC0086CED6D42C
+:0426AD0086D0D6CE2F
+:0426AE0086D2D6C832
+:0426AF0086D4D6C235
+:0426B00086D6D6BC38
+:0426B10086D8D6B63B
+:0426B20086DAD6B03E
+:0426B30086DCD6AA41
+:0426B40086DED6A444
+:0426B50086E0D69E47
+:0426B60086E2D6984A
+:0426B70086E4D6924D
+:0426B80086E6D68C50
+:0426B90086E8D68653
+:0426BA0086EAD68155
+:0426BB0086ECD67B58
+:0426BC0086EED6755B
+:0426BD0086F0D66F5E
+:0426BE0086F2D66961
+:0426BF0086F4D66364
+:0426C00086F6D65D67
+:0426C10086F8D6576A
+:0426C20086FAD6516D
+:0426C30086FCD64B70
+:0426C40086FED64573
+:0426C5008700D63F75
+:0426C6008703D63977
+:0426C7008705D6337A
+:0426C8008707D62D7D
+:0426C9008709D62780
+:0426CA00870BD62183
+:0426CB00870DD61C85
+:0426CC00870FD61688
+:0426CD008711D6108B
+:0426CE008713D60A8E
+:0426CF008715D60491
+:0426D0008717D5FE95
+:0426D1008719D5F898
+:0426D200871BD5F29B
+:0426D300871DD5EC9E
+:0426D400871FD5E6A1
+:0426D5008721D5E0A4
+:0426D6008723D5DAA7
+:0426D7008726D5D4A9
+:0426D8008728D5CEAC
+:0426D900872AD5C8AF
+:0426DA00872CD5C3B1
+:0426DB00872ED5BDB4
+:0426DC008730D5B7B7
+:0426DD008732D5B1BA
+:0426DE008734D5ABBD
+:0426DF008736D5A5C0
+:0426E0008738D59FC3
+:0426E100873AD599C6
+:0426E200873CD593C9
+:0426E300873ED58DCC
+:0426E4008741D587CE
+:0426E5008743D581D1
+:0426E6008745D57BD4
+:0426E7008747D575D7
+:0426E8008749D570D9
+:0426E900874BD56ADC
+:0426EA00874DD564DF
+:0426EB00874FD55EE2
+:0426EC008751D558E5
+:0426ED008753D552E8
+:0426EE008755D54CEB
+:0426EF008758D546ED
+:0426F000875AD540F0
+:0426F100875CD53AF3
+:0426F200875ED534F6
+:0426F3008760D52EF9
+:0426F4008762D528FC
+:0426F5008764D523FE
+:0426F6008766D51D01
+:0426F7008768D51704
+:0426F800876AD51107
+:0426F900876DD50B09
+:0426FA00876FD5050C
+:0426FB008771D4FF10
+:0426FC008773D4F913
+:0426FD008775D4F316
+:0426FE008777D4ED19
+:0426FF008779D4E71C
+:04270000877BD4E11E
+:04270100877DD4DC20
+:042702008780D4D622
+:042703008782D4D025
+:042704008784D4CA28
+:042705008786D4C42B
+:042706008788D4BE2E
+:04270700878AD4B831
+:04270800878CD4B234
+:04270900878ED4AC37
+:04270A008791D4A639
+:04270B008793D4A03C
+:04270C008795D49B3E
+:04270D008797D49541
+:04270E008799D48F44
+:04270F00879BD48947
+:04271000879DD4834A
+:0427110087A0D47D4C
+:0427120087A2D4774F
+:0427130087A4D47152
+:0427140087A6D46B55
+:0427150087A8D46558
+:0427160087AAD45F5B
+:0427170087ACD45A5D
+:0427180087AED45460
+:0427190087B1D44E62
+:04271A0087B3D44865
+:04271B0087B5D44268
+:04271C0087B7D43C6B
+:04271D0087B9D4366E
+:04271E0087BBD43071
+:04271F0087BED42A73
+:0427200087C0D42476
+:0427210087C2D41E79
+:0427220087C4D4197B
+:0427230087C6D4137E
+:0427240087C8D40D81
+:0427250087CAD40784
+:0427260087CDD40186
+:0427270087CFD3FB8A
+:0427280087D1D3F58D
+:0427290087D3D3EF90
+:04272A0087D5D3E993
+:04272B0087D7D3E396
+:04272C0087DAD3DE97
+:04272D0087DCD3D89A
+:04272E0087DED3D29D
+:04272F0087E0D3CCA0
+:0427300087E2D3C6A3
+:0427310087E4D3C0A6
+:0427320087E7D3BAA8
+:0427330087E9D3B4AB
+:0427340087EBD3AEAE
+:0427350087EDD3A9B0
+:0427360087EFD3A3B3
+:0427370087F1D39DB6
+:0427380087F4D397B8
+:0427390087F6D391BB
+:04273A0087F8D38BBE
+:04273B0087FAD385C1
+:04273C0087FCD37FC4
+:04273D0087FFD379C6
+:04273E008801D373C8
+:04273F008803D36ECA
+:042740008805D368CD
+:042741008807D362D0
+:04274200880AD35CD2
+:04274300880CD356D5
+:04274400880ED350D8
+:042745008810D34ADB
+:042746008812D344DE
+:042747008815D33FDF
+:042748008817D339E2
+:042749008819D333E5
+:04274A00881BD32DE8
+:04274B00881DD327EB
+:04274C00881FD321EE
+:04274D008822D31BF0
+:04274E008824D315F3
+:04274F008826D30FF6
+:042750008828D30AF8
+:04275100882BD304FA
+:04275200882DD2FEFE
+:04275300882FD2F801
+:042754008831D2F204
+:042755008833D2EC07
+:042756008836D2E609
+:042757008838D2E00C
+:04275800883AD2DA0F
+:04275900883CD2D511
+:04275A00883ED2CF14
+:04275B008841D2C916
+:04275C008843D2C319
+:04275D008845D2BD1C
+:04275E008847D2B71F
+:04275F00884AD2B121
+:04276000884CD2AB24
+:04276100884ED2A626
+:042762008850D2A029
+:042763008852D29A2C
+:042764008855D2942E
+:042765008857D28E31
+:042766008859D28834
+:04276700885BD28237
+:04276800885ED27C39
+:042769008860D2773B
+:04276A008862D2713E
+:04276B008864D26B41
+:04276C008867D26543
+:04276D008869D25F46
+:04276E00886BD25949
+:04276F00886DD2534C
+:042770008870D24E4D
+:042771008872D24850
+:042772008874D24253
+:042773008876D23C56
+:042774008879D23658
+:04277500887BD2305B
+:04277600887DD22A5E
+:04277700887FD22461
+:042778008882D21F62
+:042779008884D21965
+:04277A008886D21368
+:04277B008888D20D6B
+:04277C00888BD2076D
+:04277D00888DD20170
+:04277E00888FD1FB74
+:04277F008891D1F676
+:042780008894D1F078
+:042781008896D1EA7B
+:042782008898D1E47E
+:04278300889AD1DE81
+:04278400889DD1D883
+:04278500889FD1D286
+:0427860088A1D1CD88
+:0427870088A3D1C78B
+:0427880088A6D1C18D
+:0427890088A8D1BB90
+:04278A0088AAD1B593
+:04278B0088ACD1AF96
+:04278C0088AFD1A998
+:04278D0088B1D1A49A
+:04278E0088B3D19E9D
+:04278F0088B6D1989F
+:0427900088B8D192A2
+:0427910088BAD18CA5
+:0427920088BCD186A8
+:0427930088BFD180AA
+:0427940088C1D17BAC
+:0427950088C3D175AF
+:0427960088C6D16FB1
+:0427970088C8D169B4
+:0427980088CAD163B7
+:0427990088CCD15DBA
+:04279A0088CFD157BC
+:04279B0088D1D152BE
+:04279C0088D3D14CC1
+:04279D0088D6D146C3
+:04279E0088D8D140C6
+:04279F0088DAD13AC9
+:0427A00088DCD134CC
+:0427A10088DFD12ECE
+:0427A20088E1D129D0
+:0427A30088E3D123D3
+:0427A40088E6D11DD5
+:0427A50088E8D117D8
+:0427A60088EAD111DB
+:0427A70088EDD10BDD
+:0427A80088EFD106DF
+:0427A90088F1D100E2
+:0427AA0088F4D0FAE5
+:0427AB0088F6D0F4E8
+:0427AC0088F8D0EEEB
+:0427AD0088FAD0E8EE
+:0427AE0088FDD0E2F0
+:0427AF0088FFD0DDF2
+:0427B0008901D0D7F4
+:0427B1008904D0D1F6
+:0427B2008906D0CBF9
+:0427B3008908D0C5FC
+:0427B400890BD0BFFE
+:0427B500890DD0BA00
+:0427B600890FD0B403
+:0427B7008912D0AE05
+:0427B8008914D0A808
+:0427B9008916D0A20B
+:0427BA008919D09C0D
+:0427BB00891BD0970F
+:0427BC00891DD09112
+:0427BD008920D08B14
+:0427BE008922D08517
+:0427BF008924D07F1A
+:0427C0008927D0791C
+:0427C1008929D0741E
+:0427C200892BD06E21
+:0427C300892ED06823
+:0427C4008930D06226
+:0427C5008932D05C29
+:0427C6008935D0562B
+:0427C7008937D0512D
+:0427C8008939D04B30
+:0427C900893CD04532
+:0427CA00893ED03F35
+:0427CB008940D03938
+:0427CC008943D0333A
+:0427CD008945D02E3C
+:0427CE008947D0283F
+:0427CF00894AD02241
+:0427D000894CD01C44
+:0427D100894ED01647
+:0427D2008951D01049
+:0427D3008953D00B4B
+:0427D4008955D0054E
+:0427D5008958CFFF51
+:0427D600895ACFF954
+:0427D700895DCFF356
+:0427D800895FCFEE58
+:0427D9008961CFE85B
+:0427DA008964CFE25D
+:0427DB008966CFDC60
+:0427DC008968CFD663
+:0427DD00896BCFD065
+:0427DE00896DCFCB67
+:0427DF00896FCFC56A
+:0427E0008972CFBF6C
+:0427E1008974CFB96F
+:0427E2008977CFB371
+:0427E3008979CFAE73
+:0427E400897BCFA876
+:0427E500897ECFA278
+:0427E6008980CF9C7B
+:0427E7008982CF967E
+:0427E8008985CF9080
+:0427E9008987CF8B82
+:0427EA00898ACF8584
+:0427EB00898CCF7F87
+:0427EC00898ECF798A
+:0427ED008991CF738C
+:0427EE008993CF6E8E
+:0427EF008995CF6891
+:0427F0008998CF6293
+:0427F100899ACF5C96
+:0427F200899DCF5698
+:0427F300899FCF509B
+:0427F40089A1CF4B9D
+:0427F50089A4CF459F
+:0427F60089A6CF3FA2
+:0427F70089A9CF39A4
+:0427F80089ABCF33A7
+:0427F90089ADCF2EA9
+:0427FA0089B0CF28AB
+:0427FB0089B2CF22AE
+:0427FC0089B5CF1CB0
+:0427FD0089B7CF16B3
+:0427FE0089B9CF11B5
+:0427FF0089BCCF0BB7
+:0428000089BECF05B9
+:0428010089C1CEFFBC
+:0428020089C3CEF9BF
+:0428030089C5CEF4C1
+:0428040089C8CEEEC3
+:0428050089CACEE8C6
+:0428060089CDCEE2C8
+:0428070089CFCEDCCB
+:0428080089D1CED7CD
+:0428090089D4CED1CF
+:04280A0089D6CECBD2
+:04280B0089D9CEC5D4
+:04280C0089DBCEBFD7
+:04280D0089DECEBAD8
+:04280E0089E0CEB4DB
+:04280F0089E2CEAEDE
+:0428100089E5CEA8E0
+:0428110089E7CEA2E3
+:0428120089EACE9DE4
+:0428130089ECCE97E7
+:0428140089EECE91EA
+:0428150089F1CE8BEC
+:0428160089F3CE85EF
+:0428170089F6CE80F0
+:0428180089F8CE7AF3
+:0428190089FBCE74F5
+:04281A0089FDCE6EF8
+:04281B0089FFCE68FB
+:04281C008A02CE63FB
+:04281D008A04CE5DFE
+:04281E008A07CE5700
+:04281F008A09CE5103
+:042820008A0CCE4B05
+:042821008A0ECE4607
+:042822008A11CE4009
+:042823008A13CE3A0C
+:042824008A15CE340F
+:042825008A18CE2F10
+:042826008A1ACE2913
+:042827008A1DCE2315
+:042828008A1FCE1D18
+:042829008A22CE171A
+:04282A008A24CE121C
+:04282B008A27CE0C1E
+:04282C008A29CE0621
+:04282D008A2BCE0024
+:04282E008A2ECDFA27
+:04282F008A30CDF529
+:042830008A33CDEF2B
+:042831008A35CDE92E
+:042832008A38CDE330
+:042833008A3ACDDE32
+:042834008A3DCDD834
+:042835008A3FCDD237
+:042836008A42CDCC39
+:042837008A44CDC63C
+:042838008A47CDC13D
+:042839008A49CDBB40
+:04283A008A4BCDB543
+:04283B008A4ECDAF45
+:04283C008A50CDAA47
+:04283D008A53CDA449
+:04283E008A55CD9E4C
+:04283F008A58CD984E
+:042840008A5ACD9251
+:042841008A5DCD8D52
+:042842008A5FCD8755
+:042843008A62CD8157
+:042844008A64CD7B5A
+:042845008A67CD765B
+:042846008A69CD705E
+:042847008A6CCD6A60
+:042848008A6ECD6463
+:042849008A71CD5F64
+:04284A008A73CD5967
+:04284B008A76CD5369
+:04284C008A78CD4D6C
+:04284D008A7BCD476E
+:04284E008A7DCD4270
+:04284F008A80CD3C72
+:042850008A82CD3675
+:042851008A85CD3077
+:042852008A87CD2B79
+:042853008A8ACD257B
+:042854008A8CCD1F7E
+:042855008A8FCD1980
+:042856008A91CD1482
+:042857008A94CD0E84
+:042858008A96CD0887
+:042859008A99CD0289
+:04285A008A9BCCFC8D
+:04285B008A9ECCF78E
+:04285C008AA0CCF191
+:04285D008AA3CCEB93
+:04285E008AA5CCE596
+:04285F008AA8CCE097
+:042860008AAACCDA9A
+:042861008AADCCD49C
+:042862008AAFCCCE9F
+:042863008AB2CCC9A0
+:042864008AB4CCC3A3
+:042865008AB7CCBDA5
+:042866008AB9CCB7A8
+:042867008ABCCCB2A9
+:042868008ABECCACAC
+:042869008AC1CCA6AE
+:04286A008AC3CCA0B1
+:04286B008AC6CC9BB2
+:04286C008AC8CC95B5
+:04286D008ACBCC8FB7
+:04286E008ACDCC89BA
+:04286F008AD0CC84BB
+:042870008AD2CC7EBE
+:042871008AD5CC78C0
+:042872008AD7CC72C3
+:042873008ADACC6DC4
+:042874008ADDCC67C6
+:042875008ADFCC61C9
+:042876008AE2CC5BCB
+:042877008AE4CC56CD
+:042878008AE7CC50CF
+:042879008AE9CC4AD2
+:04287A008AECCC44D4
+:04287B008AEECC3FD6
+:04287C008AF1CC39D8
+:04287D008AF3CC33DB
+:04287E008AF6CC2DDD
+:04287F008AF8CC28DF
+:042880008AFBCC22E1
+:042881008AFECC1CE3
+:042882008B00CC16E5
+:042883008B03CC11E6
+:042884008B05CC0BE9
+:042885008B08CC05EB
+:042886008B0ACBFFEF
+:042887008B0DCBFAF0
+:042888008B0FCBF4F3
+:042889008B12CBEEF5
+:04288A008B15CBE8F7
+:04288B008B17CBE3F9
+:04288C008B1ACBDDFB
+:04288D008B1CCBD7FE
+:04288E008B1FCBD100
+:04288F008B21CBCC02
+:042890008B24CBC604
+:042891008B26CBC007
+:042892008B29CBBB08
+:042893008B2CCBB50A
+:042894008B2ECBAF0D
+:042895008B31CBA90F
+:042896008B33CBA411
+:042897008B36CB9E13
+:042898008B38CB9816
+:042899008B3BCB9218
+:04289A008B3ECB8D19
+:04289B008B40CB871C
+:04289C008B43CB811E
+:04289D008B45CB7B21
+:04289E008B48CB7622
+:04289F008B4ACB7025
+:0428A0008B4DCB6A27
+:0428A1008B50CB6528
+:0428A2008B52CB5F2B
+:0428A3008B55CB592D
+:0428A4008B57CB5330
+:0428A5008B5ACB4E31
+:0428A6008B5DCB4833
+:0428A7008B5FCB4236
+:0428A8008B62CB3D37
+:0428A9008B64CB373A
+:0428AA008B67CB313C
+:0428AB008B6ACB2B3E
+:0428AC008B6CCB2640
+:0428AD008B6FCB2042
+:0428AE008B71CB1A45
+:0428AF008B74CB1447
+:0428B0008B76CB0F49
+:0428B1008B79CB094B
+:0428B2008B7CCB034D
+:0428B3008B7ECAFE50
+:0428B4008B81CAF852
+:0428B5008B83CAF255
+:0428B6008B86CAEC57
+:0428B7008B89CAE758
+:0428B8008B8BCAE15B
+:0428B9008B8ECADB5D
+:0428BA008B91CAD65E
+:0428BB008B93CAD061
+:0428BC008B96CACA63
+:0428BD008B98CAC466
+:0428BE008B9BCABF67
+:0428BF008B9ECAB969
+:0428C0008BA0CAB36C
+:0428C1008BA3CAAE6D
+:0428C2008BA5CAA870
+:0428C3008BA8CAA272
+:0428C4008BABCA9C74
+:0428C5008BADCA9776
+:0428C6008BB0CA9178
+:0428C7008BB3CA8B7A
+:0428C8008BB5CA867C
+:0428C9008BB8CA807E
+:0428CA008BBACA7A81
+:0428CB008BBDCA7483
+:0428CC008BC0CA6F84
+:0428CD008BC2CA6987
+:0428CE008BC5CA6389
+:0428CF008BC8CA5E8A
+:0428D0008BCACA588D
+:0428D1008BCDCA528F
+:0428D2008BCFCA4D91
+:0428D3008BD2CA4793
+:0428D4008BD5CA4195
+:0428D5008BD7CA3B98
+:0428D6008BDACA3699
+:0428D7008BDDCA309B
+:0428D8008BDFCA2A9E
+:0428D9008BE2CA259F
+:0428DA008BE5CA1FA1
+:0428DB008BE7CA19A4
+:0428DC008BEACA14A5
+:0428DD008BEDCA0EA7
+:0428DE008BEFCA08AA
+:0428DF008BF2CA02AC
+:0428E0008BF4C9FDAF
+:0428E1008BF7C9F7B1
+:0428E2008BFAC9F1B3
+:0428E3008BFCC9ECB5
+:0428E4008BFFC9E6B7
+:0428E5008C02C9E0B8
+:0428E6008C04C9DBBA
+:0428E7008C07C9D5BC
+:0428E8008C0AC9CFBE
+:0428E9008C0CC9C9C1
+:0428EA008C0FC9C4C2
+:0428EB008C12C9BEC4
+:0428EC008C14C9B8C7
+:0428ED008C17C9B3C8
+:0428EE008C1AC9ADCA
+:0428EF008C1CC9A7CD
+:0428F0008C1FC9A2CE
+:0428F1008C22C99CD0
+:0428F2008C24C996D3
+:0428F3008C27C991D4
+:0428F4008C2AC98BD6
+:0428F5008C2CC985D9
+:0428F6008C2FC980DA
+:0428F7008C32C97ADC
+:0428F8008C34C974DF
+:0428F9008C37C96EE1
+:0428FA008C3AC969E2
+:0428FB008C3CC963E5
+:0428FC008C3FC95DE7
+:0428FD008C42C958E8
+:0428FE008C45C952EA
+:0428FF008C47C94CED
+:042900008C4AC947ED
+:042901008C4DC941EF
+:042902008C4FC93BF2
+:042903008C52C936F3
+:042904008C55C930F5
+:042905008C57C92AF8
+:042906008C5AC925F9
+:042907008C5DC91FFB
+:042908008C5FC919FE
+:042909008C62C914FF
+:04290A008C65C90E01
+:04290B008C68C90803
+:04290C008C6AC90305
+:04290D008C6DC8FD08
+:04290E008C70C8F70A
+:04290F008C72C8F20C
+:042910008C75C8EC0E
+:042911008C78C8E610
+:042912008C7AC8E112
+:042913008C7DC8DB14
+:042914008C80C8D516
+:042915008C83C8D017
+:042916008C85C8CA1A
+:042917008C88C8C41C
+:042918008C8BC8BF1D
+:042919008C8DC8B920
+:04291A008C90C8B322
+:04291B008C93C8AE23
+:04291C008C96C8A825
+:04291D008C98C8A228
+:04291E008C9BC89D29
+:04291F008C9EC8972B
+:042920008CA0C8912E
+:042921008CA3C88C2F
+:042922008CA6C88631
+:042923008CA9C88033
+:042924008CABC87B35
+:042925008CAEC87537
+:042926008CB1C86F39
+:042927008CB3C86A3B
+:042928008CB6C8643D
+:042929008CB9C85E3F
+:04292A008CBCC85940
+:04292B008CBEC85343
+:04292C008CC1C84D45
+:04292D008CC4C84846
+:04292E008CC7C84248
+:04292F008CC9C83C4B
+:042930008CCCC8374C
+:042931008CCFC8314E
+:042932008CD2C82B50
+:042933008CD4C82652
+:042934008CD7C82054
+:042935008CDAC81A56
+:042936008CDDC81557
+:042937008CDFC80F5A
+:042938008CE2C8095C
+:042939008CE5C8045D
+:04293A008CE8C7FE60
+:04293B008CEAC7F962
+:04293C008CEDC7F364
+:04293D008CF0C7ED66
+:04293E008CF3C7E867
+:04293F008CF5C7E26A
+:042940008CF8C7DC6C
+:042941008CFBC7D76D
+:042942008CFEC7D16F
+:042943008D00C7CB71
+:042944008D03C7C672
+:042945008D06C7C074
+:042946008D09C7BA76
+:042947008D0BC7B578
+:042948008D0EC7AF7A
+:042949008D11C7AA7B
+:04294A008D14C7A47D
+:04294B008D16C79E80
+:04294C008D19C79981
+:04294D008D1CC79383
+:04294E008D1FC78D85
+:04294F008D21C78887
+:042950008D24C78289
+:042951008D27C77C8B
+:042952008D2AC7778C
+:042953008D2DC7718E
+:042954008D2FC76B91
+:042955008D32C76692
+:042956008D35C76094
+:042957008D38C75B95
+:042958008D3AC75598
+:042959008D3DC74F9A
+:04295A008D40C74A9B
+:04295B008D43C7449D
+:04295C008D46C73E9F
+:04295D008D48C739A1
+:04295E008D4BC733A3
+:04295F008D4EC72EA4
+:042960008D51C728A6
+:042961008D54C722A8
+:042962008D56C71DAA
+:042963008D59C717AC
+:042964008D5CC711AE
+:042965008D5FC70CAF
+:042966008D62C706B1
+:042967008D64C701B3
+:042968008D67C6FBB6
+:042969008D6AC6F5B8
+:04296A008D6DC6F0B9
+:04296B008D70C6EABB
+:04296C008D72C6E4BE
+:04296D008D75C6DFBF
+:04296E008D78C6D9C1
+:04296F008D7BC6D4C2
+:042970008D7EC6CEC4
+:042971008D80C6C8C7
+:042972008D83C6C3C8
+:042973008D86C6BDCA
+:042974008D89C6B7CC
+:042975008D8CC6B2CD
+:042976008D8EC6ACD0
+:042977008D91C6A7D1
+:042978008D94C6A1D3
+:042979008D97C69BD5
+:04297A008D9AC696D6
+:04297B008D9DC690D8
+:04297C008D9FC68ADB
+:04297D008DA2C685DC
+:04297E008DA5C67FDE
+:04297F008DA8C67ADF
+:042980008DABC674E1
+:042981008DADC66EE4
+:042982008DB0C669E5
+:042983008DB3C663E7
+:042984008DB6C65EE8
+:042985008DB9C658EA
+:042986008DBCC652EC
+:042987008DBEC64DEE
+:042988008DC1C647F0
+:042989008DC4C642F1
+:04298A008DC7C63CF3
+:04298B008DCAC636F5
+:04298C008DCDC631F6
+:04298D008DCFC62BF9
+:04298E008DD2C626FA
+:04298F008DD5C620FC
+:042990008DD8C61AFE
+:042991008DDBC615FF
+:042992008DDEC60F01
+:042993008DE0C60A03
+:042994008DE3C60405
+:042995008DE6C5FE08
+:042996008DE9C5F909
+:042997008DECC5F30B
+:042998008DEFC5EE0C
+:042999008DF2C5E80E
+:04299A008DF4C5E211
+:04299B008DF7C5DD12
+:04299C008DFAC5D714
+:04299D008DFDC5D215
+:04299E008E00C5CC16
+:04299F008E03C5C618
+:0429A0008E06C5C119
+:0429A1008E08C5BB1C
+:0429A2008E0BC5B61D
+:0429A3008E0EC5B01F
+:0429A4008E11C5AA21
+:0429A5008E14C5A522
+:0429A6008E17C59F24
+:0429A7008E1AC59A25
+:0429A8008E1CC59428
+:0429A9008E1FC58E2A
+:0429AA008E22C5892B
+:0429AB008E25C5832D
+:0429AC008E28C57E2E
+:0429AD008E2BC57830
+:0429AE008E2EC57232
+:0429AF008E31C56D33
+:0429B0008E33C56736
+:0429B1008E36C56237
+:0429B2008E39C55C39
+:0429B3008E3CC5573A
+:0429B4008E3FC5513C
+:0429B5008E42C54B3E
+:0429B6008E45C5463F
+:0429B7008E48C54041
+:0429B8008E4AC53B43
+:0429B9008E4DC53545
+:0429BA008E50C52F47
+:0429BB008E53C52A48
+:0429BC008E56C5244A
+:0429BD008E59C51F4B
+:0429BE008E5CC5194D
+:0429BF008E5FC5144E
+:0429C0008E62C50E50
+:0429C1008E64C50853
+:0429C2008E67C50354
+:0429C3008E6AC4FD57
+:0429C4008E6DC4F858
+:0429C5008E70C4F25A
+:0429C6008E73C4ED5B
+:0429C7008E76C4E75D
+:0429C8008E79C4E15F
+:0429C9008E7CC4DC60
+:0429CA008E7FC4D662
+:0429CB008E81C4D164
+:0429CC008E84C4CB66
+:0429CD008E87C4C667
+:0429CE008E8AC4C069
+:0429CF008E8DC4BA6B
+:0429D0008E90C4B56C
+:0429D1008E93C4AF6E
+:0429D2008E96C4AA6F
+:0429D3008E99C4A471
+:0429D4008E9CC49F72
+:0429D5008E9FC49974
+:0429D6008EA2C49376
+:0429D7008EA4C48E78
+:0429D8008EA7C4887A
+:0429D9008EAAC4837B
+:0429DA008EADC47D7D
+:0429DB008EB0C4787E
+:0429DC008EB3C47280
+:0429DD008EB6C46C82
+:0429DE008EB9C46783
+:0429DF008EBCC46185
+:0429E0008EBFC45C86
+:0429E1008EC2C45688
+:0429E2008EC5C45189
+:0429E3008EC8C44B8B
+:0429E4008ECAC4468D
+:0429E5008ECDC4408F
+:0429E6008ED0C43A91
+:0429E7008ED3C43592
+:0429E8008ED6C42F94
+:0429E9008ED9C42A95
+:0429EA008EDCC42497
+:0429EB008EDFC41F98
+:0429EC008EE2C4199A
+:0429ED008EE5C4149B
+:0429EE008EE8C40E9D
+:0429EF008EEBC4089F
+:0429F0008EEEC403A0
+:0429F1008EF1C3FDA3
+:0429F2008EF4C3F8A4
+:0429F3008EF7C3F2A6
+:0429F4008EFAC3EDA7
+:0429F5008EFCC3E7AA
+:0429F6008EFFC3E2AB
+:0429F7008F02C3DCAC
+:0429F8008F05C3D7AD
+:0429F9008F08C3D1AF
+:0429FA008F0BC3CBB1
+:0429FB008F0EC3C6B2
+:0429FC008F11C3C0B4
+:0429FD008F14C3BBB5
+:0429FE008F17C3B5B7
+:0429FF008F1AC3B0B8
+:042A00008F1DC3AAB9
+:042A01008F20C3A5BA
+:042A02008F23C39FBC
+:042A03008F26C39ABD
+:042A04008F29C394BF
+:042A05008F2CC38FC0
+:042A06008F2FC389C2
+:042A07008F32C383C4
+:042A08008F35C37EC5
+:042A09008F38C378C7
+:042A0A008F3BC373C8
+:042A0B008F3EC36DCA
+:042A0C008F41C368CB
+:042A0D008F44C362CD
+:042A0E008F47C35DCE
+:042A0F008F4AC357D0
+:042A10008F4CC352D2
+:042A11008F4FC34CD4
+:042A12008F52C347D5
+:042A13008F55C341D7
+:042A14008F58C33CD8
+:042A15008F5BC336DA
+:042A16008F5EC330DC
+:042A17008F61C32BDD
+:042A18008F64C325DF
+:042A19008F67C320E0
+:042A1A008F6AC31AE2
+:042A1B008F6DC315E3
+:042A1C008F70C30FE5
+:042A1D008F73C30AE6
+:042A1E008F76C304E8
+:042A1F008F79C2FFEA
+:042A20008F7CC2F9EC
+:042A21008F7FC2F4ED
+:042A22008F82C2EEEF
+:042A23008F85C2E9F0
+:042A24008F88C2E3F2
+:042A25008F8BC2DEF3
+:042A26008F8EC2D8F5
+:042A27008F91C2D3F6
+:042A28008F94C2CDF8
+:042A29008F97C2C8F9
+:042A2A008F9AC2C2FB
+:042A2B008F9DC2BCFD
+:042A2C008FA0C2B7FE
+:042A2D008FA3C2B100
+:042A2E008FA6C2AC01
+:042A2F008FA9C2A603
+:042A30008FACC2A104
+:042A31008FAFC29B06
+:042A32008FB2C29607
+:042A33008FB5C29009
+:042A34008FB8C28B0A
+:042A35008FBBC2850C
+:042A36008FBEC2800D
+:042A37008FC1C27A0F
+:042A38008FC4C27510
+:042A39008FC8C26F11
+:042A3A008FCBC26A12
+:042A3B008FCEC26414
+:042A3C008FD1C25F15
+:042A3D008FD4C25917
+:042A3E008FD7C25418
+:042A3F008FDAC24E1A
+:042A40008FDDC2491B
+:042A41008FE0C2431D
+:042A42008FE3C23E1E
+:042A43008FE6C23820
+:042A44008FE9C23321
+:042A45008FECC22D23
+:042A46008FEFC22824
+:042A47008FF2C22226
+:042A48008FF5C21D27
+:042A49008FF8C21729
+:042A4A008FFBC2122A
+:042A4B008FFEC20C2C
+:042A4C009001C2072C
+:042A4D009004C2012E
+:042A4E009007C1FC30
+:042A4F00900AC1F632
+:042A5000900DC1F133
+:042A51009010C1EB35
+:042A52009013C1E636
+:042A53009016C1E038
+:042A54009019C1DB39
+:042A5500901DC1D53A
+:042A56009020C1D03B
+:042A57009023C1CA3D
+:042A58009026C1C53E
+:042A59009029C1BF40
+:042A5A00902CC1BA41
+:042A5B00902FC1B443
+:042A5C009032C1AF44
+:042A5D009035C1A946
+:042A5E009038C1A447
+:042A5F00903BC19E49
+:042A6000903EC1994A
+:042A61009041C1934C
+:042A62009044C18E4D
+:042A63009047C1884F
+:042A6400904AC18350
+:042A6500904DC17E51
+:042A66009051C17852
+:042A67009054C17353
+:042A68009057C16D55
+:042A6900905AC16856
+:042A6A00905DC16258
+:042A6B009060C15D59
+:042A6C009063C1575B
+:042A6D009066C1525C
+:042A6E009069C14C5E
+:042A6F00906CC1475F
+:042A7000906FC14161
+:042A71009072C13C62
+:042A72009075C13664
+:042A73009079C13164
+:042A7400907CC12B66
+:042A7500907FC12667
+:042A76009082C12069
+:042A77009085C11B6A
+:042A78009088C1156C
+:042A7900908BC1106D
+:042A7A00908EC10B6E
+:042A7B009091C10570
+:042A7C009094C10071
+:042A7D009097C0FA74
+:042A7E00909BC0F574
+:042A7F00909EC0EF76
+:042A800090A1C0EA77
+:042A810090A4C0E479
+:042A820090A7C0DF7A
+:042A830090AAC0D97C
+:042A840090ADC0D47D
+:042A850090B0C0CE7F
+:042A860090B3C0C980
+:042A870090B6C0C382
+:042A880090BAC0BE82
+:042A890090BDC0B983
+:042A8A0090C0C0B385
+:042A8B0090C3C0AE86
+:042A8C0090C6C0A888
+:042A8D0090C9C0A389
+:042A8E0090CCC09D8B
+:042A8F0090CFC0988C
+:042A900090D2C0928E
+:042A910090D6C08D8E
+:042A920090D9C08790
+:042A930090DCC08291
+:042A940090DFC07C93
+:042A950090E2C07794
+:042A960090E5C07295
+:042A970090E8C06C97
+:042A980090EBC06798
+:042A990090EEC0619A
+:042A9A0090F2C05C9A
+:042A9B0090F5C0569C
+:042A9C0090F8C0519D
+:042A9D0090FBC04B9F
+:042A9E0090FEC046A0
+:042A9F009101C041A0
+:042AA0009104C03BA2
+:042AA1009107C036A3
+:042AA200910BC030A4
+:042AA300910EC02BA5
+:042AA4009111C025A7
+:042AA5009114C020A8
+:042AA6009117C01AAA
+:042AA700911AC015AB
+:042AA800911DC010AC
+:042AA9009121C00AAD
+:042AAA009124C005AE
+:042AAB009127BFFEB2
+:042AAC00912ABFF9B3
+:042AAD00912DBFF3B5
+:042AAE009130BFEEB6
+:042AAF009133BFE8B8
+:042AB0009137BFE3B8
+:042AB100913ABFDEB9
+:042AB200913DBFD8BB
+:042AB3009140BFD3BC
+:042AB4009143BFCDBE
+:042AB5009146BFC8BF
+:042AB6009149BFC2C1
+:042AB700914DBFBDC1
+:042AB8009150BFB8C2
+:042AB9009153BFB2C4
+:042ABA009156BFADC5
+:042ABB009159BFA7C7
+:042ABC00915CBFA2C8
+:042ABD009160BF9CC9
+:042ABE009163BF97CA
+:042ABF009166BF91CC
+:042AC0009169BF8CCD
+:042AC100916CBF87CE
+:042AC200916FBF81D0
+:042AC3009173BF7CD0
+:042AC4009176BF76D2
+:042AC5009179BF71D3
+:042AC600917CBF6CD4
+:042AC700917FBF66D6
+:042AC8009182BF61D7
+:042AC9009186BF5BD8
+:042ACA009189BF56D9
+:042ACB00918CBF50DB
+:042ACC00918FBF4BDC
+:042ACD009192BF46DD
+:042ACE009195BF40DF
+:042ACF009199BF3BDF
+:042AD000919CBF35E1
+:042AD100919FBF30E2
+:042AD20091A2BF2AE4
+:042AD30091A5BF25E5
+:042AD40091A9BF20E5
+:042AD50091ACBF1AE7
+:042AD60091AFBF15E8
+:042AD70091B2BF0FEA
+:042AD80091B5BF0AEB
+:042AD90091B8BF05EC
+:042ADA0091BCBEFFEE
+:042ADB0091BFBEFAEF
+:042ADC0091C2BEF4F1
+:042ADD0091C5BEEFF2
+:042ADE0091C8BEE9F4
+:042ADF0091CCBEE4F4
+:042AE00091CFBEDFF5
+:042AE10091D2BED9F7
+:042AE20091D5BED4F8
+:042AE30091D8BECEFA
+:042AE40091DCBEC9FA
+:042AE50091DFBEC4FB
+:042AE60091E2BEBEFD
+:042AE70091E5BEB9FE
+:042AE80091E8BEB300
+:042AE90091ECBEAE00
+:042AEA0091EFBEA901
+:042AEB0091F2BEA303
+:042AEC0091F5BE9E04
+:042AED0091F8BE9806
+:042AEE0091FCBE9306
+:042AEF0091FFBE8E07
+:042AF0009202BE8808
+:042AF1009205BE8309
+:042AF2009209BE7D0A
+:042AF300920CBE780B
+:042AF400920FBE730C
+:042AF5009212BE6D0E
+:042AF6009215BE680F
+:042AF7009219BE6210
+:042AF800921CBE5D11
+:042AF900921FBE5812
+:042AFA009222BE5214
+:042AFB009226BE4D14
+:042AFC009229BE4716
+:042AFD00922CBE4217
+:042AFE00922FBE3D18
+:042AFF009232BE371A
+:042B00009236BE3219
+:042B01009239BE2D1A
+:042B0200923CBE271C
+:042B0300923FBE221D
+:042B04009243BE1C1E
+:042B05009246BE171F
+:042B06009249BE1220
+:042B0700924CBE0C22
+:042B08009250BE0722
+:042B09009253BE0124
+:042B0A009256BDFC26
+:042B0B009259BDF727
+:042B0C00925CBDF129
+:042B0D009260BDEC29
+:042B0E009263BDE72A
+:042B0F009266BDE12C
+:042B10009269BDDC2D
+:042B1100926DBDD62E
+:042B12009270BDD12F
+:042B13009273BDCC30
+:042B14009276BDC632
+:042B1500927ABDC132
+:042B1600927DBDBC33
+:042B17009280BDB635
+:042B18009283BDB136
+:042B19009287BDAB37
+:042B1A00928ABDA638
+:042B1B00928DBDA139
+:042B1C009291BD9B3A
+:042B1D009294BD963B
+:042B1E009297BD913C
+:042B1F00929ABD8B3E
+:042B2000929EBD863E
+:042B210092A1BD8040
+:042B220092A4BD7B41
+:042B230092A7BD7642
+:042B240092ABBD7043
+:042B250092AEBD6B44
+:042B260092B1BD6645
+:042B270092B4BD6047
+:042B280092B8BD5B47
+:042B290092BBBD5549
+:042B2A0092BEBD504A
+:042B2B0092C2BD4B4A
+:042B2C0092C5BD454C
+:042B2D0092C8BD404D
+:042B2E0092CBBD3B4E
+:042B2F0092CFBD354F
+:042B300092D2BD3050
+:042B310092D5BD2B51
+:042B320092D8BD2553
+:042B330092DCBD2053
+:042B340092DFBD1B54
+:042B350092E2BD1556
+:042B360092E6BD1056
+:042B370092E9BD0A58
+:042B380092ECBD0559
+:042B390092EFBD005A
+:042B3A0092F3BCFA5C
+:042B3B0092F6BCF55D
+:042B3C0092F9BCF05E
+:042B3D0092FDBCEA5F
+:042B3E009300BCE55F
+:042B3F009303BCE060
+:042B40009306BCDA62
+:042B4100930ABCD562
+:042B4200930DBCD063
+:042B43009310BCCA65
+:042B44009314BCC565
+:042B45009317BCC066
+:042B4600931ABCBA68
+:042B4700931EBCB568
+:042B48009321BCB069
+:042B49009324BCAA6B
+:042B4A009328BCA56B
+:042B4B00932BBC9F6D
+:042B4C00932EBC9A6E
+:042B4D009331BC956F
+:042B4E009335BC8F70
+:042B4F009338BC8A71
+:042B5000933BBC8572
+:042B5100933FBC7F73
+:042B52009342BC7A74
+:042B53009345BC7575
+:042B54009349BC6F76
+:042B5500934CBC6A77
+:042B5600934FBC6578
+:042B57009353BC5F79
+:042B58009356BC5A7A
+:042B59009359BC557B
+:042B5A00935DBC4F7C
+:042B5B009360BC4A7D
+:042B5C009363BC457E
+:042B5D009367BC3F7F
+:042B5E00936ABC3A80
+:042B5F00936DBC3581
+:042B60009370BC2F83
+:042B61009374BC2A83
+:042B62009377BC2584
+:042B6300937ABC1F86
+:042B6400937EBC1A86
+:042B65009381BC1587
+:042B66009384BC0F89
+:042B67009388BC0A89
+:042B6800938BBC058A
+:042B6900938EBC008B
+:042B6A009392BBFA8D
+:042B6B009395BBF58E
+:042B6C009399BBF08E
+:042B6D00939CBBEA90
+:042B6E00939FBBE591
+:042B6F0093A3BBE091
+:042B700093A6BBDA93
+:042B710093A9BBD594
+:042B720093ADBBD094
+:042B730093B0BBCA96
+:042B740093B3BBC597
+:042B750093B7BBC097
+:042B760093BABBBA99
+:042B770093BDBBB59A
+:042B780093C1BBB09A
+:042B790093C4BBAA9C
+:042B7A0093C7BBA59D
+:042B7B0093CBBBA09D
+:042B7C0093CEBB9B9E
+:042B7D0093D1BB95A0
+:042B7E0093D5BB90A0
+:042B7F0093D8BB8BA1
+:042B800093DCBB85A2
+:042B810093DFBB80A3
+:042B820093E2BB7BA4
+:042B830093E6BB75A5
+:042B840093E9BB70A6
+:042B850093ECBB6BA7
+:042B860093F0BB65A8
+:042B870093F3BB60A9
+:042B880093F6BB5BAA
+:042B890093FABB56AA
+:042B8A0093FDBB50AC
+:042B8B009401BB4BAB
+:042B8C009404BB46AC
+:042B8D009407BB40AE
+:042B8E00940BBB3BAE
+:042B8F00940EBB36AF
+:042B90009411BB30B1
+:042B91009415BB2BB1
+:042B92009418BB26B2
+:042B9300941CBB21B2
+:042B9400941FBB1BB4
+:042B95009422BB16B5
+:042B96009426BB11B5
+:042B97009429BB0BB7
+:042B9800942CBB06B8
+:042B99009430BB01B8
+:042B9A009433BAFBBB
+:042B9B009437BAF6BB
+:042B9C00943ABAF1BC
+:042B9D00943DBAECBD
+:042B9E009441BAE6BE
+:042B9F009444BAE1BF
+:042BA0009448BADCBF
+:042BA100944BBAD6C1
+:042BA200944EBAD1C2
+:042BA3009452BACCC2
+:042BA4009455BAC7C3
+:042BA5009459BAC1C4
+:042BA600945CBABCC5
+:042BA700945FBAB7C6
+:042BA8009463BAB1C7
+:042BA9009466BAACC8
+:042BAA00946ABAA7C8
+:042BAB00946DBAA2C9
+:042BAC009470BA9CCB
+:042BAD009474BA97CB
+:042BAE009477BA92CC
+:042BAF00947BBA8DCC
+:042BB000947EBA87CE
+:042BB1009481BA82CF
+:042BB2009485BA7DCF
+:042BB3009488BA77D1
+:042BB400948CBA72D1
+:042BB500948FBA6DD2
+:042BB6009493BA68D2
+:042BB7009496BA62D4
+:042BB8009499BA5DD5
+:042BB900949DBA58D5
+:042BBA0094A0BA52D7
+:042BBB0094A4BA4DD7
+:042BBC0094A7BA48D8
+:042BBD0094AABA43D9
+:042BBE0094AEBA3DDA
+:042BBF0094B1BA38DB
+:042BC00094B5BA33DB
+:042BC10094B8BA2EDC
+:042BC20094BCBA28DD
+:042BC30094BFBA23DE
+:042BC40094C2BA1EDF
+:042BC50094C6BA19DF
+:042BC60094C9BA13E1
+:042BC70094CDBA0EE1
+:042BC80094D0BA09E2
+:042BC90094D4BA04E2
+:042BCA0094D7B9FEE5
+:042BCB0094DAB9F9E6
+:042BCC0094DEB9F4E6
+:042BCD0094E1B9EEE8
+:042BCE0094E5B9E9E8
+:042BCF0094E8B9E4E9
+:042BD00094ECB9DFE9
+:042BD10094EFB9D9EB
+:042BD20094F3B9D4EB
+:042BD30094F6B9CFEC
+:042BD40094F9B9CAED
+:042BD50094FDB9C4EE
+:042BD6009500B9BFEE
+:042BD7009504B9BAEE
+:042BD8009507B9B5EF
+:042BD900950BB9AFF0
+:042BDA00950EB9AAF1
+:042BDB009512B9A5F1
+:042BDC009515B9A0F2
+:042BDD009519B99AF3
+:042BDE00951CB995F4
+:042BDF00951FB990F5
+:042BE0009523B98BF5
+:042BE1009526B985F7
+:042BE200952AB980F7
+:042BE300952DB97BF8
+:042BE4009531B976F8
+:042BE5009534B970FA
+:042BE6009538B96BFA
+:042BE700953BB966FB
+:042BE800953FB961FB
+:042BE9009542B95CFC
+:042BEA009546B956FD
+:042BEB009549B951FE
+:042BEC00954CB94CFF
+:042BED009550B947FF
+:042BEE009553B94101
+:042BEF009557B93C01
+:042BF000955AB93702
+:042BF100955EB93202
+:042BF2009561B92C04
+:042BF3009565B92704
+:042BF4009568B92205
+:042BF500956CB91D05
+:042BF600956FB91707
+:042BF7009573B91207
+:042BF8009576B90D08
+:042BF900957AB90808
+:042BFA00957DB90309
+:042BFB009581B8FD0B
+:042BFC009584B8F80C
+:042BFD009588B8F30C
+:042BFE00958BB8EE0D
+:042BFF00958FB8E80E
+:042C00009592B8E30E
+:042C01009596B8DE0E
+:042C02009599B8D90F
+:042C0300959DB8D40F
+:042C040095A0B8CE11
+:042C050095A4B8C911
+:042C060095A7B8C412
+:042C070095ABB8BF12
+:042C080095AEB8B914
+:042C090095B2B8B414
+:042C0A0095B5B8AF15
+:042C0B0095B9B8AA15
+:042C0C0095BCB8A516
+:042C0D0095C0B89F17
+:042C0E0095C3B89A18
+:042C0F0095C7B89518
+:042C100095CAB89019
+:042C110095CEB88A1A
+:042C120095D1B8851B
+:042C130095D5B8801B
+:042C140095D8B87B1C
+:042C150095DCB8761C
+:042C160095DFB8701E
+:042C170095E3B86B1E
+:042C180095E6B8661F
+:042C190095EAB8611F
+:042C1A0095EDB85C20
+:042C1B0095F1B85621
+:042C1C0095F4B85122
+:042C1D0095F8B84C22
+:042C1E0095FBB84723
+:042C1F0095FFB84223
+:042C20009602B83C24
+:042C21009606B83724
+:042C22009609B83225
+:042C2300960DB82D25
+:042C24009610B82826
+:042C25009614B82227
+:042C26009617B81D28
+:042C2700961BB81828
+:042C2800961EB81329
+:042C29009622B80E29
+:042C2A009626B8082A
+:042C2B009629B8032B
+:042C2C00962DB7FE2C
+:042C2D009630B7F92D
+:042C2E009634B7F42D
+:042C2F009637B7EE2F
+:042C3000963BB7E92F
+:042C3100963EB7E430
+:042C32009642B7DF30
+:042C33009645B7DA31
+:042C34009649B7D432
+:042C3500964CB7CF33
+:042C36009650B7CA33
+:042C37009654B7C533
+:042C38009657B7C034
+:042C3900965BB7BB34
+:042C3A00965EB7B536
+:042C3B009662B7B036
+:042C3C009665B7AB37
+:042C3D009669B7A637
+:042C3E00966CB7A138
+:042C3F009670B79B39
+:042C40009674B79639
+:042C41009677B7913A
+:042C4200967BB78C3A
+:042C4300967EB7873B
+:042C44009682B7823B
+:042C45009685B77C3D
+:042C46009689B7773D
+:042C4700968CB7723E
+:042C48009690B76D3E
+:042C49009694B7683E
+:042C4A009697B76240
+:042C4B00969BB75D40
+:042C4C00969EB75841
+:042C4D0096A2B75341
+:042C4E0096A5B74E42
+:042C4F0096A9B74942
+:042C500096ADB74343
+:042C510096B0B73E44
+:042C520096B4B73944
+:042C530096B7B73445
+:042C540096BBB72F45
+:042C550096BEB72A46
+:042C560096C2B72447
+:042C570096C6B71F47
+:042C580096C9B71A48
+:042C590096CDB71548
+:042C5A0096D0B71049
+:042C5B0096D4B70B49
+:042C5C0096D7B7054B
+:042C5D0096DBB7004B
+:042C5E0096DFB6FB4C
+:042C5F0096E2B6F64D
+:042C600096E6B6F14D
+:042C610096E9B6EC4E
+:042C620096EDB6E64F
+:042C630096F1B6E14F
+:042C640096F4B6DC50
+:042C650096F8B6D750
+:042C660096FBB6D251
+:042C670096FFB6CD51
+:042C68009702B6C851
+:042C69009706B6C252
+:042C6A00970AB6BD52
+:042C6B00970DB6B853
+:042C6C009711B6B353
+:042C6D009714B6AE54
+:042C6E009718B6A954
+:042C6F00971CB6A355
+:042C7000971FB69E56
+:042C71009723B69956
+:042C72009726B69457
+:042C7300972AB68F57
+:042C7400972EB68A57
+:042C75009731B68558
+:042C76009735B67F59
+:042C77009738B67A5A
+:042C7800973CB6755A
+:042C79009740B6705A
+:042C7A009743B66B5B
+:042C7B009747B6665B
+:042C7C00974BB6615B
+:042C7D00974EB65B5D
+:042C7E009752B6565D
+:042C7F009755B6515E
+:042C80009759B64C5E
+:042C8100975DB6475E
+:042C82009760B6425F
+:042C83009764B63D5F
+:042C84009767B63860
+:042C8500976BB63261
+:042C8600976FB62D61
+:042C87009772B62862
+:042C88009776B62362
+:042C8900977AB61E62
+:042C8A00977DB61963
+:042C8B009781B61463
+:042C8C009784B60E65
+:042C8D009788B60965
+:042C8E00978CB60465
+:042C8F00978FB5FF67
+:042C90009793B5FA67
+:042C91009797B5F567
+:042C9200979AB5F068
+:042C9300979EB5EB68
+:042C940097A2B5E569
+:042C950097A5B5E06A
+:042C960097A9B5DB6A
+:042C970097ACB5D66B
+:042C980097B0B5D16B
+:042C990097B4B5CC6B
+:042C9A0097B7B5C76C
+:042C9B0097BBB5C26C
+:042C9C0097BFB5BD6C
+:042C9D0097C2B5B76E
+:042C9E0097C6B5B26E
+:042C9F0097CAB5AD6E
+:042CA00097CDB5A86F
+:042CA10097D1B5A36F
+:042CA20097D5B59E6F
+:042CA30097D8B59970
+:042CA40097DCB59470
+:042CA50097E0B58E71
+:042CA60097E3B58972
+:042CA70097E7B58472
+:042CA80097EBB57F72
+:042CA90097EEB57A73
+:042CAA0097F2B57573
+:042CAB0097F5B57074
+:042CAC0097F9B56B74
+:042CAD0097FDB56674
+:042CAE009800B56174
+:042CAF009804B55B75
+:042CB0009808B55675
+:042CB100980BB55176
+:042CB200980FB54C76
+:042CB3009813B54776
+:042CB4009816B54277
+:042CB500981AB53D77
+:042CB600981EB53877
+:042CB7009821B53378
+:042CB8009825B52E78
+:042CB9009829B52879
+:042CBA00982CB5237A
+:042CBB009830B51E7A
+:042CBC009834B5197A
+:042CBD009838B5147A
+:042CBE00983BB50F7B
+:042CBF00983FB50A7B
+:042CC0009843B5057B
+:042CC1009846B5007C
+:042CC200984AB4FB7D
+:042CC300984EB4F57E
+:042CC4009851B4F07F
+:042CC5009855B4EB7F
+:042CC6009859B4E67F
+:042CC700985CB4E180
+:042CC8009860B4DC80
+:042CC9009864B4D780
+:042CCA009867B4D281
+:042CCB00986BB4CD81
+:042CCC00986FB4C881
+:042CCD009872B4C382
+:042CCE009876B4BE82
+:042CCF00987AB4B883
+:042CD000987EB4B383
+:042CD1009881B4AE84
+:042CD2009885B4A984
+:042CD3009889B4A484
+:042CD400988CB49F85
+:042CD5009890B49A85
+:042CD6009894B49585
+:042CD7009897B49086
+:042CD800989BB48B86
+:042CD900989FB48686
+:042CDA0098A3B48186
+:042CDB0098A6B47C87
+:042CDC0098AAB47688
+:042CDD0098AEB47188
+:042CDE0098B1B46C89
+:042CDF0098B5B46789
+:042CE00098B9B46289
+:042CE10098BDB45D89
+:042CE20098C0B4588A
+:042CE30098C4B4538A
+:042CE40098C8B44E8A
+:042CE50098CBB4498B
+:042CE60098CFB4448B
+:042CE70098D3B43F8B
+:042CE80098D7B43A8B
+:042CE90098DAB4358C
+:042CEA0098DEB4308C
+:042CEB0098E2B42A8D
+:042CEC0098E5B4258E
+:042CED0098E9B4208E
+:042CEE0098EDB41B8E
+:042CEF0098F1B4168E
+:042CF00098F4B4118F
+:042CF10098F8B40C8F
+:042CF20098FCB4078F
+:042CF3009900B4028E
+:042CF4009903B3FD90
+:042CF5009907B3F890
+:042CF600990BB3F390
+:042CF700990EB3EE91
+:042CF8009912B3E991
+:042CF9009916B3E491
+:042CFA00991AB3DF91
+:042CFB00991DB3DA92
+:042CFC009921B3D592
+:042CFD009925B3CF93
+:042CFE009929B3CA93
+:042CFF00992CB3C594
+:042D00009930B3C093
+:042D01009934B3BB93
+:042D02009938B3B693
+:042D0300993BB3B194
+:042D0400993FB3AC94
+:042D05009943B3A794
+:042D06009947B3A294
+:042D0700994AB39D95
+:042D0800994EB39895
+:042D09009952B39395
+:042D0A009956B38E95
+:042D0B009959B38996
+:042D0C00995DB38496
+:042D0D009961B37F96
+:042D0E009965B37A96
+:042D0F009968B37597
+:042D1000996CB37097
+:042D11009970B36B97
+:042D12009974B36697
+:042D13009977B36198
+:042D1400997BB35C98
+:042D1500997FB35798
+:042D16009983B35199
+:042D17009986B34C9A
+:042D1800998AB3479A
+:042D1900998EB3429A
+:042D1A009992B33D9A
+:042D1B009996B3389A
+:042D1C009999B3339B
+:042D1D00999DB32E9B
+:042D1E0099A1B3299B
+:042D1F0099A5B3249B
+:042D200099A8B31F9C
+:042D210099ACB31A9C
+:042D220099B0B3159C
+:042D230099B4B3109C
+:042D240099B7B30B9D
+:042D250099BBB3069D
+:042D260099BFB3019D
+:042D270099C3B2FC9E
+:042D280099C7B2F79E
+:042D290099CAB2F29F
+:042D2A0099CEB2ED9F
+:042D2B0099D2B2E89F
+:042D2C0099D6B2E39F
+:042D2D0099D9B2DEA0
+:042D2E0099DDB2D9A0
+:042D2F0099E1B2D4A0
+:042D300099E5B2CFA0
+:042D310099E9B2CAA0
+:042D320099ECB2C5A1
+:042D330099F0B2C0A1
+:042D340099F4B2BBA1
+:042D350099F8B2B6A1
+:042D360099FCB2B1A1
+:042D370099FFB2ACA2
+:042D38009A03B2A7A1
+:042D39009A07B2A2A1
+:042D3A009A0BB29DA1
+:042D3B009A0FB298A1
+:042D3C009A12B293A2
+:042D3D009A16B28EA2
+:042D3E009A1AB289A2
+:042D3F009A1EB284A2
+:042D40009A22B27FA2
+:042D41009A25B27AA3
+:042D42009A29B275A3
+:042D43009A2DB270A3
+:042D44009A31B26BA3
+:042D45009A35B266A3
+:042D46009A38B261A4
+:042D47009A3CB25CA4
+:042D48009A40B257A4
+:042D49009A44B252A4
+:042D4A009A48B24DA4
+:042D4B009A4CB248A4
+:042D4C009A4FB243A5
+:042D4D009A53B23EA5
+:042D4E009A57B239A5
+:042D4F009A5BB234A5
+:042D50009A5FB22FA5
+:042D51009A62B22AA6
+:042D52009A66B225A6
+:042D53009A6AB220A6
+:042D54009A6EB21BA6
+:042D55009A72B216A6
+:042D56009A76B211A6
+:042D57009A79B20CA7
+:042D58009A7DB207A7
+:042D59009A81B202A7
+:042D5A009A85B1FDA8
+:042D5B009A89B1F8A8
+:042D5C009A8DB1F3A8
+:042D5D009A90B1EEA9
+:042D5E009A94B1E9A9
+:042D5F009A98B1E4A9
+:042D60009A9CB1DFA9
+:042D61009AA0B1DAA9
+:042D62009AA4B1D5A9
+:042D63009AA7B1D0AA
+:042D64009AABB1CBAA
+:042D65009AAFB1C6AA
+:042D66009AB3B1C1AA
+:042D67009AB7B1BCAA
+:042D68009ABBB1B7AA
+:042D69009ABEB1B2AB
+:042D6A009AC2B1ADAB
+:042D6B009AC6B1A8AB
+:042D6C009ACAB1A4AA
+:042D6D009ACEB19FAA
+:042D6E009AD2B19AAA
+:042D6F009AD5B195AB
+:042D70009AD9B190AB
+:042D71009ADDB18BAB
+:042D72009AE1B186AB
+:042D73009AE5B181AB
+:042D74009AE9B17CAB
+:042D75009AEDB177AB
+:042D76009AF0B172AC
+:042D77009AF4B16DAC
+:042D78009AF8B168AC
+:042D79009AFCB163AC
+:042D7A009B00B15EAB
+:042D7B009B04B159AB
+:042D7C009B08B154AB
+:042D7D009B0BB14FAC
+:042D7E009B0FB14AAC
+:042D7F009B13B145AC
+:042D80009B17B140AC
+:042D81009B1BB13BAC
+:042D82009B1FB136AC
+:042D83009B23B131AC
+:042D84009B27B12DAB
+:042D85009B2AB128AC
+:042D86009B2EB123AC
+:042D87009B32B11EAC
+:042D88009B36B119AC
+:042D89009B3AB114AC
+:042D8A009B3EB10FAC
+:042D8B009B42B10AAC
+:042D8C009B45B105AD
+:042D8D009B49B100AD
+:042D8E009B4DB0FBAE
+:042D8F009B51B0F6AE
+:042D90009B55B0F1AE
+:042D91009B59B0ECAE
+:042D92009B5DB0E7AE
+:042D93009B61B0E2AE
+:042D94009B65B0DDAE
+:042D95009B68B0D8AF
+:042D96009B6CB0D4AE
+:042D97009B70B0CFAE
+:042D98009B74B0CAAE
+:042D99009B78B0C5AE
+:042D9A009B7CB0C0AE
+:042D9B009B80B0BBAE
+:042D9C009B84B0B6AE
+:042D9D009B88B0B1AE
+:042D9E009B8BB0ACAF
+:042D9F009B8FB0A7AF
+:042DA0009B93B0A2AF
+:042DA1009B97B09DAF
+:042DA2009B9BB098AF
+:042DA3009B9FB093AF
+:042DA4009BA3B08EAF
+:042DA5009BA7B08AAE
+:042DA6009BABB085AE
+:042DA7009BAFB080AE
+:042DA8009BB2B07BAF
+:042DA9009BB6B076AF
+:042DAA009BBAB071AF
+:042DAB009BBEB06CAF
+:042DAC009BC2B067AF
+:042DAD009BC6B062AF
+:042DAE009BCAB05DAF
+:042DAF009BCEB058AF
+:042DB0009BD2B053AF
+:042DB1009BD6B04FAE
+:042DB2009BD9B04AAF
+:042DB3009BDDB045AF
+:042DB4009BE1B040AF
+:042DB5009BE5B03BAF
+:042DB6009BE9B036AF
+:042DB7009BEDB031AF
+:042DB8009BF1B02CAF
+:042DB9009BF5B027AF
+:042DBA009BF9B022AF
+:042DBB009BFDB01DAF
+:042DBC009C01B018AE
+:042DBD009C05B014AD
+:042DBE009C09B00FAD
+:042DBF009C0CB00AAE
+:042DC0009C10B005AE
+:042DC1009C14B000AE
+:042DC2009C18AFFBAF
+:042DC3009C1CAFF6AF
+:042DC4009C20AFF1AF
+:042DC5009C24AFECAF
+:042DC6009C28AFE7AF
+:042DC7009C2CAFE3AE
+:042DC8009C30AFDEAE
+:042DC9009C34AFD9AE
+:042DCA009C38AFD4AE
+:042DCB009C3CAFCFAE
+:042DCC009C40AFCAAE
+:042DCD009C43AFC5AF
+:042DCE009C47AFC0AF
+:042DCF009C4BAFBBAF
+:042DD0009C4FAFB6AF
+:042DD1009C53AFB2AE
+:042DD2009C57AFADAE
+:042DD3009C5BAFA8AE
+:042DD4009C5FAFA3AE
+:042DD5009C63AF9EAE
+:042DD6009C67AF99AE
+:042DD7009C6BAF94AE
+:042DD8009C6FAF8FAE
+:042DD9009C73AF8AAE
+:042DDA009C77AF86AD
+:042DDB009C7BAF81AD
+:042DDC009C7FAF7CAD
+:042DDD009C83AF77AD
+:042DDE009C87AF72AD
+:042DDF009C8BAF6DAD
+:042DE0009C8EAF68AE
+:042DE1009C92AF63AE
+:042DE2009C96AF5FAD
+:042DE3009C9AAF5AAD
+:042DE4009C9EAF55AD
+:042DE5009CA2AF50AD
+:042DE6009CA6AF4BAD
+:042DE7009CAAAF46AD
+:042DE8009CAEAF41AD
+:042DE9009CB2AF3CAD
+:042DEA009CB6AF38AC
+:042DEB009CBAAF33AC
+:042DEC009CBEAF2EAC
+:042DED009CC2AF29AC
+:042DEE009CC6AF24AC
+:042DEF009CCAAF1FAC
+:042DF0009CCEAF1AAC
+:042DF1009CD2AF15AC
+:042DF2009CD6AF11AB
+:042DF3009CDAAF0CAB
+:042DF4009CDEAF07AB
+:042DF5009CE2AF02AB
+:042DF6009CE6AEFDAC
+:042DF7009CEAAEF8AC
+:042DF8009CEEAEF3AC
+:042DF9009CF2AEEEAC
+:042DFA009CF6AEEAAB
+:042DFB009CFAAEE5AB
+:042DFC009CFEAEE0AB
+:042DFD009D02AEDBAA
+:042DFE009D06AED6AA
+:042DFF009D0AAED1AA
+:042E00009D0EAECCA9
+:042E01009D12AEC8A8
+:042E02009D16AEC3A8
+:042E03009D1AAEBEA8
+:042E04009D1DAEB9A9
+:042E05009D21AEB4A9
+:042E06009D25AEAFA9
+:042E07009D29AEAAA9
+:042E08009D2DAEA6A8
+:042E09009D31AEA1A8
+:042E0A009D35AE9CA8
+:042E0B009D39AE97A8
+:042E0C009D3DAE92A8
+:042E0D009D41AE8DA8
+:042E0E009D45AE89A7
+:042E0F009D49AE84A7
+:042E10009D4DAE7FA7
+:042E11009D51AE7AA7
+:042E12009D55AE75A7
+:042E13009D59AE70A7
+:042E14009D5DAE6BA7
+:042E15009D61AE67A6
+:042E16009D65AE62A6
+:042E17009D69AE5DA6
+:042E18009D6DAE58A6
+:042E19009D71AE53A6
+:042E1A009D75AE4EA6
+:042E1B009D79AE4AA5
+:042E1C009D7EAE45A4
+:042E1D009D82AE40A4
+:042E1E009D86AE3BA4
+:042E1F009D8AAE36A4
+:042E20009D8EAE31A4
+:042E21009D92AE2DA3
+:042E22009D96AE28A3
+:042E23009D9AAE23A3
+:042E24009D9EAE1EA3
+:042E25009DA2AE19A3
+:042E26009DA6AE14A3
+:042E27009DAAAE10A2
+:042E28009DAEAE0BA2
+:042E29009DB2AE06A2
+:042E2A009DB6AE01A2
+:042E2B009DBAADFCA3
+:042E2C009DBEADF8A2
+:042E2D009DC2ADF3A2
+:042E2E009DC6ADEEA2
+:042E2F009DCAADE9A2
+:042E30009DCEADE4A2
+:042E31009DD2ADDFA2
+:042E32009DD6ADDBA1
+:042E33009DDAADD6A1
+:042E34009DDEADD1A1
+:042E35009DE2ADCCA1
+:042E36009DE6ADC7A1
+:042E37009DEAADC3A0
+:042E38009DEEADBEA0
+:042E39009DF2ADB9A0
+:042E3A009DF6ADB4A0
+:042E3B009DFAADAFA0
+:042E3C009DFEADAAA0
+:042E3D009E02ADA69E
+:042E3E009E06ADA19E
+:042E3F009E0AAD9C9E
+:042E40009E0FAD979D
+:042E41009E13AD929D
+:042E42009E17AD8E9C
+:042E43009E1BAD899C
+:042E44009E1FAD849C
+:042E45009E23AD7F9C
+:042E46009E27AD7A9C
+:042E47009E2BAD769B
+:042E48009E2FAD719B
+:042E49009E33AD6C9B
+:042E4A009E37AD679B
+:042E4B009E3BAD629B
+:042E4C009E3FAD5E9A
+:042E4D009E43AD599A
+:042E4E009E47AD549A
+:042E4F009E4BAD4F9A
+:042E50009E4FAD4A9A
+:042E51009E53AD4699
+:042E52009E57AD4199
+:042E53009E5CAD3C98
+:042E54009E60AD3798
+:042E55009E64AD3298
+:042E56009E68AD2E97
+:042E57009E6CAD2997
+:042E58009E70AD2497
+:042E59009E74AD1F97
+:042E5A009E78AD1A97
+:042E5B009E7CAD1696
+:042E5C009E80AD1196
+:042E5D009E84AD0C96
+:042E5E009E88AD0796
+:042E5F009E8CAD0395
+:042E60009E90ACFE96
+:042E61009E95ACF995
+:042E62009E99ACF495
+:042E63009E9DACEF95
+:042E64009EA1ACEB94
+:042E65009EA5ACE694
+:042E66009EA9ACE194
+:042E67009EADACDC94
+:042E68009EB1ACD893
+:042E69009EB5ACD393
+:042E6A009EB9ACCE93
+:042E6B009EBDACC993
+:042E6C009EC1ACC493
+:042E6D009EC5ACC092
+:042E6E009ECAACBB91
+:042E6F009ECEACB691
+:042E70009ED2ACB191
+:042E71009ED6ACAD90
+:042E72009EDAACA890
+:042E73009EDEACA390
+:042E74009EE2AC9E90
+:042E75009EE6AC9A8F
+:042E76009EEAAC958F
+:042E77009EEEAC908F
+:042E78009EF2AC8B8F
+:042E79009EF7AC868E
+:042E7A009EFBAC828D
+:042E7B009EFFAC7D8D
+:042E7C009F03AC788C
+:042E7D009F07AC738C
+:042E7E009F0BAC6F8B
+:042E7F009F0FAC6A8B
+:042E80009F13AC658B
+:042E81009F17AC608B
+:042E82009F1CAC5C89
+:042E83009F20AC5789
+:042E84009F24AC5289
+:042E85009F28AC4D89
+:042E86009F2CAC4988
+:042E87009F30AC4488
+:042E88009F34AC3F88
+:042E89009F38AC3A88
+:042E8A009F3CAC3687
+:042E8B009F40AC3187
+:042E8C009F45AC2C86
+:042E8D009F49AC2786
+:042E8E009F4DAC2385
+:042E8F009F51AC1E85
+:042E90009F55AC1985
+:042E91009F59AC1485
+:042E92009F5DAC1084
+:042E93009F61AC0B84
+:042E94009F66AC0683
+:042E95009F6AAC0183
+:042E96009F6EABFD83
+:042E97009F72ABF883
+:042E98009F76ABF383
+:042E99009F7AABEE83
+:042E9A009F7EABEA82
+:042E9B009F82ABE582
+:042E9C009F87ABE081
+:042E9D009F8BABDC80
+:042E9E009F8FABD780
+:042E9F009F93ABD280
+:042EA0009F97ABCD80
+:042EA1009F9BABC97F
+:042EA2009F9FABC47F
+:042EA3009FA3ABBF7F
+:042EA4009FA8ABBA7E
+:042EA5009FACABB67D
+:042EA6009FB0ABB17D
+:042EA7009FB4ABAC7D
+:042EA8009FB8ABA77D
+:042EA9009FBCABA37C
+:042EAA009FC0AB9E7C
+:042EAB009FC5AB997B
+:042EAC009FC9AB957A
+:042EAD009FCDAB907A
+:042EAE009FD1AB8B7A
+:042EAF009FD5AB867A
+:042EB0009FD9AB8279
+:042EB1009FDDAB7D79
+:042EB2009FE2AB7878
+:042EB3009FE6AB7477
+:042EB4009FEAAB6F77
+:042EB5009FEEAB6A77
+:042EB6009FF2AB6577
+:042EB7009FF6AB6176
+:042EB8009FFBAB5C75
+:042EB9009FFFAB5775
+:042EBA00A003AB5373
+:042EBB00A007AB4E73
+:042EBC00A00BAB4973
+:042EBD00A00FAB4473
+:042EBE00A013AB4072
+:042EBF00A018AB3B71
+:042EC000A01CAB3671
+:042EC100A020AB3270
+:042EC200A024AB2D70
+:042EC300A028AB2870
+:042EC400A02CAB2370
+:042EC500A031AB1F6E
+:042EC600A035AB1A6E
+:042EC700A039AB156E
+:042EC800A03DAB116D
+:042EC900A041AB0C6D
+:042ECA00A045AB076D
+:042ECB00A04AAB036B
+:042ECC00A04EAAFE6C
+:042ECD00A052AAF96C
+:042ECE00A056AAF46C
+:042ECF00A05AAAF06B
+:042ED000A05FAAEB6A
+:042ED100A063AAE66A
+:042ED200A067AAE269
+:042ED300A06BAADD69
+:042ED400A06FAAD869
+:042ED500A073AAD468
+:042ED600A078AACF67
+:042ED700A07CAACA67
+:042ED800A080AAC666
+:042ED900A084AAC166
+:042EDA00A088AABC66
+:042EDB00A08CAAB766
+:042EDC00A091AAB364
+:042EDD00A095AAAE64
+:042EDE00A099AAA964
+:042EDF00A09DAAA563
+:042EE000A0A1AAA063
+:042EE100A0A6AA9B62
+:042EE200A0AAAA9761
+:042EE300A0AEAA9261
+:042EE400A0B2AA8D61
+:042EE500A0B6AA8960
+:042EE600A0BBAA845F
+:042EE700A0BFAA7F5F
+:042EE800A0C3AA7B5E
+:042EE900A0C7AA765E
+:042EEA00A0CBAA715E
+:042EEB00A0D0AA6D5C
+:042EEC00A0D4AA685C
+:042EED00A0D8AA635C
+:042EEE00A0DCAA5F5B
+:042EEF00A0E0AA5A5B
+:042EF000A0E5AA555A
+:042EF100A0E9AA5159
+:042EF200A0EDAA4C59
+:042EF300A0F1AA4759
+:042EF400A0F5AA4358
+:042EF500A0FAAA3E57
+:042EF600A0FEAA3957
+:042EF700A102AA3555
+:042EF800A106AA3055
+:042EF900A10AAA2B55
+:042EFA00A10FAA2753
+:042EFB00A113AA2253
+:042EFC00A117AA1D53
+:042EFD00A11BAA1952
+:042EFE00A120AA1451
+:042EFF00A124AA0F51
+:042F0000A128AA0B4F
+:042F0100A12CAA064F
+:042F0200A130AA014F
+:042F0300A135A9FD4E
+:042F0400A139A9F84E
+:042F0500A13DA9F34E
+:042F0600A141A9EF4D
+:042F0700A146A9EA4C
+:042F0800A14AA9E54C
+:042F0900A14EA9E14B
+:042F0A00A152A9DC4B
+:042F0B00A156A9D84A
+:042F0C00A15BA9D349
+:042F0D00A15FA9CE49
+:042F0E00A163A9CA48
+:042F0F00A167A9C548
+:042F1000A16CA9C047
+:042F1100A170A9BC46
+:042F1200A174A9B746
+:042F1300A178A9B246
+:042F1400A17DA9AE44
+:042F1500A181A9A944
+:042F1600A185A9A444
+:042F1700A189A9A043
+:042F1800A18EA99B42
+:042F1900A192A99741
+:042F1A00A196A99241
+:042F1B00A19AA98D41
+:042F1C00A19EA98940
+:042F1D00A1A3A9843F
+:042F1E00A1A7A97F3F
+:042F1F00A1ABA97B3E
+:042F2000A1AFA9763E
+:042F2100A1B4A9723C
+:042F2200A1B8A96D3C
+:042F2300A1BCA9683C
+:042F2400A1C0A9643B
+:042F2500A1C5A95F3A
+:042F2600A1C9A95A3A
+:042F2700A1CDA95639
+:042F2800A1D1A95139
+:042F2900A1D6A94D37
+:042F2A00A1DAA94837
+:042F2B00A1DEA94337
+:042F2C00A1E3A93F35
+:042F2D00A1E7A93A35
+:042F2E00A1EBA93535
+:042F2F00A1EFA93134
+:042F3000A1F4A92C33
+:042F3100A1F8A92832
+:042F3200A1FCA92332
+:042F3300A200A91E31
+:042F3400A205A91A2F
+:042F3500A209A9152F
+:042F3600A20DA9102F
+:042F3700A211A90C2E
+:042F3800A216A9072D
+:042F3900A21AA9032C
+:042F3A00A21EA8FE2D
+:042F3B00A222A8F92D
+:042F3C00A227A8F52B
+:042F3D00A22BA8F02B
+:042F3E00A22FA8EC2A
+:042F3F00A234A8E729
+:042F4000A238A8E229
+:042F4100A23CA8DE28
+:042F4200A240A8D928
+:042F4300A245A8D526
+:042F4400A249A8D026
+:042F4500A24DA8CB26
+:042F4600A252A8C724
+:042F4700A256A8C224
+:042F4800A25AA8BE23
+:042F4900A25EA8B923
+:042F4A00A263A8B422
+:042F4B00A267A8B021
+:042F4C00A26BA8AB21
+:042F4D00A270A8A71F
+:042F4E00A274A8A21F
+:042F4F00A278A89D1F
+:042F5000A27CA8991E
+:042F5100A281A8941D
+:042F5200A285A8901C
+:042F5300A289A88B1C
+:042F5400A28EA8871A
+:042F5500A292A8821A
+:042F5600A296A87D1A
+:042F5700A29AA87919
+:042F5800A29FA87418
+:042F5900A2A3A87017
+:042F5A00A2A7A86B17
+:042F5B00A2ACA86616
+:042F5C00A2B0A86215
+:042F5D00A2B4A85D15
+:042F5E00A2B9A85913
+:042F5F00A2BDA85413
+:042F6000A2C1A85012
+:042F6100A2C5A84B12
+:042F6200A2CAA84611
+:042F6300A2CEA84210
+:042F6400A2D2A83D10
+:042F6500A2D7A8390E
+:042F6600A2DBA8340E
+:042F6700A2DFA8300D
+:042F6800A2E4A82B0C
+:042F6900A2E8A8260C
+:042F6A00A2ECA8220B
+:042F6B00A2F1A81D0A
+:042F6C00A2F5A81909
+:042F6D00A2F9A81409
+:042F6E00A2FDA81008
+:042F6F00A302A80B06
+:042F7000A306A80606
+:042F7100A30AA80205
+:042F7200A30FA7FD05
+:042F7300A313A7F904
+:042F7400A317A7F404
+:042F7500A31CA7F002
+:042F7600A320A7EB02
+:042F7700A324A7E701
+:042F7800A329A7E200
+:042F7900A32DA7DD00
+:042F7A00A331A7D9FF
+:042F7B00A336A7D4FE
+:042F7C00A33AA7D0FD
+:042F7D00A33EA7CBFD
+:042F7E00A343A7C7FB
+:042F7F00A347A7C2FB
+:042F8000A34BA7BEFA
+:042F8100A350A7B9F9
+:042F8200A354A7B4F9
+:042F8300A358A7B0F8
+:042F8400A35DA7ABF7
+:042F8500A361A7A7F6
+:042F8600A365A7A2F6
+:042F8700A36AA79EF4
+:042F8800A36EA799F4
+:042F8900A372A795F3
+:042F8A00A377A790F2
+:042F8B00A37BA78CF1
+:042F8C00A37FA787F1
+:042F8D00A384A782F0
+:042F8E00A388A77EEF
+:042F8F00A38CA779EF
+:042F9000A391A775ED
+:042F9100A395A770ED
+:042F9200A399A76CEC
+:042F9300A39EA767EB
+:042F9400A3A2A763EA
+:042F9500A3A6A75EEA
+:042F9600A3ABA75AE8
+:042F9700A3AFA755E8
+:042F9800A3B4A751E6
+:042F9900A3B8A74CE6
+:042F9A00A3BCA747E6
+:042F9B00A3C1A743E4
+:042F9C00A3C5A73EE4
+:042F9D00A3C9A73AE3
+:042F9E00A3CEA735E2
+:042F9F00A3D2A731E1
+:042FA000A3D6A72CE1
+:042FA100A3DBA728DF
+:042FA200A3DFA723DF
+:042FA300A3E3A71FDE
+:042FA400A3E8A71ADD
+:042FA500A3ECA716DC
+:042FA600A3F1A711DB
+:042FA700A3F5A70DDA
+:042FA800A3F9A708DA
+:042FA900A3FEA704D8
+:042FAA00A402A6FFD8
+:042FAB00A406A6FBD7
+:042FAC00A40BA6F6D6
+:042FAD00A40FA6F2D5
+:042FAE00A414A6EDD4
+:042FAF00A418A6E9D3
+:042FB000A41CA6E4D3
+:042FB100A421A6E0D1
+:042FB200A425A6DBD1
+:042FB300A429A6D7D0
+:042FB400A42EA6D2CF
+:042FB500A432A6CECE
+:042FB600A437A6C9CD
+:042FB700A43BA6C5CC
+:042FB800A43FA6C0CC
+:042FB900A444A6BCCA
+:042FBA00A448A6B7CA
+:042FBB00A44CA6B2CA
+:042FBC00A451A6AEC8
+:042FBD00A455A6A9C8
+:042FBE00A45AA6A5C6
+:042FBF00A45EA6A1C5
+:042FC000A462A69CC5
+:042FC100A467A698C3
+:042FC200A46BA693C3
+:042FC300A470A68FC1
+:042FC400A474A68AC1
+:042FC500A478A686C0
+:042FC600A47DA681BF
+:042FC700A481A67DBE
+:042FC800A485A678BE
+:042FC900A48AA674BC
+:042FCA00A48EA66FBC
+:042FCB00A493A66BBA
+:042FCC00A497A666BA
+:042FCD00A49BA662B9
+:042FCE00A4A0A65DB8
+:042FCF00A4A4A659B7
+:042FD000A4A9A654B6
+:042FD100A4ADA650B5
+:042FD200A4B1A64BB5
+:042FD300A4B6A647B3
+:042FD400A4BAA642B3
+:042FD500A4BFA63EB1
+:042FD600A4C3A639B1
+:042FD700A4C8A635AF
+:042FD800A4CCA630AF
+:042FD900A4D0A62CAE
+:042FDA00A4D5A627AD
+:042FDB00A4D9A623AC
+:042FDC00A4DEA61EAB
+:042FDD00A4E2A61AAA
+:042FDE00A4E6A615AA
+:042FDF00A4EBA611A8
+:042FE000A4EFA60DA7
+:042FE100A4F4A608A6
+:042FE200A4F8A604A5
+:042FE300A4FCA5FFA6
+:042FE400A501A5FBA3
+:042FE500A505A5F6A3
+:042FE600A50AA5F2A1
+:042FE700A50EA5EDA1
+:042FE800A513A5E99F
+:042FE900A517A5E49F
+:042FEA00A51BA5E09E
+:042FEB00A520A5DB9D
+:042FEC00A524A5D79C
+:042FED00A529A5D39A
+:042FEE00A52DA5CE9A
+:042FEF00A532A5CA98
+:042FF000A536A5C598
+:042FF100A53AA5C197
+:042FF200A53FA5BC96
+:042FF300A543A5B895
+:042FF400A548A5B394
+:042FF500A54CA5AF93
+:042FF600A551A5AA92
+:042FF700A555A5A691
+:042FF800A559A5A290
+:042FF900A55EA59D8F
+:042FFA00A562A5998E
+:042FFB00A567A5948D
+:042FFC00A56BA5908C
+:042FFD00A570A58B8B
+:042FFE00A574A5878A
+:042FFF00A578A5828A
+:04300000A57DA57E87
+:04300100A581A57987
+:04300200A586A57585
+:04300300A58AA57184
+:04300400A58FA56C83
+:04300500A593A56882
+:04300600A598A56381
+:04300700A59CA55F80
+:04300800A5A1A55A7F
+:04300900A5A5A5567E
+:04300A00A5A9A5527D
+:04300B00A5AEA54D7C
+:04300C00A5B2A5497B
+:04300D00A5B7A5447A
+:04300E00A5BBA54079
+:04300F00A5C0A53B78
+:04301000A5C4A53777
+:04301100A5C9A53375
+:04301200A5CDA52E75
+:04301300A5D2A52A73
+:04301400A5D6A52573
+:04301500A5DAA52172
+:04301600A5DFA51C71
+:04301700A5E3A51870
+:04301800A5E8A5146E
+:04301900A5ECA50F6E
+:04301A00A5F1A50B6C
+:04301B00A5F5A5066C
+:04301C00A5FAA5026A
+:04301D00A5FEA4FD6B
+:04301E00A603A4F968
+:04301F00A607A4F567
+:04302000A60CA4F066
+:04302100A610A4EC65
+:04302200A614A4E765
+:04302300A619A4E363
+:04302400A61DA4DF62
+:04302500A622A4DA61
+:04302600A626A4D660
+:04302700A62BA4D15F
+:04302800A62FA4CD5E
+:04302900A634A4C95C
+:04302A00A638A4C45C
+:04302B00A63DA4C05A
+:04302C00A641A4BB5A
+:04302D00A646A4B758
+:04302E00A64AA4B258
+:04302F00A64FA4AE56
+:04303000A653A4AA55
+:04303100A658A4A554
+:04303200A65CA4A153
+:04303300A661A49C52
+:04303400A665A49851
+:04303500A66AA4944F
+:04303600A66EA48F4F
+:04303700A673A48B4D
+:04303800A677A4864D
+:04303900A67CA4824B
+:04303A00A680A47E4A
+:04303B00A685A47949
+:04303C00A689A47548
+:04303D00A68EA47146
+:04303E00A692A46C46
+:04303F00A697A46844
+:04304000A69BA46344
+:04304100A6A0A45F42
+:04304200A6A4A45B41
+:04304300A6A8A45641
+:04304400A6ADA4523F
+:04304500A6B1A44D3F
+:04304600A6B6A4493D
+:04304700A6BBA4453B
+:04304800A6BFA4403B
+:04304900A6C4A43C39
+:04304A00A6C8A43838
+:04304B00A6CDA43337
+:04304C00A6D1A42F36
+:04304D00A6D6A42A35
+:04304E00A6DAA42634
+:04304F00A6DFA42232
+:04305000A6E3A41D32
+:04305100A6E8A41930
+:04305200A6ECA4152F
+:04305300A6F1A4102E
+:04305400A6F5A40C2D
+:04305500A6FAA4072C
+:04305600A6FEA4032B
+:04305700A703A3FF29
+:04305800A707A3FA29
+:04305900A70CA3F627
+:04305A00A710A3F226
+:04305B00A715A3ED25
+:04305C00A719A3E924
+:04305D00A71EA3E423
+:04305E00A722A3E022
+:04305F00A727A3DC20
+:04306000A72BA3D720
+:04306100A730A3D31E
+:04306200A734A3CF1D
+:04306300A739A3CA1C
+:04306400A73DA3C61B
+:04306500A742A3C219
+:04306600A746A3BD19
+:04306700A74BA3B917
+:04306800A750A3B515
+:04306900A754A3B015
+:04306A00A759A3AC13
+:04306B00A75DA3A713
+:04306C00A762A3A311
+:04306D00A766A39F10
+:04306E00A76BA39A0F
+:04306F00A76FA3960E
+:04307000A774A3920C
+:04307100A778A38D0C
+:04307200A77DA3890A
+:04307300A781A38509
+:04307400A786A38008
+:04307500A78BA37C06
+:04307600A78FA37805
+:04307700A794A37304
+:04307800A798A36F03
+:04307900A79DA36B01
+:04307A00A7A1A36601
+:04307B00A7A6A362FF
+:04307C00A7AAA35EFE
+:04307D00A7AFA359FD
+:04307E00A7B3A355FC
+:04307F00A7B8A351FA
+:04308000A7BDA34CF9
+:04308100A7C1A348F8
+:04308200A7C6A344F6
+:04308300A7CAA33FF6
+:04308400A7CFA33BF4
+:04308500A7D3A337F3
+:04308600A7D8A332F2
+:04308700A7DCA32EF1
+:04308800A7E1A32AEF
+:04308900A7E6A325EE
+:04308A00A7EAA321ED
+:04308B00A7EFA31DEB
+:04308C00A7F3A318EB
+:04308D00A7F8A314E9
+:04308E00A7FCA310E8
+:04308F00A801A30BE6
+:04309000A805A307E5
+:04309100A80AA303E3
+:04309200A80FA2FEE3
+:04309300A813A2FAE2
+:04309400A818A2F6E0
+:04309500A81CA2F2DF
+:04309600A821A2EDDE
+:04309700A825A2E9DD
+:04309800A82AA2E5DB
+:04309900A82FA2E0DA
+:04309A00A833A2DCD9
+:04309B00A838A2D8D7
+:04309C00A83CA2D3D7
+:04309D00A841A2CFD5
+:04309E00A845A2CBD4
+:04309F00A84AA2C6D3
+:0430A000A84FA2C2D1
+:0430A100A853A2BED0
+:0430A200A858A2BACE
+:0430A300A85CA2B5CE
+:0430A400A861A2B1CC
+:0430A500A865A2ADCB
+:0430A600A86AA2A8CA
+:0430A700A86FA2A4C8
+:0430A800A873A2A0C7
+:0430A900A878A29BC6
+:0430AA00A87CA297C5
+:0430AB00A881A293C3
+:0430AC00A886A28FC1
+:0430AD00A88AA28AC1
+:0430AE00A88FA286BF
+:0430AF00A893A282BE
+:0430B000A898A27DBD
+:0430B100A89CA279BC
+:0430B200A8A1A275BA
+:0430B300A8A6A271B8
+:0430B400A8AAA26CB8
+:0430B500A8AFA268B6
+:0430B600A8B3A264B5
+:0430B700A8B8A25FB4
+:0430B800A8BDA25BB2
+:0430B900A8C1A257B1
+:0430BA00A8C6A253AF
+:0430BB00A8CAA24EAF
+:0430BC00A8CFA24AAD
+:0430BD00A8D4A246AB
+:0430BE00A8D8A241AB
+:0430BF00A8DDA23DA9
+:0430C000A8E1A239A8
+:0430C100A8E6A235A6
+:0430C200A8EBA230A5
+:0430C300A8EFA22CA4
+:0430C400A8F4A228A2
+:0430C500A8F8A223A2
+:0430C600A8FDA21FA0
+:0430C700A902A21B9D
+:0430C800A906A2179C
+:0430C900A90BA2129B
+:0430CA00A90FA20E9A
+:0430CB00A914A20A98
+:0430CC00A919A20696
+:0430CD00A91DA20196
+:0430CE00A922A1FD95
+:0430CF00A927A1F993
+:0430D000A92BA1F592
+:0430D100A930A1F091
+:0430D200A934A1EC90
+:0430D300A939A1E88E
+:0430D400A93EA1E48C
+:0430D500A942A1DF8C
+:0430D600A947A1DB8A
+:0430D700A94CA1D788
+:0430D800A950A1D288
+:0430D900A955A1CE86
+:0430DA00A959A1CA85
+:0430DB00A95EA1C683
+:0430DC00A963A1C182
+:0430DD00A967A1BD81
+:0430DE00A96CA1B97F
+:0430DF00A971A1B57D
+:0430E000A975A1B07D
+:0430E100A97AA1AC7B
+:0430E200A97EA1A87A
+:0430E300A983A1A478
+:0430E400A988A19F77
+:0430E500A98CA19B76
+:0430E600A991A19774
+:0430E700A996A19372
+:0430E800A99AA18F71
+:0430E900A99FA18A70
+:0430EA00A9A3A1866F
+:0430EB00A9A8A1826D
+:0430EC00A9ADA17E6B
+:0430ED00A9B1A1796B
+:0430EE00A9B6A17569
+:0430EF00A9BBA17167
+:0430F000A9BFA16D66
+:0430F100A9C4A16865
+:0430F200A9C9A16463
+:0430F300A9CDA16062
+:0430F400A9D2A15C60
+:0430F500A9D7A1575F
+:0430F600A9DBA1535E
+:0430F700A9E0A14F5C
+:0430F800A9E4A14B5B
+:0430F900A9E9A14759
+:0430FA00A9EEA14258
+:0430FB00A9F2A13E57
+:0430FC00A9F7A13A55
+:0430FD00A9FCA13653
+:0430FE00AA00A13152
+:0430FF00AA05A12D50
+:04310000AA0AA1294D
+:04310100AA0EA1254C
+:04310200AA13A1214A
+:04310300AA18A11C49
+:04310400AA1CA11848
+:04310500AA21A11446
+:04310600AA26A11044
+:04310700AA2AA10B44
+:04310800AA2FA10742
+:04310900AA34A10340
+:04310A00AA38A0FF40
+:04310B00AA3DA0FB3E
+:04310C00AA42A0F63D
+:04310D00AA46A0F23C
+:04310E00AA4BA0EE3A
+:04310F00AA50A0EA38
+:04311000AA54A0E637
+:04311100AA59A0E136
+:04311200AA5EA0DD34
+:04311300AA62A0D933
+:04311400AA67A0D531
+:04311500AA6CA0D12F
+:04311600AA70A0CC2F
+:04311700AA75A0C82D
+:04311800AA7AA0C42B
+:04311900AA7EA0C02A
+:04311A00AA83A0BC28
+:04311B00AA88A0B727
+:04311C00AA8CA0B326
+:04311D00AA91A0AF24
+:04311E00AA96A0AB22
+:04311F00AA9AA0A721
+:04312000AA9FA0A220
+:04312100AAA4A09E1E
+:04312200AAA8A09A1D
+:04312300AAADA0961B
+:04312400AAB2A09219
+:04312500AAB6A08D19
+:04312600AABBA08917
+:04312700AAC0A08515
+:04312800AAC5A08113
+:04312900AAC9A07D12
+:04312A00AACEA07910
+:04312B00AAD3A0740F
+:04312C00AAD7A0700E
+:04312D00AADCA06C0C
+:04312E00AAE1A0680A
+:04312F00AAE5A06409
+:04313000AAEAA06007
+:04313100AAEFA05B06
+:04313200AAF3A05705
+:04313300AAF8A05303
+:04313400AAFDA04F01
+:04313500AB02A04BFE
+:04313600AB06A046FE
+:04313700AB0BA042FC
+:04313800AB10A03EFA
+:04313900AB14A03AF9
+:04313A00AB19A036F7
+:04313B00AB1EA032F5
+:04313C00AB22A02DF5
+:04313D00AB27A029F3
+:04313E00AB2CA025F1
+:04313F00AB31A021EF
+:04314000AB35A01DEE
+:04314100AB3AA019EC
+:04314200AB3FA014EB
+:04314300AB43A010EA
+:04314400AB48A00CE8
+:04314500AB4DA008E6
+:04314600AB52A004E4
+:04314700AB56A000E3
+:04314800AB5B9FFCE2
+:04314900AB609FF7E1
+:04314A00AB649FF3E0
+:04314B00AB699FEFDE
+:04314C00AB6E9FEBDC
+:04314D00AB739FE7DA
+:04314E00AB779FE3D9
+:04314F00AB7C9FDED8
+:04315000AB819FDAD6
+:04315100AB859FD6D5
+:04315200AB8A9FD2D3
+:04315300AB8F9FCED1
+:04315400AB949FCACF
+:04315500AB989FC6CE
+:04315600AB9D9FC1CD
+:04315700ABA29FBDCB
+:04315800ABA69FB9CA
+:04315900ABAB9FB5C8
+:04315A00ABB09FB1C6
+:04315B00ABB59FADC4
+:04315C00ABB99FA9C3
+:04315D00ABBE9FA4C2
+:04315E00ABC39FA0C0
+:04315F00ABC89F9CBE
+:04316000ABCC9F98BD
+:04316100ABD19F94BB
+:04316200ABD69F90B9
+:04316300ABDB9F8CB7
+:04316400ABDF9F88B6
+:04316500ABE49F83B5
+:04316600ABE99F7FB3
+:04316700ABED9F7BB2
+:04316800ABF29F77B0
+:04316900ABF79F73AE
+:04316A00ABFC9F6FAC
+:04316B00AC009F6BAA
+:04316C00AC059F67A8
+:04316D00AC0A9F62A7
+:04316E00AC0F9F5EA5
+:04316F00AC139F5AA4
+:04317000AC189F56A2
+:04317100AC1D9F52A0
+:04317200AC229F4E9E
+:04317300AC269F4A9D
+:04317400AC2B9F469B
+:04317500AC309F419A
+:04317600AC359F3D98
+:04317700AC399F3997
+:04317800AC3E9F3595
+:04317900AC439F3193
+:04317A00AC489F2D91
+:04317B00AC4C9F2990
+:04317C00AC519F258E
+:04317D00AC569F218C
+:04317E00AC5B9F1D8A
+:04317F00AC5F9F188A
+:04318000AC649F1488
+:04318100AC699F1086
+:04318200AC6E9F0C84
+:04318300AC729F0883
+:04318400AC779F0481
+:04318500AC7C9F007F
+:04318600AC819EFC7E
+:04318700AC859EF87D
+:04318800AC8A9EF37C
+:04318900AC8F9EEF7A
+:04318A00AC949EEB78
+:04318B00AC999EE776
+:04318C00AC9D9EE375
+:04318D00ACA29EDF73
+:04318E00ACA79EDB71
+:04318F00ACAC9ED76F
+:04319000ACB09ED36E
+:04319100ACB59ECF6C
+:04319200ACBA9ECB6A
+:04319300ACBF9EC669
+:04319400ACC39EC268
+:04319500ACC89EBE66
+:04319600ACCD9EBA64
+:04319700ACD29EB662
+:04319800ACD79EB260
+:04319900ACDB9EAE5F
+:04319A00ACE09EAA5D
+:04319B00ACE59EA65B
+:04319C00ACEA9EA259
+:04319D00ACEE9E9E58
+:04319E00ACF39E9A56
+:04319F00ACF89E9654
+:0431A000ACFD9E9153
+:0431A100AD029E8D50
+:0431A200AD069E894F
+:0431A300AD0B9E854D
+:0431A400AD109E814B
+:0431A500AD159E7D49
+:0431A600AD199E7948
+:0431A700AD1E9E7546
+:0431A800AD239E7144
+:0431A900AD289E6D42
+:0431AA00AD2D9E6940
+:0431AB00AD319E653F
+:0431AC00AD369E613D
+:0431AD00AD3B9E5D3B
+:0431AE00AD409E583A
+:0431AF00AD459E5438
+:0431B000AD499E5037
+:0431B100AD4E9E4C35
+:0431B200AD539E4833
+:0431B300AD589E4431
+:0431B400AD5D9E402F
+:0431B500AD619E3C2E
+:0431B600AD669E382C
+:0431B700AD6B9E342A
+:0431B800AD709E3028
+:0431B900AD759E2C26
+:0431BA00AD799E2825
+:0431BB00AD7E9E2423
+:0431BC00AD839E2021
+:0431BD00AD889E1C1F
+:0431BE00AD8D9E181D
+:0431BF00AD919E141C
+:0431C000AD969E101A
+:0431C100AD9B9E0B19
+:0431C200ADA09E0717
+:0431C300ADA59E0315
+:0431C400ADA99DFF15
+:0431C500ADAE9DFB13
+:0431C600ADB39DF711
+:0431C700ADB89DF30F
+:0431C800ADBD9DEF0D
+:0431C900ADC29DEB0B
+:0431CA00ADC69DE70A
+:0431CB00ADCB9DE308
+:0431CC00ADD09DDF06
+:0431CD00ADD59DDB04
+:0431CE00ADDA9DD702
+:0431CF00ADDE9DD301
+:0431D000ADE39DCFFF
+:0431D100ADE89DCBFD
+:0431D200ADED9DC7FB
+:0431D300ADF29DC3F9
+:0431D400ADF79DBFF7
+:0431D500ADFB9DBBF6
+:0431D600AE009DB7F3
+:0431D700AE059DB3F1
+:0431D800AE0A9DAFEF
+:0431D900AE0F9DABED
+:0431DA00AE139DA7EC
+:0431DB00AE189DA3EA
+:0431DC00AE1D9D9FE8
+:0431DD00AE229D9BE6
+:0431DE00AE279D97E4
+:0431DF00AE2C9D93E2
+:0431E000AE309D8FE1
+:0431E100AE359D8BDF
+:0431E200AE3A9D87DD
+:0431E300AE3F9D83DB
+:0431E400AE449D7FD9
+:0431E500AE499D7AD8
+:0431E600AE4D9D76D7
+:0431E700AE529D72D5
+:0431E800AE579D6ED3
+:0431E900AE5C9D6AD1
+:0431EA00AE619D66CF
+:0431EB00AE669D62CD
+:0431EC00AE6A9D5ECC
+:0431ED00AE6F9D5ACA
+:0431EE00AE749D56C8
+:0431EF00AE799D52C6
+:0431F000AE7E9D4EC4
+:0431F100AE839D4AC2
+:0431F200AE889D46C0
+:0431F300AE8C9D42BF
+:0431F400AE919D3EBD
+:0431F500AE969D3ABB
+:0431F600AE9B9D36B9
+:0431F700AEA09D32B7
+:0431F800AEA59D2EB5
+:0431F900AEA99D2AB4
+:0431FA00AEAE9D26B2
+:0431FB00AEB39D22B0
+:0431FC00AEB89D1EAE
+:0431FD00AEBD9D1BAB
+:0431FE00AEC29D17A9
+:0431FF00AEC79D13A7
+:04320000AECB9D0FA5
+:04320100AED09D0BA3
+:04320200AED59D07A1
+:04320300AEDA9D039F
+:04320400AEDF9CFF9E
+:04320500AEE49CFB9C
+:04320600AEE99CF79A
+:04320700AEED9CF399
+:04320800AEF29CEF97
+:04320900AEF79CEB95
+:04320A00AEFC9CE793
+:04320B00AF019CE390
+:04320C00AF069CDF8E
+:04320D00AF0B9CDB8C
+:04320E00AF109CD78A
+:04320F00AF149CD389
+:04321000AF199CCF87
+:04321100AF1E9CCB85
+:04321200AF239CC783
+:04321300AF289CC381
+:04321400AF2D9CBF7F
+:04321500AF329CBB7D
+:04321600AF379CB77B
+:04321700AF3B9CB37A
+:04321800AF409CAF78
+:04321900AF459CAB76
+:04321A00AF4A9CA774
+:04321B00AF4F9CA372
+:04321C00AF549C9F70
+:04321D00AF599C9B6E
+:04321E00AF5E9C976C
+:04321F00AF629C936B
+:04322000AF679C8F69
+:04322100AF6C9C8C66
+:04322200AF719C8864
+:04322300AF769C8462
+:04322400AF7B9C8060
+:04322500AF809C7C5E
+:04322600AF859C785C
+:04322700AF899C745B
+:04322800AF8E9C7059
+:04322900AF939C6C57
+:04322A00AF989C6855
+:04322B00AF9D9C6453
+:04322C00AFA29C6051
+:04322D00AFA79C5C4F
+:04322E00AFAC9C584D
+:04322F00AFB19C544B
+:04323000AFB59C504A
+:04323100AFBA9C4C48
+:04323200AFBF9C4846
+:04323300AFC49C4444
+:04323400AFC99C4141
+:04323500AFCE9C3D3F
+:04323600AFD39C393D
+:04323700AFD89C353B
+:04323800AFDD9C3139
+:04323900AFE29C2D37
+:04323A00AFE69C2936
+:04323B00AFEB9C2534
+:04323C00AFF09C2132
+:04323D00AFF59C1D30
+:04323E00AFFA9C192E
+:04323F00AFFF9C152C
+:04324000B0049C1129
+:04324100B0099C0D27
+:04324200B00E9C0A24
+:04324300B0139C0622
+:04324400B0179C0221
+:04324500B01C9BFE20
+:04324600B0219BFA1E
+:04324700B0269BF61C
+:04324800B02B9BF21A
+:04324900B0309BEE18
+:04324A00B0359BEA16
+:04324B00B03A9BE614
+:04324C00B03F9BE212
+:04324D00B0449BDE10
+:04324E00B0499BDA0E
+:04324F00B04E9BD70B
+:04325000B0529BD30A
+:04325100B0579BCF08
+:04325200B05C9BCB06
+:04325300B0619BC704
+:04325400B0669BC302
+:04325500B06B9BBF00
+:04325600B0709BBBFE
+:04325700B0759BB7FC
+:04325800B07A9BB3FA
+:04325900B07F9BB0F7
+:04325A00B0849BACF5
+:04325B00B0899BA8F3
+:04325C00B08D9BA4F2
+:04325D00B0929BA0F0
+:04325E00B0979B9CEE
+:04325F00B09C9B98EC
+:04326000B0A19B94EA
+:04326100B0A69B90E8
+:04326200B0AB9B8CE6
+:04326300B0B09B89E3
+:04326400B0B59B85E1
+:04326500B0BA9B81DF
+:04326600B0BF9B7DDD
+:04326700B0C49B79DB
+:04326800B0C99B75D9
+:04326900B0CE9B71D7
+:04326A00B0D39B6DD5
+:04326B00B0D79B69D4
+:04326C00B0DC9B66D1
+:04326D00B0E19B62CF
+:04326E00B0E69B5ECD
+:04326F00B0EB9B5ACB
+:04327000B0F09B56C9
+:04327100B0F59B52C7
+:04327200B0FA9B4EC5
+:04327300B0FF9B4AC3
+:04327400B1049B46C0
+:04327500B1099B43BD
+:04327600B10E9B3FBB
+:04327700B1139B3BB9
+:04327800B1189B37B7
+:04327900B11D9B33B5
+:04327A00B1229B2FB3
+:04327B00B1279B2BB1
+:04327C00B12C9B28AE
+:04327D00B1309B24AD
+:04327E00B1359B20AB
+:04327F00B13A9B1CA9
+:04328000B13F9B18A7
+:04328100B1449B14A5
+:04328200B1499B10A3
+:04328300B14E9B0CA1
+:04328400B1539B099E
+:04328500B1589B059C
+:04328600B15D9B019A
+:04328700B1629AFD99
+:04328800B1679AF997
+:04328900B16C9AF595
+:04328A00B1719AF193
+:04328B00B1769AEE90
+:04328C00B17B9AEA8E
+:04328D00B1809AE68C
+:04328E00B1859AE28A
+:04328F00B18A9ADE88
+:04329000B18F9ADA86
+:04329100B1949AD684
+:04329200B1999AD381
+:04329300B19E9ACF7F
+:04329400B1A39ACB7D
+:04329500B1A79AC77C
+:04329600B1AC9AC37A
+:04329700B1B19ABF78
+:04329800B1B69ABC75
+:04329900B1BB9AB873
+:04329A00B1C09AB471
+:04329B00B1C59AB06F
+:04329C00B1CA9AAC6D
+:04329D00B1CF9AA86B
+:04329E00B1D49AA568
+:04329F00B1D99AA166
+:0432A000B1DE9A9D64
+:0432A100B1E39A9962
+:0432A200B1E89A9560
+:0432A300B1ED9A915E
+:0432A400B1F29A8E5B
+:0432A500B1F79A8A59
+:0432A600B1FC9A8657
+:0432A700B2019A8254
+:0432A800B2069A7E52
+:0432A900B20B9A7A50
+:0432AA00B2109A774D
+:0432AB00B2159A734B
+:0432AC00B21A9A6F49
+:0432AD00B21F9A6B47
+:0432AE00B2249A6745
+:0432AF00B2299A6343
+:0432B000B22E9A6040
+:0432B100B2339A5C3E
+:0432B200B2389A583C
+:0432B300B23D9A543A
+:0432B400B2429A5038
+:0432B500B2479A4D35
+:0432B600B24C9A4933
+:0432B700B2519A4531
+:0432B800B2569A412F
+:0432B900B25B9A3D2D
+:0432BA00B2609A392B
+:0432BB00B2659A3628
+:0432BC00B26A9A3226
+:0432BD00B26F9A2E24
+:0432BE00B2749A2A22
+:0432BF00B2799A2620
+:0432C000B27E9A231D
+:0432C100B2839A1F1B
+:0432C200B2889A1B19
+:0432C300B28D9A1717
+:0432C400B2929A1315
+:0432C500B2979A1012
+:0432C600B29C9A0C10
+:0432C700B2A19A080E
+:0432C800B2A69A040C
+:0432C900B2AB9A000A
+:0432CA00B2B099FD08
+:0432CB00B2B599F906
+:0432CC00B2BA99F504
+:0432CD00B2BF99F102
+:0432CE00B2C499ED00
+:0432CF00B2C999EAFD
+:0432D000B2CE99E6FB
+:0432D100B2D399E2F9
+:0432D200B2D899DEF7
+:0432D300B2DD99DAF5
+:0432D400B2E299D7F2
+:0432D500B2E799D3F0
+:0432D600B2EC99CFEE
+:0432D700B2F199CBEC
+:0432D800B2F699C8E9
+:0432D900B2FB99C4E7
+:0432DA00B30099C0E4
+:0432DB00B30599BCE2
+:0432DC00B30A99B8E0
+:0432DD00B30F99B5DD
+:0432DE00B31499B1DB
+:0432DF00B31999ADD9
+:0432E000B31E99A9D7
+:0432E100B32399A6D4
+:0432E200B32899A2D2
+:0432E300B32D999ED0
+:0432E400B332999ACE
+:0432E500B3379997CB
+:0432E600B33C9993C9
+:0432E700B341998FC7
+:0432E800B346998BC5
+:0432E900B34B9987C3
+:0432EA00B3509984C0
+:0432EB00B3569980BD
+:0432EC00B35B997CBB
+:0432ED00B3609978B9
+:0432EE00B3659975B6
+:0432EF00B36A9971B4
+:0432F000B36F996DB2
+:0432F100B3749969B0
+:0432F200B3799966AD
+:0432F300B37E9962AB
+:0432F400B383995EA9
+:0432F500B388995AA7
+:0432F600B38D9957A4
+:0432F700B3929953A2
+:0432F800B397994FA0
+:0432F900B39C994B9E
+:0432FA00B3A199489B
+:0432FB00B3A6994499
+:0432FC00B3AB994097
+:0432FD00B3B0993C95
+:0432FE00B3B5993992
+:0432FF00B3BA993590
+:04330000B3BF99318D
+:04330100B3C4992D8B
+:04330200B3C9992A88
+:04330300B3CE992686
+:04330400B3D4992283
+:04330500B3D9991E81
+:04330600B3DE991B7E
+:04330700B3E399177C
+:04330800B3E899137A
+:04330900B3ED990F78
+:04330A00B3F2990C75
+:04330B00B3F7990873
+:04330C00B3FC990471
+:04330D00B40199016D
+:04330E00B40698FD6C
+:04330F00B40B98F96A
+:04331000B41098F568
+:04331100B41598F265
+:04331200B41A98EE63
+:04331300B41F98EA61
+:04331400B42498E65F
+:04331500B42998E35C
+:04331600B42F98DF59
+:04331700B43498DB57
+:04331800B43998D854
+:04331900B43E98D452
+:04331A00B44398D050
+:04331B00B44898CC4E
+:04331C00B44D98C94B
+:04331D00B45298C549
+:04331E00B45798C147
+:04331F00B45C98BE44
+:04332000B46198BA42
+:04332100B46698B640
+:04332200B46B98B23E
+:04332300B47098AF3B
+:04332400B47598AB39
+:04332500B47B98A736
+:04332600B48098A433
+:04332700B48598A031
+:04332800B48A989C2F
+:04332900B48F98982D
+:04332A00B49498952A
+:04332B00B499989128
+:04332C00B49E988D26
+:04332D00B4A3988A23
+:04332E00B4A8988621
+:04332F00B4AD98821F
+:04333000B4B2987F1C
+:04333100B4B7987B1A
+:04333200B4BD987717
+:04333300B4C2987315
+:04333400B4C7987012
+:04333500B4CC986C10
+:04333600B4D198680E
+:04333700B4D698650B
+:04333800B4DB986109
+:04333900B4E0985D07
+:04333A00B4E5985A04
+:04333B00B4EA985602
+:04333C00B4EF985200
+:04333D00B4F4984FFD
+:04333E00B4FA984BFA
+:04333F00B4FF9847F8
+:04334000B5049844F4
+:04334100B5099840F2
+:04334200B50E983CF0
+:04334300B5139839ED
+:04334400B5189835EB
+:04334500B51D9831E9
+:04334600B522982DE7
+:04334700B527982AE4
+:04334800B52D9826E1
+:04334900B5329822DF
+:04334A00B537981FDC
+:04334B00B53C981BDA
+:04334C00B5419817D8
+:04334D00B5469814D5
+:04334E00B54B9810D3
+:04334F00B550980CD1
+:04335000B5559809CE
+:04335100B55A9805CC
+:04335200B5609801C9
+:04335300B56597FEC7
+:04335400B56A97FAC5
+:04335500B56F97F6C3
+:04335600B57497F3C0
+:04335700B57997EFBE
+:04335800B57E97ECBB
+:04335900B58397E8B9
+:04335A00B58897E4B7
+:04335B00B58D97E1B4
+:04335C00B59397DDB1
+:04335D00B59897D9AF
+:04335E00B59D97D6AC
+:04335F00B5A297D2AA
+:04336000B5A797CEA8
+:04336100B5AC97CBA5
+:04336200B5B197C7A3
+:04336300B5B697C3A1
+:04336400B5BC97C09D
+:04336500B5C197BC9B
+:04336600B5C697B899
+:04336700B5CB97B596
+:04336800B5D097B194
+:04336900B5D597AD92
+:04336A00B5DA97AA8F
+:04336B00B5DF97A68D
+:04336C00B5E497A38A
+:04336D00B5EA979F87
+:04336E00B5EF979B85
+:04336F00B5F4979882
+:04337000B5F9979480
+:04337100B5FE97907E
+:04337200B603978D7A
+:04337300B608978978
+:04337400B60D978576
+:04337500B613978272
+:04337600B618977E70
+:04337700B61D977B6D
+:04337800B62297776B
+:04337900B627977369
+:04337A00B62C977066
+:04337B00B631976C64
+:04337C00B637976861
+:04337D00B63C97655E
+:04337E00B64197615C
+:04337F00B646975E59
+:04338000B64B975A57
+:04338100B650975655
+:04338200B655975352
+:04338300B65A974F50
+:04338400B660974C4C
+:04338500B66597484A
+:04338600B66A974448
+:04338700B66F974145
+:04338800B674973D43
+:04338900B679973941
+:04338A00B67E97363E
+:04338B00B68497323B
+:04338C00B689972F38
+:04338D00B68E972B36
+:04338E00B693972734
+:04338F00B698972431
+:04339000B69D97202F
+:04339100B6A2971D2C
+:04339200B6A8971929
+:04339300B6AD971527
+:04339400B6B2971224
+:04339500B6B7970E22
+:04339600B6BC970B1F
+:04339700B6C197071D
+:04339800B6C797031A
+:04339900B6CC970017
+:04339A00B6D196FC16
+:04339B00B6D696F913
+:04339C00B6DB96F511
+:04339D00B6E096F20E
+:04339E00B6E596EE0C
+:04339F00B6EB96EA09
+:0433A000B6F096E706
+:0433A100B6F596E304
+:0433A200B6FA96E001
+:0433A300B6FF96DCFF
+:0433A400B70496D8FC
+:0433A500B70A96D5F8
+:0433A600B70F96D1F6
+:0433A700B71496CEF3
+:0433A800B71996CAF1
+:0433A900B71E96C7EE
+:0433AA00B72396C3EC
+:0433AB00B72996BFE9
+:0433AC00B72E96BCE6
+:0433AD00B73396B8E4
+:0433AE00B73896B5E1
+:0433AF00B73D96B1DF
+:0433B000B74296AEDC
+:0433B100B74896AAD9
+:0433B200B74D96A6D7
+:0433B300B75296A3D4
+:0433B400B757969FD2
+:0433B500B75C969CCF
+:0433B600B7619698CD
+:0433B700B7679695C9
+:0433B800B76C9691C7
+:0433B900B771968DC5
+:0433BA00B776968AC2
+:0433BB00B77B9686C0
+:0433BC00B7819683BC
+:0433BD00B786967FBA
+:0433BE00B78B967CB7
+:0433BF00B7909678B5
+:0433C000B7959675B2
+:0433C100B79A9671B0
+:0433C200B7A0966DAD
+:0433C300B7A5966AAA
+:0433C400B7AA9666A8
+:0433C500B7AF9663A5
+:0433C600B7B4965FA3
+:0433C700B7BA965C9F
+:0433C800B7BF96589D
+:0433C900B7C496559A
+:0433CA00B7C9965198
+:0433CB00B7CE964D96
+:0433CC00B7D3964A93
+:0433CD00B7D9964690
+:0433CE00B7DE96438D
+:0433CF00B7E3963F8B
+:0433D000B7E8963C88
+:0433D100B7ED963886
+:0433D200B7F3963582
+:0433D300B7F8963180
+:0433D400B7FD962E7D
+:0433D500B802962A7A
+:0433D600B807962777
+:0433D700B80D962374
+:0433D800B812961F72
+:0433D900B817961C6F
+:0433DA00B81C96186D
+:0433DB00B82196156A
+:0433DC00B827961167
+:0433DD00B82C960E64
+:0433DE00B831960A62
+:0433DF00B83696075F
+:0433E000B83B96035D
+:0433E100B841960059
+:0433E200B84695FC58
+:0433E300B84B95F955
+:0433E400B85095F553
+:0433E500B85595F250
+:0433E600B85B95EE4D
+:0433E700B86095EB4A
+:0433E800B86595E748
+:0433E900B86A95E445
+:0433EA00B86F95E043
+:0433EB00B87595DD3F
+:0433EC00B87A95D93D
+:0433ED00B87F95D63A
+:0433EE00B88495D238
+:0433EF00B88995CF35
+:0433F000B88F95CB32
+:0433F100B89495C82F
+:0433F200B89995C42D
+:0433F300B89E95C12A
+:0433F400B8A495BD27
+:0433F500B8A995BA24
+:0433F600B8AE95B622
+:0433F700B8B395B31F
+:0433F800B8B895AF1D
+:0433F900B8BE95AC19
+:0433FA00B8C395A817
+:0433FB00B8C895A514
+:0433FC00B8CD95A112
+:0433FD00B8D3959E0E
+:0433FE00B8D8959A0C
+:0433FF00B8DD959709
+:04340000B8E2959306
+:04340100B8E7959003
+:04340200B8ED958C00
+:04340300B8F29589FD
+:04340400B8F79585FB
+:04340500B8FC9582F8
+:04340600B902957EF4
+:04340700B907957BF1
+:04340800B90C9577EF
+:04340900B9119574EC
+:04340A00B9169570EA
+:04340B00B91C956DE6
+:04340C00B9219569E4
+:04340D00B9269566E1
+:04340E00B92B9562DF
+:04340F00B931955FDB
+:04341000B936955BD9
+:04341100B93B9558D6
+:04341200B9409554D4
+:04341300B9469551D0
+:04341400B94B954DCE
+:04341500B950954ACB
+:04341600B9559547C8
+:04341700B95B9543C5
+:04341800B9609540C2
+:04341900B965953CC0
+:04341A00B96A9539BD
+:04341B00B96F9535BB
+:04341C00B9759532B7
+:04341D00B97A952EB5
+:04341E00B97F952BB2
+:04341F00B9849527B0
+:04342000B98A9524AC
+:04342100B98F9520AA
+:04342200B994951DA7
+:04342300B999951AA4
+:04342400B99F9516A1
+:04342500B9A495139E
+:04342600B9A9950F9C
+:04342700B9AE950C99
+:04342800B9B4950896
+:04342900B9B9950593
+:04342A00B9BE950191
+:04342B00B9C394FE8F
+:04342C00B9C994FA8C
+:04342D00B9CE94F789
+:04342E00B9D394F486
+:04342F00B9D894F084
+:04343000B9DE94ED80
+:04343100B9E394E97E
+:04343200B9E894E67B
+:04343300B9ED94E279
+:04343400B9F394DF75
+:04343500B9F894DB73
+:04343600B9FD94D870
+:04343700BA0394D56B
+:04343800BA0894D169
+:04343900BA0D94CE66
+:04343A00BA1294CA64
+:04343B00BA1894C760
+:04343C00BA1D94C35E
+:04343D00BA2294C05B
+:04343E00BA2794BD58
+:04343F00BA2D94B955
+:04344000BA3294B652
+:04344100BA3794B250
+:04344200BA3C94AF4D
+:04344300BA4294AB4A
+:04344400BA4794A847
+:04344500BA4C94A544
+:04344600BA5194A142
+:04344700BA57949E3E
+:04344800BA5C949A3C
+:04344900BA61949739
+:04344A00BA67949435
+:04344B00BA6C949033
+:04344C00BA71948D30
+:04344D00BA7694892E
+:04344E00BA7C94862A
+:04344F00BA81948228
+:04345000BA86947F25
+:04345100BA8C947C21
+:04345200BA9194781F
+:04345300BA9694751C
+:04345400BA9B94711A
+:04345500BAA1946E16
+:04345600BAA6946B13
+:04345700BAAB946711
+:04345800BAB094640E
+:04345900BAB694600B
+:04345A00BABB945D08
+:04345B00BAC0945A05
+:04345C00BAC6945602
+:04345D00BACB9453FF
+:04345E00BAD0944FFD
+:04345F00BAD5944CFA
+:04346000BADB9449F6
+:04346100BAE09445F4
+:04346200BAE59442F1
+:04346300BAEB943EEE
+:04346400BAF0943BEB
+:04346500BAF59438E8
+:04346600BAFA9434E6
+:04346700BB009431E1
+:04346800BB05942DDF
+:04346900BB0A942ADC
+:04346A00BB109427D8
+:04346B00BB159423D6
+:04346C00BB1A9420D3
+:04346D00BB20941DCF
+:04346E00BB259419CD
+:04346F00BB2A9416CA
+:04347000BB2F9412C8
+:04347100BB35940FC4
+:04347200BB3A940CC1
+:04347300BB3F9408BF
+:04347400BB459405BB
+:04347500BB4A9402B8
+:04347600BB4F93FEB7
+:04347700BB5593FBB3
+:04347800BB5A93F7B1
+:04347900BB5F93F4AE
+:04347A00BB6493F1AB
+:04347B00BB6A93EDA8
+:04347C00BB6F93EAA5
+:04347D00BB7493E7A2
+:04347E00BB7A93E39F
+:04347F00BB7F93E09C
+:04348000BB8493DD99
+:04348100BB8A93D996
+:04348200BB8F93D693
+:04348300BB9493D291
+:04348400BB9A93CF8D
+:04348500BB9F93CC8A
+:04348600BBA493C888
+:04348700BBA993C585
+:04348800BBAF93C281
+:04348900BBB493BE7F
+:04348A00BBB993BB7C
+:04348B00BBBF93B878
+:04348C00BBC493B476
+:04348D00BBC993B173
+:04348E00BBCF93AE6F
+:04348F00BBD493AA6D
+:04349000BBD993A76A
+:04349100BBDF93A466
+:04349200BBE493A064
+:04349300BBE9939D61
+:04349400BBEF939A5D
+:04349500BBF493965B
+:04349600BBF9939358
+:04349700BBFF938F55
+:04349800BC04938C51
+:04349900BC0993894E
+:04349A00BC0E93854C
+:04349B00BC14938248
+:04349C00BC19937F45
+:04349D00BC1E937B43
+:04349E00BC2493783F
+:04349F00BC2993753C
+:0434A000BC2E93713A
+:0434A100BC34936E36
+:0434A200BC39936B33
+:0434A300BC3E936830
+:0434A400BC4493642D
+:0434A500BC4993612A
+:0434A600BC4E935E27
+:0434A700BC54935A24
+:0434A800BC59935721
+:0434A900BC5E93541E
+:0434AA00BC6493501B
+:0434AB00BC69934D18
+:0434AC00BC6E934A15
+:0434AD00BC74934612
+:0434AE00BC7993430F
+:0434AF00BC7E93400C
+:0434B000BC84933C09
+:0434B100BC89933906
+:0434B200BC8E933603
+:0434B300BC94933200
+:0434B400BC99932FFD
+:0434B500BC9E932CFA
+:0434B600BCA49329F6
+:0434B700BCA99325F4
+:0434B800BCAF9322F0
+:0434B900BCB4931FED
+:0434BA00BCB9931BEB
+:0434BB00BCBF9318E7
+:0434BC00BCC49315E4
+:0434BD00BCC99311E2
+:0434BE00BCCF930EDE
+:0434BF00BCD4930BDB
+:0434C000BCD99307D9
+:0434C100BCDF9304D5
+:0434C200BCE49301D2
+:0434C300BCE992FED0
+:0434C400BCEF92FACD
+:0434C500BCF492F7CA
+:0434C600BCF992F4C7
+:0434C700BCFF92F0C4
+:0434C800BD0492EDC0
+:0434C900BD0992EABD
+:0434CA00BD0F92E7B9
+:0434CB00BD1492E3B7
+:0434CC00BD1A92E0B3
+:0434CD00BD1F92DDB0
+:0434CE00BD2492D9AE
+:0434CF00BD2A92D6AA
+:0434D000BD2F92D3A7
+:0434D100BD3492D0A4
+:0434D200BD3A92CCA1
+:0434D300BD3F92C99E
+:0434D400BD4492C69B
+:0434D500BD4A92C397
+:0434D600BD4F92BF95
+:0434D700BD5492BC92
+:0434D800BD5A92B98E
+:0434D900BD5F92B58C
+:0434DA00BD6592B288
+:0434DB00BD6A92AF85
+:0434DC00BD6F92AC82
+:0434DD00BD7592A87F
+:0434DE00BD7A92A57C
+:0434DF00BD7F92A279
+:0434E000BD85929F75
+:0434E100BD8A929B73
+:0434E200BD9092986F
+:0434E300BD9592956C
+:0434E400BD9A929269
+:0434E500BDA0928E66
+:0434E600BDA5928B63
+:0434E700BDAA928860
+:0434E800BDB092845D
+:0434E900BDB592815A
+:0434EA00BDBB927E56
+:0434EB00BDC0927B53
+:0434EC00BDC5927751
+:0434ED00BDCB92744D
+:0434EE00BDD092714A
+:0434EF00BDD5926E47
+:0434F000BDDB926A44
+:0434F100BDE0926741
+:0434F200BDE692643D
+:0434F300BDEB92613A
+:0434F400BDF0925D38
+:0434F500BDF6925A34
+:0434F600BDFB925731
+:0434F700BE0092542D
+:0434F800BE06925129
+:0434F900BE0B924D27
+:0434FA00BE11924A23
+:0434FB00BE16924720
+:0434FC00BE1B92441D
+:0434FD00BE2192401A
+:0434FE00BE26923D17
+:0434FF00BE2C923A13
+:04350000BE3192370F
+:04350100BE3692330D
+:04350200BE3C923009
+:04350300BE41922D06
+:04350400BE46922A03
+:04350500BE4C9227FF
+:04350600BE519223FD
+:04350700BE579220F9
+:04350800BE5C921DF6
+:04350900BE61921AF3
+:04350A00BE679216F0
+:04350B00BE6C9213ED
+:04350C00BE729210E9
+:04350D00BE77920DE6
+:04350E00BE7C920AE3
+:04350F00BE829206E0
+:04351000BE879203DD
+:04351100BE8D9200D9
+:04351200BE9291FDD7
+:04351300BE9791F9D5
+:04351400BE9D91F6D1
+:04351500BEA291F3CE
+:04351600BEA891F0CA
+:04351700BEAD91EDC7
+:04351800BEB291E9C5
+:04351900BEB891E6C1
+:04351A00BEBD91E3BE
+:04351B00BEC391E0BA
+:04351C00BEC891DDB7
+:04351D00BECD91D9B5
+:04351E00BED391D6B1
+:04351F00BED891D3AE
+:04352000BEDE91D0AA
+:04352100BEE391CDA7
+:04352200BEE891C9A5
+:04352300BEEE91C6A1
+:04352400BEF391C39E
+:04352500BEF991C09A
+:04352600BEFE91BD97
+:04352700BF0491B993
+:04352800BF0991B690
+:04352900BF0E91B38D
+:04352A00BF1491B089
+:04352B00BF1991AD86
+:04352C00BF1F91AA82
+:04352D00BF2491A680
+:04352E00BF2991A37D
+:04352F00BF2F91A079
+:04353000BF34919D76
+:04353100BF3A919A72
+:04353200BF3F919670
+:04353300BF4591936C
+:04353400BF4A919069
+:04353500BF4F918D66
+:04353600BF55918A62
+:04353700BF5A91875F
+:04353800BF6091835C
+:04353900BF65918059
+:04353A00BF6B917D55
+:04353B00BF70917A52
+:04353C00BF7591774F
+:04353D00BF7B91744B
+:04353E00BF80917049
+:04353F00BF86916D45
+:04354000BF8B916A42
+:04354100BF9091673F
+:04354200BF9691643B
+:04354300BF9B916138
+:04354400BFA1915D35
+:04354500BFA6915A32
+:04354600BFAC91572E
+:04354700BFB191542B
+:04354800BFB7915127
+:04354900BFBC914E24
+:04354A00BFC1914A22
+:04354B00BFC791471E
+:04354C00BFCC91441B
+:04354D00BFD2914117
+:04354E00BFD7913E14
+:04354F00BFDD913B10
+:04355000BFE291380D
+:04355100BFE791340B
+:04355200BFED913107
+:04355300BFF2912E04
+:04355400BFF8912B00
+:04355500BFFD9128FD
+:04355600C0049125F7
+:04355700C0099122F4
+:04355800C00F911EF1
+:04355900C014911BEE
+:04355A00C0199118EB
+:04355B00C01F9115E7
+:04355C00C0249112E4
+:04355D00C02A910FE0
+:04355E00C02F910CDD
+:04355F00C0359108DA
+:04356000C03A9105D7
+:04356100C0409102D3
+:04356200C04590FFD1
+:04356300C04A90FCCE
+:04356400C05090F9CA
+:04356500C05590F6C7
+:04356600C05B90F3C3
+:04356700C06090EFC1
+:04356800C06690ECBD
+:04356900C06B90E9BA
+:04356A00C07190E6B6
+:04356B00C07690E3B3
+:04356C00C07B90E0B0
+:04356D00C08190DDAC
+:04356E00C08690DAA9
+:04356F00C08C90D7A5
+:04357000C09190D3A3
+:04357100C09790D09F
+:04357200C09C90CD9C
+:04357300C0A290CA98
+:04357400C0A790C795
+:04357500C0AD90C491
+:04357600C0B290C18E
+:04357700C0B890BE8A
+:04357800C0BD90BB87
+:04357900C0C290B785
+:04357A00C0C890B481
+:04357B00C0CD90B17E
+:04357C00C0D390AE7A
+:04357D00C0D890AB77
+:04357E00C0DE90A873
+:04357F00C0E390A570
+:04358000C0E990A26C
+:04358100C0EE909F69
+:04358200C0F4909C65
+:04358300C0F9909863
+:04358400C0FF90955F
+:04358500C10490925B
+:04358600C10A908F57
+:04358700C10F908C54
+:04358800C114908951
+:04358900C11A90864D
+:04358A00C11F90834A
+:04358B00C125908046
+:04358C00C12A907D43
+:04358D00C130907A3F
+:04358E00C13590763D
+:04358F00C13B907339
+:04359000C140907036
+:04359100C146906D32
+:04359200C14B906A2F
+:04359300C15190672B
+:04359400C156906428
+:04359500C15C906124
+:04359600C161905E21
+:04359700C167905B1D
+:04359800C16C90581A
+:04359900C172905516
+:04359A00C177905213
+:04359B00C17D904E10
+:04359C00C182904B0D
+:04359D00C18790480A
+:04359E00C18D904506
+:04359F00C192904203
+:0435A000C198903FFF
+:0435A100C19D903CFC
+:0435A200C1A39039F8
+:0435A300C1A89036F5
+:0435A400C1AE9033F1
+:0435A500C1B39030EE
+:0435A600C1B9902DEA
+:0435A700C1BE902AE7
+:0435A800C1C49027E3
+:0435A900C1C99024E0
+:0435AA00C1CF9021DC
+:0435AB00C1D4901ED9
+:0435AC00C1DA901AD6
+:0435AD00C1DF9017D3
+:0435AE00C1E59014CF
+:0435AF00C1EA9011CC
+:0435B000C1F0900EC8
+:0435B100C1F5900BC5
+:0435B200C1FB9008C1
+:0435B300C2009005BD
+:0435B400C2069002B9
+:0435B500C20B8FFFB7
+:0435B600C2118FFCB3
+:0435B700C2168FF9B0
+:0435B800C21C8FF6AC
+:0435B900C2218FF3A9
+:0435BA00C2278FF0A5
+:0435BB00C22C8FEDA2
+:0435BC00C2328FEA9E
+:0435BD00C2378FE79B
+:0435BE00C23D8FE497
+:0435BF00C2428FE194
+:0435C000C2488FDE90
+:0435C100C24D8FDB8D
+:0435C200C2538FD889
+:0435C300C2588FD586
+:0435C400C25E8FD282
+:0435C500C2638FCF7F
+:0435C600C2698FCC7B
+:0435C700C26E8FC978
+:0435C800C2748FC575
+:0435C900C2798FC272
+:0435CA00C27F8FBF6E
+:0435CB00C2848FBC6B
+:0435CC00C28A8FB967
+:0435CD00C28F8FB664
+:0435CE00C2958FB360
+:0435CF00C29A8FB05D
+:0435D000C2A08FAD59
+:0435D100C2A58FAA56
+:0435D200C2AB8FA752
+:0435D300C2B08FA44F
+:0435D400C2B68FA14B
+:0435D500C2BB8F9E48
+:0435D600C2C18F9B44
+:0435D700C2C78F9840
+:0435D800C2CC8F953D
+:0435D900C2D28F9239
+:0435DA00C2D78F8F36
+:0435DB00C2DD8F8C32
+:0435DC00C2E28F892F
+:0435DD00C2E88F862B
+:0435DE00C2ED8F8328
+:0435DF00C2F38F8024
+:0435E000C2F88F7D21
+:0435E100C2FE8F7A1D
+:0435E200C3038F7719
+:0435E300C3098F7415
+:0435E400C30E8F7112
+:0435E500C3148F6E0E
+:0435E600C3198F6B0B
+:0435E700C31F8F6807
+:0435E800C3248F6504
+:0435E900C32A8F6200
+:0435EA00C32F8F5FFD
+:0435EB00C3358F5CF9
+:0435EC00C33B8F59F5
+:0435ED00C3408F56F2
+:0435EE00C3468F53EE
+:0435EF00C34B8F50EB
+:0435F000C3518F4DE7
+:0435F100C3568F4BE3
+:0435F200C35C8F48DF
+:0435F300C3618F45DC
+:0435F400C3678F42D8
+:0435F500C36C8F3FD5
+:0435F600C3728F3CD1
+:0435F700C3778F39CE
+:0435F800C37D8F36CA
+:0435F900C3828F33C7
+:0435FA00C3888F30C3
+:0435FB00C38E8F2DBF
+:0435FC00C3938F2ABC
+:0435FD00C3998F27B8
+:0435FE00C39E8F24B5
+:0435FF00C3A48F21B1
+:04360000C3A98F1EAD
+:04360100C3AF8F1BA9
+:04360200C3B48F18A6
+:04360300C3BA8F15A2
+:04360400C3BF8F129F
+:04360500C3C58F0F9B
+:04360600C3CA8F0C98
+:04360700C3D08F0994
+:04360800C3D68F0690
+:04360900C3DB8F038D
+:04360A00C3E18F0089
+:04360B00C3E68EFD87
+:04360C00C3EC8EFB82
+:04360D00C3F18EF87F
+:04360E00C3F78EF57B
+:04360F00C3FC8EF278
+:04361000C4028EEF73
+:04361100C4078EEC70
+:04361200C40D8EE96C
+:04361300C4138EE668
+:04361400C4188EE365
+:04361500C41E8EE061
+:04361600C4238EDD5E
+:04361700C4298EDA5A
+:04361800C42E8ED757
+:04361900C4348ED453
+:04361A00C4398ED150
+:04361B00C43F8ECE4C
+:04361C00C4458ECB48
+:04361D00C44A8EC944
+:04361E00C4508EC640
+:04361F00C4558EC33D
+:04362000C45B8EC039
+:04362100C4608EBD36
+:04362200C4668EBA32
+:04362300C46B8EB72F
+:04362400C4718EB42B
+:04362500C4778EB127
+:04362600C47C8EAE24
+:04362700C4828EAB20
+:04362800C4878EA81D
+:04362900C48D8EA519
+:04362A00C4928EA315
+:04362B00C4988EA011
+:04362C00C49E8E9D0D
+:04362D00C4A38E9A0A
+:04362E00C4A98E9706
+:04362F00C4AE8E9403
+:04363000C4B48E91FF
+:04363100C4B98E8EFC
+:04363200C4BF8E8BF8
+:04363300C4C58E88F4
+:04363400C4CA8E85F1
+:04363500C4D08E82ED
+:04363600C4D58E80E9
+:04363700C4DB8E7DE5
+:04363800C4E08E7AE2
+:04363900C4E68E77DE
+:04363A00C4EC8E74DA
+:04363B00C4F18E71D7
+:04363C00C4F78E6ED3
+:04363D00C4FC8E6BD0
+:04363E00C5028E68CB
+:04363F00C5078E65C8
+:04364000C50D8E63C3
+:04364100C5138E60BF
+:04364200C5188E5DBC
+:04364300C51E8E5AB8
+:04364400C5238E57B5
+:04364500C5298E54B1
+:04364600C52E8E51AE
+:04364700C5348E4EAA
+:04364800C53A8E4BA6
+:04364900C53F8E49A2
+:04364A00C5458E469E
+:04364B00C54A8E439B
+:04364C00C5508E4097
+:04364D00C5568E3D93
+:04364E00C55B8E3A90
+:04364F00C5618E378C
+:04365000C5668E3489
+:04365100C56C8E3284
+:04365200C5718E2F81
+:04365300C5778E2C7D
+:04365400C57D8E2979
+:04365500C5828E2676
+:04365600C5888E2372
+:04365700C58D8E206F
+:04365800C5938E1D6B
+:04365900C5998E1B66
+:04365A00C59E8E1863
+:04365B00C5A48E155F
+:04365C00C5A98E125C
+:04365D00C5AF8E0F58
+:04365E00C5B58E0C54
+:04365F00C5BA8E0951
+:04366000C5C08E074C
+:04366100C5C58E0449
+:04366200C5CB8E0145
+:04366300C5D18DFE42
+:04366400C5D68DFB3F
+:04366500C5DC8DF83B
+:04366600C5E18DF538
+:04366700C5E78DF333
+:04366800C5ED8DF02F
+:04366900C5F28DED2C
+:04366A00C5F88DEA28
+:04366B00C5FD8DE725
+:04366C00C6038DE420
+:04366D00C6098DE11C
+:04366E00C60E8DDF18
+:04366F00C6148DDC14
+:04367000C6198DD911
+:04367100C61F8DD60D
+:04367200C6258DD309
+:04367300C62A8DD006
+:04367400C6308DCE01
+:04367500C6358DCBFE
+:04367600C63B8DC8FA
+:04367700C6418DC5F6
+:04367800C6468DC2F3
+:04367900C64C8DBFEF
+:04367A00C6518DBDEB
+:04367B00C6578DBAE7
+:04367C00C65D8DB7E3
+:04367D00C6628DB4E0
+:04367E00C6688DB1DC
+:04367F00C66D8DAED9
+:04368000C6738DACD4
+:04368100C6798DA9D0
+:04368200C67E8DA6CD
+:04368300C6848DA3C9
+:04368400C6898DA0C6
+:04368500C68F8D9EC1
+:04368600C6958D9BBD
+:04368700C69A8D98BA
+:04368800C6A08D95B6
+:04368900C6A68D92B2
+:04368A00C6AB8D8FAF
+:04368B00C6B18D8DAA
+:04368C00C6B68D8AA7
+:04368D00C6BC8D87A3
+:04368E00C6C28D849F
+:04368F00C6C78D819C
+:04369000C6CD8D7F97
+:04369100C6D38D7C93
+:04369200C6D88D7990
+:04369300C6DE8D768C
+:04369400C6E38D7389
+:04369500C6E98D7184
+:04369600C6EF8D6E80
+:04369700C6F48D6B7D
+:04369800C6FA8D6879
+:04369900C7008D6574
+:04369A00C7058D6370
+:04369B00C70B8D606C
+:04369C00C7108D5D69
+:04369D00C7168D5A65
+:04369E00C71C8D5761
+:04369F00C7218D555D
+:0436A000C7278D5259
+:0436A100C72D8D4F55
+:0436A200C7328D4C52
+:0436A300C7388D494E
+:0436A400C73D8D474A
+:0436A500C7438D4446
+:0436A600C7498D4142
+:0436A700C74E8D3E3F
+:0436A800C7548D3B3B
+:0436A900C75A8D3936
+:0436AA00C75F8D3633
+:0436AB00C7658D332F
+:0436AC00C76A8D302C
+:0436AD00C7708D2E27
+:0436AE00C7768D2B23
+:0436AF00C77B8D2820
+:0436B000C7818D251C
+:0436B100C7878D2218
+:0436B200C78C8D2014
+:0436B300C7928D1D10
+:0436B400C7988D1A0C
+:0436B500C79D8D1709
+:0436B600C7A38D1504
+:0436B700C7A98D1200
+:0436B800C7AE8D0FFD
+:0436B900C7B48D0CF9
+:0436BA00C7B98D0AF5
+:0436BB00C7BF8D07F1
+:0436BC00C7C58D04ED
+:0436BD00C7CA8D01EA
+:0436BE00C7D08CFFE6
+:0436BF00C7D68CFCE2
+:0436C000C7DB8CF9DF
+:0436C100C7E18CF6DB
+:0436C200C7E78CF4D6
+:0436C300C7EC8CF1D3
+:0436C400C7F28CEECF
+:0436C500C7F88CEBCB
+:0436C600C7FD8CE9C7
+:0436C700C8038CE6C2
+:0436C800C8088CE3BF
+:0436C900C80E8CE0BB
+:0436CA00C8148CDEB6
+:0436CB00C8198CDBB3
+:0436CC00C81F8CD8AF
+:0436CD00C8258CD5AB
+:0436CE00C82A8CD3A7
+:0436CF00C8308CD0A3
+:0436D000C8368CCD9F
+:0436D100C83B8CCA9C
+:0436D200C8418CC897
+:0436D300C8478CC593
+:0436D400C84C8CC290
+:0436D500C8528CBF8C
+:0436D600C8588CBD87
+:0436D700C85D8CBA84
+:0436D800C8638CB780
+:0436D900C8698CB47C
+:0436DA00C86E8CB278
+:0436DB00C8748CAF74
+:0436DC00C87A8CAC70
+:0436DD00C87F8CAA6C
+:0436DE00C8858CA768
+:0436DF00C88B8CA464
+:0436E000C8908CA161
+:0436E100C8968C9F5C
+:0436E200C89C8C9C58
+:0436E300C8A18C9955
+:0436E400C8A78C9750
+:0436E500C8AD8C944C
+:0436E600C8B28C9149
+:0436E700C8B88C8E45
+:0436E800C8BE8C8C40
+:0436E900C8C38C893D
+:0436EA00C8C98C8639
+:0436EB00C8CF8C8434
+:0436EC00C8D48C8131
+:0436ED00C8DA8C7E2D
+:0436EE00C8E08C7B29
+:0436EF00C8E58C7925
+:0436F000C8EB8C7621
+:0436F100C8F18C731D
+:0436F200C8F68C7119
+:0436F300C8FC8C6E15
+:0436F400C9028C6B10
+:0436F500C9078C690C
+:0436F600C90D8C6608
+:0436F700C9138C6304
+:0436F800C9188C6001
+:0436F900C91E8C5EFC
+:0436FA00C9248C5BF8
+:0436FB00C9298C58F5
+:0436FC00C92F8C56F0
+:0436FD00C9358C53EC
+:0436FE00C93A8C50E9
+:0436FF00C9408C4EE4
+:04370000C9468C4BDF
+:04370100C94B8C48DC
+:04370200C9518C46D7
+:04370300C9578C43D3
+:04370400C95C8C40D0
+:04370500C9628C3DCC
+:04370600C9688C3BC7
+:04370700C96D8C38C4
+:04370800C9738C35C0
+:04370900C9798C33BB
+:04370A00C97F8C30B7
+:04370B00C9848C2DB4
+:04370C00C98A8C2BAF
+:04370D00C9908C28AB
+:04370E00C9958C25A8
+:04370F00C99B8C23A3
+:04371000C9A18C209F
+:04371100C9A68C1D9C
+:04371200C9AC8C1B97
+:04371300C9B28C1893
+:04371400C9B78C1590
+:04371500C9BD8C138B
+:04371600C9C38C1087
+:04371700C9C88C0D84
+:04371800C9CE8C0B7F
+:04371900C9D48C087B
+:04371A00C9DA8C0577
+:04371B00C9DF8C0373
+:04371C00C9E58C006F
+:04371D00C9EB8BFD6C
+:04371E00C9F08BFB68
+:04371F00C9F68BF864
+:04372000C9FC8BF560
+:04372100CA018BF35B
+:04372200CA078BF057
+:04372300CA0D8BEE52
+:04372400CA138BEB4E
+:04372500CA188BE84B
+:04372600CA1E8BE646
+:04372700CA248BE342
+:04372800CA298BE03F
+:04372900CA2F8BDE3A
+:04372A00CA358BDB36
+:04372B00CA3A8BD833
+:04372C00CA408BD62E
+:04372D00CA468BD32A
+:04372E00CA4C8BD026
+:04372F00CA518BCE22
+:04373000CA578BCB1E
+:04373100CA5D8BC919
+:04373200CA628BC616
+:04373300CA688BC312
+:04373400CA6E8BC10D
+:04373500CA738BBE0A
+:04373600CA798BBB06
+:04373700CA7F8BB901
+:04373800CA858BB6FD
+:04373900CA8A8BB4F9
+:04373A00CA908BB1F5
+:04373B00CA968BAEF1
+:04373C00CA9B8BACED
+:04373D00CAA18BA9E9
+:04373E00CAA78BA6E5
+:04373F00CAAD8BA4E0
+:04374000CAB28BA1DD
+:04374100CAB88B9FD8
+:04374200CABE8B9CD4
+:04374300CAC38B99D1
+:04374400CAC98B97CC
+:04374500CACF8B94C8
+:04374600CAD58B92C3
+:04374700CADA8B8FC0
+:04374800CAE08B8CBC
+:04374900CAE68B8AB7
+:04374A00CAEB8B87B4
+:04374B00CAF18B84B0
+:04374C00CAF78B82AB
+:04374D00CAFD8B7FA7
+:04374E00CB028B7DA2
+:04374F00CB088B7A9E
+:04375000CB0E8B779A
+:04375100CB138B7596
+:04375200CB198B7292
+:04375300CB1F8B708D
+:04375400CB258B6D89
+:04375500CB2A8B6B85
+:04375600CB308B6881
+:04375700CB368B657D
+:04375800CB3C8B6378
+:04375900CB418B6075
+:04375A00CB478B5E70
+:04375B00CB4D8B5B6C
+:04375C00CB528B5869
+:04375D00CB588B5664
+:04375E00CB5E8B5360
+:04375F00CB648B515B
+:04376000CB698B4E58
+:04376100CB6F8B4B54
+:04376200CB758B494F
+:04376300CB7A8B464C
+:04376400CB808B4447
+:04376500CB868B4143
+:04376600CB8C8B3F3E
+:04376700CB918B3C3B
+:04376800CB978B3937
+:04376900CB9D8B3732
+:04376A00CBA38B342E
+:04376B00CBA88B322A
+:04376C00CBAE8B2F26
+:04376D00CBB48B2D21
+:04376E00CBBA8B2A1D
+:04376F00CBBF8B271A
+:04377000CBC58B2515
+:04377100CBCB8B2211
+:04377200CBD08B200D
+:04377300CBD68B1D09
+:04377400CBDC8B1B04
+:04377500CBE28B1800
+:04377600CBE78B16FC
+:04377700CBED8B13F8
+:04377800CBF38B10F4
+:04377900CBF98B0EEF
+:04377A00CBFE8B0BEC
+:04377B00CC048B09E6
+:04377C00CC0A8B06E2
+:04377D00CC108B04DD
+:04377E00CC158B01DA
+:04377F00CC1B8AFFD6
+:04378000CC218AFCD2
+:04378100CC278AF9CE
+:04378200CC2C8AF7CA
+:04378300CC328AF4C6
+:04378400CC388AF2C1
+:04378500CC3E8AEFBD
+:04378600CC438AEDB9
+:04378700CC498AEAB5
+:04378800CC4F8AE8B0
+:04378900CC558AE5AC
+:04378A00CC5A8AE3A8
+:04378B00CC608AE0A4
+:04378C00CC668ADE9F
+:04378D00CC6C8ADB9B
+:04378E00CC718AD898
+:04378F00CC778AD693
+:04379000CC7D8AD38F
+:04379100CC838AD18A
+:04379200CC888ACE87
+:04379300CC8E8ACC82
+:04379400CC948AC97E
+:04379500CC9A8AC779
+:04379600CC9F8AC476
+:04379700CCA58AC271
+:04379800CCAB8ABF6D
+:04379900CCB18ABD68
+:04379A00CCB68ABA65
+:04379B00CCBC8AB860
+:04379C00CCC28AB55C
+:04379D00CCC88AB357
+:04379E00CCCD8AB054
+:04379F00CCD38AAE4F
+:0437A000CCD98AAB4B
+:0437A100CCDF8AA946
+:0437A200CCE48AA643
+:0437A300CCEA8AA43E
+:0437A400CCF08AA13A
+:0437A500CCF68A9F35
+:0437A600CCFB8A9C32
+:0437A700CD018A9A2C
+:0437A800CD078A9728
+:0437A900CD0D8A9523
+:0437AA00CD138A921F
+:0437AB00CD188A901B
+:0437AC00CD1E8A8D17
+:0437AD00CD248A8B12
+:0437AE00CD2A8A880E
+:0437AF00CD2F8A860A
+:0437B000CD358A8306
+:0437B100CD3B8A8101
+:0437B200CD418A7EFD
+:0437B300CD468A7CF9
+:0437B400CD4C8A79F5
+:0437B500CD528A77F0
+:0437B600CD588A74EC
+:0437B700CD5E8A72E7
+:0437B800CD638A6FE4
+:0437B900CD698A6DDF
+:0437BA00CD6F8A6ADB
+:0437BB00CD758A68D6
+:0437BC00CD7A8A65D3
+:0437BD00CD808A63CE
+:0437BE00CD868A60CA
+:0437BF00CD8C8A5EC5
+:0437C000CD918A5BC2
+:0437C100CD978A59BD
+:0437C200CD9D8A56B9
+:0437C300CDA38A54B4
+:0437C400CDA98A51B0
+:0437C500CDAE8A4FAC
+:0437C600CDB48A4CA8
+:0437C700CDBA8A4AA3
+:0437C800CDC08A489E
+:0437C900CDC58A459B
+:0437CA00CDCB8A4396
+:0437CB00CDD18A4092
+:0437CC00CDD78A3E8D
+:0437CD00CDDD8A3B89
+:0437CE00CDE28A3985
+:0437CF00CDE88A3681
+:0437D000CDEE8A347C
+:0437D100CDF48A3178
+:0437D200CDF98A2F74
+:0437D300CDFF8A2C70
+:0437D400CE058A2A6A
+:0437D500CE0B8A2865
+:0437D600CE118A2561
+:0437D700CE168A235D
+:0437D800CE1C8A2059
+:0437D900CE228A1E54
+:0437DA00CE288A1B50
+:0437DB00CE2E8A194B
+:0437DC00CE338A1648
+:0437DD00CE398A1443
+:0437DE00CE3F8A123E
+:0437DF00CE458A0F3A
+:0437E000CE4A8A0D36
+:0437E100CE508A0A32
+:0437E200CE568A082D
+:0437E300CE5C8A0529
+:0437E400CE628A0324
+:0437E500CE678A0021
+:0437E600CE6D89FE1D
+:0437E700CE7389FC18
+:0437E800CE7989F914
+:0437E900CE7F89F70F
+:0437EA00CE8489F40C
+:0437EB00CE8A89F207
+:0437EC00CE9089EF03
+:0437ED00CE9689EDFE
+:0437EE00CE9C89EBF9
+:0437EF00CEA189E8F6
+:0437F000CEA789E6F1
+:0437F100CEAD89E3ED
+:0437F200CEB389E1E8
+:0437F300CEB989DFE3
+:0437F400CEBE89DCE0
+:0437F500CEC489DADB
+:0437F600CECA89D7D7
+:0437F700CED089D5D2
+:0437F800CED689D2CE
+:0437F900CEDB89D0CA
+:0437FA00CEE189CEC5
+:0437FB00CEE789CBC1
+:0437FC00CEED89C9BC
+:0437FD00CEF389C6B8
+:0437FE00CEF889C4B4
+:0437FF00CEFE89C2AF
+:04380000CF0489BFA9
+:04380100CF0A89BDA4
+:04380200CF1089BAA0
+:04380300CF1589B89C
+:04380400CF1B89B697
+:04380500CF2189B393
+:04380600CF2789B18E
+:04380700CF2D89AE8A
+:04380800CF3289AC86
+:04380900CF3889AA81
+:04380A00CF3E89A77D
+:04380B00CF4489A578
+:04380C00CF4A89A274
+:04380D00CF4F89A070
+:04380E00CF55899E6B
+:04380F00CF5B899B67
+:04381000CF61899962
+:04381100CF6789965E
+:04381200CF6D899459
+:04381300CF72899255
+:04381400CF78898F51
+:04381500CF7E898D4C
+:04381600CF84898B47
+:04381700CF8A898843
+:04381800CF8F89863F
+:04381900CF9589833B
+:04381A00CF9B898136
+:04381B00CFA1897F31
+:04381C00CFA7897C2D
+:04381D00CFAD897A28
+:04381E00CFB2897824
+:04381F00CFB8897520
+:04382000CFBE89731B
+:04382100CFC4897017
+:04382200CFCA896E12
+:04382300CFCF896C0E
+:04382400CFD589690A
+:04382500CFDB896705
+:04382600CFE1896500
+:04382700CFE78962FC
+:04382800CFED8960F7
+:04382900CFF2895EF3
+:04382A00CFF8895BEF
+:04382B00CFFE8959EA
+:04382C00D0048956E5
+:04382D00D00A8954E0
+:04382E00D00F8952DC
+:04382F00D015894FD8
+:04383000D01B894DD3
+:04383100D021894BCE
+:04383200D0278948CA
+:04383300D02D8946C5
+:04383400D0328944C1
+:04383500D0388941BD
+:04383600D03E893FB8
+:04383700D044893DB3
+:04383800D04A893AAF
+:04383900D0508938AA
+:04383A00D0558936A6
+:04383B00D05B8933A2
+:04383C00D06189319D
+:04383D00D067892F98
+:04383E00D06D892C94
+:04383F00D073892A8F
+:04384000D07889288B
+:04384100D07E892587
+:04384200D084892382
+:04384300D08A89217D
+:04384400D090891E79
+:04384500D096891C74
+:04384600D09B891A70
+:04384700D0A189176C
+:04384800D0A7891567
+:04384900D0AD891362
+:04384A00D0B389105E
+:04384B00D0B9890E59
+:04384C00D0BE890C55
+:04384D00D0C4890951
+:04384E00D0CA89074C
+:04384F00D0D0890547
+:04385000D0D6890243
+:04385100D0DC89003E
+:04385200D0E188FE3B
+:04385300D0E788FB37
+:04385400D0ED88F932
+:04385500D0F388F72D
+:04385600D0F988F528
+:04385700D0FF88F224
+:04385800D10588F01E
+:04385900D10A88EE1A
+:04385A00D11088EB16
+:04385B00D11688E911
+:04385C00D11C88E70C
+:04385D00D12288E408
+:04385E00D12888E203
+:04385F00D12D88E0FF
+:04386000D13388DDFB
+:04386100D13988DBF6
+:04386200D13F88D9F1
+:04386300D14588D7EC
+:04386400D14B88D4E8
+:04386500D15188D2E3
+:04386600D15688D0DF
+:04386700D15C88CDDB
+:04386800D16288CBD6
+:04386900D16888C9D1
+:04386A00D16E88C7CC
+:04386B00D17488C4C8
+:04386C00D17A88C2C3
+:04386D00D17F88C0BF
+:04386E00D18588BDBB
+:04386F00D18B88BBB6
+:04387000D19188B9B1
+:04387100D19788B7AC
+:04387200D19D88B4A8
+:04387300D1A388B2A3
+:04387400D1A888B09F
+:04387500D1AE88AD9B
+:04387600D1B488AB96
+:04387700D1BA88A991
+:04387800D1C088A78C
+:04387900D1C688A488
+:04387A00D1CC88A283
+:04387B00D1D188A07F
+:04387C00D1D7889E7A
+:04387D00D1DD889B76
+:04387E00D1E3889971
+:04387F00D1E988976C
+:04388000D1EF889567
+:04388100D1F5889263
+:04388200D1FA88905F
+:04388300D200888E59
+:04388400D206888C54
+:04388500D20C888950
+:04388600D21288874B
+:04388700D218888546
+:04388800D21E888341
+:04388900D22388803E
+:04388A00D229887E39
+:04388B00D22F887C34
+:04388C00D235887A2F
+:04388D00D23B88772B
+:04388E00D241887526
+:04388F00D247887321
+:04389000D24D88711C
+:04389100D252886E19
+:04389200D258886C14
+:04389300D25E886A0F
+:04389400D26488680A
+:04389500D26A886506
+:04389600D270886301
+:04389700D2768861FC
+:04389800D27B885FF8
+:04389900D281885CF4
+:04389A00D287885AEF
+:04389B00D28D8858EA
+:04389C00D2938856E5
+:04389D00D2998853E1
+:04389E00D29F8851DC
+:04389F00D2A5884FD7
+:0438A000D2AA884DD3
+:0438A100D2B0884BCE
+:0438A200D2B68848CA
+:0438A300D2BC8846C5
+:0438A400D2C28844C0
+:0438A500D2C88842BB
+:0438A600D2CE883FB7
+:0438A700D2D4883DB2
+:0438A800D2D9883BAE
+:0438A900D2DF8839A9
+:0438AA00D2E58837A4
+:0438AB00D2EB8834A0
+:0438AC00D2F188329B
+:0438AD00D2F7883096
+:0438AE00D2FD882E91
+:0438AF00D303882C8B
+:0438B000D309882987
+:0438B100D30E882783
+:0438B200D31488257E
+:0438B300D31A882379
+:0438B400D320882075
+:0438B500D326881E70
+:0438B600D32C881C6B
+:0438B700D332881A66
+:0438B800D338881861
+:0438B900D33E88165C
+:0438BA00D343881359
+:0438BB00D349881154
+:0438BC00D34F880F4F
+:0438BD00D355880D4A
+:0438BE00D35B880B45
+:0438BF00D361880841
+:0438C000D36788063C
+:0438C100D36D880437
+:0438C200D372880233
+:0438C300D37888002E
+:0438C400D37E87FD2B
+:0438C500D38487FB26
+:0438C600D38A87F921
+:0438C700D39087F71C
+:0438C800D39687F517
+:0438C900D39C87F213
+:0438CA00D3A287F00E
+:0438CB00D3A887EE09
+:0438CC00D3AD87EC05
+:0438CD00D3B387EA00
+:0438CE00D3B987E8FB
+:0438CF00D3BF87E5F7
+:0438D000D3C587E3F2
+:0438D100D3CB87E1ED
+:0438D200D3D187DFE8
+:0438D300D3D787DDE3
+:0438D400D3DD87DBDE
+:0438D500D3E287D8DB
+:0438D600D3E887D6D6
+:0438D700D3EE87D4D1
+:0438D800D3F487D2CC
+:0438D900D3FA87D0C7
+:0438DA00D40087CEC1
+:0438DB00D40687CBBD
+:0438DC00D40C87C9B8
+:0438DD00D41287C7B3
+:0438DE00D41887C5AE
+:0438DF00D41D87C3AA
+:0438E000D42387C1A5
+:0438E100D42987BFA0
+:0438E200D42F87BC9C
+:0438E300D43587BA97
+:0438E400D43B87B892
+:0438E500D44187B68D
+:0438E600D44787B488
+:0438E700D44D87B283
+:0438E800D45387AF7F
+:0438E900D45987AD7A
+:0438EA00D45E87AB76
+:0438EB00D46487A971
+:0438EC00D46A87A76C
+:0438ED00D47087A567
+:0438EE00D47687A362
+:0438EF00D47C87A15D
+:0438F000D482879E59
+:0438F100D488879C54
+:0438F200D48E879A4F
+:0438F300D49487984A
+:0438F400D49A879645
+:0438F500D49F879441
+:0438F600D4A587923C
+:0438F700D4AB878F38
+:0438F800D4B1878D33
+:0438F900D4B7878B2E
+:0438FA00D4BD878929
+:0438FB00D4C3878724
+:0438FC00D4C987851F
+:0438FD00D4CF87831A
+:0438FE00D4D5878115
+:0438FF00D4DB877E11
+:04390000D4E0877C0C
+:04390100D4E6877A07
+:04390200D4EC877802
+:04390300D4F28776FD
+:04390400D4F88774F8
+:04390500D4FE8772F3
+:04390600D5048770ED
+:04390700D50A876EE8
+:04390800D510876BE4
+:04390900D5168769DF
+:04390A00D51C8767DA
+:04390B00D5228765D5
+:04390C00D5278763D1
+:04390D00D52D8761CC
+:04390E00D533875FC7
+:04390F00D539875DC2
+:04391000D53F875BBD
+:04391100D5458759B8
+:04391200D54B8756B4
+:04391300D5518754AF
+:04391400D5578752AA
+:04391500D55D8750A5
+:04391600D563874EA0
+:04391700D569874C9B
+:04391800D56F874A96
+:04391900D574874892
+:04391A00D57A87468D
+:04391B00D580874488
+:04391C00D586874283
+:04391D00D58C873F7F
+:04391E00D592873D7A
+:04391F00D598873B75
+:04392000D59E873970
+:04392100D5A487376B
+:04392200D5AA873566
+:04392300D5B0873361
+:04392400D5B687315C
+:04392500D5BC872F57
+:04392600D5C2872D52
+:04392700D5C7872B4E
+:04392800D5CD872949
+:04392900D5D3872744
+:04392A00D5D9872440
+:04392B00D5DF87223B
+:04392C00D5E5872036
+:04392D00D5EB871E31
+:04392E00D5F1871C2C
+:04392F00D5F7871A27
+:04393000D5FD871822
+:04393100D60387161C
+:04393200D609871417
+:04393300D60F871212
+:04393400D61587100D
+:04393500D61B870E08
+:04393600D620870C04
+:04393700D626870AFF
+:04393800D62C8708FA
+:04393900D6328706F5
+:04393A00D6388704F0
+:04393B00D63E8701EC
+:04393C00D64486FFE8
+:04393D00D64A86FDE3
+:04393E00D65086FBDE
+:04393F00D65686F9D9
+:04394000D65C86F7D4
+:04394100D66286F5CF
+:04394200D66886F3CA
+:04394300D66E86F1C5
+:04394400D67486EFC0
+:04394500D67A86EDBB
+:04394600D68086EBB6
+:04394700D68586E9B2
+:04394800D68B86E7AD
+:04394900D69186E5A8
+:04394A00D69786E3A3
+:04394B00D69D86E19E
+:04394C00D6A386DF99
+:04394D00D6A986DD94
+:04394E00D6AF86DB8F
+:04394F00D6B586D98A
+:04395000D6BB86D785
+:04395100D6C186D580
+:04395200D6C786D37B
+:04395300D6CD86D176
+:04395400D6D386CF71
+:04395500D6D986CD6C
+:04395600D6DF86CB67
+:04395700D6E586C962
+:04395800D6EB86C75D
+:04395900D6F186C459
+:04395A00D6F686C255
+:04395B00D6FC86C050
+:04395C00D70286BE4A
+:04395D00D70886BC45
+:04395E00D70E86BA40
+:04395F00D71486B83B
+:04396000D71A86B636
+:04396100D72086B431
+:04396200D72686B22C
+:04396300D72C86B027
+:04396400D73286AE22
+:04396500D73886AC1D
+:04396600D73E86AA18
+:04396700D74486A813
+:04396800D74A86A60E
+:04396900D75086A409
+:04396A00D75686A204
+:04396B00D75C86A0FF
+:04396C00D762869EFA
+:04396D00D768869CF5
+:04396E00D76E869AF0
+:04396F00D7748698EB
+:04397000D77A8696E6
+:04397100D77F8694E2
+:04397200D7858692DD
+:04397300D78B8690D8
+:04397400D791868ED3
+:04397500D797868DCD
+:04397600D79D868BC8
+:04397700D7A38689C3
+:04397800D7A98687BE
+:04397900D7AF8685B9
+:04397A00D7B58683B4
+:04397B00D7BB8681AF
+:04397C00D7C1867FAA
+:04397D00D7C7867DA5
+:04397E00D7CD867BA0
+:04397F00D7D386799B
+:04398000D7D9867796
+:04398100D7DF867591
+:04398200D7E586738C
+:04398300D7EB867187
+:04398400D7F1866F82
+:04398500D7F7866D7D
+:04398600D7FD866B78
+:04398700D803866972
+:04398800D80986676D
+:04398900D80F866568
+:04398A00D815866363
+:04398B00D81B86615E
+:04398C00D821865F59
+:04398D00D826865D55
+:04398E00D82C865B50
+:04398F00D83286594B
+:04399000D838865746
+:04399100D83E865541
+:04399200D84486533C
+:04399300D84A865236
+:04399400D850865031
+:04399500D856864E2C
+:04399600D85C864C27
+:04399700D862864A22
+:04399800D86886481D
+:04399900D86E864618
+:04399A00D874864413
+:04399B00D87A86420E
+:04399C00D880864009
+:04399D00D886863E04
+:04399E00D88C863CFF
+:04399F00D892863AFA
+:0439A000D8988638F5
+:0439A100D89E8636F0
+:0439A200D8A48634EB
+:0439A300D8AA8632E6
+:0439A400D8B08631E0
+:0439A500D8B6862FDB
+:0439A600D8BC862DD6
+:0439A700D8C2862BD1
+:0439A800D8C88629CC
+:0439A900D8CE8627C7
+:0439AA00D8D48625C2
+:0439AB00D8DA8623BD
+:0439AC00D8E08621B8
+:0439AD00D8E6861FB3
+:0439AE00D8EC861DAE
+:0439AF00D8F2861BA9
+:0439B000D8F88619A4
+:0439B100D8FE86189E
+:0439B200D904861698
+:0439B300D90A861493
+:0439B400D91086128E
+:0439B500D916861089
+:0439B600D91C860E84
+:0439B700D922860C7F
+:0439B800D928860A7A
+:0439B900D92E860875
+:0439BA00D934860670
+:0439BB00D93A86046B
+:0439BC00D940860365
+:0439BD00D946860160
+:0439BE00D94B85FF5D
+:0439BF00D95185FD58
+:0439C000D95785FB53
+:0439C100D95D85F94E
+:0439C200D96385F749
+:0439C300D96985F544
+:0439C400D96F85F33F
+:0439C500D97585F239
+:0439C600D97B85F034
+:0439C700D98185EE2F
+:0439C800D98785EC2A
+:0439C900D98D85EA25
+:0439CA00D99385E820
+:0439CB00D99985E61B
+:0439CC00D99F85E416
+:0439CD00D9A585E211
+:0439CE00D9AB85E10B
+:0439CF00D9B185DF06
+:0439D000D9B785DD01
+:0439D100D9BD85DBFC
+:0439D200D9C385D9F7
+:0439D300D9C985D7F2
+:0439D400D9CF85D5ED
+:0439D500D9D585D3E8
+:0439D600D9DB85D2E2
+:0439D700D9E185D0DD
+:0439D800D9E785CED8
+:0439D900D9ED85CCD3
+:0439DA00D9F385CACE
+:0439DB00D9F985C8C9
+:0439DC00D9FF85C6C4
+:0439DD00DA0585C4BE
+:0439DE00DA0B85C3B8
+:0439DF00DA1185C1B3
+:0439E000DA1785BFAE
+:0439E100DA1D85BDA9
+:0439E200DA2385BBA4
+:0439E300DA2985B99F
+:0439E400DA2F85B79A
+:0439E500DA3585B694
+:0439E600DA3B85B48F
+:0439E700DA4185B28A
+:0439E800DA4785B085
+:0439E900DA4D85AE80
+:0439EA00DA5385AC7B
+:0439EB00DA5985AA76
+:0439EC00DA5F85A970
+:0439ED00DA6585A76B
+:0439EE00DA6B85A566
+:0439EF00DA7185A361
+:0439F000DA7785A15C
+:0439F100DA7D859F57
+:0439F200DA83859E51
+:0439F300DA89859C4C
+:0439F400DA8F859A47
+:0439F500DA95859842
+:0439F600DA9B85963D
+:0439F700DAA1859438
+:0439F800DAA7859332
+:0439F900DAAD85912D
+:0439FA00DAB3858F28
+:0439FB00DAB9858D23
+:0439FC00DABF858B1E
+:0439FD00DAC5858919
+:0439FE00DACC858812
+:0439FF00DAD285860D
+:043A0000DAD8858407
+:043A0100DADE858202
+:043A0200DAE48580FD
+:043A0300DAEA857EF8
+:043A0400DAF0857DF2
+:043A0500DAF6857BED
+:043A0600DAFC8579E8
+:043A0700DB028577E2
+:043A0800DB088575DD
+:043A0900DB0E8574D7
+:043A0A00DB148572D2
+:043A0B00DB1A8570CD
+:043A0C00DB20856EC8
+:043A0D00DB26856CC3
+:043A0E00DB2C856ABE
+:043A0F00DB328569B8
+:043A1000DB388567B3
+:043A1100DB3E8565AE
+:043A1200DB448563A9
+:043A1300DB4A8561A4
+:043A1400DB5085609E
+:043A1500DB56855E99
+:043A1600DB5C855C94
+:043A1700DB62855A8F
+:043A1800DB6885588A
+:043A1900DB6E855784
+:043A1A00DB7485557F
+:043A1B00DB7A85537A
+:043A1C00DB80855175
+:043A1D00DB86854F70
+:043A1E00DB8C854E6A
+:043A1F00DB92854C65
+:043A2000DB98854A60
+:043A2100DB9E85485B
+:043A2200DBA4854755
+:043A2300DBAA854550
+:043A2400DBB085434B
+:043A2500DBB6854146
+:043A2600DBBC853F41
+:043A2700DBC2853E3B
+:043A2800DBC8853C36
+:043A2900DBCE853A31
+:043A2A00DBD485382C
+:043A2B00DBDA853726
+:043A2C00DBE0853521
+:043A2D00DBE685331C
+:043A2E00DBEC853117
+:043A2F00DBF2852F12
+:043A3000DBF9852E0B
+:043A3100DBFF852C06
+:043A3200DC05852A00
+:043A3300DC0B8528FB
+:043A3400DC118527F5
+:043A3500DC178525F0
+:043A3600DC1D8523EB
+:043A3700DC238521E6
+:043A3800DC298520E0
+:043A3900DC2F851EDB
+:043A3A00DC35851CD6
+:043A3B00DC3B851AD1
+:043A3C00DC418519CB
+:043A3D00DC478517C6
+:043A3E00DC4D8515C1
+:043A3F00DC538513BC
+:043A4000DC598512B6
+:043A4100DC5F8510B1
+:043A4200DC65850EAC
+:043A4300DC6B850CA7
+:043A4400DC71850BA1
+:043A4500DC7785099C
+:043A4600DC7D850797
+:043A4700DC83850592
+:043A4800DC8985048C
+:043A4900DC8F850287
+:043A4A00DC95850082
+:043A4B00DC9B84FE7E
+:043A4C00DCA184FD78
+:043A4D00DCA784FB73
+:043A4E00DCAE84F96D
+:043A4F00DCB484F768
+:043A5000DCBA84F662
+:043A5100DCC084F45D
+:043A5200DCC684F258
+:043A5300DCCC84F053
+:043A5400DCD284EF4D
+:043A5500DCD884ED48
+:043A5600DCDE84EB43
+:043A5700DCE484EA3D
+:043A5800DCEA84E838
+:043A5900DCF084E633
+:043A5A00DCF684E42E
+:043A5B00DCFC84E328
+:043A5C00DD0284E122
+:043A5D00DD0884DF1D
+:043A5E00DD0E84DE17
+:043A5F00DD1484DC12
+:043A6000DD1A84DA0D
+:043A6100DD2084D808
+:043A6200DD2684D702
+:043A6300DD2C84D5FD
+:043A6400DD3284D3F8
+:043A6500DD3984D2F1
+:043A6600DD3F84D0EC
+:043A6700DD4584CEE7
+:043A6800DD4B84CCE2
+:043A6900DD5184CBDC
+:043A6A00DD5784C9D7
+:043A6B00DD5D84C7D2
+:043A6C00DD6384C6CC
+:043A6D00DD6984C4C7
+:043A6E00DD6F84C2C2
+:043A6F00DD7584C1BC
+:043A7000DD7B84BFB7
+:043A7100DD8184BDB2
+:043A7200DD8784BBAD
+:043A7300DD8D84BAA7
+:043A7400DD9384B8A2
+:043A7500DD9984B69D
+:043A7600DD9F84B597
+:043A7700DDA584B392
+:043A7800DDAB84B18D
+:043A7900DDB284B086
+:043A7A00DDB884AE81
+:043A7B00DDBE84AC7C
+:043A7C00DDC484AB76
+:043A7D00DDCA84A971
+:043A7E00DDD084A76C
+:043A7F00DDD684A666
+:043A8000DDDC84A461
+:043A8100DDE284A25C
+:043A8200DDE884A156
+:043A8300DDEE849F51
+:043A8400DDF4849D4C
+:043A8500DDFA849C46
+:043A8600DE00849A40
+:043A8700DE0684983B
+:043A8800DE0C849735
+:043A8900DE12849530
+:043A8A00DE1884932B
+:043A8B00DE1F849224
+:043A8C00DE2584901F
+:043A8D00DE2B848E1A
+:043A8E00DE31848D14
+:043A8F00DE37848B0F
+:043A9000DE3D84890A
+:043A9100DE43848804
+:043A9200DE498486FF
+:043A9300DE4F8484FA
+:043A9400DE558483F4
+:043A9500DE5B8481EF
+:043A9600DE61847FEA
+:043A9700DE67847EE4
+:043A9800DE6D847CDF
+:043A9900DE73847ADA
+:043A9A00DE798479D4
+:043A9B00DE7F8477CF
+:043A9C00DE868475C9
+:043A9D00DE8C8474C3
+:043A9E00DE928472BE
+:043A9F00DE988471B8
+:043AA000DE9E846FB3
+:043AA100DEA4846DAE
+:043AA200DEAA846CA8
+:043AA300DEB0846AA3
+:043AA400DEB684689E
+:043AA500DEBC846798
+:043AA600DEC2846593
+:043AA700DEC884638E
+:043AA800DECE846288
+:043AA900DED4846083
+:043AAA00DEDA845F7D
+:043AAB00DEE1845D77
+:043AAC00DEE7845B72
+:043AAD00DEED845A6C
+:043AAE00DEF3845867
+:043AAF00DEF9845662
+:043AB000DEFF84555C
+:043AB100DF05845356
+:043AB200DF0B845250
+:043AB300DF1184504B
+:043AB400DF17844E46
+:043AB500DF1D844D40
+:043AB600DF23844B3B
+:043AB700DF29844A35
+:043AB800DF2F844830
+:043AB900DF3684462A
+:043ABA00DF3C844524
+:043ABB00DF4284431F
+:043ABC00DF4884411A
+:043ABD00DF4E844014
+:043ABE00DF54843E0F
+:043ABF00DF5A843D09
+:043AC000DF60843B04
+:043AC100DF668439FF
+:043AC200DF6C8438F9
+:043AC300DF728436F4
+:043AC400DF788435EE
+:043AC500DF7E8433E9
+:043AC600DF858431E3
+:043AC700DF8B8430DD
+:043AC800DF91842ED8
+:043AC900DF97842DD2
+:043ACA00DF9D842BCD
+:043ACB00DFA3842AC7
+:043ACC00DFA98428C2
+:043ACD00DFAF8426BD
+:043ACE00DFB58425B7
+:043ACF00DFBB8423B2
+:043AD000DFC18422AC
+:043AD100DFC78420A7
+:043AD200DFCD841EA2
+:043AD300DFD4841D9B
+:043AD400DFDA841B96
+:043AD500DFE0841A90
+:043AD600DFE684188B
+:043AD700DFEC841785
+:043AD800DFF2841580
+:043AD900DFF884137B
+:043ADA00DFFE841275
+:043ADB00E00484106F
+:043ADC00E00A840F69
+:043ADD00E010840D64
+:043ADE00E016840C5E
+:043ADF00E01D840A58
+:043AE000E023840853
+:043AE100E02984074D
+:043AE200E02F840548
+:043AE300E035840442
+:043AE400E03B84023D
+:043AE500E041840137
+:043AE600E04783FF33
+:043AE700E04D83FD2E
+:043AE800E05383FC28
+:043AE900E05983FA23
+:043AEA00E06083F91C
+:043AEB00E06683F717
+:043AEC00E06C83F611
+:043AED00E07283F40C
+:043AEE00E07883F306
+:043AEF00E07E83F101
+:043AF000E08483F0FB
+:043AF100E08A83EEF6
+:043AF200E09083ECF1
+:043AF300E09683EBEB
+:043AF400E09C83E9E6
+:043AF500E0A283E8E0
+:043AF600E0A983E6DA
+:043AF700E0AF83E5D4
+:043AF800E0B583E3CF
+:043AF900E0BB83E2C9
+:043AFA00E0C183E0C4
+:043AFB00E0C783DFBE
+:043AFC00E0CD83DDB9
+:043AFD00E0D383DCB3
+:043AFE00E0D983DAAE
+:043AFF00E0DF83D8A9
+:043B0000E0E683D7A1
+:043B0100E0EC83D59C
+:043B0200E0F283D496
+:043B0300E0F883D291
+:043B0400E0FE83D18B
+:043B0500E10483CF85
+:043B0600E10A83CE7F
+:043B0700E11083CC7A
+:043B0800E11683CB74
+:043B0900E11C83C96F
+:043B0A00E12283C869
+:043B0B00E12983C663
+:043B0C00E12F83C55D
+:043B0D00E13583C358
+:043B0E00E13B83C252
+:043B0F00E14183C04D
+:043B1000E14783BF47
+:043B1100E14D83BD42
+:043B1200E15383BC3C
+:043B1300E15983BA37
+:043B1400E15F83B931
+:043B1500E16683B72B
+:043B1600E16C83B625
+:043B1700E17283B420
+:043B1800E17883B31A
+:043B1900E17E83B115
+:043B1A00E18483B00F
+:043B1B00E18A83AE0A
+:043B1C00E19083AD04
+:043B1D00E19683ABFF
+:043B1E00E19C83AAF9
+:043B1F00E1A383A8F3
+:043B2000E1A983A7ED
+:043B2100E1AF83A5E8
+:043B2200E1B583A4E2
+:043B2300E1BB83A2DD
+:043B2400E1C183A1D7
+:043B2500E1C7839FD2
+:043B2600E1CD839ECC
+:043B2700E1D3839CC7
+:043B2800E1DA839BC0
+:043B2900E1E08399BB
+:043B2A00E1E68398B5
+:043B2B00E1EC8396B0
+:043B2C00E1F28395AA
+:043B2D00E1F88393A5
+:043B2E00E1FE83929F
+:043B2F00E204839099
+:043B3000E20A838F93
+:043B3100E210838E8D
+:043B3200E217838C87
+:043B3300E21D838B81
+:043B3400E22383897C
+:043B3500E229838876
+:043B3600E22F838671
+:043B3700E23583856B
+:043B3800E23B838366
+:043B3900E241838260
+:043B3A00E24783805B
+:043B3B00E24E837F54
+:043B3C00E254837D4F
+:043B3D00E25A837C49
+:043B3E00E260837B43
+:043B3F00E26683793E
+:043B4000E26C837838
+:043B4100E272837633
+:043B4200E27883752D
+:043B4300E27E837328
+:043B4400E285837221
+:043B4500E28B83701C
+:043B4600E291836F16
+:043B4700E297836E10
+:043B4800E29D836C0B
+:043B4900E2A3836B05
+:043B4A00E2A9836900
+:043B4B00E2AF8368FA
+:043B4C00E2B68366F4
+:043B4D00E2BC8365EE
+:043B4E00E2C28363E9
+:043B4F00E2C88362E3
+:043B5000E2CE8361DD
+:043B5100E2D4835FD8
+:043B5200E2DA835ED2
+:043B5300E2E0835CCD
+:043B5400E2E6835BC7
+:043B5500E2ED8359C1
+:043B5600E2F38358BB
+:043B5700E2F98357B5
+:043B5800E2FF8355B0
+:043B5900E3058354A9
+:043B5A00E30B8352A4
+:043B5B00E31183519E
+:043B5C00E317834F99
+:043B5D00E31E834E92
+:043B5E00E324834D8C
+:043B5F00E32A834B87
+:043B6000E330834A81
+:043B6100E33683487C
+:043B6200E33C834776
+:043B6300E342834670
+:043B6400E34883446B
+:043B6500E34F834364
+:043B6600E35583415F
+:043B6700E35B834059
+:043B6800E361833F53
+:043B6900E367833D4E
+:043B6A00E36D833C48
+:043B6B00E373833A43
+:043B6C00E37983393D
+:043B6D00E380833836
+:043B6E00E386833631
+:043B6F00E38C83352B
+:043B7000E392833326
+:043B7100E398833220
+:043B7200E39E83311A
+:043B7300E3A4832F15
+:043B7400E3AA832E0F
+:043B7500E3B1832C09
+:043B7600E3B7832B03
+:043B7700E3BD832AFD
+:043B7800E3C38328F8
+:043B7900E3C98327F2
+:043B7A00E3CF8325ED
+:043B7B00E3D58324E7
+:043B7C00E3DB8323E1
+:043B7D00E3E28321DB
+:043B7E00E3E88320D5
+:043B7F00E3EE831FCF
+:043B8000E3F4831DCA
+:043B8100E3FA831CC4
+:043B8200E400831ABE
+:043B8300E4068319B8
+:043B8400E40C8318B2
+:043B8500E4138316AC
+:043B8600E4198315A6
+:043B8700E41F8314A0
+:043B8800E42583129B
+:043B8900E42B831195
+:043B8A00E431830F90
+:043B8B00E437830E8A
+:043B8C00E43E830D83
+:043B8D00E444830B7E
+:043B8E00E44A830A78
+:043B8F00E450830972
+:043B9000E45683076D
+:043B9100E45C830667
+:043B9200E462830561
+:043B9300E46883035C
+:043B9400E46F830255
+:043B9500E475830050
+:043B9600E47B82FF4B
+:043B9700E48182FE45
+:043B9800E48782FC40
+:043B9900E48D82FB3A
+:043B9A00E49382FA34
+:043B9B00E49A82F82E
+:043B9C00E4A082F728
+:043B9D00E4A682F622
+:043B9E00E4AC82F41D
+:043B9F00E4B282F317
+:043BA000E4B882F211
+:043BA100E4BE82F00C
+:043BA200E4C582EF05
+:043BA300E4CB82EEFF
+:043BA400E4D182ECFA
+:043BA500E4D782EBF4
+:043BA600E4DD82EAEE
+:043BA700E4E382E8E9
+:043BA800E4E982E7E3
+:043BA900E4EF82E6DD
+:043BAA00E4F682E4D7
+:043BAB00E4FC82E3D1
+:043BAC00E50282E2CA
+:043BAD00E50882E0C5
+:043BAE00E50E82DFBF
+:043BAF00E51482DEB9
+:043BB000E51A82DCB4
+:043BB100E52182DBAD
+:043BB200E52782DAA7
+:043BB300E52D82D8A2
+:043BB400E53382D79C
+:043BB500E53982D696
+:043BB600E53F82D590
+:043BB700E54582D38B
+:043BB800E54C82D284
+:043BB900E55282D17E
+:043BBA00E55882CF79
+:043BBB00E55E82CE73
+:043BBC00E56482CD6D
+:043BBD00E56A82CB68
+:043BBE00E57082CA62
+:043BBF00E57782C95B
+:043BC000E57D82C756
+:043BC100E58382C650
+:043BC200E58982C54A
+:043BC300E58F82C444
+:043BC400E59582C23F
+:043BC500E59C82C138
+:043BC600E5A282C032
+:043BC700E5A882BE2D
+:043BC800E5AE82BD27
+:043BC900E5B482BC21
+:043BCA00E5BA82BA1C
+:043BCB00E5C082B916
+:043BCC00E5C782B80F
+:043BCD00E5CD82B709
+:043BCE00E5D382B504
+:043BCF00E5D982B4FE
+:043BD000E5DF82B3F8
+:043BD100E5E582B2F2
+:043BD200E5EB82B0ED
+:043BD300E5F282AFE6
+:043BD400E5F882AEE0
+:043BD500E5FE82ACDB
+:043BD600E60482ABD4
+:043BD700E60A82AACE
+:043BD800E61082A9C8
+:043BD900E61782A7C2
+:043BDA00E61D82A6BC
+:043BDB00E62382A5B6
+:043BDC00E62982A3B1
+:043BDD00E62F82A2AB
+:043BDE00E63582A1A5
+:043BDF00E63B82A09F
+:043BE000E642829E99
+:043BE100E648829D93
+:043BE200E64E829C8D
+:043BE300E654829B87
+:043BE400E65A829982
+:043BE500E66082987C
+:043BE600E667829775
+:043BE700E66D82966F
+:043BE800E67382946A
+:043BE900E679829364
+:043BEA00E67F82925E
+:043BEB00E685829158
+:043BEC00E68B828F53
+:043BED00E692828E4C
+:043BEE00E698828D46
+:043BEF00E69E828C40
+:043BF000E6A4828A3B
+:043BF100E6AA828935
+:043BF200E6B082882F
+:043BF300E6B7828728
+:043BF400E6BD828523
+:043BF500E6C382841D
+:043BF600E6C9828317
+:043BF700E6CF828211
+:043BF800E6D582800C
+:043BF900E6DC827F05
+:043BFA00E6E2827EFF
+:043BFB00E6E8827DF9
+:043BFC00E6EE827CF3
+:043BFD00E6F4827AEE
+:043BFE00E6FA8279E8
+:043BFF00E7018278E0
+:043C0000E7078277D9
+:043C0100E70D8275D4
+:043C0200E7138274CE
+:043C0300E7198273C8
+:043C0400E71F8272C2
+:043C0500E7258270BD
+:043C0600E72C826FB6
+:043C0700E732826EB0
+:043C0800E738826DAA
+:043C0900E73E826CA4
+:043C0A00E744826A9F
+:043C0B00E74A826999
+:043C0C00E751826892
+:043C0D00E75782678C
+:043C0E00E75D826686
+:043C0F00E763826481
+:043C1000E76982637B
+:043C1100E76F826275
+:043C1200E77682616E
+:043C1300E77C826068
+:043C1400E782825E63
+:043C1500E788825D5D
+:043C1600E78E825C57
+:043C1700E794825B51
+:043C1800E79B825A4A
+:043C1900E7A1825845
+:043C1A00E7A782573F
+:043C1B00E7AD825639
+:043C1C00E7B3825533
+:043C1D00E7B982542D
+:043C1E00E7C0825227
+:043C1F00E7C6825121
+:043C2000E7CC82501B
+:043C2100E7D2824F15
+:043C2200E7D8824E0F
+:043C2300E7DE824C0A
+:043C2400E7E5824B03
+:043C2500E7EB824AFD
+:043C2600E7F18249F7
+:043C2700E7F78248F1
+:043C2800E7FD8247EB
+:043C2900E8048245E4
+:043C2A00E80A8244DE
+:043C2B00E8108243D8
+:043C2C00E8168242D2
+:043C2D00E81C8241CC
+:043C2E00E822823FC7
+:043C2F00E829823EC0
+:043C3000E82F823DBA
+:043C3100E835823CB4
+:043C3200E83B823BAE
+:043C3300E841823AA8
+:043C3400E8478238A3
+:043C3500E84E82379C
+:043C3600E854823696
+:043C3700E85A823590
+:043C3800E86082348A
+:043C3900E866823384
+:043C3A00E86C82317F
+:043C3B00E873823078
+:043C3C00E879822F72
+:043C3D00E87F822E6C
+:043C3E00E885822D66
+:043C3F00E88B822C60
+:043C4000E892822B59
+:043C4100E898822954
+:043C4200E89E82284E
+:043C4300E8A4822748
+:043C4400E8AA822642
+:043C4500E8B082253C
+:043C4600E8B7822435
+:043C4700E8BD82232F
+:043C4800E8C382212A
+:043C4900E8C9822024
+:043C4A00E8CF821F1E
+:043C4B00E8D5821E18
+:043C4C00E8DC821D11
+:043C4D00E8E2821C0B
+:043C4E00E8E8821B05
+:043C4F00E8EE821900
+:043C5000E8F48218FA
+:043C5100E8FB8217F3
+:043C5200E9018216EC
+:043C5300E9078215E6
+:043C5400E90D8214E0
+:043C5500E9138213DA
+:043C5600E9198212D4
+:043C5700E9208210CE
+:043C5800E926820FC8
+:043C5900E92C820EC2
+:043C5A00E932820DBC
+:043C5B00E938820CB6
+:043C5C00E93F820BAF
+:043C5D00E945820AA9
+:043C5E00E94B8209A3
+:043C5F00E95182079E
+:043C6000E957820698
+:043C6100E95D820592
+:043C6200E96482048B
+:043C6300E96A820385
+:043C6400E97082027F
+:043C6500E976820179
+:043C6600E97C820073
+:043C6700E98381FF6D
+:043C6800E98981FE67
+:043C6900E98F81FC62
+:043C6A00E99581FB5C
+:043C6B00E99B81FA56
+:043C6C00E9A181F950
+:043C6D00E9A881F849
+:043C6E00E9AE81F743
+:043C6F00E9B481F63D
+:043C7000E9BA81F537
+:043C7100E9C081F431
+:043C7200E9C781F32A
+:043C7300E9CD81F125
+:043C7400E9D381F01F
+:043C7500E9D981EF19
+:043C7600E9DF81EE13
+:043C7700E9E681ED0C
+:043C7800E9EC81EC06
+:043C7900E9F281EB00
+:043C7A00E9F881EAFA
+:043C7B00E9FE81E9F4
+:043C7C00EA0581E8EC
+:043C7D00EA0B81E7E6
+:043C7E00EA1181E6E0
+:043C7F00EA1781E4DB
+:043C8000EA1D81E3D5
+:043C8100EA2381E2CF
+:043C8200EA2A81E1C8
+:043C8300EA3081E0C2
+:043C8400EA3681DFBC
+:043C8500EA3C81DEB6
+:043C8600EA4281DDB0
+:043C8700EA4981DCA9
+:043C8800EA4F81DBA3
+:043C8900EA5581DA9D
+:043C8A00EA5B81D997
+:043C8B00EA6181D891
+:043C8C00EA6881D78A
+:043C8D00EA6E81D684
+:043C8E00EA7481D47F
+:043C8F00EA7A81D379
+:043C9000EA8081D273
+:043C9100EA8781D16C
+:043C9200EA8D81D066
+:043C9300EA9381CF60
+:043C9400EA9981CE5A
+:043C9500EA9F81CD54
+:043C9600EAA581CC4E
+:043C9700EAAC81CB47
+:043C9800EAB281CA41
+:043C9900EAB881C93B
+:043C9A00EABE81C835
+:043C9B00EAC481C72F
+:043C9C00EACB81C628
+:043C9D00EAD181C522
+:043C9E00EAD781C41C
+:043C9F00EADD81C316
+:043CA000EAE381C210
+:043CA100EAEA81C109
+:043CA200EAF081C003
+:043CA300EAF681BFFD
+:043CA400EAFC81BDF8
+:043CA500EB0281BCF1
+:043CA600EB0981BBEA
+:043CA700EB0F81BAE4
+:043CA800EB1581B9DE
+:043CA900EB1B81B8D8
+:043CAA00EB2181B7D2
+:043CAB00EB2881B6CB
+:043CAC00EB2E81B5C5
+:043CAD00EB3481B4BF
+:043CAE00EB3A81B3B9
+:043CAF00EB4081B2B3
+:043CB000EB4781B1AC
+:043CB100EB4D81B0A6
+:043CB200EB5381AFA0
+:043CB300EB5981AE9A
+:043CB400EB5F81AD94
+:043CB500EB6681AC8D
+:043CB600EB6C81AB87
+:043CB700EB7281AA81
+:043CB800EB7881A97B
+:043CB900EB7E81A875
+:043CBA00EB8581A76E
+:043CBB00EB8B81A668
+:043CBC00EB9181A562
+:043CBD00EB9781A45C
+:043CBE00EB9D81A356
+:043CBF00EBA481A24F
+:043CC000EBAA81A149
+:043CC100EBB081A043
+:043CC200EBB6819F3D
+:043CC300EBBC819E37
+:043CC400EBC3819D30
+:043CC500EBC9819C2A
+:043CC600EBCF819B24
+:043CC700EBD5819A1E
+:043CC800EBDB819918
+:043CC900EBE2819811
+:043CCA00EBE881970B
+:043CCB00EBEE819605
+:043CCC00EBF48195FF
+:043CCD00EBFB8194F8
+:043CCE00EC018193F1
+:043CCF00EC078192EB
+:043CD000EC0D8191E5
+:043CD100EC138190DF
+:043CD200EC1A818FD8
+:043CD300EC20818ED2
+:043CD400EC26818DCC
+:043CD500EC2C818CC6
+:043CD600EC32818BC0
+:043CD700EC39818AB9
+:043CD800EC3F8189B3
+:043CD900EC458189AC
+:043CDA00EC4B8188A6
+:043CDB00EC518187A0
+:043CDC00EC58818699
+:043CDD00EC5E818593
+:043CDE00EC6481848D
+:043CDF00EC6A818387
+:043CE000EC70818281
+:043CE100EC7781817A
+:043CE200EC7D818074
+:043CE300EC83817F6E
+:043CE400EC89817E68
+:043CE500EC8F817D62
+:043CE600EC96817C5B
+:043CE700EC9C817B55
+:043CE800ECA2817A4F
+:043CE900ECA8817949
+:043CEA00ECAF817842
+:043CEB00ECB581773C
+:043CEC00ECBB817636
+:043CED00ECC1817530
+:043CEE00ECC781742A
+:043CEF00ECCE817323
+:043CF000ECD481731C
+:043CF100ECDA817216
+:043CF200ECE0817110
+:043CF300ECE681700A
+:043CF400ECED816F03
+:043CF500ECF3816EFD
+:043CF600ECF9816DF7
+:043CF700ECFF816CF1
+:043CF800ED06816BE9
+:043CF900ED0C816AE3
+:043CFA00ED128169DD
+:043CFB00ED188168D7
+:043CFC00ED1E8167D1
+:043CFD00ED258166CA
+:043CFE00ED2B8165C4
+:043CFF00ED318165BD
+:043D0000ED378164B6
+:043D0100ED3D8163B0
+:043D0200ED448162A9
+:043D0300ED4A8161A3
+:043D0400ED5081609D
+:043D0500ED56815F97
+:043D0600ED5D815E90
+:043D0700ED63815D8A
+:043D0800ED69815C84
+:043D0900ED6F815B7E
+:043D0A00ED75815A78
+:043D0B00ED7C815A70
+:043D0C00ED8281596A
+:043D0D00ED88815864
+:043D0E00ED8E81575E
+:043D0F00ED94815658
+:043D1000ED9B815551
+:043D1100EDA181544B
+:043D1200EDA7815345
+:043D1300EDAD81523F
+:043D1400EDB4815138
+:043D1500EDBA815131
+:043D1600EDC081502B
+:043D1700EDC6814F25
+:043D1800EDCC814E1F
+:043D1900EDD3814D18
+:043D1A00EDD9814C12
+:043D1B00EDDF814B0C
+:043D1C00EDE5814A06
+:043D1D00EDEC8149FF
+:043D1E00EDF28149F8
+:043D1F00EDF88148F2
+:043D2000EDFE8147EC
+:043D2100EE048146E5
+:043D2200EE0B8145DE
+:043D2300EE118144D8
+:043D2400EE178143D2
+:043D2500EE1D8142CC
+:043D2600EE248141C5
+:043D2700EE2A8141BE
+:043D2800EE308140B8
+:043D2900EE36813FB2
+:043D2A00EE3C813EAC
+:043D2B00EE43813DA5
+:043D2C00EE49813C9F
+:043D2D00EE4F813B99
+:043D2E00EE55813A93
+:043D2F00EE5C813A8B
+:043D3000EE62813985
+:043D3100EE6881387F
+:043D3200EE6E813779
+:043D3300EE74813673
+:043D3400EE7B81356C
+:043D3500EE81813466
+:043D3600EE8781345F
+:043D3700EE8D813359
+:043D3800EE94813252
+:043D3900EE9A81314C
+:043D3A00EEA0813046
+:043D3B00EEA6812F40
+:043D3C00EEAC812E3A
+:043D3D00EEB3812E32
+:043D3E00EEB9812D2C
+:043D3F00EEBF812C26
+:043D4000EEC5812B20
+:043D4100EECC812A19
+:043D4200EED2812913
+:043D4300EED881290C
+:043D4400EEDE812806
+:043D4500EEE4812700
+:043D4600EEEB8126F9
+:043D4700EEF18125F3
+:043D4800EEF78124ED
+:043D4900EEFD8124E6
+:043D4A00EF048123DE
+:043D4B00EF0A8122D8
+:043D4C00EF108121D2
+:043D4D00EF168120CC
+:043D4E00EF1D811FC5
+:043D4F00EF23811FBE
+:043D5000EF29811EB8
+:043D5100EF2F811DB2
+:043D5200EF35811CAC
+:043D5300EF3C811BA5
+:043D5400EF42811A9F
+:043D5500EF48811A98
+:043D5600EF4E811992
+:043D5700EF5581188B
+:043D5800EF5B811785
+:043D5900EF6181167F
+:043D5A00EF67811678
+:043D5B00EF6D811572
+:043D5C00EF7481146B
+:043D5D00EF7A811365
+:043D5E00EF8081125F
+:043D5F00EF86811159
+:043D6000EF8D811151
+:043D6100EF9381104B
+:043D6200EF99810F45
+:043D6300EF9F810E3F
+:043D6400EFA6810D38
+:043D6500EFAC810D31
+:043D6600EFB2810C2B
+:043D6700EFB8810B25
+:043D6800EFBE810A1F
+:043D6900EFC5810918
+:043D6A00EFCB810911
+:043D6B00EFD181080B
+:043D6C00EFD7810705
+:043D6D00EFDE8106FE
+:043D6E00EFE48105F8
+:043D6F00EFEA8105F1
+:043D7000EFF08104EB
+:043D7100EFF78103E4
+:043D7200EFFD8102DE
+:043D7300F0038102D6
+:043D7400F0098101D0
+:043D7500F0108100C9
+:043D7600F01680FFC4
+:043D7700F01C80FEBE
+:043D7800F02280FEB7
+:043D7900F02880FDB1
+:043D7A00F02F80FCAA
+:043D7B00F03580FBA4
+:043D7C00F03B80FB9D
+:043D7D00F04180FA97
+:043D7E00F04880F990
+:043D7F00F04E80F88A
+:043D8000F05480F784
+:043D8100F05A80F77D
+:043D8200F06180F676
+:043D8300F06780F570
+:043D8400F06D80F46A
+:043D8500F07380F463
+:043D8600F07A80F35C
+:043D8700F08080F256
+:043D8800F08680F150
+:043D8900F08C80F149
+:043D8A00F09280F043
+:043D8B00F09980EF3C
+:043D8C00F09F80EE36
+:043D8D00F0A580EE2F
+:043D8E00F0AB80ED29
+:043D8F00F0B280EC22
+:043D9000F0B880EB1C
+:043D9100F0BE80EB15
+:043D9200F0C480EA0F
+:043D9300F0CB80E908
+:043D9400F0D180E802
+:043D9500F0D780E8FB
+:043D9600F0DD80E7F5
+:043D9700F0E480E6EE
+:043D9800F0EA80E5E8
+:043D9900F0F080E5E1
+:043D9A00F0F680E4DB
+:043D9B00F0FD80E3D4
+:043D9C00F10380E2CD
+:043D9D00F10980E2C6
+:043D9E00F10F80E1C0
+:043D9F00F11580E0BA
+:043DA000F11C80DFB3
+:043DA100F12280DFAC
+:043DA200F12880DEA6
+:043DA300F12E80DDA0
+:043DA400F13580DD98
+:043DA500F13B80DC92
+:043DA600F14180DB8C
+:043DA700F14780DA86
+:043DA800F14E80DA7E
+:043DA900F15480D978
+:043DAA00F15A80D872
+:043DAB00F16080D76C
+:043DAC00F16780D764
+:043DAD00F16D80D65E
+:043DAE00F17380D558
+:043DAF00F17980D551
+:043DB000F18080D44A
+:043DB100F18680D344
+:043DB200F18C80D23E
+:043DB300F19280D237
+:043DB400F19980D130
+:043DB500F19F80D02A
+:043DB600F1A580D023
+:043DB700F1AB80CF1D
+:043DB800F1B280CE16
+:043DB900F1B880CE0F
+:043DBA00F1BE80CD09
+:043DBB00F1C480CC03
+:043DBC00F1CA80CBFD
+:043DBD00F1D180CBF5
+:043DBE00F1D780CAEF
+:043DBF00F1DD80C9E9
+:043DC000F1E380C9E2
+:043DC100F1EA80C8DB
+:043DC200F1F080C7D5
+:043DC300F1F680C7CE
+:043DC400F1FC80C6C8
+:043DC500F20380C5C0
+:043DC600F20980C5B9
+:043DC700F20F80C4B3
+:043DC800F21580C3AD
+:043DC900F21C80C2A6
+:043DCA00F22280C29F
+:043DCB00F22880C199
+:043DCC00F22E80C093
+:043DCD00F23580C08B
+:043DCE00F23B80BF85
+:043DCF00F24180BE7F
+:043DD000F24780BE78
+:043DD100F24E80BD71
+:043DD200F25480BC6B
+:043DD300F25A80BC64
+:043DD400F26080BB5E
+:043DD500F26780BA57
+:043DD600F26D80BA50
+:043DD700F27380B94A
+:043DD800F27980B844
+:043DD900F28080B83C
+:043DDA00F28680B736
+:043DDB00F28C80B630
+:043DDC00F29280B629
+:043DDD00F29980B522
+:043DDE00F29F80B41C
+:043DDF00F2A580B415
+:043DE000F2AB80B30F
+:043DE100F2B280B208
+:043DE200F2B880B201
+:043DE300F2BE80B1FB
+:043DE400F2C480B0F5
+:043DE500F2CB80B0ED
+:043DE600F2D180AFE7
+:043DE700F2D780AFE0
+:043DE800F2DD80AEDA
+:043DE900F2E480ADD3
+:043DEA00F2EA80ADCC
+:043DEB00F2F080ACC6
+:043DEC00F2F680ABC0
+:043DED00F2FD80ABB8
+:043DEE00F30380AAB1
+:043DEF00F30980A9AB
+:043DF000F30F80A9A4
+:043DF100F31680A89D
+:043DF200F31C80A896
+:043DF300F32280A790
+:043DF400F32880A68A
+:043DF500F32F80A682
+:043DF600F33580A57C
+:043DF700F33B80A476
+:043DF800F34180A46F
+:043DF900F34880A368
+:043DFA00F34E80A262
+:043DFB00F35480A25B
+:043DFC00F35A80A155
+:043DFD00F36180A14D
+:043DFE00F36780A047
+:043DFF00F36D809F41
+:043E0000F373809F39
+:043E0100F37A809E32
+:043E0200F380809E2B
+:043E0300F386809D25
+:043E0400F38C809C1F
+:043E0500F393809C17
+:043E0600F399809B11
+:043E0700F39F809A0B
+:043E0800F3A5809A04
+:043E0900F3AC8099FD
+:043E0A00F3B28099F6
+:043E0B00F3B88098F0
+:043E0C00F3BE8097EA
+:043E0D00F3C58097E2
+:043E0E00F3CB8096DC
+:043E0F00F3D18096D5
+:043E1000F3D78095CF
+:043E1100F3DE8094C8
+:043E1200F3E48094C1
+:043E1300F3EA8093BB
+:043E1400F3F08093B4
+:043E1500F3F78092AD
+:043E1600F3FD8092A6
+:043E1700F40380919F
+:043E1800F409809099
+:043E1900F410809091
+:043E1A00F416808F8B
+:043E1B00F41C808F84
+:043E1C00F422808E7E
+:043E1D00F429808D77
+:043E1E00F42F808D70
+:043E1F00F435808C6A
+:043E2000F43C808C62
+:043E2100F442808B5C
+:043E2200F448808B55
+:043E2300F44E808A4F
+:043E2400F455808948
+:043E2500F45B808941
+:043E2600F46180883B
+:043E2700F467808834
+:043E2800F46E80872D
+:043E2900F474808726
+:043E2A00F47A808620
+:043E2B00F48080851A
+:043E2C00F487808512
+:043E2D00F48D80840C
+:043E2E00F493808405
+:043E2F00F4998083FF
+:043E3000F4A08083F7
+:043E3100F4A68082F1
+:043E3200F4AC8081EB
+:043E3300F4B28081E4
+:043E3400F4B98080DD
+:043E3500F4BF8080D6
+:043E3600F4C5807FD0
+:043E3700F4CB807FC9
+:043E3800F4D2807EC2
+:043E3900F4D8807EBB
+:043E3A00F4DE807DB5
+:043E3B00F4E4807DAE
+:043E3C00F4EB807CA7
+:043E3D00F4F1807BA1
+:043E3E00F4F7807B9A
+:043E3F00F4FE807A93
+:043E4000F504807A8B
+:043E4100F50A807985
+:043E4200F51080797E
+:043E4300F517807877
+:043E4400F51D807870
+:043E4500F52380776A
+:043E4600F529807763
+:043E4700F53080765C
+:043E4800F536807655
+:043E4900F53C80754F
+:043E4A00F542807449
+:043E4B00F549807441
+:043E4C00F54F80733B
+:043E4D00F555807334
+:043E4E00F55B80722E
+:043E4F00F562807226
+:043E5000F568807120
+:043E5100F56E807119
+:043E5200F574807013
+:043E5300F57B80700B
+:043E5400F581806F05
+:043E5500F587806FFE
+:043E5600F58E806EF7
+:043E5700F594806EF0
+:043E5800F59A806DEA
+:043E5900F5A0806DE3
+:043E5A00F5A7806CDC
+:043E5B00F5AD806CD5
+:043E5C00F5B3806BCF
+:043E5D00F5B9806BC8
+:043E5E00F5C0806AC1
+:043E5F00F5C6806ABA
+:043E6000F5CC8069B4
+:043E6100F5D28069AD
+:043E6200F5D98068A6
+:043E6300F5DF80689F
+:043E6400F5E5806799
+:043E6500F5EB806792
+:043E6600F5F280668B
+:043E6700F5F8806684
+:043E6800F5FE80657E
+:043E6900F604806576
+:043E6A00F60B80646F
+:043E6B00F611806468
+:043E6C00F617806362
+:043E6D00F61E80635A
+:043E6E00F624806254
+:043E6F00F62A80624D
+:043E7000F630806147
+:043E7100F63780613F
+:043E7200F63D806039
+:043E7300F643806032
+:043E7400F649805F2C
+:043E7500F650805F24
+:043E7600F656805E1E
+:043E7700F65C805E17
+:043E7800F662805E10
+:043E7900F669805D09
+:043E7A00F66F805D02
+:043E7B00F675805CFC
+:043E7C00F67C805CF4
+:043E7D00F682805BEE
+:043E7E00F688805BE7
+:043E7F00F68E805AE1
+:043E8000F695805AD9
+:043E8100F69B8059D3
+:043E8200F6A18059CC
+:043E8300F6A78058C6
+:043E8400F6AE8058BE
+:043E8500F6B48057B8
+:043E8600F6BA8057B1
+:043E8700F6C08057AA
+:043E8800F6C78056A3
+:043E8900F6CD80569C
+:043E8A00F6D3805596
+:043E8B00F6DA80558E
+:043E8C00F6E0805488
+:043E8D00F6E6805481
+:043E8E00F6EC80537B
+:043E8F00F6F3805373
+:043E9000F6F980536C
+:043E9100F6FF805266
+:043E9200F70580525E
+:043E9300F70C805157
+:043E9400F712805150
+:043E9500F71880504A
+:043E9600F71E805043
+:043E9700F725804F3C
+:043E9800F72B804F35
+:043E9900F731804F2E
+:043E9A00F738804E27
+:043E9B00F73E804E20
+:043E9C00F744804D1A
+:043E9D00F74A804D13
+:043E9E00F751804C0C
+:043E9F00F757804C05
+:043EA000F75D804CFE
+:043EA100F763804BF8
+:043EA200F76A804BF0
+:043EA300F770804AEA
+:043EA400F776804AE3
+:043EA500F77C804ADC
+:043EA600F7838049D5
+:043EA700F7898049CE
+:043EA800F78F8048C8
+:043EA900F7968048C0
+:043EAA00F79C8047BA
+:043EAB00F7A28047B3
+:043EAC00F7A88047AC
+:043EAD00F7AF8046A5
+:043EAE00F7B580469E
+:043EAF00F7BB804598
+:043EB000F7C1804591
+:043EB100F7C8804589
+:043EB200F7CE804483
+:043EB300F7D480447C
+:043EB400F7DB804375
+:043EB500F7E180436E
+:043EB600F7E7804367
+:043EB700F7ED804261
+:043EB800F7F4804259
+:043EB900F7FA804153
+:043EBA00F80080414B
+:043EBB00F806804144
+:043EBC00F80D80403D
+:043EBD00F813804036
+:043EBE00F819803F30
+:043EBF00F81F803F29
+:043EC000F826803F21
+:043EC100F82C803E1B
+:043EC200F832803E14
+:043EC300F839803E0C
+:043EC400F83F803D06
+:043EC500F845803DFF
+:043EC600F84B803CF9
+:043EC700F852803CF1
+:043EC800F858803CEA
+:043EC900F85E803BE4
+:043ECA00F864803BDD
+:043ECB00F86B803AD6
+:043ECC00F871803ACF
+:043ECD00F877803AC8
+:043ECE00F87E8039C1
+:043ECF00F8848039BA
+:043ED000F88A8039B3
+:043ED100F8908038AD
+:043ED200F8978038A5
+:043ED300F89D80389E
+:043ED400F8A3803798
+:043ED500F8A9803791
+:043ED600F8B080368A
+:043ED700F8B6803683
+:043ED800F8BC80367C
+:043ED900F8C3803575
+:043EDA00F8C980356E
+:043EDB00F8CF803567
+:043EDC00F8D5803461
+:043EDD00F8DC803459
+:043EDE00F8E2803452
+:043EDF00F8E880334C
+:043EE000F8EE803345
+:043EE100F8F580333D
+:043EE200F8FB803237
+:043EE300F90180322F
+:043EE400F908803227
+:043EE500F90E803121
+:043EE600F91480311A
+:043EE700F91A803113
+:043EE800F92180300C
+:043EE900F927803005
+:043EEA00F92D8030FE
+:043EEB00F933802FF8
+:043EEC00F93A802FF0
+:043EED00F940802FE9
+:043EEE00F946802EE3
+:043EEF00F94D802EDB
+:043EF000F953802ED4
+:043EF100F959802DCE
+:043EF200F95F802DC7
+:043EF300F966802DBF
+:043EF400F96C802CB9
+:043EF500F972802CB2
+:043EF600F978802CAB
+:043EF700F97F802BA4
+:043EF800F985802B9D
+:043EF900F98B802B96
+:043EFA00F992802A8F
+:043EFB00F998802A88
+:043EFC00F99E802A81
+:043EFD00F9A480297B
+:043EFE00F9AB802973
+:043EFF00F9B180296C
+:043F0000F9B7802865
+:043F0100F9BE80285D
+:043F0200F9C4802856
+:043F0300F9CA80284F
+:043F0400F9D0802749
+:043F0500F9D7802741
+:043F0600F9DD80273A
+:043F0700F9E3802634
+:043F0800F9E980262D
+:043F0900F9F0802625
+:043F0A00F9F680251F
+:043F0B00F9FC802518
+:043F0C00FA0380250F
+:043F0D00FA09802508
+:043F0E00FA0F802402
+:043F0F00FA158024FB
+:043F1000FA1C8024F3
+:043F1100FA228023ED
+:043F1200FA288023E6
+:043F1300FA2E8023DF
+:043F1400FA358023D7
+:043F1500FA3B8022D1
+:043F1600FA418022CA
+:043F1700FA488022C2
+:043F1800FA4E8021BC
+:043F1900FA548021B5
+:043F1A00FA5A8021AE
+:043F1B00FA618021A6
+:043F1C00FA678020A0
+:043F1D00FA6D802099
+:043F1E00FA74802091
+:043F1F00FA7A801F8B
+:043F2000FA80801F84
+:043F2100FA86801F7D
+:043F2200FA8D801F75
+:043F2300FA93801E6F
+:043F2400FA99801E68
+:043F2500FA9F801E61
+:043F2600FAA6801E59
+:043F2700FAAC801D53
+:043F2800FAB2801D4C
+:043F2900FAB9801D44
+:043F2A00FABF801D3D
+:043F2B00FAC5801C37
+:043F2C00FACB801C30
+:043F2D00FAD2801C28
+:043F2E00FAD8801C21
+:043F2F00FADE801B1B
+:043F3000FAE5801B13
+:043F3100FAEB801B0C
+:043F3200FAF1801B05
+:043F3300FAF7801AFF
+:043F3400FAFE801AF7
+:043F3500FB04801AEF
+:043F3600FB0A801AE8
+:043F3700FB108019E2
+:043F3800FB178019DA
+:043F3900FB1D8019D3
+:043F3A00FB238019CC
+:043F3B00FB2A8018C5
+:043F3C00FB308018BE
+:043F3D00FB368018B7
+:043F3E00FB3C8018B0
+:043F3F00FB438017A9
+:043F4000FB498017A2
+:043F4100FB4F80179B
+:043F4200FB56801793
+:043F4300FB5C80178C
+:043F4400FB62801686
+:043F4500FB6880167F
+:043F4600FB6F801677
+:043F4700FB75801670
+:043F4800FB7B80156A
+:043F4900FB81801563
+:043F4A00FB8880155B
+:043F4B00FB8E801554
+:043F4C00FB9480154D
+:043F4D00FB9B801446
+:043F4E00FBA180143F
+:043F4F00FBA7801438
+:043F5000FBAD801431
+:043F5100FBB480132A
+:043F5200FBBA801323
+:043F5300FBC080131C
+:043F5400FBC7801314
+:043F5500FBCD80130D
+:043F5600FBD3801207
+:043F5700FBD9801200
+:043F5800FBE08012F8
+:043F5900FBE68012F1
+:043F5A00FBEC8012EA
+:043F5B00FBF38011E3
+:043F5C00FBF98011DC
+:043F5D00FBFF8011D5
+:043F5E00FC058011CD
+:043F5F00FC0C8011C5
+:043F6000FC128010BF
+:043F6100FC188010B8
+:043F6200FC1E8010B1
+:043F6300FC258010A9
+:043F6400FC2B8010A2
+:043F6500FC31800F9C
+:043F6600FC38800F94
+:043F6700FC3E800F8D
+:043F6800FC44800F86
+:043F6900FC4A800F7F
+:043F6A00FC51800F77
+:043F6B00FC57800E71
+:043F6C00FC5D800E6A
+:043F6D00FC64800E62
+:043F6E00FC6A800E5B
+:043F6F00FC70800E54
+:043F7000FC76800D4E
+:043F7100FC7D800D46
+:043F7200FC83800D3F
+:043F7300FC89800D38
+:043F7400FC90800D30
+:043F7500FC96800D29
+:043F7600FC9C800C23
+:043F7700FCA2800C1C
+:043F7800FCA9800C14
+:043F7900FCAF800C0D
+:043F7A00FCB5800C06
+:043F7B00FCBB800CFF
+:043F7C00FCC2800BF8
+:043F7D00FCC8800BF1
+:043F7E00FCCE800BEA
+:043F7F00FCD5800BE2
+:043F8000FCDB800BDB
+:043F8100FCE1800BD4
+:043F8200FCE7800BCD
+:043F8300FCEE800AC6
+:043F8400FCF4800ABF
+:043F8500FCFA800AB8
+:043F8600FD01800AAF
+:043F8700FD07800AA8
+:043F8800FD0D800AA1
+:043F8900FD13800A9A
+:043F8A00FD1A800993
+:043F8B00FD2080098C
+:043F8C00FD26800985
+:043F8D00FD2D80097D
+:043F8E00FD33800976
+:043F8F00FD3980096F
+:043F9000FD3F800968
+:043F9100FD46800861
+:043F9200FD4C80085A
+:043F9300FD52800853
+:043F9400FD5980084B
+:043F9500FD5F800844
+:043F9600FD6580083D
+:043F9700FD6B800836
+:043F9800FD7280082E
+:043F9900FD78800728
+:043F9A00FD7E800721
+:043F9B00FD8480071A
+:043F9C00FD8B800712
+:043F9D00FD9180070B
+:043F9E00FD97800704
+:043F9F00FD9E8007FC
+:043FA000FDA48007F5
+:043FA100FDAA8006EF
+:043FA200FDB08006E8
+:043FA300FDB78006E0
+:043FA400FDBD8006D9
+:043FA500FDC38006D2
+:043FA600FDCA8006CA
+:043FA700FDD08006C3
+:043FA800FDD68006BC
+:043FA900FDDC8006B5
+:043FAA00FDE38005AE
+:043FAB00FDE98005A7
+:043FAC00FDEF8005A0
+:043FAD00FDF6800598
+:043FAE00FDFC800591
+:043FAF00FE02800589
+:043FB000FE08800582
+:043FB100FE0F80057A
+:043FB200FE15800573
+:043FB300FE1B80056C
+:043FB400FE22800465
+:043FB500FE2880045E
+:043FB600FE2E800457
+:043FB700FE34800450
+:043FB800FE3B800448
+:043FB900FE41800441
+:043FBA00FE4780043A
+:043FBB00FE4D800433
+:043FBC00FE5480042B
+:043FBD00FE5A800424
+:043FBE00FE6080041D
+:043FBF00FE67800415
+:043FC000FE6D80030F
+:043FC100FE73800308
+:043FC200FE79800301
+:043FC300FE808003F9
+:043FC400FE868003F2
+:043FC500FE8C8003EB
+:043FC600FE938003E3
+:043FC700FE998003DC
+:043FC800FE9F8003D5
+:043FC900FEA58003CE
+:043FCA00FEAC8003C6
+:043FCB00FEB28003BF
+:043FCC00FEB88003B8
+:043FCD00FEBF8003B0
+:043FCE00FEC58003A9
+:043FCF00FECB8002A3
+:043FD000FED180029C
+:043FD100FED8800294
+:043FD200FEDE80028D
+:043FD300FEE4800286
+:043FD400FEEB80027E
+:043FD500FEF1800277
+:043FD600FEF7800270
+:043FD700FEFD800269
+:043FD800FF04800260
+:043FD900FF0A800259
+:043FDA00FF10800252
+:043FDB00FF1780024A
+:043FDC00FF1D800243
+:043FDD00FF2380023C
+:043FDE00FF29800235
+:043FDF00FF3080022D
+:043FE000FF36800226
+:043FE100FF3C80021F
+:043FE200FF43800217
+:043FE300FF49800210
+:043FE400FF4F80010A
+:043FE500FF55800103
+:043FE600FF5C8001FB
+:043FE700FF628001F4
+:043FE800FF688001ED
+:043FE900FF6E8001E6
+:043FEA00FF758001DE
+:043FEB00FF7B8001D7
+:043FEC00FF818001D0
+:043FED00FF888001C8
+:043FEE00FF8E8001C1
+:043FEF00FF948001BA
+:043FF000FF9A8001B3
+:043FF100FFA18001AB
+:043FF200FFA78001A4
+:043FF300FFAD80019D
+:043FF400FFB4800195
+:043FF500FFBA80018E
+:043FF600FFC0800187
+:043FF700FFC6800180
+:043FF800FFCD800178
+:043FF900FFD3800171
+:043FFA00FFD980016A
+:043FFB00FFE0800162
+:043FFC00FFE680015B
+:043FFD00FFEC800154
+:043FFE00FFF280014D
+:043FFF00FFF9800145
+:0440000000007FFF3E
+:04400100FFFD7FFF41
+:04400200FFFA7FFF43
+:04400300FFF77FFF45
+:04400400FFF37FFF48
+:04400500FFF07FFF4A
+:04400600FFED7FFF4C
+:04400700FFEA7FFF4E
+:04400800FFE77FFF50
+:04400900FFE47FFF52
+:04400A00FFE17FFF54
+:04400B00FFDD7FFF57
+:04400C00FFDA7FFF59
+:04400D00FFD77FFF5B
+:04400E00FFD47FFF5D
+:04400F00FFD17FFF5F
+:04401000FFCE7FFF61
+:04401100FFCB7FFF63
+:04401200FFC77FFF66
+:04401300FFC47FFF68
+:04401400FFC17FFF6A
+:04401500FFBE7FFF6C
+:04401600FFBB7FFF6E
+:04401700FFB87FFF70
+:04401800FFB57FFF72
+:04401900FFB17FFF75
+:04401A00FFAE7FFF77
+:04401B00FFAB7FFF79
+:04401C00FFA87FFF7B
+:04401D00FFA57FFF7D
+:04401E00FFA27FFF7F
+:04401F00FF9F7FFF81
+:04402000FF9B7FFF84
+:04402100FF987FFF86
+:04402200FF957FFF88
+:04402300FF927FFF8A
+:04402400FF8F7FFF8C
+:04402500FF8C7FFF8E
+:04402600FF897FFF90
+:04402700FF857FFF93
+:04402800FF827FFF95
+:04402900FF7F7FFF97
+:04402A00FF7C7FFF99
+:04402B00FF797FFF9B
+:04402C00FF767FFF9D
+:04402D00FF737FFF9F
+:04402E00FF6F7FFFA2
+:04402F00FF6C7FFFA4
+:04403000FF697FFFA6
+:04403100FF667FFFA8
+:04403200FF637FFFAA
+:04403300FF607FFFAC
+:04403400FF5D7FFFAE
+:04403500FF5A7FFFB0
+:04403600FF567FFFB3
+:04403700FF537FFFB5
+:04403800FF507FFFB7
+:04403900FF4D7FFFB9
+:04403A00FF4A7FFEBC
+:04403B00FF477FFEBE
+:04403C00FF447FFEC0
+:04403D00FF407FFEC3
+:04403E00FF3D7FFEC5
+:04403F00FF3A7FFEC7
+:04404000FF377FFEC9
+:04404100FF347FFECB
+:04404200FF317FFECD
+:04404300FF2E7FFECF
+:04404400FF2A7FFED2
+:04404500FF277FFED4
+:04404600FF247FFED6
+:04404700FF217FFED8
+:04404800FF1E7FFEDA
+:04404900FF1B7FFEDC
+:04404A00FF187FFEDE
+:04404B00FF147FFEE1
+:04404C00FF117FFEE3
+:04404D00FF0E7FFEE5
+:04404E00FF0B7FFEE7
+:04404F00FF087FFEE9
+:04405000FF057FFEEB
+:04405100FF027FFEED
+:04405200FEFE7FFEF1
+:04405300FEFB7FFEF3
+:04405400FEF87FFEF5
+:04405500FEF57FFEF7
+:04405600FEF27FFEF9
+:04405700FEEF7FFEFB
+:04405800FEEC7FFEFD
+:04405900FEE87FFE00
+:04405A00FEE57FFE02
+:04405B00FEE27FFE04
+:04405C00FEDF7FFE06
+:04405D00FEDC7FFE08
+:04405E00FED97FFE0A
+:04405F00FED67FFE0C
+:04406000FED27FFE0F
+:04406100FECF7FFE11
+:04406200FECC7FFE13
+:04406300FEC97FFE15
+:04406400FEC67FFD18
+:04406500FEC37FFD1A
+:04406600FEC07FFD1C
+:04406700FEBC7FFD1F
+:04406800FEB97FFD21
+:04406900FEB67FFD23
+:04406A00FEB37FFD25
+:04406B00FEB07FFD27
+:04406C00FEAD7FFD29
+:04406D00FEAA7FFD2B
+:04406E00FEA67FFD2E
+:04406F00FEA37FFD30
+:04407000FEA07FFD32
+:04407100FE9D7FFD34
+:04407200FE9A7FFD36
+:04407300FE977FFD38
+:04407400FE947FFD3A
+:04407500FE907FFD3D
+:04407600FE8D7FFD3F
+:04407700FE8A7FFD41
+:04407800FE877FFD43
+:04407900FE847FFD45
+:04407A00FE817FFD47
+:04407B00FE7E7FFD49
+:04407C00FE7A7FFD4C
+:04407D00FE777FFD4E
+:04407E00FE747FFD50
+:04407F00FE717FFD52
+:04408000FE6E7FFD54
+:04408100FE6B7FFC57
+:04408200FE687FFC59
+:04408300FE647FFC5C
+:04408400FE617FFC5E
+:04408500FE5E7FFC60
+:04408600FE5B7FFC62
+:04408700FE587FFC64
+:04408800FE557FFC66
+:04408900FE527FFC68
+:04408A00FE4E7FFC6B
+:04408B00FE4B7FFC6D
+:04408C00FE487FFC6F
+:04408D00FE457FFC71
+:04408E00FE427FFC73
+:04408F00FE3F7FFC75
+:04409000FE3C7FFC77
+:04409100FE397FFC79
+:04409200FE357FFC7C
+:04409300FE327FFC7E
+:04409400FE2F7FFC80
+:04409500FE2C7FFC82
+:04409600FE297FFC84
+:04409700FE267FFC86
+:04409800FE237FFC88
+:04409900FE1F7FFB8C
+:04409A00FE1C7FFB8E
+:04409B00FE197FFB90
+:04409C00FE167FFB92
+:04409D00FE137FFB94
+:04409E00FE107FFB96
+:04409F00FE0D7FFB98
+:0440A000FE097FFB9B
+:0440A100FE067FFB9D
+:0440A200FE037FFB9F
+:0440A300FE007FFBA1
+:0440A400FDFD7FFBA4
+:0440A500FDFA7FFBA6
+:0440A600FDF77FFBA8
+:0440A700FDF37FFBAB
+:0440A800FDF07FFBAD
+:0440A900FDED7FFBAF
+:0440AA00FDEA7FFBB1
+:0440AB00FDE77FFBB3
+:0440AC00FDE47FFBB5
+:0440AD00FDE17FFAB8
+:0440AE00FDDD7FFABB
+:0440AF00FDDA7FFABD
+:0440B000FDD77FFABF
+:0440B100FDD47FFAC1
+:0440B200FDD17FFAC3
+:0440B300FDCE7FFAC5
+:0440B400FDCB7FFAC7
+:0440B500FDC77FFACA
+:0440B600FDC47FFACC
+:0440B700FDC17FFACE
+:0440B800FDBE7FFAD0
+:0440B900FDBB7FFAD2
+:0440BA00FDB87FFAD4
+:0440BB00FDB57FFAD6
+:0440BC00FDB17FFAD9
+:0440BD00FDAE7FFADB
+:0440BE00FDAB7FFADD
+:0440BF00FDA87FFADF
+:0440C000FDA57FF9E2
+:0440C100FDA27FF9E4
+:0440C200FD9F7FF9E6
+:0440C300FD9B7FF9E9
+:0440C400FD987FF9EB
+:0440C500FD957FF9ED
+:0440C600FD927FF9EF
+:0440C700FD8F7FF9F1
+:0440C800FD8C7FF9F3
+:0440C900FD897FF9F5
+:0440CA00FD857FF9F8
+:0440CB00FD827FF9FA
+:0440CC00FD7F7FF9FC
+:0440CD00FD7C7FF9FE
+:0440CE00FD797FF900
+:0440CF00FD767FF902
+:0440D000FD737FF805
+:0440D100FD6F7FF808
+:0440D200FD6C7FF80A
+:0440D300FD697FF80C
+:0440D400FD667FF80E
+:0440D500FD637FF810
+:0440D600FD607FF812
+:0440D700FD5D7FF814
+:0440D800FD5A7FF816
+:0440D900FD567FF819
+:0440DA00FD537FF81B
+:0440DB00FD507FF81D
+:0440DC00FD4D7FF81F
+:0440DD00FD4A7FF821
+:0440DE00FD477FF823
+:0440DF00FD447FF825
+:0440E000FD407FF729
+:0440E100FD3D7FF72B
+:0440E200FD3A7FF72D
+:0440E300FD377FF72F
+:0440E400FD347FF731
+:0440E500FD317FF733
+:0440E600FD2E7FF735
+:0440E700FD2A7FF738
+:0440E800FD277FF73A
+:0440E900FD247FF73C
+:0440EA00FD217FF73E
+:0440EB00FD1E7FF740
+:0440EC00FD1B7FF742
+:0440ED00FD187FF744
+:0440EE00FD147FF648
+:0440EF00FD117FF64A
+:0440F000FD0E7FF64C
+:0440F100FD0B7FF64E
+:0440F200FD087FF650
+:0440F300FD057FF652
+:0440F400FD027FF654
+:0440F500FCFE7FF658
+:0440F600FCFB7FF65A
+:0440F700FCF87FF65C
+:0440F800FCF57FF65E
+:0440F900FCF27FF660
+:0440FA00FCEF7FF662
+:0440FB00FCEC7FF664
+:0440FC00FCE87FF568
+:0440FD00FCE57FF56A
+:0440FE00FCE27FF56C
+:0440FF00FCDF7FF56E
+:04410000FCDC7FF56F
+:04410100FCD97FF571
+:04410200FCD67FF573
+:04410300FCD27FF576
+:04410400FCCF7FF578
+:04410500FCCC7FF57A
+:04410600FCC97FF57C
+:04410700FCC67FF57E
+:04410800FCC37FF580
+:04410900FCC07FF483
+:04410A00FCBC7FF486
+:04410B00FCB97FF488
+:04410C00FCB67FF48A
+:04410D00FCB37FF48C
+:04410E00FCB07FF48E
+:04410F00FCAD7FF490
+:04411000FCAA7FF492
+:04411100FCA67FF495
+:04411200FCA37FF497
+:04411300FCA07FF499
+:04411400FC9D7FF49B
+:04411500FC9A7FF39E
+:04411600FC977FF3A0
+:04411700FC947FF3A2
+:04411800FC917FF3A4
+:04411900FC8D7FF3A7
+:04411A00FC8A7FF3A9
+:04411B00FC877FF3AB
+:04411C00FC847FF3AD
+:04411D00FC817FF3AF
+:04411E00FC7E7FF3B1
+:04411F00FC7B7FF3B3
+:04412000FC777FF3B6
+:04412100FC747FF2B9
+:04412200FC717FF2BB
+:04412300FC6E7FF2BD
+:04412400FC6B7FF2BF
+:04412500FC687FF2C1
+:04412600FC657FF2C3
+:04412700FC617FF2C6
+:04412800FC5E7FF2C8
+:04412900FC5B7FF2CA
+:04412A00FC587FF2CC
+:04412B00FC557FF2CE
+:04412C00FC527FF1D1
+:04412D00FC4F7FF1D3
+:04412E00FC4B7FF1D6
+:04412F00FC487FF1D8
+:04413000FC457FF1DA
+:04413100FC427FF1DC
+:04413200FC3F7FF1DE
+:04413300FC3C7FF1E0
+:04413400FC397FF1E2
+:04413500FC357FF1E5
+:04413600FC327FF1E7
+:04413700FC2F7FF0EA
+:04413800FC2C7FF0EC
+:04413900FC297FF0EE
+:04413A00FC267FF0F0
+:04413B00FC237FF0F2
+:04413C00FC1F7FF0F5
+:04413D00FC1C7FF0F7
+:04413E00FC197FF0F9
+:04413F00FC167FF0FB
+:04414000FC137FF0FD
+:04414100FC107FEF00
+:04414200FC0D7FEF02
+:04414300FC097FEF05
+:04414400FC067FEF07
+:04414500FC037FEF09
+:04414600FC007FEF0B
+:04414700FBFD7FEF0E
+:04414800FBFA7FEF10
+:04414900FBF77FEF12
+:04414A00FBF47FEF14
+:04414B00FBF07FEF17
+:04414C00FBED7FEE1A
+:04414D00FBEA7FEE1C
+:04414E00FBE77FEE1E
+:04414F00FBE47FEE20
+:04415000FBE17FEE22
+:04415100FBDE7FEE24
+:04415200FBDA7FEE27
+:04415300FBD77FEE29
+:04415400FBD47FEE2B
+:04415500FBD17FED2E
+:04415600FBCE7FED30
+:04415700FBCB7FED32
+:04415800FBC87FED34
+:04415900FBC47FED37
+:04415A00FBC17FED39
+:04415B00FBBE7FED3B
+:04415C00FBBB7FED3D
+:04415D00FBB87FED3F
+:04415E00FBB57FED41
+:04415F00FBB27FEC44
+:04416000FBAE7FEC47
+:04416100FBAB7FEC49
+:04416200FBA87FEC4B
+:04416300FBA57FEC4D
+:04416400FBA27FEC4F
+:04416500FB9F7FEC51
+:04416600FB9C7FEC53
+:04416700FB987FEC56
+:04416800FB957FEB59
+:04416900FB927FEB5B
+:04416A00FB8F7FEB5D
+:04416B00FB8C7FEB5F
+:04416C00FB897FEB61
+:04416D00FB867FEB63
+:04416E00FB827FEB66
+:04416F00FB7F7FEB68
+:04417000FB7C7FEB6A
+:04417100FB797FEA6D
+:04417200FB767FEA6F
+:04417300FB737FEA71
+:04417400FB707FEA73
+:04417500FB6D7FEA75
+:04417600FB697FEA78
+:04417700FB667FEA7A
+:04417800FB637FEA7C
+:04417900FB607FEA7E
+:04417A00FB5D7FE981
+:04417B00FB5A7FE983
+:04417C00FB577FE985
+:04417D00FB537FE988
+:04417E00FB507FE98A
+:04417F00FB4D7FE98C
+:04418000FB4A7FE98E
+:04418100FB477FE990
+:04418200FB447FE992
+:04418300FB417FE895
+:04418400FB3D7FE898
+:04418500FB3A7FE89A
+:04418600FB377FE89C
+:04418700FB347FE89E
+:04418800FB317FE8A0
+:04418900FB2E7FE8A2
+:04418A00FB2B7FE8A4
+:04418B00FB277FE8A7
+:04418C00FB247FE7AA
+:04418D00FB217FE7AC
+:04418E00FB1E7FE7AE
+:04418F00FB1B7FE7B0
+:04419000FB187FE7B2
+:04419100FB157FE7B4
+:04419200FB117FE7B7
+:04419300FB0E7FE7B9
+:04419400FB0B7FE6BC
+:04419500FB087FE6BE
+:04419600FB057FE6C0
+:04419700FB027FE6C2
+:04419800FAFF7FE6C5
+:04419900FAFB7FE6C8
+:04419A00FAF87FE6CA
+:04419B00FAF57FE6CC
+:04419C00FAF27FE5CF
+:04419D00FAEF7FE5D1
+:04419E00FAEC7FE5D3
+:04419F00FAE97FE5D5
+:0441A000FAE67FE5D7
+:0441A100FAE27FE5DA
+:0441A200FADF7FE5DC
+:0441A300FADC7FE5DE
+:0441A400FAD97FE4E1
+:0441A500FAD67FE4E3
+:0441A600FAD37FE4E5
+:0441A700FAD07FE4E7
+:0441A800FACC7FE4EA
+:0441A900FAC97FE4EC
+:0441AA00FAC67FE4EE
+:0441AB00FAC37FE4F0
+:0441AC00FAC07FE3F3
+:0441AD00FABD7FE3F5
+:0441AE00FABA7FE3F7
+:0441AF00FAB67FE3FA
+:0441B000FAB37FE3FC
+:0441B100FAB07FE3FE
+:0441B200FAAD7FE300
+:0441B300FAAA7FE302
+:0441B400FAA77FE205
+:0441B500FAA47FE207
+:0441B600FAA07FE20A
+:0441B700FA9D7FE20C
+:0441B800FA9A7FE20E
+:0441B900FA977FE210
+:0441BA00FA947FE212
+:0441BB00FA917FE115
+:0441BC00FA8E7FE117
+:0441BD00FA8B7FE119
+:0441BE00FA877FE11C
+:0441BF00FA847FE11E
+:0441C000FA817FE120
+:0441C100FA7E7FE122
+:0441C200FA7B7FE124
+:0441C300FA787FE027
+:0441C400FA757FE029
+:0441C500FA717FE02C
+:0441C600FA6E7FE02E
+:0441C700FA6B7FE030
+:0441C800FA687FE032
+:0441C900FA657FE034
+:0441CA00FA627FDF37
+:0441CB00FA5F7FDF39
+:0441CC00FA5B7FDF3C
+:0441CD00FA587FDF3E
+:0441CE00FA557FDF40
+:0441CF00FA527FDF42
+:0441D000FA4F7FDF44
+:0441D100FA4C7FDE47
+:0441D200FA497FDE49
+:0441D300FA457FDE4C
+:0441D400FA427FDE4E
+:0441D500FA3F7FDE50
+:0441D600FA3C7FDE52
+:0441D700FA397FDE54
+:0441D800FA367FDD57
+:0441D900FA337FDD59
+:0441DA00FA2F7FDD5C
+:0441DB00FA2C7FDD5E
+:0441DC00FA297FDD60
+:0441DD00FA267FDD62
+:0441DE00FA237FDD64
+:0441DF00FA207FDC67
+:0441E000FA1D7FDC69
+:0441E100FA1A7FDC6B
+:0441E200FA167FDC6E
+:0441E300FA137FDC70
+:0441E400FA107FDC72
+:0441E500FA0D7FDC74
+:0441E600FA0A7FDB77
+:0441E700FA077FDB79
+:0441E800FA047FDB7B
+:0441E900FA007FDB7E
+:0441EA00F9FD7FDB81
+:0441EB00F9FA7FDB83
+:0441EC00F9F77FDB85
+:0441ED00F9F47FDA88
+:0441EE00F9F17FDA8A
+:0441EF00F9EE7FDA8C
+:0441F000F9EA7FDA8F
+:0441F100F9E77FDA91
+:0441F200F9E47FDA93
+:0441F300F9E17FDA95
+:0441F400F9DE7FD998
+:0441F500F9DB7FD99A
+:0441F600F9D87FD99C
+:0441F700F9D47FD99F
+:0441F800F9D17FD9A1
+:0441F900F9CE7FD9A3
+:0441FA00F9CB7FD8A6
+:0441FB00F9C87FD8A8
+:0441FC00F9C57FD8AA
+:0441FD00F9C27FD8AC
+:0441FE00F9BF7FD8AE
+:0441FF00F9BB7FD8B1
+:04420000F9B87FD8B2
+:04420100F9B57FD7B5
+:04420200F9B27FD7B7
+:04420300F9AF7FD7B9
+:04420400F9AC7FD7BB
+:04420500F9A97FD7BD
+:04420600F9A57FD7C0
+:04420700F9A27FD6C3
+:04420800F99F7FD6C5
+:04420900F99C7FD6C7
+:04420A00F9997FD6C9
+:04420B00F9967FD6CB
+:04420C00F9937FD6CD
+:04420D00F98F7FD6D0
+:04420E00F98C7FD5D3
+:04420F00F9897FD5D5
+:04421000F9867FD5D7
+:04421100F9837FD5D9
+:04421200F9807FD5DB
+:04421300F97D7FD5DD
+:04421400F9797FD4E1
+:04421500F9767FD4E3
+:04421600F9737FD4E5
+:04421700F9707FD4E7
+:04421800F96D7FD4E9
+:04421900F96A7FD4EB
+:04421A00F9677FD3EE
+:04421B00F9647FD3F0
+:04421C00F9607FD3F3
+:04421D00F95D7FD3F5
+:04421E00F95A7FD3F7
+:04421F00F9577FD3F9
+:04422000F9547FD2FC
+:04422100F9517FD2FE
+:04422200F94E7FD200
+:04422300F94A7FD203
+:04422400F9477FD205
+:04422500F9447FD207
+:04422600F9417FD10A
+:04422700F93E7FD10C
+:04422800F93B7FD10E
+:04422900F9387FD110
+:04422A00F9347FD113
+:04422B00F9317FD115
+:04422C00F92E7FD018
+:04422D00F92B7FD01A
+:04422E00F9287FD01C
+:04422F00F9257FD01E
+:04423000F9227FD020
+:04423100F91F7FD022
+:04423200F91B7FCF26
+:04423300F9187FCF28
+:04423400F9157FCF2A
+:04423500F9127FCF2C
+:04423600F90F7FCF2E
+:04423700F90C7FCF30
+:04423800F9097FCE33
+:04423900F9057FCE36
+:04423A00F9027FCE38
+:04423B00F8FF7FCE3B
+:04423C00F8FC7FCE3D
+:04423D00F8F97FCE3F
+:04423E00F8F67FCD42
+:04423F00F8F37FCD44
+:04424000F8EF7FCD47
+:04424100F8EC7FCD49
+:04424200F8E97FCD4B
+:04424300F8E67FCD4D
+:04424400F8E37FCC50
+:04424500F8E07FCC52
+:04424600F8DD7FCC54
+:04424700F8DA7FCC56
+:04424800F8D67FCC59
+:04424900F8D37FCB5C
+:04424A00F8D07FCB5E
+:04424B00F8CD7FCB60
+:04424C00F8CA7FCB62
+:04424D00F8C77FCB64
+:04424E00F8C47FCB66
+:04424F00F8C07FCA6A
+:04425000F8BD7FCA6C
+:04425100F8BA7FCA6E
+:04425200F8B77FCA70
+:04425300F8B47FCA72
+:04425400F8B17FCA74
+:04425500F8AE7FC977
+:04425600F8AA7FC97A
+:04425700F8A77FC97C
+:04425800F8A47FC97E
+:04425900F8A17FC980
+:04425A00F89E7FC883
+:04425B00F89B7FC885
+:04425C00F8987FC887
+:04425D00F8957FC889
+:04425E00F8917FC88C
+:04425F00F88E7FC88E
+:04426000F88B7FC791
+:04426100F8887FC793
+:04426200F8857FC795
+:04426300F8827FC797
+:04426400F87F7FC799
+:04426500F87B7FC69D
+:04426600F8787FC69F
+:04426700F8757FC6A1
+:04426800F8727FC6A3
+:04426900F86F7FC6A5
+:04426A00F86C7FC6A7
+:04426B00F8697FC5AA
+:04426C00F8657FC5AD
+:04426D00F8627FC5AF
+:04426E00F85F7FC5B1
+:04426F00F85C7FC5B3
+:04427000F8597FC4B6
+:04427100F8567FC4B8
+:04427200F8537FC4BA
+:04427300F8507FC4BC
+:04427400F84C7FC4BF
+:04427500F8497FC3C2
+:04427600F8467FC3C4
+:04427700F8437FC3C6
+:04427800F8407FC3C8
+:04427900F83D7FC3CA
+:04427A00F83A7FC2CD
+:04427B00F8367FC2D0
+:04427C00F8337FC2D2
+:04427D00F8307FC2D4
+:04427E00F82D7FC2D6
+:04427F00F82A7FC2D8
+:04428000F8277FC1DB
+:04428100F8247FC1DD
+:04428200F8207FC1E0
+:04428300F81D7FC1E2
+:04428400F81A7FC1E4
+:04428500F8177FC0E7
+:04428600F8147FC0E9
+:04428700F8117FC0EB
+:04428800F80E7FC0ED
+:04428900F80B7FC0EF
+:04428A00F8077FBFF3
+:04428B00F8047FBFF5
+:04428C00F8017FBFF7
+:04428D00F7FE7FBFFA
+:04428E00F7FB7FBFFC
+:04428F00F7F87FBEFF
+:04429000F7F57FBE01
+:04429100F7F17FBE04
+:04429200F7EE7FBE06
+:04429300F7EB7FBE08
+:04429400F7E87FBD0B
+:04429500F7E57FBD0D
+:04429600F7E27FBD0F
+:04429700F7DF7FBD11
+:04429800F7DC7FBD13
+:04429900F7D87FBC17
+:04429A00F7D57FBC19
+:04429B00F7D27FBC1B
+:04429C00F7CF7FBC1D
+:04429D00F7CC7FBC1F
+:04429E00F7C97FBB22
+:04429F00F7C67FBB24
+:0442A000F7C27FBB27
+:0442A100F7BF7FBB29
+:0442A200F7BC7FBB2B
+:0442A300F7B97FBA2E
+:0442A400F7B67FBA30
+:0442A500F7B37FBA32
+:0442A600F7B07FBA34
+:0442A700F7AC7FBA37
+:0442A800F7A97FB93A
+:0442A900F7A67FB93C
+:0442AA00F7A37FB93E
+:0442AB00F7A07FB940
+:0442AC00F79D7FB942
+:0442AD00F79A7FB845
+:0442AE00F7977FB847
+:0442AF00F7937FB84A
+:0442B000F7907FB84C
+:0442B100F78D7FB84E
+:0442B200F78A7FB751
+:0442B300F7877FB753
+:0442B400F7847FB755
+:0442B500F7817FB757
+:0442B600F77D7FB65B
+:0442B700F77A7FB65D
+:0442B800F7777FB65F
+:0442B900F7747FB661
+:0442BA00F7717FB663
+:0442BB00F76E7FB566
+:0442BC00F76B7FB568
+:0442BD00F7687FB56A
+:0442BE00F7647FB56D
+:0442BF00F7617FB56F
+:0442C000F75E7FB472
+:0442C100F75B7FB474
+:0442C200F7587FB476
+:0442C300F7557FB478
+:0442C400F7527FB47A
+:0442C500F74E7FB37E
+:0442C600F74B7FB380
+:0442C700F7487FB382
+:0442C800F7457FB384
+:0442C900F7427FB287
+:0442CA00F73F7FB289
+:0442CB00F73C7FB28B
+:0442CC00F7397FB28D
+:0442CD00F7357FB290
+:0442CE00F7327FB193
+:0442CF00F72F7FB195
+:0442D000F72C7FB197
+:0442D100F7297FB199
+:0442D200F7267FB19B
+:0442D300F7237FB09E
+:0442D400F71F7FB0A1
+:0442D500F71C7FB0A3
+:0442D600F7197FB0A5
+:0442D700F7167FAFA8
+:0442D800F7137FAFAA
+:0442D900F7107FAFAC
+:0442DA00F70D7FAFAE
+:0442DB00F70A7FAFB0
+:0442DC00F7067FAEB4
+:0442DD00F7037FAEB6
+:0442DE00F7007FAEB8
+:0442DF00F6FD7FAEBB
+:0442E000F6FA7FADBE
+:0442E100F6F77FADC0
+:0442E200F6F47FADC2
+:0442E300F6F07FADC5
+:0442E400F6ED7FADC7
+:0442E500F6EA7FACCA
+:0442E600F6E77FACCC
+:0442E700F6E47FACCE
+:0442E800F6E17FACD0
+:0442E900F6DE7FABD3
+:0442EA00F6DB7FABD5
+:0442EB00F6D77FABD8
+:0442EC00F6D47FABDA
+:0442ED00F6D17FABDC
+:0442EE00F6CE7FAADF
+:0442EF00F6CB7FAAE1
+:0442F000F6C87FAAE3
+:0442F100F6C57FAAE5
+:0442F200F6C17FA9E9
+:0442F300F6BE7FA9EB
+:0442F400F6BB7FA9ED
+:0442F500F6B87FA9EF
+:0442F600F6B57FA9F1
+:0442F700F6B27FA8F4
+:0442F800F6AF7FA8F6
+:0442F900F6AC7FA8F8
+:0442FA00F6A87FA8FB
+:0442FB00F6A57FA7FE
+:0442FC00F6A27FA700
+:0442FD00F69F7FA702
+:0442FE00F69C7FA704
+:0442FF00F6997FA607
+:04430000F6967FA608
+:04430100F6927FA60B
+:04430200F68F7FA60D
+:04430300F68C7FA60F
+:04430400F6897FA512
+:04430500F6867FA514
+:04430600F6837FA516
+:04430700F6807FA518
+:04430800F67D7FA41B
+:04430900F6797FA41E
+:04430A00F6767FA420
+:04430B00F6737FA422
+:04430C00F6707FA325
+:04430D00F66D7FA327
+:04430E00F66A7FA329
+:04430F00F6677FA32B
+:04431000F6637FA22F
+:04431100F6607FA231
+:04431200F65D7FA233
+:04431300F65A7FA235
+:04431400F6577FA237
+:04431500F6547FA13A
+:04431600F6517FA13C
+:04431700F64E7FA13E
+:04431800F64A7FA141
+:04431900F6477FA044
+:04431A00F6447FA046
+:04431B00F6417FA048
+:04431C00F63E7FA04A
+:04431D00F63B7F9F4D
+:04431E00F6387F9F4F
+:04431F00F6347F9F52
+:04432000F6317F9F54
+:04432100F62E7F9E57
+:04432200F62B7F9E59
+:04432300F6287F9E5B
+:04432400F6257F9E5D
+:04432500F6227F9D60
+:04432600F61F7F9D62
+:04432700F61B7F9D65
+:04432800F6187F9D67
+:04432900F6157F9C6A
+:04432A00F6127F9C6C
+:04432B00F60F7F9C6E
+:04432C00F60C7F9C70
+:04432D00F6097F9C72
+:04432E00F6057F9B76
+:04432F00F6027F9B78
+:04433000F5FF7F9B7B
+:04433100F5FC7F9B7D
+:04433200F5F97F9A80
+:04433300F5F67F9A82
+:04433400F5F37F9A84
+:04433500F5F07F9A86
+:04433600F5EC7F998A
+:04433700F5E97F998C
+:04433800F5E67F998E
+:04433900F5E37F9990
+:04433A00F5E07F9893
+:04433B00F5DD7F9895
+:04433C00F5DA7F9897
+:04433D00F5D77F9899
+:04433E00F5D37F979D
+:04433F00F5D07F979F
+:04434000F5CD7F97A1
+:04434100F5CA7F97A3
+:04434200F5C77F96A6
+:04434300F5C47F96A8
+:04434400F5C17F96AA
+:04434500F5BD7F96AD
+:04434600F5BA7F95B0
+:04434700F5B77F95B2
+:04434800F5B47F95B4
+:04434900F5B17F95B6
+:04434A00F5AE7F94B9
+:04434B00F5AB7F94BB
+:04434C00F5A87F94BD
+:04434D00F5A47F94C0
+:04434E00F5A17F93C3
+:04434F00F59E7F93C5
+:04435000F59B7F93C7
+:04435100F5987F93C9
+:04435200F5957F92CC
+:04435300F5927F92CE
+:04435400F58F7F92D0
+:04435500F58B7F91D4
+:04435600F5887F91D6
+:04435700F5857F91D8
+:04435800F5827F91DA
+:04435900F57F7F90DD
+:04435A00F57C7F90DF
+:04435B00F5797F90E1
+:04435C00F5757F90E4
+:04435D00F5727F8FE7
+:04435E00F56F7F8FE9
+:04435F00F56C7F8FEB
+:04436000F5697F8FED
+:04436100F5667F8EF0
+:04436200F5637F8EF2
+:04436300F5607F8EF4
+:04436400F55C7F8EF7
+:04436500F5597F8DFA
+:04436600F5567F8DFC
+:04436700F5537F8DFE
+:04436800F5507F8D00
+:04436900F54D7F8C03
+:04436A00F54A7F8C05
+:04436B00F5467F8C08
+:04436C00F5437F8C0A
+:04436D00F5407F8B0D
+:04436E00F53D7F8B0F
+:04436F00F53A7F8B11
+:04437000F5377F8A14
+:04437100F5347F8A16
+:04437200F5317F8A18
+:04437300F52D7F8A1B
+:04437400F52A7F891E
+:04437500F5277F8920
+:04437600F5247F8922
+:04437700F5217F8924
+:04437800F51E7F8827
+:04437900F51B7F8829
+:04437A00F5187F882B
+:04437B00F5147F882E
+:04437C00F5117F8731
+:04437D00F50E7F8733
+:04437E00F50B7F8735
+:04437F00F5087F8638
+:04438000F5057F863A
+:04438100F5027F863C
+:04438200F4FF7F863F
+:04438300F4FB7F8543
+:04438400F4F87F8545
+:04438500F4F57F8547
+:04438600F4F27F8549
+:04438700F4EF7F844C
+:04438800F4EC7F844E
+:04438900F4E97F8450
+:04438A00F4E57F8354
+:04438B00F4E27F8356
+:04438C00F4DF7F8358
+:04438D00F4DC7F835A
+:04438E00F4D97F825D
+:04438F00F4D67F825F
+:04439000F4D37F8261
+:04439100F4D07F8263
+:04439200F4CC7F8167
+:04439300F4C97F8169
+:04439400F4C67F816B
+:04439500F4C37F806E
+:04439600F4C07F8070
+:04439700F4BD7F8072
+:04439800F4BA7F8074
+:04439900F4B77F7F77
+:04439A00F4B37F7F7A
+:04439B00F4B07F7F7C
+:04439C00F4AD7F7F7E
+:04439D00F4AA7F7E81
+:04439E00F4A77F7E83
+:04439F00F4A47F7E85
+:0443A000F4A17F7D88
+:0443A100F49D7F7D8B
+:0443A200F49A7F7D8D
+:0443A300F4977F7D8F
+:0443A400F4947F7C92
+:0443A500F4917F7C94
+:0443A600F48E7F7C96
+:0443A700F48B7F7B99
+:0443A800F4887F7B9B
+:0443A900F4847F7B9E
+:0443AA00F4817F7BA0
+:0443AB00F47E7F7AA3
+:0443AC00F47B7F7AA5
+:0443AD00F4787F7AA7
+:0443AE00F4757F79AA
+:0443AF00F4727F79AC
+:0443B000F46F7F79AE
+:0443B100F46B7F79B1
+:0443B200F4687F78B4
+:0443B300F4657F78B6
+:0443B400F4627F78B8
+:0443B500F45F7F77BB
+:0443B600F45C7F77BD
+:0443B700F4597F77BF
+:0443B800F4567F77C1
+:0443B900F4527F76C5
+:0443BA00F44F7F76C7
+:0443BB00F44C7F76C9
+:0443BC00F4497F75CC
+:0443BD00F4467F75CE
+:0443BE00F4437F75D0
+:0443BF00F4407F75D2
+:0443C000F43D7F74D5
+:0443C100F4397F74D8
+:0443C200F4367F74DA
+:0443C300F4337F73DD
+:0443C400F4307F73DF
+:0443C500F42D7F73E1
+:0443C600F42A7F73E3
+:0443C700F4277F72E6
+:0443C800F4237F72E9
+:0443C900F4207F72EB
+:0443CA00F41D7F71EE
+:0443CB00F41A7F71F0
+:0443CC00F4177F71F2
+:0443CD00F4147F71F4
+:0443CE00F4117F70F7
+:0443CF00F40E7F70F9
+:0443D000F40A7F70FC
+:0443D100F4077F6FFF
+:0443D200F4047F6F01
+:0443D300F4017F6F03
+:0443D400F3FE7F6E07
+:0443D500F3FB7F6E09
+:0443D600F3F87F6E0B
+:0443D700F3F57F6E0D
+:0443D800F3F17F6D11
+:0443D900F3EE7F6D13
+:0443DA00F3EB7F6D15
+:0443DB00F3E87F6C18
+:0443DC00F3E57F6C1A
+:0443DD00F3E27F6C1C
+:0443DE00F3DF7F6C1E
+:0443DF00F3DC7F6B21
+:0443E000F3D87F6B24
+:0443E100F3D57F6B26
+:0443E200F3D27F6A29
+:0443E300F3CF7F6A2B
+:0443E400F3CC7F6A2D
+:0443E500F3C97F6930
+:0443E600F3C67F6932
+:0443E700F3C37F6934
+:0443E800F3BF7F6937
+:0443E900F3BC7F683A
+:0443EA00F3B97F683C
+:0443EB00F3B67F683E
+:0443EC00F3B37F6741
+:0443ED00F3B07F6743
+:0443EE00F3AD7F6745
+:0443EF00F3AA7F6648
+:0443F000F3A67F664B
+:0443F100F3A37F664D
+:0443F200F3A07F664F
+:0443F300F39D7F6552
+:0443F400F39A7F6554
+:0443F500F3977F6556
+:0443F600F3947F6459
+:0443F700F3917F645B
+:0443F800F38D7F645E
+:0443F900F38A7F6361
+:0443FA00F3877F6363
+:0443FB00F3847F6365
+:0443FC00F3817F6268
+:0443FD00F37E7F626A
+:0443FE00F37B7F626C
+:0443FF00F3777F626F
+:04440000F3747F6171
+:04440100F3717F6173
+:04440200F36E7F6175
+:04440300F36B7F6078
+:04440400F3687F607A
+:04440500F3657F607C
+:04440600F3627F5F7F
+:04440700F35E7F5F82
+:04440800F35B7F5F84
+:04440900F3587F5E87
+:04440A00F3557F5E89
+:04440B00F3527F5E8B
+:04440C00F34F7F5E8D
+:04440D00F34C7F5D90
+:04440E00F3497F5D92
+:04440F00F3457F5D95
+:04441000F3427F5C98
+:04441100F33F7F5C9A
+:04441200F33C7F5C9C
+:04441300F3397F5B9F
+:04441400F3367F5BA1
+:04441500F3337F5BA3
+:04441600F3307F5AA6
+:04441700F32C7F5AA9
+:04441800F3297F5AAB
+:04441900F3267F59AE
+:04441A00F3237F59B0
+:04441B00F3207F59B2
+:04441C00F31D7F58B5
+:04441D00F31A7F58B7
+:04441E00F3177F58B9
+:04441F00F3137F58BC
+:04442000F3107F57BF
+:04442100F30D7F57C1
+:04442200F30A7F57C3
+:04442300F3077F56C6
+:04442400F3047F56C8
+:04442500F3017F56CA
+:04442600F2FE7F55CE
+:04442700F2FA7F55D1
+:04442800F2F77F55D3
+:04442900F2F47F54D6
+:04442A00F2F17F54D8
+:04442B00F2EE7F54DA
+:04442C00F2EB7F53DD
+:04442D00F2E87F53DF
+:04442E00F2E57F53E1
+:04442F00F2E17F52E5
+:04443000F2DE7F52E7
+:04443100F2DB7F52E9
+:04443200F2D87F51EC
+:04443300F2D57F51EE
+:04443400F2D27F51F0
+:04443500F2CF7F50F3
+:04443600F2CC7F50F5
+:04443700F2C87F50F8
+:04443800F2C57F50FA
+:04443900F2C27F4FFD
+:04443A00F2BF7F4FFF
+:04443B00F2BC7F4F01
+:04443C00F2B97F4E04
+:04443D00F2B67F4E06
+:04443E00F2B37F4E08
+:04443F00F2AF7F4D0C
+:04444000F2AC7F4D0E
+:04444100F2A97F4D10
+:04444200F2A67F4C13
+:04444300F2A37F4C15
+:04444400F2A07F4C17
+:04444500F29D7F4B1A
+:04444600F29A7F4B1C
+:04444700F2967F4B1F
+:04444800F2937F4A22
+:04444900F2907F4A24
+:04444A00F28D7F4A26
+:04444B00F28A7F4929
+:04444C00F2877F492B
+:04444D00F2847F492D
+:04444E00F2817F4830
+:04444F00F27D7F4833
+:04445000F27A7F4835
+:04445100F2777F4738
+:04445200F2747F473A
+:04445300F2717F473C
+:04445400F26E7F463F
+:04445500F26B7F4641
+:04445600F2687F4643
+:04445700F2647F4547
+:04445800F2617F4549
+:04445900F25E7F454B
+:04445A00F25B7F444E
+:04445B00F2587F4450
+:04445C00F2557F4452
+:04445D00F2527F4355
+:04445E00F24F7F4357
+:04445F00F24C7F4359
+:04446000F2487F425D
+:04446100F2457F425F
+:04446200F2427F4261
+:04446300F23F7F4164
+:04446400F23C7F4166
+:04446500F2397F4168
+:04446600F2367F406B
+:04446700F2337F406D
+:04446800F22F7F4070
+:04446900F22C7F3F73
+:04446A00F2297F3F75
+:04446B00F2267F3F77
+:04446C00F2237F3E7A
+:04446D00F2207F3E7C
+:04446E00F21D7F3E7E
+:04446F00F21A7F3D81
+:04447000F2167F3D84
+:04447100F2137F3D86
+:04447200F2107F3C89
+:04447300F20D7F3C8B
+:04447400F20A7F3B8E
+:04447500F2077F3B90
+:04447600F2047F3B92
+:04447700F2017F3A95
+:04447800F1FD7F3A99
+:04447900F1FA7F3A9B
+:04447A00F1F77F399E
+:04447B00F1F47F39A0
+:04447C00F1F17F39A2
+:04447D00F1EE7F38A5
+:04447E00F1EB7F38A7
+:04447F00F1E87F38A9
+:04448000F1E47F37AD
+:04448100F1E17F37AF
+:04448200F1DE7F37B1
+:04448300F1DB7F36B4
+:04448400F1D87F36B6
+:04448500F1D57F36B8
+:04448600F1D27F35BB
+:04448700F1CF7F35BD
+:04448800F1CB7F35C0
+:04448900F1C87F34C3
+:04448A00F1C57F34C5
+:04448B00F1C27F34C7
+:04448C00F1BF7F33CA
+:04448D00F1BC7F33CC
+:04448E00F1B97F32CF
+:04448F00F1B67F32D1
+:04449000F1B37F32D3
+:04449100F1AF7F31D7
+:04449200F1AC7F31D9
+:04449300F1A97F31DB
+:04449400F1A67F30DE
+:04449500F1A37F30E0
+:04449600F1A07F30E2
+:04449700F19D7F2FE5
+:04449800F19A7F2FE7
+:04449900F1967F2FEA
+:04449A00F1937F2EED
+:04449B00F1907F2EEF
+:04449C00F18D7F2EF1
+:04449D00F18A7F2DF4
+:04449E00F1877F2DF6
+:04449F00F1847F2CF9
+:0444A000F1817F2CFB
+:0444A100F17D7F2CFE
+:0444A200F17A7F2B01
+:0444A300F1777F2B03
+:0444A400F1747F2B05
+:0444A500F1717F2A08
+:0444A600F16E7F2A0A
+:0444A700F16B7F2A0C
+:0444A800F1687F290F
+:0444A900F1647F2912
+:0444AA00F1617F2914
+:0444AB00F15E7F2817
+:0444AC00F15B7F2819
+:0444AD00F1587F271C
+:0444AE00F1557F271E
+:0444AF00F1527F2720
+:0444B000F14F7F2623
+:0444B100F14C7F2625
+:0444B200F1487F2628
+:0444B300F1457F252B
+:0444B400F1427F252D
+:0444B500F13F7F252F
+:0444B600F13C7F2432
+:0444B700F1397F2434
+:0444B800F1367F2337
+:0444B900F1337F2339
+:0444BA00F12F7F233C
+:0444BB00F12C7F223F
+:0444BC00F1297F2241
+:0444BD00F1267F2243
+:0444BE00F1237F2146
+:0444BF00F1207F2148
+:0444C000F11D7F214A
+:0444C100F11A7F204D
+:0444C200F1167F2050
+:0444C300F1137F1F53
+:0444C400F1107F1F55
+:0444C500F10D7F1F57
+:0444C600F10A7F1E5A
+:0444C700F1077F1E5C
+:0444C800F1047F1E5E
+:0444C900F1017F1D61
+:0444CA00F0FE7F1D64
+:0444CB00F0FA7F1D67
+:0444CC00F0F77F1C6A
+:0444CD00F0F47F1C6C
+:0444CE00F0F17F1B6F
+:0444CF00F0EE7F1B71
+:0444D000F0EB7F1B73
+:0444D100F0E87F1A76
+:0444D200F0E57F1A78
+:0444D300F0E17F1A7B
+:0444D400F0DE7F197E
+:0444D500F0DB7F1980
+:0444D600F0D87F1883
+:0444D700F0D57F1885
+:0444D800F0D27F1887
+:0444D900F0CF7F178A
+:0444DA00F0CC7F178C
+:0444DB00F0C87F178F
+:0444DC00F0C57F1692
+:0444DD00F0C27F1694
+:0444DE00F0BF7F1597
+:0444DF00F0BC7F1599
+:0444E000F0B97F159B
+:0444E100F0B67F149E
+:0444E200F0B37F14A0
+:0444E300F0B07F14A2
+:0444E400F0AC7F13A6
+:0444E500F0A97F13A8
+:0444E600F0A67F12AB
+:0444E700F0A37F12AD
+:0444E800F0A07F12AF
+:0444E900F09D7F11B2
+:0444EA00F09A7F11B4
+:0444EB00F0977F11B6
+:0444EC00F0937F10BA
+:0444ED00F0907F10BC
+:0444EE00F08D7F0FBF
+:0444EF00F08A7F0FC1
+:0444F000F0877F0FC3
+:0444F100F0847F0EC6
+:0444F200F0817F0EC8
+:0444F300F07E7F0ECA
+:0444F400F07B7F0DCD
+:0444F500F0777F0DD0
+:0444F600F0747F0CD3
+:0444F700F0717F0CD5
+:0444F800F06E7F0CD7
+:0444F900F06B7F0BDA
+:0444FA00F0687F0BDC
+:0444FB00F0657F0BDE
+:0444FC00F0627F0AE1
+:0444FD00F05E7F0AE4
+:0444FE00F05B7F09E7
+:0444FF00F0587F09E9
+:04450000F0557F09EA
+:04450100F0527F08ED
+:04450200F04F7F08EF
+:04450300F04C7F07F2
+:04450400F0497F07F4
+:04450500F0467F07F6
+:04450600F0427F06FA
+:04450700F03F7F06FC
+:04450800F03C7F05FF
+:04450900F0397F0501
+:04450A00F0367F0503
+:04450B00F0337F0406
+:04450C00F0307F0408
+:04450D00F02D7F040A
+:04450E00F0297F030E
+:04450F00F0267F0310
+:04451000F0237F0213
+:04451100F0207F0215
+:04451200F01D7F0217
+:04451300F01A7F011A
+:04451400F0177F011C
+:04451500F0147F001F
+:04451600F0117F0021
+:04451700F00D7F0024
+:04451800F00A7EFF28
+:04451900F0077EFF2A
+:04451A00F0047EFE2D
+:04451B00F0017EFE2F
+:04451C00EFFE7EFE32
+:04451D00EFFB7EFD35
+:04451E00EFF87EFD37
+:04451F00EFF47EFD3A
+:04452000EFF17EFC3D
+:04452100EFEE7EFC3F
+:04452200EFEB7EFB42
+:04452300EFE87EFB44
+:04452400EFE57EFB46
+:04452500EFE27EFA49
+:04452600EFDF7EFA4B
+:04452700EFDC7EF94E
+:04452800EFD87EF951
+:04452900EFD57EF953
+:04452A00EFD27EF856
+:04452B00EFCF7EF858
+:04452C00EFCC7EF75B
+:04452D00EFC97EF75D
+:04452E00EFC67EF75F
+:04452F00EFC37EF662
+:04453000EFBF7EF665
+:04453100EFBC7EF568
+:04453200EFB97EF56A
+:04453300EFB67EF56C
+:04453400EFB37EF46F
+:04453500EFB07EF471
+:04453600EFAD7EF374
+:04453700EFAA7EF376
+:04453800EFA77EF378
+:04453900EFA37EF27C
+:04453A00EFA07EF27E
+:04453B00EF9D7EF181
+:04453C00EF9A7EF183
+:04453D00EF977EF185
+:04453E00EF947EF088
+:04453F00EF917EF08A
+:04454000EF8E7EEF8D
+:04454100EF8B7EEF8F
+:04454200EF877EEF92
+:04454300EF847EEE95
+:04454400EF817EEE97
+:04454500EF7E7EED9A
+:04454600EF7B7EED9C
+:04454700EF787EED9E
+:04454800EF757EECA1
+:04454900EF727EECA3
+:04454A00EF6E7EEBA7
+:04454B00EF6B7EEBA9
+:04454C00EF687EEAAC
+:04454D00EF657EEAAE
+:04454E00EF627EEAB0
+:04454F00EF5F7EE9B3
+:04455000EF5C7EE9B5
+:04455100EF597EE8B8
+:04455200EF567EE8BA
+:04455300EF527EE8BD
+:04455400EF4F7EE7C0
+:04455500EF4C7EE7C2
+:04455600EF497EE6C5
+:04455700EF467EE6C7
+:04455800EF437EE6C9
+:04455900EF407EE5CC
+:04455A00EF3D7EE5CE
+:04455B00EF3A7EE4D1
+:04455C00EF367EE4D4
+:04455D00EF337EE4D6
+:04455E00EF307EE3D9
+:04455F00EF2D7EE3DB
+:04456000EF2A7EE2DE
+:04456100EF277EE2E0
+:04456200EF247EE1E3
+:04456300EF217EE1E5
+:04456400EF1E7EE1E7
+:04456500EF1A7EE0EB
+:04456600EF177EE0ED
+:04456700EF147EDFF0
+:04456800EF117EDFF2
+:04456900EF0E7EDFF4
+:04456A00EF0B7EDEF7
+:04456B00EF087EDEF9
+:04456C00EF057EDDFC
+:04456D00EF017EDDFF
+:04456E00EEFE7EDC03
+:04456F00EEFB7EDC05
+:04457000EEF87EDC07
+:04457100EEF57EDB0A
+:04457200EEF27EDB0C
+:04457300EEEF7EDA0F
+:04457400EEEC7EDA11
+:04457500EEE97EDA13
+:04457600EEE57ED917
+:04457700EEE27ED919
+:04457800EEDF7ED81C
+:04457900EEDC7ED81E
+:04457A00EED97ED721
+:04457B00EED67ED723
+:04457C00EED37ED725
+:04457D00EED07ED628
+:04457E00EECD7ED62A
+:04457F00EEC97ED52E
+:04458000EEC67ED530
+:04458100EEC37ED433
+:04458200EEC07ED435
+:04458300EEBD7ED437
+:04458400EEBA7ED33A
+:04458500EEB77ED33C
+:04458600EEB47ED23F
+:04458700EEB17ED241
+:04458800EEAD7ED244
+:04458900EEAA7ED147
+:04458A00EEA77ED149
+:04458B00EEA47ED04C
+:04458C00EEA17ED04E
+:04458D00EE9E7ECF51
+:04458E00EE9B7ECF53
+:04458F00EE987ECF55
+:04459000EE957ECE58
+:04459100EE917ECE5B
+:04459200EE8E7ECD5E
+:04459300EE8B7ECD60
+:04459400EE887ECC63
+:04459500EE857ECC65
+:04459600EE827ECC67
+:04459700EE7F7ECB6A
+:04459800EE7C7ECB6C
+:04459900EE797ECA6F
+:04459A00EE757ECA72
+:04459B00EE727EC975
+:04459C00EE6F7EC977
+:04459D00EE6C7EC979
+:04459E00EE697EC87C
+:04459F00EE667EC87E
+:0445A000EE637EC781
+:0445A100EE607EC783
+:0445A200EE5D7EC686
+:0445A300EE597EC689
+:0445A400EE567EC68B
+:0445A500EE537EC58E
+:0445A600EE507EC590
+:0445A700EE4D7EC493
+:0445A800EE4A7EC495
+:0445A900EE477EC398
+:0445AA00EE447EC39A
+:0445AB00EE417EC29D
+:0445AC00EE3D7EC2A0
+:0445AD00EE3A7EC2A2
+:0445AE00EE377EC1A5
+:0445AF00EE347EC1A7
+:0445B000EE317EC0AA
+:0445B100EE2E7EC0AC
+:0445B200EE2B7EBFAF
+:0445B300EE287EBFB1
+:0445B400EE257EBFB3
+:0445B500EE217EBEB7
+:0445B600EE1E7EBEB9
+:0445B700EE1B7EBDBC
+:0445B800EE187EBDBE
+:0445B900EE157EBCC1
+:0445BA00EE127EBCC3
+:0445BB00EE0F7EBBC6
+:0445BC00EE0C7EBBC8
+:0445BD00EE097EBBCA
+:0445BE00EE057EBACE
+:0445BF00EE027EBAD0
+:0445C000EDFF7EB9D4
+:0445C100EDFC7EB9D6
+:0445C200EDF97EB8D9
+:0445C300EDF67EB8DB
+:0445C400EDF37EB7DE
+:0445C500EDF07EB7E0
+:0445C600EDED7EB7E2
+:0445C700EDE97EB6E6
+:0445C800EDE67EB6E8
+:0445C900EDE37EB5EB
+:0445CA00EDE07EB5ED
+:0445CB00EDDD7EB4F0
+:0445CC00EDDA7EB4F2
+:0445CD00EDD77EB3F5
+:0445CE00EDD47EB3F7
+:0445CF00EDD17EB3F9
+:0445D000EDCD7EB2FD
+:0445D100EDCA7EB2FF
+:0445D200EDC77EB102
+:0445D300EDC47EB104
+:0445D400EDC17EB007
+:0445D500EDBE7EB009
+:0445D600EDBB7EAF0C
+:0445D700EDB87EAF0E
+:0445D800EDB57EAF10
+:0445D900EDB17EAE14
+:0445DA00EDAE7EAE16
+:0445DB00EDAB7EAD19
+:0445DC00EDA87EAD1B
+:0445DD00EDA57EAC1E
+:0445DE00EDA27EAC20
+:0445DF00ED9F7EAB23
+:0445E000ED9C7EAB25
+:0445E100ED997EAB27
+:0445E200ED957EAA2B
+:0445E300ED927EAA2D
+:0445E400ED8F7EA930
+:0445E500ED8C7EA932
+:0445E600ED897EA835
+:0445E700ED867EA837
+:0445E800ED837EA73A
+:0445E900ED807EA73C
+:0445EA00ED7D7EA63F
+:0445EB00ED797EA642
+:0445EC00ED767EA644
+:0445ED00ED737EA547
+:0445EE00ED707EA549
+:0445EF00ED6D7EA44C
+:0445F000ED6A7EA44E
+:0445F100ED677EA351
+:0445F200ED647EA353
+:0445F300ED617EA256
+:0445F400ED5E7EA258
+:0445F500ED5A7EA15C
+:0445F600ED577EA15E
+:0445F700ED547EA061
+:0445F800ED517EA063
+:0445F900ED4E7EA065
+:0445FA00ED4B7E9F68
+:0445FB00ED487E9F6A
+:0445FC00ED457E9E6D
+:0445FD00ED427E9E6F
+:0445FE00ED3E7E9D73
+:0445FF00ED3B7E9D75
+:04460000ED387E9C77
+:04460100ED357E9C79
+:04460200ED327E9B7C
+:04460300ED2F7E9B7E
+:04460400ED2C7E9B80
+:04460500ED297E9A83
+:04460600ED267E9A85
+:04460700ED227E9989
+:04460800ED1F7E998B
+:04460900ED1C7E988E
+:04460A00ED197E9890
+:04460B00ED167E9793
+:04460C00ED137E9795
+:04460D00ED107E9698
+:04460E00ED0D7E969A
+:04460F00ED0A7E959D
+:04461000ED077E959F
+:04461100ED037E94A3
+:04461200ED007E94A5
+:04461300ECFD7E94A8
+:04461400ECFA7E93AB
+:04461500ECF77E93AD
+:04461600ECF47E92B0
+:04461700ECF17E92B2
+:04461800ECEE7E91B5
+:04461900ECEB7E91B7
+:04461A00ECE77E90BB
+:04461B00ECE47E90BD
+:04461C00ECE17E8FC0
+:04461D00ECDE7E8FC2
+:04461E00ECDB7E8EC5
+:04461F00ECD87E8EC7
+:04462000ECD57E8DCA
+:04462100ECD27E8DCC
+:04462200ECCF7E8DCE
+:04462300ECCB7E8CD2
+:04462400ECC87E8CD4
+:04462500ECC57E8BD7
+:04462600ECC27E8BD9
+:04462700ECBF7E8ADC
+:04462800ECBC7E8ADE
+:04462900ECB97E89E1
+:04462A00ECB67E89E3
+:04462B00ECB37E88E6
+:04462C00ECB07E88E8
+:04462D00ECAC7E87EC
+:04462E00ECA97E87EE
+:04462F00ECA67E86F1
+:04463000ECA37E86F3
+:04463100ECA07E85F6
+:04463200EC9D7E85F8
+:04463300EC9A7E84FB
+:04463400EC977E84FD
+:04463500EC947E84FF
+:04463600EC907E8303
+:04463700EC8D7E8305
+:04463800EC8A7E8208
+:04463900EC877E820A
+:04463A00EC847E810D
+:04463B00EC817E810F
+:04463C00EC7E7E8012
+:04463D00EC7B7E8014
+:04463E00EC787E7F17
+:04463F00EC757E7F19
+:04464000EC717E7E1D
+:04464100EC6E7E7E1F
+:04464200EC6B7E7D22
+:04464300EC687E7D24
+:04464400EC657E7C27
+:04464500EC627E7C29
+:04464600EC5F7E7B2C
+:04464700EC5C7E7B2E
+:04464800EC597E7A31
+:04464900EC567E7A33
+:04464A00EC527E7937
+:04464B00EC4F7E7939
+:04464C00EC4C7E783C
+:04464D00EC497E783E
+:04464E00EC467E7741
+:04464F00EC437E7743
+:04465000EC407E7745
+:04465100EC3D7E7648
+:04465200EC3A7E764A
+:04465300EC367E754E
+:04465400EC337E7550
+:04465500EC307E7453
+:04465600EC2D7E7455
+:04465700EC2A7E7358
+:04465800EC277E735A
+:04465900EC247E725D
+:04465A00EC217E725F
+:04465B00EC1E7E7162
+:04465C00EC1B7E7164
+:04465D00EC177E7068
+:04465E00EC147E706A
+:04465F00EC117E6F6D
+:04466000EC0E7E6F6F
+:04466100EC0B7E6E72
+:04466200EC087E6E74
+:04466300EC057E6D77
+:04466400EC027E6D79
+:04466500EBFF7E6C7D
+:04466600EBFC7E6C7F
+:04466700EBF87E6B83
+:04466800EBF57E6B85
+:04466900EBF27E6A88
+:04466A00EBEF7E6A8A
+:04466B00EBEC7E698D
+:04466C00EBE97E698F
+:04466D00EBE67E6892
+:04466E00EBE37E6894
+:04466F00EBE07E6797
+:04467000EBDC7E679A
+:04467100EBD97E669D
+:04467200EBD67E669F
+:04467300EBD37E65A2
+:04467400EBD07E65A4
+:04467500EBCD7E64A7
+:04467600EBCA7E64A9
+:04467700EBC77E63AC
+:04467800EBC47E63AE
+:04467900EBC17E62B1
+:04467A00EBBD7E62B4
+:04467B00EBBA7E61B7
+:04467C00EBB77E61B9
+:04467D00EBB47E60BC
+:04467E00EBB17E60BE
+:04467F00EBAE7E5FC1
+:04468000EBAB7E5FC3
+:04468100EBA87E5EC6
+:04468200EBA57E5EC8
+:04468300EBA27E5DCB
+:04468400EB9E7E5DCE
+:04468500EB9B7E5CD1
+:04468600EB987E5CD3
+:04468700EB957E5BD6
+:04468800EB927E5BD8
+:04468900EB8F7E5ADB
+:04468A00EB8C7E5ADD
+:04468B00EB897E59E0
+:04468C00EB867E59E2
+:04468D00EB837E58E5
+:04468E00EB7F7E58E8
+:04468F00EB7C7E57EB
+:04469000EB797E57ED
+:04469100EB767E56F0
+:04469200EB737E56F2
+:04469300EB707E55F5
+:04469400EB6D7E55F7
+:04469500EB6A7E54FA
+:04469600EB677E54FC
+:04469700EB647E53FF
+:04469800EB607E5302
+:04469900EB5D7E5205
+:04469A00EB5A7E5207
+:04469B00EB577E510A
+:04469C00EB547E510C
+:04469D00EB517E500F
+:04469E00EB4E7E5011
+:04469F00EB4B7E4F14
+:0446A000EB487E4F16
+:0446A100EB457E4E19
+:0446A200EB417E4E1C
+:0446A300EB3E7E4D1F
+:0446A400EB3B7E4D21
+:0446A500EB387E4C24
+:0446A600EB357E4C26
+:0446A700EB327E4B29
+:0446A800EB2F7E4B2B
+:0446A900EB2C7E4A2E
+:0446AA00EB297E4A30
+:0446AB00EB267E4933
+:0446AC00EB227E4936
+:0446AD00EB1F7E4839
+:0446AE00EB1C7E483B
+:0446AF00EB197E473E
+:0446B000EB167E4740
+:0446B100EB137E4643
+:0446B200EB107E4645
+:0446B300EB0D7E4548
+:0446B400EB0A7E454A
+:0446B500EB077E444D
+:0446B600EB037E4450
+:0446B700EB007E4353
+:0446B800EAFD7E4356
+:0446B900EAFA7E4259
+:0446BA00EAF77E415C
+:0446BB00EAF47E415E
+:0446BC00EAF17E4061
+:0446BD00EAEE7E4063
+:0446BE00EAEB7E3F66
+:0446BF00EAE87E3F68
+:0446C000EAE47E3E6C
+:0446C100EAE17E3E6E
+:0446C200EADE7E3D71
+:0446C300EADB7E3D73
+:0446C400EAD87E3C76
+:0446C500EAD57E3C78
+:0446C600EAD27E3B7B
+:0446C700EACF7E3B7D
+:0446C800EACC7E3A80
+:0446C900EAC97E3A82
+:0446CA00EAC57E3986
+:0446CB00EAC27E3988
+:0446CC00EABF7E388B
+:0446CD00EABC7E388D
+:0446CE00EAB97E3790
+:0446CF00EAB67E3792
+:0446D000EAB37E3695
+:0446D100EAB07E3697
+:0446D200EAAD7E359A
+:0446D300EAAA7E349D
+:0446D400EAA67E34A0
+:0446D500EAA37E33A3
+:0446D600EAA07E33A5
+:0446D700EA9D7E32A8
+:0446D800EA9A7E32AA
+:0446D900EA977E31AD
+:0446DA00EA947E31AF
+:0446DB00EA917E30B2
+:0446DC00EA8E7E30B4
+:0446DD00EA8B7E2FB7
+:0446DE00EA887E2FB9
+:0446DF00EA847E2EBD
+:0446E000EA817E2EBF
+:0446E100EA7E7E2DC2
+:0446E200EA7B7E2DC4
+:0446E300EA787E2CC7
+:0446E400EA757E2CC9
+:0446E500EA727E2BCC
+:0446E600EA6F7E2ACF
+:0446E700EA6C7E2AD1
+:0446E800EA697E29D4
+:0446E900EA657E29D7
+:0446EA00EA627E28DA
+:0446EB00EA5F7E28DC
+:0446EC00EA5C7E27DF
+:0446ED00EA597E27E1
+:0446EE00EA567E26E4
+:0446EF00EA537E26E6
+:0446F000EA507E25E9
+:0446F100EA4D7E25EB
+:0446F200EA4A7E24EE
+:0446F300EA477E24F0
+:0446F400EA437E23F4
+:0446F500EA407E22F7
+:0446F600EA3D7E22F9
+:0446F700EA3A7E21FC
+:0446F800EA377E21FE
+:0446F900EA347E2001
+:0446FA00EA317E2003
+:0446FB00EA2E7E1F06
+:0446FC00EA2B7E1F08
+:0446FD00EA287E1E0B
+:0446FE00EA247E1E0E
+:0446FF00EA217E1D11
+:04470000EA1E7E1D12
+:04470100EA1B7E1C15
+:04470200EA187E1C17
+:04470300EA157E1B1A
+:04470400EA127E1A1D
+:04470500EA0F7E1A1F
+:04470600EA0C7E1922
+:04470700EA097E1924
+:04470800EA067E1827
+:04470900EA027E182A
+:04470A00E9FF7E172E
+:04470B00E9FC7E1730
+:04470C00E9F97E1633
+:04470D00E9F67E1635
+:04470E00E9F37E1538
+:04470F00E9F07E153A
+:04471000E9ED7E143D
+:04471100E9EA7E1340
+:04471200E9E77E1342
+:04471300E9E37E1246
+:04471400E9E07E1248
+:04471500E9DD7E114B
+:04471600E9DA7E114D
+:04471700E9D77E1050
+:04471800E9D47E1052
+:04471900E9D17E0F55
+:04471A00E9CE7E0F57
+:04471B00E9CB7E0E5A
+:04471C00E9C87E0D5D
+:04471D00E9C57E0D5F
+:04471E00E9C17E0C63
+:04471F00E9BE7E0C65
+:04472000E9BB7E0B68
+:04472100E9B87E0B6A
+:04472200E9B57E0A6D
+:04472300E9B27E0A6F
+:04472400E9AF7E0972
+:04472500E9AC7E0974
+:04472600E9A97E0877
+:04472700E9A67E077A
+:04472800E9A27E077D
+:04472900E99F7E0680
+:04472A00E99C7E0682
+:04472B00E9997E0585
+:04472C00E9967E0587
+:04472D00E9937E048A
+:04472E00E9907E048C
+:04472F00E98D7E038F
+:04473000E98A7E0292
+:04473100E9877E0294
+:04473200E9847E0197
+:04473300E9807E019A
+:04473400E97D7E009D
+:04473500E97A7E009F
+:04473600E9777DFFA3
+:04473700E9747DFFA5
+:04473800E9717DFEA8
+:04473900E96E7DFEAA
+:04473A00E96B7DFDAD
+:04473B00E9687DFCB0
+:04473C00E9657DFCB2
+:04473D00E9627DFBB5
+:04473E00E95E7DFBB8
+:04473F00E95B7DFABB
+:04474000E9587DFABD
+:04474100E9557DF9C0
+:04474200E9527DF9C2
+:04474300E94F7DF8C5
+:04474400E94C7DF7C8
+:04474500E9497DF7CA
+:04474600E9467DF6CD
+:04474700E9437DF6CF
+:04474800E9407DF5D2
+:04474900E93C7DF5D5
+:04474A00E9397DF4D8
+:04474B00E9367DF3DB
+:04474C00E9337DF3DD
+:04474D00E9307DF2E0
+:04474E00E92D7DF2E2
+:04474F00E92A7DF1E5
+:04475000E9277DF1E7
+:04475100E9247DF0EA
+:04475200E9217DF0EC
+:04475300E91E7DEFEF
+:04475400E91A7DEEF3
+:04475500E9177DEEF5
+:04475600E9147DEDF8
+:04475700E9117DEDFA
+:04475800E90E7DECFD
+:04475900E90B7DECFF
+:04475A00E9087DEB02
+:04475B00E9057DEB04
+:04475C00E9027DEA07
+:04475D00E8FF7DE90B
+:04475E00E8FC7DE90D
+:04475F00E8F87DE811
+:04476000E8F57DE813
+:04476100E8F27DE716
+:04476200E8EF7DE718
+:04476300E8EC7DE61B
+:04476400E8E97DE51E
+:04476500E8E67DE520
+:04476600E8E37DE423
+:04476700E8E07DE425
+:04476800E8DD7DE328
+:04476900E8DA7DE32A
+:04476A00E8D67DE22E
+:04476B00E8D37DE131
+:04476C00E8D07DE133
+:04476D00E8CD7DE036
+:04476E00E8CA7DE038
+:04476F00E8C77DDF3B
+:04477000E8C47DDF3D
+:04477100E8C17DDE40
+:04477200E8BE7DDD43
+:04477300E8BB7DDD45
+:04477400E8B87DDC48
+:04477500E8B47DDC4B
+:04477600E8B17DDB4E
+:04477700E8AE7DDB50
+:04477800E8AB7DDA53
+:04477900E8A87DD956
+:04477A00E8A57DD958
+:04477B00E8A27DD85B
+:04477C00E89F7DD85D
+:04477D00E89C7DD760
+:04477E00E8997DD762
+:04477F00E8967DD665
+:04478000E8937DD568
+:04478100E88F7DD56B
+:04478200E88C7DD46E
+:04478300E8897DD470
+:04478400E8867DD373
+:04478500E8837DD375
+:04478600E8807DD278
+:04478700E87D7DD17B
+:04478800E87A7DD17D
+:04478900E8777DD080
+:04478A00E8747DD082
+:04478B00E8717DCF85
+:04478C00E86D7DCF88
+:04478D00E86A7DCE8B
+:04478E00E8677DCD8E
+:04478F00E8647DCD90
+:04479000E8617DCC93
+:04479100E85E7DCC95
+:04479200E85B7DCB98
+:04479300E8587DCA9B
+:04479400E8557DCA9D
+:04479500E8527DC9A0
+:04479600E84F7DC9A2
+:04479700E84B7DC8A6
+:04479800E8487DC8A8
+:04479900E8457DC7AB
+:04479A00E8427DC6AE
+:04479B00E83F7DC6B0
+:04479C00E83C7DC5B3
+:04479D00E8397DC5B5
+:04479E00E8367DC4B8
+:04479F00E8337DC3BB
+:0447A000E8307DC3BD
+:0447A100E82D7DC2C0
+:0447A200E82A7DC2C2
+:0447A300E8267DC1C6
+:0447A400E8237DC1C8
+:0447A500E8207DC0CB
+:0447A600E81D7DBFCE
+:0447A700E81A7DBFD0
+:0447A800E8177DBED3
+:0447A900E8147DBED5
+:0447AA00E8117DBDD8
+:0447AB00E80E7DBCDB
+:0447AC00E80B7DBCDD
+:0447AD00E8087DBBE0
+:0447AE00E8057DBBE2
+:0447AF00E8017DBAE6
+:0447B000E7FE7DB9EA
+:0447B100E7FB7DB9EC
+:0447B200E7F87DB8EF
+:0447B300E7F57DB8F1
+:0447B400E7F27DB7F4
+:0447B500E7EF7DB7F6
+:0447B600E7EC7DB6F9
+:0447B700E7E97DB5FC
+:0447B800E7E67DB5FE
+:0447B900E7E37DB401
+:0447BA00E7DF7DB404
+:0447BB00E7DC7DB307
+:0447BC00E7D97DB20A
+:0447BD00E7D67DB20C
+:0447BE00E7D37DB10F
+:0447BF00E7D07DB111
+:0447C000E7CD7DB014
+:0447C100E7CA7DAF17
+:0447C200E7C77DAF19
+:0447C300E7C47DAE1C
+:0447C400E7C17DAE1E
+:0447C500E7BE7DAD21
+:0447C600E7BA7DAC25
+:0447C700E7B77DAC27
+:0447C800E7B47DAB2A
+:0447C900E7B17DAB2C
+:0447CA00E7AE7DAA2F
+:0447CB00E7AB7DA932
+:0447CC00E7A87DA934
+:0447CD00E7A57DA837
+:0447CE00E7A27DA839
+:0447CF00E79F7DA73C
+:0447D000E79C7DA63F
+:0447D100E7997DA641
+:0447D200E7957DA545
+:0447D300E7927DA547
+:0447D400E78F7DA44A
+:0447D500E78C7DA34D
+:0447D600E7897DA34F
+:0447D700E7867DA252
+:0447D800E7837DA254
+:0447D900E7807DA157
+:0447DA00E77D7DA05A
+:0447DB00E77A7DA05C
+:0447DC00E7777D9F5F
+:0447DD00E7747D9F61
+:0447DE00E7707D9E65
+:0447DF00E76D7D9D68
+:0447E000E76A7D9D6A
+:0447E100E7677D9C6D
+:0447E200E7647D9C6F
+:0447E300E7617D9B72
+:0447E400E75E7D9A75
+:0447E500E75B7D9A77
+:0447E600E7587D997A
+:0447E700E7557D997C
+:0447E800E7527D987F
+:0447E900E74F7D9782
+:0447EA00E74B7D9785
+:0447EB00E7487D9688
+:0447EC00E7457D968A
+:0447ED00E7427D958D
+:0447EE00E73F7D9490
+:0447EF00E73C7D9492
+:0447F000E7397D9395
+:0447F100E7367D9397
+:0447F200E7337D929A
+:0447F300E7307D919D
+:0447F400E72D7D919F
+:0447F500E72A7D90A2
+:0447F600E7267D90A5
+:0447F700E7237D8FA8
+:0447F800E7207D8EAB
+:0447F900E71D7D8EAD
+:0447FA00E71A7D8DB0
+:0447FB00E7177D8CB3
+:0447FC00E7147D8CB5
+:0447FD00E7117D8BB8
+:0447FE00E70E7D8BBA
+:0447FF00E70B7D8ABD
+:04480000E7087D89BF
+:04480100E7057D89C1
+:04480200E7027D88C4
+:04480300E6FE7D88C8
+:04480400E6FB7D87CB
+:04480500E6F87D86CE
+:04480600E6F57D86D0
+:04480700E6F27D85D3
+:04480800E6EF7D84D6
+:04480900E6EC7D84D8
+:04480A00E6E97D83DB
+:04480B00E6E67D83DD
+:04480C00E6E37D82E0
+:04480D00E6E07D81E3
+:04480E00E6DD7D81E5
+:04480F00E6D97D80E9
+:04481000E6D67D80EB
+:04481100E6D37D7FEE
+:04481200E6D07D7EF1
+:04481300E6CD7D7EF3
+:04481400E6CA7D7DF6
+:04481500E6C77D7CF9
+:04481600E6C47D7CFB
+:04481700E6C17D7BFE
+:04481800E6BE7D7B00
+:04481900E6BB7D7A03
+:04481A00E6B87D7906
+:04481B00E6B57D7908
+:04481C00E6B17D780C
+:04481D00E6AE7D780E
+:04481E00E6AB7D7711
+:04481F00E6A87D7614
+:04482000E6A57D7616
+:04482100E6A27D7519
+:04482200E69F7D741C
+:04482300E69C7D741E
+:04482400E6997D7321
+:04482500E6967D7323
+:04482600E6937D7226
+:04482700E6907D7129
+:04482800E68C7D712C
+:04482900E6897D702F
+:04482A00E6867D6F32
+:04482B00E6837D6F34
+:04482C00E6807D6E37
+:04482D00E67D7D6E39
+:04482E00E67A7D6D3C
+:04482F00E6777D6C3F
+:04483000E6747D6C41
+:04483100E6717D6B44
+:04483200E66E7D6A47
+:04483300E66B7D6A49
+:04483400E6687D694C
+:04483500E6647D694F
+:04483600E6617D6852
+:04483700E65E7D6755
+:04483800E65B7D6757
+:04483900E6587D665A
+:04483A00E6557D655D
+:04483B00E6527D655F
+:04483C00E64F7D6462
+:04483D00E64C7D6365
+:04483E00E6497D6367
+:04483F00E6467D626A
+:04484000E6437D626C
+:04484100E6407D616F
+:04484200E63C7D6073
+:04484300E6397D6075
+:04484400E6367D5F78
+:04484500E6337D5E7B
+:04484600E6307D5E7D
+:04484700E62D7D5D80
+:04484800E62A7D5D82
+:04484900E6277D5C85
+:04484A00E6247D5B88
+:04484B00E6217D5B8A
+:04484C00E61E7D5A8D
+:04484D00E61B7D5990
+:04484E00E6187D5992
+:04484F00E6147D5896
+:04485000E6117D5799
+:04485100E60E7D579B
+:04485200E60B7D569E
+:04485300E6087D56A0
+:04485400E6057D55A3
+:04485500E6027D54A6
+:04485600E5FF7D54A9
+:04485700E5FC7D53AC
+:04485800E5F97D52AF
+:04485900E5F67D52B1
+:04485A00E5F37D51B4
+:04485B00E5F07D50B7
+:04485C00E5EC7D50BA
+:04485D00E5E97D4FBD
+:04485E00E5E67D4EC0
+:04485F00E5E37D4EC2
+:04486000E5E07D4DC5
+:04486100E5DD7D4DC7
+:04486200E5DA7D4CCA
+:04486300E5D77D4BCD
+:04486400E5D47D4BCF
+:04486500E5D17D4AD2
+:04486600E5CE7D49D5
+:04486700E5CB7D49D7
+:04486800E5C87D48DA
+:04486900E5C47D47DE
+:04486A00E5C17D47E0
+:04486B00E5BE7D46E3
+:04486C00E5BB7D46E5
+:04486D00E5B87D45E8
+:04486E00E5B57D44EB
+:04486F00E5B27D44ED
+:04487000E5AF7D43F0
+:04487100E5AC7D42F3
+:04487200E5A97D42F5
+:04487300E5A67D41F8
+:04487400E5A37D40FB
+:04487500E5A07D40FD
+:04487600E59D7D3F00
+:04487700E5997D3E04
+:04487800E5967D3E06
+:04487900E5937D3D09
+:04487A00E5907D3C0C
+:04487B00E58D7D3C0E
+:04487C00E58A7D3B11
+:04487D00E5877D3A14
+:04487E00E5847D3A16
+:04487F00E5817D3919
+:04488000E57E7D391B
+:04488100E57B7D381E
+:04488200E5787D3721
+:04488300E5757D3723
+:04488400E5717D3627
+:04488500E56E7D352A
+:04488600E56B7D352C
+:04488700E5687D342F
+:04488800E5657D3332
+:04488900E5627D3334
+:04488A00E55F7D3237
+:04488B00E55C7D313A
+:04488C00E5597D313C
+:04488D00E5567D303F
+:04488E00E5537D2F42
+:04488F00E5507D2F44
+:04489000E54D7D2E47
+:04489100E54A7D2D4A
+:04489200E5467D2D4D
+:04489300E5437D2C50
+:04489400E5407D2B53
+:04489500E53D7D2B55
+:04489600E53A7D2A58
+:04489700E5377D2A5A
+:04489800E5347D295D
+:04489900E5317D2860
+:04489A00E52E7D2862
+:04489B00E52B7D2765
+:04489C00E5287D2668
+:04489D00E5257D266A
+:04489E00E5227D256D
+:04489F00E51F7D2470
+:0448A000E51B7D2473
+:0448A100E5187D2376
+:0448A200E5157D2279
+:0448A300E5127D227B
+:0448A400E50F7D217E
+:0448A500E50C7D2081
+:0448A600E5097D2083
+:0448A700E5067D1F86
+:0448A800E5037D1E89
+:0448A900E5007D1E8B
+:0448AA00E4FD7D1D8F
+:0448AB00E4FA7D1C92
+:0448AC00E4F77D1C94
+:0448AD00E4F47D1B97
+:0448AE00E4F07D1A9B
+:0448AF00E4ED7D1A9D
+:0448B000E4EA7D19A0
+:0448B100E4E77D18A3
+:0448B200E4E47D18A5
+:0448B300E4E17D17A8
+:0448B400E4DE7D16AB
+:0448B500E4DB7D16AD
+:0448B600E4D87D15B0
+:0448B700E4D57D14B3
+:0448B800E4D27D14B5
+:0448B900E4CF7D13B8
+:0448BA00E4CC7D12BB
+:0448BB00E4C97D12BD
+:0448BC00E4C67D11C0
+:0448BD00E4C27D10C4
+:0448BE00E4BF7D10C6
+:0448BF00E4BC7D0FC9
+:0448C000E4B97D0ECC
+:0448C100E4B67D0ECE
+:0448C200E4B37D0DD1
+:0448C300E4B07D0CD4
+:0448C400E4AD7D0CD6
+:0448C500E4AA7D0BD9
+:0448C600E4A77D0ADC
+:0448C700E4A47D0ADE
+:0448C800E4A17D09E1
+:0448C900E49E7D08E4
+:0448CA00E49B7D08E6
+:0448CB00E4977D07EA
+:0448CC00E4947D06ED
+:0448CD00E4917D06EF
+:0448CE00E48E7D05F2
+:0448CF00E48B7D04F5
+:0448D000E4887D04F7
+:0448D100E4857D03FA
+:0448D200E4827D02FD
+:0448D300E47F7D02FF
+:0448D400E47C7D0102
+:0448D500E4797D0005
+:0448D600E4767D0007
+:0448D700E4737CFF0B
+:0448D800E4707CFE0E
+:0448D900E46D7CFD11
+:0448DA00E4697CFD14
+:0448DB00E4667CFC17
+:0448DC00E4637CFB1A
+:0448DD00E4607CFB1C
+:0448DE00E45D7CFA1F
+:0448DF00E45A7CF922
+:0448E000E4577CF924
+:0448E100E4547CF827
+:0448E200E4517CF72A
+:0448E300E44E7CF72C
+:0448E400E44B7CF62F
+:0448E500E4487CF532
+:0448E600E4457CF534
+:0448E700E4427CF437
+:0448E800E43F7CF33A
+:0448E900E43B7CF33D
+:0448EA00E4387CF240
+:0448EB00E4357CF143
+:0448EC00E4327CF145
+:0448ED00E42F7CF048
+:0448EE00E42C7CEF4B
+:0448EF00E4297CEF4D
+:0448F000E4267CEE50
+:0448F100E4237CED53
+:0448F200E4207CEC56
+:0448F300E41D7CEC58
+:0448F400E41A7CEB5B
+:0448F500E4177CEA5E
+:0448F600E4147CEA60
+:0448F700E4117CE963
+:0448F800E40D7CE867
+:0448F900E40A7CE869
+:0448FA00E4077CE76C
+:0448FB00E4047CE66F
+:0448FC00E4017CE671
+:0448FD00E3FE7CE575
+:0448FE00E3FB7CE478
+:0448FF00E3F87CE47A
+:04490000E3F57CE37C
+:04490100E3F27CE27F
+:04490200E3EF7CE182
+:04490300E3EC7CE184
+:04490400E3E97CE087
+:04490500E3E67CDF8A
+:04490600E3E37CDF8C
+:04490700E3DF7CDE90
+:04490800E3DC7CDD93
+:04490900E3D97CDD95
+:04490A00E3D67CDC98
+:04490B00E3D37CDB9B
+:04490C00E3D07CDB9D
+:04490D00E3CD7CDAA0
+:04490E00E3CA7CD9A3
+:04490F00E3C77CD8A6
+:04491000E3C47CD8A8
+:04491100E3C17CD7AB
+:04491200E3BE7CD6AE
+:04491300E3BB7CD6B0
+:04491400E3B87CD5B3
+:04491500E3B57CD4B6
+:04491600E3B27CD4B8
+:04491700E3AE7CD3BC
+:04491800E3AB7CD2BF
+:04491900E3A87CD2C1
+:04491A00E3A57CD1C4
+:04491B00E3A27CD0C7
+:04491C00E39F7CCFCA
+:04491D00E39C7CCFCC
+:04491E00E3997CCECF
+:04491F00E3967CCDD2
+:04492000E3937CCDD4
+:04492100E3907CCCD7
+:04492200E38D7CCBDA
+:04492300E38A7CCBDC
+:04492400E3877CCADF
+:04492500E3847CC9E2
+:04492600E3817CC8E5
+:04492700E37D7CC8E8
+:04492800E37A7CC7EB
+:04492900E3777CC6EE
+:04492A00E3747CC6F0
+:04492B00E3717CC5F3
+:04492C00E36E7CC4F6
+:04492D00E36B7CC4F8
+:04492E00E3687CC3FB
+:04492F00E3657CC2FE
+:04493000E3627CC101
+:04493100E35F7CC103
+:04493200E35C7CC006
+:04493300E3597CBF09
+:04493400E3567CBF0B
+:04493500E3537CBE0E
+:04493600E3507CBD11
+:04493700E34C7CBD14
+:04493800E3497CBC17
+:04493900E3467CBB1A
+:04493A00E3437CBA1D
+:04493B00E3407CBA1F
+:04493C00E33D7CB922
+:04493D00E33A7CB825
+:04493E00E3377CB827
+:04493F00E3347CB72A
+:04494000E3317CB62D
+:04494100E32E7CB530
+:04494200E32B7CB532
+:04494300E3287CB435
+:04494400E3257CB338
+:04494500E3227CB33A
+:04494600E31F7CB23D
+:04494700E31B7CB141
+:04494800E3187CB143
+:04494900E3157CB046
+:04494A00E3127CAF49
+:04494B00E30F7CAE4C
+:04494C00E30C7CAE4E
+:04494D00E3097CAD51
+:04494E00E3067CAC54
+:04494F00E3037CAC56
+:04495000E3007CAB59
+:04495100E2FD7CAA5D
+:04495200E2FA7CA960
+:04495300E2F77CA962
+:04495400E2F47CA865
+:04495500E2F17CA768
+:04495600E2EE7CA76A
+:04495700E2EB7CA66D
+:04495800E2E77CA571
+:04495900E2E47CA474
+:04495A00E2E17CA476
+:04495B00E2DE7CA379
+:04495C00E2DB7CA27C
+:04495D00E2D87CA27E
+:04495E00E2D57CA181
+:04495F00E2D27CA084
+:04496000E2CF7C9F87
+:04496100E2CC7C9F89
+:04496200E2C97C9E8C
+:04496300E2C67C9D8F
+:04496400E2C37C9D91
+:04496500E2C07C9C94
+:04496600E2BD7C9B97
+:04496700E2BA7C9A9A
+:04496800E2B77C9A9C
+:04496900E2B37C99A0
+:04496A00E2B07C98A3
+:04496B00E2AD7C98A5
+:04496C00E2AA7C97A8
+:04496D00E2A77C96AB
+:04496E00E2A47C95AE
+:04496F00E2A17C95B0
+:04497000E29E7C94B3
+:04497100E29B7C93B6
+:04497200E2987C92B9
+:04497300E2957C92BB
+:04497400E2927C91BE
+:04497500E28F7C90C1
+:04497600E28C7C90C3
+:04497700E2897C8FC6
+:04497800E2867C8EC9
+:04497900E2837C8DCC
+:04497A00E27F7C8DCF
+:04497B00E27C7C8CD2
+:04497C00E2797C8BD5
+:04497D00E2767C8BD7
+:04497E00E2737C8ADA
+:04497F00E2707C89DD
+:04498000E26D7C88E0
+:04498100E26A7C88E2
+:04498200E2677C87E5
+:04498300E2647C86E8
+:04498400E2617C85EB
+:04498500E25E7C85ED
+:04498600E25B7C84F0
+:04498700E2587C83F3
+:04498800E2557C83F5
+:04498900E2527C82F8
+:04498A00E24F7C81FB
+:04498B00E24C7C80FE
+:04498C00E2487C8001
+:04498D00E2457C7F04
+:04498E00E2427C7E07
+:04498F00E23F7C7D0A
+:04499000E23C7C7D0C
+:04499100E2397C7C0F
+:04499200E2367C7B12
+:04499300E2337C7B14
+:04499400E2307C7A17
+:04499500E22D7C791A
+:04499600E22A7C781D
+:04499700E2277C781F
+:04499800E2247C7722
+:04499900E2217C7625
+:04499A00E21E7C7528
+:04499B00E21B7C752A
+:04499C00E2187C742D
+:04499D00E2157C7330
+:04499E00E2117C7234
+:04499F00E20E7C7236
+:0449A000E20B7C7139
+:0449A100E2087C703C
+:0449A200E2057C703E
+:0449A300E2027C6F41
+:0449A400E1FF7C6E45
+:0449A500E1FC7C6D48
+:0449A600E1F97C6D4A
+:0449A700E1F67C6C4D
+:0449A800E1F37C6B50
+:0449A900E1F07C6A53
+:0449AA00E1ED7C6A55
+:0449AB00E1EA7C6958
+:0449AC00E1E77C685B
+:0449AD00E1E47C675E
+:0449AE00E1E17C6760
+:0449AF00E1DE7C6663
+:0449B000E1DB7C6566
+:0449B100E1D77C646A
+:0449B200E1D47C646C
+:0449B300E1D17C636F
+:0449B400E1CE7C6272
+:0449B500E1CB7C6175
+:0449B600E1C87C6177
+:0449B700E1C57C607A
+:0449B800E1C27C5F7D
+:0449B900E1BF7C5F7F
+:0449BA00E1BC7C5E82
+:0449BB00E1B97C5D85
+:0449BC00E1B67C5C88
+:0449BD00E1B37C5C8A
+:0449BE00E1B07C5B8D
+:0449BF00E1AD7C5A90
+:0449C000E1AA7C5993
+:0449C100E1A77C5995
+:0449C200E1A47C5898
+:0449C300E1A17C579B
+:0449C400E19D7C569F
+:0449C500E19A7C56A1
+:0449C600E1977C55A4
+:0449C700E1947C54A7
+:0449C800E1917C53AA
+:0449C900E18E7C53AC
+:0449CA00E18B7C52AF
+:0449CB00E1887C51B2
+:0449CC00E1857C50B5
+:0449CD00E1827C50B7
+:0449CE00E17F7C4FBA
+:0449CF00E17C7C4EBD
+:0449D000E1797C4DC0
+:0449D100E1767C4DC2
+:0449D200E1737C4CC5
+:0449D300E1707C4BC8
+:0449D400E16D7C4ACB
+:0449D500E16A7C4ACD
+:0449D600E1677C49D0
+:0449D700E1647C48D3
+:0449D800E1607C47D7
+:0449D900E15D7C47D9
+:0449DA00E15A7C46DC
+:0449DB00E1577C45DF
+:0449DC00E1547C44E2
+:0449DD00E1517C44E4
+:0449DE00E14E7C43E7
+:0449DF00E14B7C42EA
+:0449E000E1487C41ED
+:0449E100E1457C41EF
+:0449E200E1427C40F2
+:0449E300E13F7C3FF5
+:0449E400E13C7C3EF8
+:0449E500E1397C3EFA
+:0449E600E1367C3DFD
+:0449E700E1337C3C00
+:0449E800E1307C3B03
+:0449E900E12D7C3B05
+:0449EA00E12A7C3A08
+:0449EB00E1277C390B
+:0449EC00E1237C380F
+:0449ED00E1207C3712
+:0449EE00E11D7C3714
+:0449EF00E11A7C3617
+:0449F000E1177C351A
+:0449F100E1147C341D
+:0449F200E1117C341F
+:0449F300E10E7C3322
+:0449F400E10B7C3225
+:0449F500E1087C3128
+:0449F600E1057C312A
+:0449F700E1027C302D
+:0449F800E0FF7C2F31
+:0449F900E0FC7C2E34
+:0449FA00E0F97C2E36
+:0449FB00E0F67C2D39
+:0449FC00E0F37C2C3C
+:0449FD00E0F07C2B3F
+:0449FE00E0ED7C2B41
+:0449FF00E0EA7C2A44
+:044A0000E0E77C2946
+:044A0100E0E37C284A
+:044A0200E0E07C284C
+:044A0300E0DD7C274F
+:044A0400E0DA7C2652
+:044A0500E0D77C2555
+:044A0600E0D47C2458
+:044A0700E0D17C245A
+:044A0800E0CE7C235D
+:044A0900E0CB7C2260
+:044A0A00E0C87C2163
+:044A0B00E0C57C2165
+:044A0C00E0C27C2068
+:044A0D00E0BF7C1F6B
+:044A0E00E0BC7C1E6E
+:044A0F00E0B97C1E70
+:044A1000E0B67C1D73
+:044A1100E0B37C1C76
+:044A1200E0B07C1B79
+:044A1300E0AD7C1A7C
+:044A1400E0AA7C1A7E
+:044A1500E0A77C1981
+:044A1600E0A37C1885
+:044A1700E0A07C1788
+:044A1800E09D7C178A
+:044A1900E09A7C168D
+:044A1A00E0977C1590
+:044A1B00E0947C1493
+:044A1C00E0917C1495
+:044A1D00E08E7C1398
+:044A1E00E08B7C129B
+:044A1F00E0887C119E
+:044A2000E0857C10A1
+:044A2100E0827C10A3
+:044A2200E07F7C0FA6
+:044A2300E07C7C0EA9
+:044A2400E0797C0DAC
+:044A2500E0767C0DAE
+:044A2600E0737C0CB1
+:044A2700E0707C0BB4
+:044A2800E06D7C0AB7
+:044A2900E06A7C0AB9
+:044A2A00E0677C09BC
+:044A2B00E0647C08BF
+:044A2C00E0617C07C2
+:044A2D00E05D7C06C6
+:044A2E00E05A7C06C8
+:044A2F00E0577C05CB
+:044A3000E0547C04CE
+:044A3100E0517C03D1
+:044A3200E04E7C03D3
+:044A3300E04B7C02D6
+:044A3400E0487C01D9
+:044A3500E0457C00DC
+:044A3600E0427BFFE0
+:044A3700E03F7BFFE2
+:044A3800E03C7BFEE5
+:044A3900E0397BFDE8
+:044A3A00E0367BFCEB
+:044A3B00E0337BFCED
+:044A3C00E0307BFBF0
+:044A3D00E02D7BFAF3
+:044A3E00E02A7BF9F6
+:044A3F00E0277BF8F9
+:044A4000E0247BF8FB
+:044A4100E0217BF7FE
+:044A4200E01E7BF601
+:044A4300E01B7BF504
+:044A4400E0177BF408
+:044A4500E0147BF40A
+:044A4600E0117BF30D
+:044A4700E00E7BF210
+:044A4800E00B7BF113
+:044A4900E0087BF115
+:044A4A00E0057BF018
+:044A4B00E0027BEF1B
+:044A4C00DFFF7BEE1F
+:044A4D00DFFC7BED22
+:044A4E00DFF97BED24
+:044A4F00DFF67BEC27
+:044A5000DFF37BEB2A
+:044A5100DFF07BEA2D
+:044A5200DFED7BE930
+:044A5300DFEA7BE932
+:044A5400DFE77BE835
+:044A5500DFE47BE738
+:044A5600DFE17BE63B
+:044A5700DFDE7BE63D
+:044A5800DFDB7BE540
+:044A5900DFD87BE443
+:044A5A00DFD57BE346
+:044A5B00DFD27BE249
+:044A5C00DFCE7BE24C
+:044A5D00DFCB7BE14F
+:044A5E00DFC87BE052
+:044A5F00DFC57BDF55
+:044A6000DFC27BDE58
+:044A6100DFBF7BDE5A
+:044A6200DFBC7BDD5D
+:044A6300DFB97BDC60
+:044A6400DFB67BDB63
+:044A6500DFB37BDA66
+:044A6600DFB07BDA68
+:044A6700DFAD7BD96B
+:044A6800DFAA7BD86E
+:044A6900DFA77BD771
+:044A6A00DFA47BD674
+:044A6B00DFA17BD676
+:044A6C00DF9E7BD579
+:044A6D00DF9B7BD47C
+:044A6E00DF987BD37F
+:044A6F00DF957BD381
+:044A7000DF927BD284
+:044A7100DF8F7BD187
+:044A7200DF8C7BD08A
+:044A7300DF897BCF8D
+:044A7400DF867BCF8F
+:044A7500DF827BCE93
+:044A7600DF7F7BCD96
+:044A7700DF7C7BCC99
+:044A7800DF797BCB9C
+:044A7900DF767BCB9E
+:044A7A00DF737BCAA1
+:044A7B00DF707BC9A4
+:044A7C00DF6D7BC8A7
+:044A7D00DF6A7BC7AA
+:044A7E00DF677BC7AC
+:044A7F00DF647BC6AF
+:044A8000DF617BC5B2
+:044A8100DF5E7BC4B5
+:044A8200DF5B7BC3B8
+:044A8300DF587BC3BA
+:044A8400DF557BC2BD
+:044A8500DF527BC1C0
+:044A8600DF4F7BC0C3
+:044A8700DF4C7BBFC6
+:044A8800DF497BBFC8
+:044A8900DF467BBECB
+:044A8A00DF437BBDCE
+:044A8B00DF407BBCD1
+:044A8C00DF3D7BBBD4
+:044A8D00DF3A7BBBD6
+:044A8E00DF377BBAD9
+:044A8F00DF347BB9DC
+:044A9000DF307BB8E0
+:044A9100DF2D7BB7E3
+:044A9200DF2A7BB6E6
+:044A9300DF277BB6E8
+:044A9400DF247BB5EB
+:044A9500DF217BB4EE
+:044A9600DF1E7BB3F1
+:044A9700DF1B7BB2F4
+:044A9800DF187BB2F6
+:044A9900DF157BB1F9
+:044A9A00DF127BB0FC
+:044A9B00DF0F7BAFFF
+:044A9C00DF0C7BAE02
+:044A9D00DF097BAE04
+:044A9E00DF067BAD07
+:044A9F00DF037BAC0A
+:044AA000DF007BAB0D
+:044AA100DEFD7BAA11
+:044AA200DEFA7BAA13
+:044AA300DEF77BA916
+:044AA400DEF47BA819
+:044AA500DEF17BA71C
+:044AA600DEEE7BA61F
+:044AA700DEEB7BA621
+:044AA800DEE87BA524
+:044AA900DEE57BA427
+:044AAA00DEE27BA32A
+:044AAB00DEDF7BA22D
+:044AAC00DEDB7BA131
+:044AAD00DED87BA133
+:044AAE00DED57BA036
+:044AAF00DED27B9F39
+:044AB000DECF7B9E3C
+:044AB100DECC7B9D3F
+:044AB200DEC97B9D41
+:044AB300DEC67B9C44
+:044AB400DEC37B9B47
+:044AB500DEC07B9A4A
+:044AB600DEBD7B994D
+:044AB700DEBA7B9850
+:044AB800DEB77B9852
+:044AB900DEB47B9755
+:044ABA00DEB17B9658
+:044ABB00DEAE7B955B
+:044ABC00DEAB7B945E
+:044ABD00DEA87B9460
+:044ABE00DEA57B9363
+:044ABF00DEA27B9266
+:044AC000DE9F7B9169
+:044AC100DE9C7B906C
+:044AC200DE997B8F6F
+:044AC300DE967B8F71
+:044AC400DE937B8E74
+:044AC500DE907B8D77
+:044AC600DE8D7B8C7A
+:044AC700DE8A7B8B7D
+:044AC800DE877B8B7F
+:044AC900DE847B8A82
+:044ACA00DE807B8986
+:044ACB00DE7D7B8889
+:044ACC00DE7A7B878C
+:044ACD00DE777B868F
+:044ACE00DE747B8691
+:044ACF00DE717B8594
+:044AD000DE6E7B8497
+:044AD100DE6B7B839A
+:044AD200DE687B829D
+:044AD300DE657B81A0
+:044AD400DE627B81A2
+:044AD500DE5F7B80A5
+:044AD600DE5C7B7FA8
+:044AD700DE597B7EAB
+:044AD800DE567B7DAE
+:044AD900DE537B7DB0
+:044ADA00DE507B7CB3
+:044ADB00DE4D7B7BB6
+:044ADC00DE4A7B7AB9
+:044ADD00DE477B79BC
+:044ADE00DE447B78BF
+:044ADF00DE417B78C1
+:044AE000DE3E7B77C4
+:044AE100DE3B7B76C7
+:044AE200DE387B75CA
+:044AE300DE357B74CD
+:044AE400DE327B73D0
+:044AE500DE2F7B73D2
+:044AE600DE2C7B72D5
+:044AE700DE297B71D8
+:044AE800DE267B70DB
+:044AE900DE237B6FDE
+:044AEA00DE207B6EE1
+:044AEB00DE1C7B6EE4
+:044AEC00DE197B6DE7
+:044AED00DE167B6CEA
+:044AEE00DE137B6BED
+:044AEF00DE107B6AF0
+:044AF000DE0D7B69F3
+:044AF100DE0A7B69F5
+:044AF200DE077B68F8
+:044AF300DE047B67FB
+:044AF400DE017B66FE
+:044AF500DDFE7B6502
+:044AF600DDFB7B6405
+:044AF700DDF87B6407
+:044AF800DDF57B630A
+:044AF900DDF27B620D
+:044AFA00DDEF7B6110
+:044AFB00DDEC7B6013
+:044AFC00DDE97B5F16
+:044AFD00DDE67B5F18
+:044AFE00DDE37B5E1B
+:044AFF00DDE07B5D1E
+:044B0000DDDD7B5C20
+:044B0100DDDA7B5B23
+:044B0200DDD77B5A26
+:044B0300DDD47B5A28
+:044B0400DDD17B592B
+:044B0500DDCE7B582E
+:044B0600DDCB7B5731
+:044B0700DDC87B5634
+:044B0800DDC57B5537
+:044B0900DDC27B5539
+:044B0A00DDBF7B543C
+:044B0B00DDBC7B533F
+:044B0C00DDB97B5242
+:044B0D00DDB67B5145
+:044B0E00DDB37B5048
+:044B0F00DDAF7B4F4C
+:044B1000DDAC7B4F4E
+:044B1100DDA97B4E51
+:044B1200DDA67B4D54
+:044B1300DDA37B4C57
+:044B1400DDA07B4B5A
+:044B1500DD9D7B4A5D
+:044B1600DD9A7B4A5F
+:044B1700DD977B4962
+:044B1800DD947B4865
+:044B1900DD917B4768
+:044B1A00DD8E7B466B
+:044B1B00DD8B7B456E
+:044B1C00DD887B4570
+:044B1D00DD857B4473
+:044B1E00DD827B4376
+:044B1F00DD7F7B4279
+:044B2000DD7C7B417C
+:044B2100DD797B407F
+:044B2200DD767B3F82
+:044B2300DD737B3F84
+:044B2400DD707B3E87
+:044B2500DD6D7B3D8A
+:044B2600DD6A7B3C8D
+:044B2700DD677B3B90
+:044B2800DD647B3A93
+:044B2900DD617B3996
+:044B2A00DD5E7B3998
+:044B2B00DD5B7B389B
+:044B2C00DD587B379E
+:044B2D00DD557B36A1
+:044B2E00DD527B35A4
+:044B2F00DD4F7B34A7
+:044B3000DD4C7B34A9
+:044B3100DD497B33AC
+:044B3200DD467B32AF
+:044B3300DD437B31B2
+:044B3400DD407B30B5
+:044B3500DD3D7B2FB8
+:044B3600DD3A7B2EBB
+:044B3700DD367B2EBE
+:044B3800DD337B2DC1
+:044B3900DD307B2CC4
+:044B3A00DD2D7B2BC7
+:044B3B00DD2A7B2ACA
+:044B3C00DD277B29CD
+:044B3D00DD247B28D0
+:044B3E00DD217B28D2
+:044B3F00DD1E7B27D5
+:044B4000DD1B7B26D8
+:044B4100DD187B25DB
+:044B4200DD157B24DE
+:044B4300DD127B23E1
+:044B4400DD0F7B22E4
+:044B4500DD0C7B22E6
+:044B4600DD097B21E9
+:044B4700DD067B20EC
+:044B4800DD037B1FEF
+:044B4900DD007B1EF2
+:044B4A00DCFD7B1DF6
+:044B4B00DCFA7B1CF9
+:044B4C00DCF77B1CFB
+:044B4D00DCF47B1BFE
+:044B4E00DCF17B1A01
+:044B4F00DCEE7B1904
+:044B5000DCEB7B1807
+:044B5100DCE87B170A
+:044B5200DCE57B160D
+:044B5300DCE27B160F
+:044B5400DCDF7B1512
+:044B5500DCDC7B1415
+:044B5600DCD97B1318
+:044B5700DCD67B121B
+:044B5800DCD37B111E
+:044B5900DCD07B1021
+:044B5A00DCCD7B1023
+:044B5B00DCCA7B0F26
+:044B5C00DCC77B0E29
+:044B5D00DCC47B0D2C
+:044B5E00DCC17B0C2F
+:044B5F00DCBE7B0B32
+:044B6000DCBB7B0A35
+:044B6100DCB87B0938
+:044B6200DCB57B093A
+:044B6300DCB27B083D
+:044B6400DCAF7B0740
+:044B6500DCAC7B0643
+:044B6600DCA87B0547
+:044B6700DCA57B044A
+:044B6800DCA27B034D
+:044B6900DC9F7B034F
+:044B6A00DC9C7B0252
+:044B6B00DC997B0155
+:044B6C00DC967B0058
+:044B6D00DC937AFF5C
+:044B6E00DC907AFE5F
+:044B6F00DC8D7AFD62
+:044B7000DC8A7AFC65
+:044B7100DC877AFC67
+:044B7200DC847AFB6A
+:044B7300DC817AFA6D
+:044B7400DC7E7AF970
+:044B7500DC7B7AF873
+:044B7600DC787AF776
+:044B7700DC757AF679
+:044B7800DC727AF57C
+:044B7900DC6F7AF57E
+:044B7A00DC6C7AF481
+:044B7B00DC697AF384
+:044B7C00DC667AF287
+:044B7D00DC637AF18A
+:044B7E00DC607AF08D
+:044B7F00DC5D7AEF90
+:044B8000DC5A7AEE93
+:044B8100DC577AEE95
+:044B8200DC547AED98
+:044B8300DC517AEC9B
+:044B8400DC4E7AEB9E
+:044B8500DC4B7AEAA1
+:044B8600DC487AE9A4
+:044B8700DC457AE8A7
+:044B8800DC427AE7AA
+:044B8900DC3F7AE7AC
+:044B8A00DC3C7AE6AF
+:044B8B00DC397AE5B2
+:044B8C00DC367AE4B5
+:044B8D00DC337AE3B8
+:044B8E00DC307AE2BB
+:044B8F00DC2D7AE1BE
+:044B9000DC2A7AE0C1
+:044B9100DC277AE0C3
+:044B9200DC247ADFC6
+:044B9300DC217ADEC9
+:044B9400DC1E7ADDCC
+:044B9500DC1B7ADCCF
+:044B9600DC187ADBD2
+:044B9700DC157ADAD5
+:044B9800DC127AD9D8
+:044B9900DC0F7AD9DA
+:044B9A00DC0C7AD8DD
+:044B9B00DC097AD7E0
+:044B9C00DC067AD6E3
+:044B9D00DC037AD5E6
+:044B9E00DC007AD4E9
+:044B9F00DBFD7AD3ED
+:044BA000DBFA7AD2F0
+:044BA100DBF77AD1F3
+:044BA200DBF37AD1F6
+:044BA300DBF07AD0F9
+:044BA400DBED7ACFFC
+:044BA500DBEA7ACEFF
+:044BA600DBE77ACD02
+:044BA700DBE47ACC05
+:044BA800DBE17ACB08
+:044BA900DBDE7ACA0B
+:044BAA00DBDB7AC90E
+:044BAB00DBD87AC910
+:044BAC00DBD57AC813
+:044BAD00DBD27AC716
+:044BAE00DBCF7AC619
+:044BAF00DBCC7AC51C
+:044BB000DBC97AC41F
+:044BB100DBC67AC322
+:044BB200DBC37AC225
+:044BB300DBC07AC128
+:044BB400DBBD7AC12A
+:044BB500DBBA7AC02D
+:044BB600DBB77ABF30
+:044BB700DBB47ABE33
+:044BB800DBB17ABD36
+:044BB900DBAE7ABC39
+:044BBA00DBAB7ABB3C
+:044BBB00DBA87ABA3F
+:044BBC00DBA57AB942
+:044BBD00DBA27AB944
+:044BBE00DB9F7AB847
+:044BBF00DB9C7AB74A
+:044BC000DB997AB64D
+:044BC100DB967AB550
+:044BC200DB937AB453
+:044BC300DB907AB356
+:044BC400DB8D7AB259
+:044BC500DB8A7AB15C
+:044BC600DB877AB15E
+:044BC700DB847AB061
+:044BC800DB817AAF64
+:044BC900DB7E7AAE67
+:044BCA00DB7B7AAD6A
+:044BCB00DB787AAC6D
+:044BCC00DB757AAB70
+:044BCD00DB727AAA73
+:044BCE00DB6F7AA976
+:044BCF00DB6C7AA879
+:044BD000DB697AA87B
+:044BD100DB667AA77E
+:044BD200DB637AA681
+:044BD300DB607AA584
+:044BD400DB5D7AA487
+:044BD500DB5A7AA38A
+:044BD600DB577AA28D
+:044BD700DB547AA190
+:044BD800DB517AA093
+:044BD900DB4E7A9F96
+:044BDA00DB4B7A9F98
+:044BDB00DB487A9E9B
+:044BDC00DB457A9D9E
+:044BDD00DB427A9CA1
+:044BDE00DB3F7A9BA4
+:044BDF00DB3C7A9AA7
+:044BE000DB397A99AA
+:044BE100DB367A98AD
+:044BE200DB337A97B0
+:044BE300DB307A96B3
+:044BE400DB2D7A96B5
+:044BE500DB2A7A95B8
+:044BE600DB277A94BB
+:044BE700DB247A93BE
+:044BE800DB217A92C1
+:044BE900DB1E7A91C4
+:044BEA00DB1B7A90C7
+:044BEB00DB187A8FCA
+:044BEC00DB157A8ECD
+:044BED00DB127A8DD0
+:044BEE00DB0F7A8CD3
+:044BEF00DB0C7A8CD5
+:044BF000DB097A8BD8
+:044BF100DB067A8ADB
+:044BF200DB037A89DE
+:044BF300DB007A88E1
+:044BF400DAFD7A87E5
+:044BF500DAFA7A86E8
+:044BF600DAF77A85EB
+:044BF700DAF47A84EE
+:044BF800DAF17A83F1
+:044BF900DAEE7A82F4
+:044BFA00DAEB7A82F6
+:044BFB00DAE87A81F9
+:044BFC00DAE57A80FC
+:044BFD00DAE27A7FFF
+:044BFE00DADF7A7E02
+:044BFF00DADC7A7D05
+:044C0000DAD97A7C07
+:044C0100DAD67A7B0A
+:044C0200DAD37A7A0D
+:044C0300DAD07A7910
+:044C0400DACD7A7813
+:044C0500DACA7A7815
+:044C0600DAC67A7719
+:044C0700DAC37A761C
+:044C0800DAC07A751F
+:044C0900DABD7A7422
+:044C0A00DABA7A7325
+:044C0B00DAB77A7228
+:044C0C00DAB47A712B
+:044C0D00DAB17A702E
+:044C0E00DAAE7A6F31
+:044C0F00DAAB7A6E34
+:044C1000DAA87A6D37
+:044C1100DAA57A6D39
+:044C1200DAA27A6C3C
+:044C1300DA9F7A6B3F
+:044C1400DA9C7A6A42
+:044C1500DA997A6945
+:044C1600DA967A6848
+:044C1700DA937A674B
+:044C1800DA907A664E
+:044C1900DA8D7A6551
+:044C1A00DA8A7A6454
+:044C1B00DA877A6357
+:044C1C00DA847A625A
+:044C1D00DA817A625C
+:044C1E00DA7E7A615F
+:044C1F00DA7B7A6062
+:044C2000DA787A5F65
+:044C2100DA757A5E68
+:044C2200DA727A5D6B
+:044C2300DA6F7A5C6E
+:044C2400DA6C7A5B71
+:044C2500DA697A5A74
+:044C2600DA667A5977
+:044C2700DA637A587A
+:044C2800DA607A577D
+:044C2900DA5D7A5680
+:044C2A00DA5A7A5682
+:044C2B00DA577A5585
+:044C2C00DA547A5488
+:044C2D00DA517A538B
+:044C2E00DA4E7A528E
+:044C2F00DA4B7A5191
+:044C3000DA487A5094
+:044C3100DA457A4F97
+:044C3200DA427A4E9A
+:044C3300DA3F7A4D9D
+:044C3400DA3C7A4CA0
+:044C3500DA397A4BA3
+:044C3600DA367A4AA6
+:044C3700DA337A4AA8
+:044C3800DA307A49AB
+:044C3900DA2D7A48AE
+:044C3A00DA2A7A47B1
+:044C3B00DA277A46B4
+:044C3C00DA247A45B7
+:044C3D00DA217A44BA
+:044C3E00DA1E7A43BD
+:044C3F00DA1B7A42C0
+:044C4000DA187A41C3
+:044C4100DA157A40C6
+:044C4200DA127A3FC9
+:044C4300DA0F7A3ECC
+:044C4400DA0C7A3DCF
+:044C4500DA097A3DD1
+:044C4600DA067A3CD4
+:044C4700DA037A3BD7
+:044C4800DA007A3ADA
+:044C4900D9FD7A39DE
+:044C4A00D9FA7A38E1
+:044C4B00D9F77A37E4
+:044C4C00D9F47A36E7
+:044C4D00D9F17A35EA
+:044C4E00D9EE7A34ED
+:044C4F00D9EB7A33F0
+:044C5000D9E87A32F3
+:044C5100D9E57A31F6
+:044C5200D9E27A30F9
+:044C5300D9DF7A2FFC
+:044C5400D9DC7A2EFF
+:044C5500D9D97A2E01
+:044C5600D9D67A2D04
+:044C5700D9D37A2C07
+:044C5800D9D07A2B0A
+:044C5900D9CD7A2A0D
+:044C5A00D9CA7A2910
+:044C5B00D9C77A2813
+:044C5C00D9C47A2716
+:044C5D00D9C17A2619
+:044C5E00D9BE7A251C
+:044C5F00D9BB7A241F
+:044C6000D9B87A2322
+:044C6100D9B57A2225
+:044C6200D9B27A2128
+:044C6300D9AF7A202B
+:044C6400D9AC7A1F2E
+:044C6500D9A97A1F30
+:044C6600D9A67A1E33
+:044C6700D9A37A1D36
+:044C6800D9A07A1C39
+:044C6900D99D7A1B3C
+:044C6A00D99A7A1A3F
+:044C6B00D9977A1942
+:044C6C00D9947A1845
+:044C6D00D9917A1748
+:044C6E00D98E7A164B
+:044C6F00D98B7A154E
+:044C7000D9887A1451
+:044C7100D9857A1354
+:044C7200D9827A1257
+:044C7300D97F7A115A
+:044C7400D97C7A105D
+:044C7500D9797A0F60
+:044C7600D9767A0E63
+:044C7700D9737A0E65
+:044C7800D9707A0D68
+:044C7900D96D7A0C6B
+:044C7A00D96A7A0B6E
+:044C7B00D9677A0A71
+:044C7C00D9647A0974
+:044C7D00D9617A0877
+:044C7E00D95E7A077A
+:044C7F00D95B7A067D
+:044C8000D9587A0580
+:044C8100D9557A0483
+:044C8200D9527A0386
+:044C8300D94F7A0289
+:044C8400D94C7A018C
+:044C8500D94A7A008E
+:044C8600D94779FF92
+:044C8700D94479FE95
+:044C8800D94179FD98
+:044C8900D93E79FC9B
+:044C8A00D93B79FC9D
+:044C8B00D93879FBA0
+:044C8C00D93579FAA3
+:044C8D00D93279F9A6
+:044C8E00D92F79F8A9
+:044C8F00D92C79F7AC
+:044C9000D92979F6AF
+:044C9100D92679F5B2
+:044C9200D92379F4B5
+:044C9300D92079F3B8
+:044C9400D91D79F2BB
+:044C9500D91A79F1BE
+:044C9600D91779F0C1
+:044C9700D91479EFC4
+:044C9800D91179EEC7
+:044C9900D90E79EDCA
+:044C9A00D90B79ECCD
+:044C9B00D90879EBD0
+:044C9C00D90579EAD3
+:044C9D00D90279E9D6
+:044C9E00D8FF79E8DA
+:044C9F00D8FC79E7DD
+:044CA000D8F979E7DF
+:044CA100D8F679E6E2
+:044CA200D8F379E5E5
+:044CA300D8F079E4E8
+:044CA400D8ED79E3EB
+:044CA500D8EA79E2EE
+:044CA600D8E779E1F1
+:044CA700D8E479E0F4
+:044CA800D8E179DFF7
+:044CA900D8DE79DEFA
+:044CAA00D8DB79DDFD
+:044CAB00D8D879DC00
+:044CAC00D8D579DB03
+:044CAD00D8D279DA06
+:044CAE00D8CF79D909
+:044CAF00D8CC79D80C
+:044CB000D8C979D70F
+:044CB100D8C679D612
+:044CB200D8C379D515
+:044CB300D8C079D418
+:044CB400D8BD79D31B
+:044CB500D8BA79D21E
+:044CB600D8B779D121
+:044CB700D8B479D024
+:044CB800D8B179CF27
+:044CB900D8AE79CE2A
+:044CBA00D8AB79CE2C
+:044CBB00D8A879CD2F
+:044CBC00D8A579CC32
+:044CBD00D8A279CB35
+:044CBE00D89F79CA38
+:044CBF00D89C79C93B
+:044CC000D89979C83E
+:044CC100D89679C741
+:044CC200D89379C644
+:044CC300D89079C547
+:044CC400D88D79C44A
+:044CC500D88A79C34D
+:044CC600D88779C250
+:044CC700D88479C153
+:044CC800D88179C056
+:044CC900D87E79BF59
+:044CCA00D87B79BE5C
+:044CCB00D87879BD5F
+:044CCC00D87579BC62
+:044CCD00D87279BB65
+:044CCE00D86F79BA68
+:044CCF00D86C79B96B
+:044CD000D86979B86E
+:044CD100D86679B771
+:044CD200D86379B674
+:044CD300D86079B577
+:044CD400D85D79B47A
+:044CD500D85A79B37D
+:044CD600D85779B280
+:044CD700D85479B183
+:044CD800D85179B086
+:044CD900D84E79AF89
+:044CDA00D84B79AE8C
+:044CDB00D84879AE8E
+:044CDC00D84579AD91
+:044CDD00D84279AC94
+:044CDE00D83F79AB97
+:044CDF00D83C79AA9A
+:044CE000D83979A99D
+:044CE100D83679A8A0
+:044CE200D83379A7A3
+:044CE300D83079A6A6
+:044CE400D82D79A5A9
+:044CE500D82A79A4AC
+:044CE600D82779A3AF
+:044CE700D82579A2B1
+:044CE800D82279A1B4
+:044CE900D81F79A0B7
+:044CEA00D81C799FBA
+:044CEB00D819799EBD
+:044CEC00D816799DC0
+:044CED00D813799CC3
+:044CEE00D810799BC6
+:044CEF00D80D799AC9
+:044CF000D80A7999CC
+:044CF100D8077998CF
+:044CF200D8047997D2
+:044CF300D8017996D5
+:044CF400D7FE7995D9
+:044CF500D7FB7994DC
+:044CF600D7F87993DF
+:044CF700D7F57992E2
+:044CF800D7F27991E5
+:044CF900D7EF7990E8
+:044CFA00D7EC798FEB
+:044CFB00D7E9798EEE
+:044CFC00D7E6798DF1
+:044CFD00D7E3798CF4
+:044CFE00D7E0798BF7
+:044CFF00D7DD798AFA
+:044D0000D7DA7989FC
+:044D0100D7D77988FF
+:044D0200D7D4798702
+:044D0300D7D1798605
+:044D0400D7CE798508
+:044D0500D7CB79840B
+:044D0600D7C879830E
+:044D0700D7C5798211
+:044D0800D7C2798114
+:044D0900D7BF798017
+:044D0A00D7BC797F1A
+:044D0B00D7B9797E1D
+:044D0C00D7B6797D20
+:044D0D00D7B3797C23
+:044D0E00D7B0797B26
+:044D0F00D7AD797A29
+:044D1000D7AA79792C
+:044D1100D7A779782F
+:044D1200D7A4797732
+:044D1300D7A1797635
+:044D1400D79E797538
+:044D1500D79B79743B
+:044D1600D79879733E
+:044D1700D795797340
+:044D1800D792797243
+:044D1900D78F797146
+:044D1A00D78C797049
+:044D1B00D789796F4C
+:044D1C00D786796E4F
+:044D1D00D783796D52
+:044D1E00D780796C55
+:044D1F00D77D796B58
+:044D2000D77B796A5A
+:044D2100D77879695D
+:044D2200D775796860
+:044D2300D772796763
+:044D2400D76F796666
+:044D2500D76C796569
+:044D2600D76979646C
+:044D2700D76679636F
+:044D2800D763796272
+:044D2900D760796175
+:044D2A00D75D796078
+:044D2B00D75A795F7B
+:044D2C00D757795E7E
+:044D2D00D754795D81
+:044D2E00D751795C84
+:044D2F00D74E795B87
+:044D3000D74B795A8A
+:044D3100D74879598D
+:044D3200D745795890
+:044D3300D742795793
+:044D3400D73F795696
+:044D3500D73C795599
+:044D3600D73979549C
+:044D3700D73679539F
+:044D3800D7337952A2
+:044D3900D7307951A5
+:044D3A00D72D7950A8
+:044D3B00D72A794FAB
+:044D3C00D727794EAE
+:044D3D00D724794DB1
+:044D3E00D721794CB4
+:044D3F00D71E794BB7
+:044D4000D71B794ABA
+:044D4100D7187949BD
+:044D4200D7157948C0
+:044D4300D7127947C3
+:044D4400D70F7946C6
+:044D4500D70C7945C9
+:044D4600D7097944CC
+:044D4700D7067943CF
+:044D4800D7037942D2
+:044D4900D7007941D5
+:044D4A00D6FD7940D9
+:044D4B00D6FA793FDC
+:044D4C00D6F7793EDF
+:044D4D00D6F5793DE1
+:044D4E00D6F2793CE4
+:044D4F00D6EF793BE7
+:044D5000D6EC7939EB
+:044D5100D6E97938EE
+:044D5200D6E67937F1
+:044D5300D6E37936F4
+:044D5400D6E07935F7
+:044D5500D6DD7934FA
+:044D5600D6DA7933FD
+:044D5700D6D7793200
+:044D5800D6D4793103
+:044D5900D6D1793006
+:044D5A00D6CE792F09
+:044D5B00D6CB792E0C
+:044D5C00D6C8792D0F
+:044D5D00D6C5792C12
+:044D5E00D6C2792B15
+:044D5F00D6BF792A18
+:044D6000D6BC79291B
+:044D6100D6B979281E
+:044D6200D6B6792721
+:044D6300D6B3792624
+:044D6400D6B0792527
+:044D6500D6AD79242A
+:044D6600D6AA79232D
+:044D6700D6A7792230
+:044D6800D6A4792133
+:044D6900D6A1792036
+:044D6A00D69E791F39
+:044D6B00D69B791E3C
+:044D6C00D698791D3F
+:044D6D00D695791C42
+:044D6E00D692791B45
+:044D6F00D68F791A48
+:044D7000D68C79194B
+:044D7100D68979184E
+:044D7200D686791751
+:044D7300D684791653
+:044D7400D681791556
+:044D7500D67E791459
+:044D7600D67B79135C
+:044D7700D67879125F
+:044D7800D675791162
+:044D7900D672791065
+:044D7A00D66F790F68
+:044D7B00D66C790E6B
+:044D7C00D669790D6E
+:044D7D00D666790C71
+:044D7E00D663790B74
+:044D7F00D660790A77
+:044D8000D65D79097A
+:044D8100D65A79087D
+:044D8200D657790780
+:044D8300D654790683
+:044D8400D651790586
+:044D8500D64E790489
+:044D8600D64B79038C
+:044D8700D64879028F
+:044D8800D645790192
+:044D8900D642790095
+:044D8A00D63F78FF99
+:044D8B00D63C78FE9C
+:044D8C00D63978FCA0
+:044D8D00D63678FBA3
+:044D8E00D63378FAA6
+:044D8F00D63078F9A9
+:044D9000D62D78F8AC
+:044D9100D62A78F7AF
+:044D9200D62778F6B2
+:044D9300D62478F5B5
+:044D9400D62178F4B8
+:044D9500D61F78F3BA
+:044D9600D61C78F2BD
+:044D9700D61978F1C0
+:044D9800D61678F0C3
+:044D9900D61378EFC6
+:044D9A00D61078EEC9
+:044D9B00D60D78EDCC
+:044D9C00D60A78ECCF
+:044D9D00D60778EBD2
+:044D9E00D60478EAD5
+:044D9F00D60178E9D8
+:044DA000D5FE78E8DC
+:044DA100D5FB78E7DF
+:044DA200D5F878E6E2
+:044DA300D5F578E5E5
+:044DA400D5F278E4E8
+:044DA500D5EF78E3EB
+:044DA600D5EC78E2EE
+:044DA700D5E978E1F1
+:044DA800D5E678E0F4
+:044DA900D5E378DFF7
+:044DAA00D5E078DEFA
+:044DAB00D5DD78DDFD
+:044DAC00D5DA78DC00
+:044DAD00D5D778DA04
+:044DAE00D5D478D907
+:044DAF00D5D178D80A
+:044DB000D5CE78D70D
+:044DB100D5CB78D610
+:044DB200D5C878D513
+:044DB300D5C678D415
+:044DB400D5C378D318
+:044DB500D5C078D21B
+:044DB600D5BD78D11E
+:044DB700D5BA78D021
+:044DB800D5B778CF24
+:044DB900D5B478CE27
+:044DBA00D5B178CD2A
+:044DBB00D5AE78CC2D
+:044DBC00D5AB78CB30
+:044DBD00D5A878CA33
+:044DBE00D5A578C936
+:044DBF00D5A278C839
+:044DC000D59F78C73C
+:044DC100D59C78C63F
+:044DC200D59978C542
+:044DC300D59678C445
+:044DC400D59378C348
+:044DC500D59078C24B
+:044DC600D58D78C14E
+:044DC700D58A78BF52
+:044DC800D58778BE55
+:044DC900D58478BD58
+:044DCA00D58178BC5B
+:044DCB00D57E78BB5E
+:044DCC00D57B78BA61
+:044DCD00D57878B964
+:044DCE00D57578B867
+:044DCF00D57378B769
+:044DD000D57078B66C
+:044DD100D56D78B56F
+:044DD200D56A78B472
+:044DD300D56778B375
+:044DD400D56478B278
+:044DD500D56178B17B
+:044DD600D55E78B07E
+:044DD700D55B78AF81
+:044DD800D55878AE84
+:044DD900D55578AD87
+:044DDA00D55278AC8A
+:044DDB00D54F78AB8D
+:044DDC00D54C78AA90
+:044DDD00D54978A894
+:044DDE00D54678A797
+:044DDF00D54378A69A
+:044DE000D54078A59D
+:044DE100D53D78A4A0
+:044DE200D53A78A3A3
+:044DE300D53778A2A6
+:044DE400D53478A1A9
+:044DE500D53178A0AC
+:044DE600D52E789FAF
+:044DE700D52B789EB2
+:044DE800D528789DB5
+:044DE900D526789CB7
+:044DEA00D523789BBA
+:044DEB00D520789ABD
+:044DEC00D51D7899C0
+:044DED00D51A7898C3
+:044DEE00D5177897C6
+:044DEF00D5147896C9
+:044DF000D5117895CC
+:044DF100D50E7893D0
+:044DF200D50B7892D3
+:044DF300D5087891D6
+:044DF400D5057890D9
+:044DF500D502788FDC
+:044DF600D4FF788EE0
+:044DF700D4FC788DE3
+:044DF800D4F9788CE6
+:044DF900D4F6788BE9
+:044DFA00D4F3788AEC
+:044DFB00D4F07889EF
+:044DFC00D4ED7888F2
+:044DFD00D4EA7887F5
+:044DFE00D4E77886F8
+:044DFF00D4E47885FB
+:044E0000D4E17884FD
+:044E0100D4DF7883FF
+:044E0200D4DC788202
+:044E0300D4D9788006
+:044E0400D4D6787F09
+:044E0500D4D3787E0C
+:044E0600D4D0787D0F
+:044E0700D4CD787C12
+:044E0800D4CA787B15
+:044E0900D4C7787A18
+:044E0A00D4C478791B
+:044E0B00D4C178781E
+:044E0C00D4BE787721
+:044E0D00D4BB787624
+:044E0E00D4B8787527
+:044E0F00D4B578742A
+:044E1000D4B278732D
+:044E1100D4AF787230
+:044E1200D4AC787133
+:044E1300D4A9786F37
+:044E1400D4A6786E3A
+:044E1500D4A3786D3D
+:044E1600D4A0786C40
+:044E1700D49D786B43
+:044E1800D49B786A45
+:044E1900D498786948
+:044E1A00D49578684B
+:044E1B00D49278674E
+:044E1C00D48F786651
+:044E1D00D48C786554
+:044E1E00D489786457
+:044E1F00D48678635A
+:044E2000D48378625D
+:044E2100D480786160
+:044E2200D47D785F64
+:044E2300D47A785E67
+:044E2400D477785D6A
+:044E2500D474785C6D
+:044E2600D471785B70
+:044E2700D46E785A73
+:044E2800D46B785976
+:044E2900D468785879
+:044E2A00D46578577C
+:044E2B00D46278567F
+:044E2C00D45F785582
+:044E2D00D45C785485
+:044E2E00D45A785387
+:044E2F00D45778528A
+:044E3000D45478518D
+:044E3100D451784F91
+:044E3200D44E784E94
+:044E3300D44B784D97
+:044E3400D448784C9A
+:044E3500D445784B9D
+:044E3600D442784AA0
+:044E3700D43F7849A3
+:044E3800D43C7848A6
+:044E3900D4397847A9
+:044E3A00D4367846AC
+:044E3B00D4337845AF
+:044E3C00D4307844B2
+:044E3D00D42D7843B5
+:044E3E00D42A7841B9
+:044E3F00D4277840BC
+:044E4000D424783FBF
+:044E4100D421783EC2
+:044E4200D41E783DC5
+:044E4300D41C783CC7
+:044E4400D419783BCA
+:044E4500D416783ACD
+:044E4600D4137839D0
+:044E4700D4107838D3
+:044E4800D40D7837D6
+:044E4900D40A7836D9
+:044E4A00D4077835DC
+:044E4B00D4047833E0
+:044E4C00D4017832E3
+:044E4D00D3FE7831E7
+:044E4E00D3FB7830EA
+:044E4F00D3F8782FED
+:044E5000D3F5782EF0
+:044E5100D3F2782DF3
+:044E5200D3EF782CF6
+:044E5300D3EC782BF9
+:044E5400D3E9782AFC
+:044E5500D3E67829FF
+:044E5600D3E3782802
+:044E5700D3E1782605
+:044E5800D3DE782508
+:044E5900D3DB78240B
+:044E5A00D3D878230E
+:044E5B00D3D5782211
+:044E5C00D3D2782114
+:044E5D00D3CF782017
+:044E5E00D3CC781F1A
+:044E5F00D3C9781E1D
+:044E6000D3C6781D20
+:044E6100D3C3781C23
+:044E6200D3C0781B26
+:044E6300D3BD78192A
+:044E6400D3BA78182D
+:044E6500D3B7781730
+:044E6600D3B4781633
+:044E6700D3B1781536
+:044E6800D3AE781439
+:044E6900D3AB78133C
+:044E6A00D3A978123E
+:044E6B00D3A6781141
+:044E6C00D3A3781044
+:044E6D00D3A0780F47
+:044E6E00D39D780E4A
+:044E6F00D39A780C4E
+:044E7000D397780B51
+:044E7100D394780A54
+:044E7200D391780957
+:044E7300D38E78085A
+:044E7400D38B78075D
+:044E7500D388780660
+:044E7600D385780563
+:044E7700D382780466
+:044E7800D37F780369
+:044E7900D37C78026C
+:044E7A00D379780070
+:044E7B00D37677FF74
+:044E7C00D37377FE77
+:044E7D00D37177FD79
+:044E7E00D36E77FC7C
+:044E7F00D36B77FB7F
+:044E8000D36877FA82
+:044E8100D36577F985
+:044E8200D36277F888
+:044E8300D35F77F78B
+:044E8400D35C77F58F
+:044E8500D35977F492
+:044E8600D35677F395
+:044E8700D35377F298
+:044E8800D35077F19B
+:044E8900D34D77F09E
+:044E8A00D34A77EFA1
+:044E8B00D34777EEA4
+:044E8C00D34477EDA7
+:044E8D00D34177ECAA
+:044E8E00D33F77EAAD
+:044E8F00D33C77E9B0
+:044E9000D33977E8B3
+:044E9100D33677E7B6
+:044E9200D33377E6B9
+:044E9300D33077E5BC
+:044E9400D32D77E4BF
+:044E9500D32A77E3C2
+:044E9600D32777E2C5
+:044E9700D32477E1C8
+:044E9800D32177E0CB
+:044E9900D31E77DECF
+:044E9A00D31B77DDD2
+:044E9B00D31877DCD5
+:044E9C00D31577DBD8
+:044E9D00D31277DADB
+:044E9E00D30F77D9DE
+:044E9F00D30C77D8E1
+:044EA000D30A77D7E3
+:044EA100D30777D6E6
+:044EA200D30477D4EA
+:044EA300D30177D3ED
+:044EA400D2FE77D2F1
+:044EA500D2FB77D1F4
+:044EA600D2F877D0F7
+:044EA700D2F577CFFA
+:044EA800D2F277CEFD
+:044EA900D2EF77CD00
+:044EAA00D2EC77CC03
+:044EAB00D2E977CB06
+:044EAC00D2E677C90A
+:044EAD00D2E377C80D
+:044EAE00D2E077C710
+:044EAF00D2DD77C613
+:044EB000D2DA77C516
+:044EB100D2D877C418
+:044EB200D2D577C31B
+:044EB300D2D277C21E
+:044EB400D2CF77C121
+:044EB500D2CC77BF25
+:044EB600D2C977BE28
+:044EB700D2C677BD2B
+:044EB800D2C377BC2E
+:044EB900D2C077BB31
+:044EBA00D2BD77BA34
+:044EBB00D2BA77B937
+:044EBC00D2B777B83A
+:044EBD00D2B477B73D
+:044EBE00D2B177B541
+:044EBF00D2AE77B444
+:044EC000D2AB77B347
+:044EC100D2A977B249
+:044EC200D2A677B14C
+:044EC300D2A377B04F
+:044EC400D2A077AF52
+:044EC500D29D77AE55
+:044EC600D29A77AD58
+:044EC700D29777AB5C
+:044EC800D29477AA5F
+:044EC900D29177A962
+:044ECA00D28E77A865
+:044ECB00D28B77A768
+:044ECC00D28877A66B
+:044ECD00D28577A56E
+:044ECE00D28277A471
+:044ECF00D27F77A374
+:044ED000D27C77A178
+:044ED100D27A77A07A
+:044ED200D277779F7D
+:044ED300D274779E80
+:044ED400D271779D83
+:044ED500D26E779C86
+:044ED600D26B779B89
+:044ED700D268779A8C
+:044ED800D265779890
+:044ED900D262779793
+:044EDA00D25F779696
+:044EDB00D25C779599
+:044EDC00D25977949C
+:044EDD00D25677939F
+:044EDE00D2537792A2
+:044EDF00D2507791A5
+:044EE000D24E778FA8
+:044EE100D24B778EAB
+:044EE200D248778DAE
+:044EE300D245778CB1
+:044EE400D242778BB4
+:044EE500D23F778AB7
+:044EE600D23C7789BA
+:044EE700D2397788BD
+:044EE800D2367786C1
+:044EE900D2337785C4
+:044EEA00D2307784C7
+:044EEB00D22D7783CA
+:044EEC00D22A7782CD
+:044EED00D2277781D0
+:044EEE00D2247780D3
+:044EEF00D222777FD5
+:044EF000D21F777DD9
+:044EF100D21C777CDC
+:044EF200D219777BDF
+:044EF300D216777AE2
+:044EF400D2137779E5
+:044EF500D2107778E8
+:044EF600D20D7777EB
+:044EF700D20A7776EE
+:044EF800D2077774F2
+:044EF900D2047773F5
+:044EFA00D2017772F8
+:044EFB00D1FE7771FC
+:044EFC00D1FB7770FF
+:044EFD00D1F8776F02
+:044EFE00D1F6776E04
+:044EFF00D1F3776D07
+:044F0000D1F0776B0A
+:044F0100D1ED776A0D
+:044F0200D1EA776910
+:044F0300D1E7776813
+:044F0400D1E4776716
+:044F0500D1E1776619
+:044F0600D1DE77651C
+:044F0700D1DB77641F
+:044F0800D1D8776223
+:044F0900D1D5776126
+:044F0A00D1D2776029
+:044F0B00D1CF775F2C
+:044F0C00D1CD775E2E
+:044F0D00D1CA775D31
+:044F0E00D1C7775C34
+:044F0F00D1C4775A38
+:044F1000D1C177593B
+:044F1100D1BE77583E
+:044F1200D1BB775741
+:044F1300D1B8775644
+:044F1400D1B5775547
+:044F1500D1B277544A
+:044F1600D1AF77534D
+:044F1700D1AC775151
+:044F1800D1A9775054
+:044F1900D1A6774F57
+:044F1A00D1A4774E59
+:044F1B00D1A1774D5C
+:044F1C00D19E774C5F
+:044F1D00D19B774B62
+:044F1E00D198774966
+:044F1F00D195774869
+:044F2000D19277476C
+:044F2100D18F77466F
+:044F2200D18C774572
+:044F2300D189774475
+:044F2400D186774378
+:044F2500D18377417C
+:044F2600D18077407F
+:044F2700D17D773F82
+:044F2800D17B773E84
+:044F2900D178773D87
+:044F2A00D175773C8A
+:044F2B00D172773B8D
+:044F2C00D16F773991
+:044F2D00D16C773894
+:044F2E00D169773797
+:044F2F00D16677369A
+:044F3000D16377359D
+:044F3100D1607734A0
+:044F3200D15D7733A3
+:044F3300D15A7731A7
+:044F3400D1577730AA
+:044F3500D154772FAD
+:044F3600D152772EAF
+:044F3700D14F772DB2
+:044F3800D14C772CB5
+:044F3900D149772BB8
+:044F3A00D1467729BC
+:044F3B00D1437728BF
+:044F3C00D1407727C2
+:044F3D00D13D7726C5
+:044F3E00D13A7725C8
+:044F3F00D1377724CB
+:044F4000D1347723CE
+:044F4100D1317721D2
+:044F4200D12E7720D5
+:044F4300D12C771FD7
+:044F4400D129771EDA
+:044F4500D126771DDD
+:044F4600D123771CE0
+:044F4700D120771AE4
+:044F4800D11D7719E7
+:044F4900D11A7718EA
+:044F4A00D1177717ED
+:044F4B00D1147716F0
+:044F4C00D1117715F3
+:044F4D00D10E7714F6
+:044F4E00D10B7712FA
+:044F4F00D1087711FD
+:044F5000D1067710FF
+:044F5100D103770F02
+:044F5200D100770E05
+:044F5300D0FD770D09
+:044F5400D0FA770B0D
+:044F5500D0F7770A10
+:044F5600D0F4770913
+:044F5700D0F1770816
+:044F5800D0EE770719
+:044F5900D0EB77061C
+:044F5A00D0E877051F
+:044F5B00D0E5770323
+:044F5C00D0E2770226
+:044F5D00D0E0770128
+:044F5E00D0DD77002B
+:044F5F00D0DA76FF2F
+:044F6000D0D776FE32
+:044F6100D0D476FC36
+:044F6200D0D176FB39
+:044F6300D0CE76FA3C
+:044F6400D0CB76F93F
+:044F6500D0C876F842
+:044F6600D0C576F745
+:044F6700D0C276F648
+:044F6800D0BF76F44C
+:044F6900D0BD76F34E
+:044F6A00D0BA76F251
+:044F6B00D0B776F154
+:044F6C00D0B476F057
+:044F6D00D0B176EF5A
+:044F6E00D0AE76ED5E
+:044F6F00D0AB76EC61
+:044F7000D0A876EB64
+:044F7100D0A576EA67
+:044F7200D0A276E96A
+:044F7300D09F76E86D
+:044F7400D09C76E671
+:044F7500D09A76E573
+:044F7600D09776E476
+:044F7700D09476E379
+:044F7800D09176E27C
+:044F7900D08E76E17F
+:044F7A00D08B76DF83
+:044F7B00D08876DE86
+:044F7C00D08576DD89
+:044F7D00D08276DC8C
+:044F7E00D07F76DB8F
+:044F7F00D07C76DA92
+:044F8000D07976D896
+:044F8100D07776D798
+:044F8200D07476D69B
+:044F8300D07176D59E
+:044F8400D06E76D4A1
+:044F8500D06B76D3A4
+:044F8600D06876D1A8
+:044F8700D06576D0AB
+:044F8800D06276CFAE
+:044F8900D05F76CEB1
+:044F8A00D05C76CDB4
+:044F8B00D05976CCB7
+:044F8C00D05676CABB
+:044F8D00D05476C9BD
+:044F8E00D05176C8C0
+:044F8F00D04E76C7C3
+:044F9000D04B76C6C6
+:044F9100D04876C5C9
+:044F9200D04576C3CD
+:044F9300D04276C2D0
+:044F9400D03F76C1D3
+:044F9500D03C76C0D6
+:044F9600D03976BFD9
+:044F9700D03676BEDC
+:044F9800D03376BCE0
+:044F9900D03176BBE2
+:044F9A00D02E76BAE5
+:044F9B00D02B76B9E8
+:044F9C00D02876B8EB
+:044F9D00D02576B6EF
+:044F9E00D02276B5F2
+:044F9F00D01F76B4F5
+:044FA000D01C76B3F8
+:044FA100D01976B2FB
+:044FA200D01676B1FE
+:044FA300D01376AF02
+:044FA400D01076AE05
+:044FA500D00E76AD07
+:044FA600D00B76AC0A
+:044FA700D00876AB0D
+:044FA800D00576AA10
+:044FA900D00276A814
+:044FAA00CFFF76A718
+:044FAB00CFFC76A61B
+:044FAC00CFF976A51E
+:044FAD00CFF676A421
+:044FAE00CFF376A225
+:044FAF00CFF076A128
+:044FB000CFEE76A02A
+:044FB100CFEB769F2D
+:044FB200CFE8769E30
+:044FB300CFE5769D33
+:044FB400CFE2769B37
+:044FB500CFDF769A3A
+:044FB600CFDC76993D
+:044FB700CFD9769840
+:044FB800CFD6769743
+:044FB900CFD3769547
+:044FBA00CFD076944A
+:044FBB00CFCE76934C
+:044FBC00CFCB76924F
+:044FBD00CFC8769152
+:044FBE00CFC5769055
+:044FBF00CFC2768E59
+:044FC000CFBF768D5C
+:044FC100CFBC768C5F
+:044FC200CFB9768B62
+:044FC300CFB6768A65
+:044FC400CFB3768869
+:044FC500CFB076876C
+:044FC600CFAE76866E
+:044FC700CFAB768571
+:044FC800CFA8768474
+:044FC900CFA5768377
+:044FCA00CFA276817B
+:044FCB00CF9F76807E
+:044FCC00CF9C767F81
+:044FCD00CF99767E84
+:044FCE00CF96767D87
+:044FCF00CF93767B8B
+:044FD000CF90767A8E
+:044FD100CF8E767990
+:044FD200CF8B767893
+:044FD300CF88767796
+:044FD400CF8576759A
+:044FD500CF8276749D
+:044FD600CF7F7673A0
+:044FD700CF7C7672A3
+:044FD800CF797671A6
+:044FD900CF767670A9
+:044FDA00CF73766EAD
+:044FDB00CF70766DB0
+:044FDC00CF6E766CB2
+:044FDD00CF6B766BB5
+:044FDE00CF68766AB8
+:044FDF00CF657668BC
+:044FE000CF627667BF
+:044FE100CF5F7666C2
+:044FE200CF5C7665C5
+:044FE300CF597664C8
+:044FE400CF567662CC
+:044FE500CF537661CF
+:044FE600CF507660D2
+:044FE700CF4E765FD4
+:044FE800CF4B765ED7
+:044FE900CF48765CDB
+:044FEA00CF45765BDE
+:044FEB00CF42765AE1
+:044FEC00CF3F7659E4
+:044FED00CF3C7658E7
+:044FEE00CF397656EB
+:044FEF00CF367655EE
+:044FF000CF337654F1
+:044FF100CF317653F3
+:044FF200CF2E7652F6
+:044FF300CF2B7650FA
+:044FF400CF28764FFD
+:044FF500CF25764E00
+:044FF600CF22764D03
+:044FF700CF1F764C06
+:044FF800CF1C764A0A
+:044FF900CF1976490D
+:044FFA00CF16764810
+:044FFB00CF14764712
+:044FFC00CF11764615
+:044FFD00CF0E764419
+:044FFE00CF0B76431C
+:044FFF00CF0876421F
+:04500000CF05764121
+:04500100CF02764024
+:04500200CEFF763E29
+:04500300CEFC763D2C
+:04500400CEF9763C2F
+:04500500CEF6763B32
+:04500600CEF4763A34
+:04500700CEF1763838
+:04500800CEEE76373B
+:04500900CEEB76363E
+:04500A00CEE8763541
+:04500B00CEE5763444
+:04500C00CEE2763248
+:04500D00CEDF76314B
+:04500E00CEDC76304E
+:04500F00CED9762F51
+:04501000CED7762E53
+:04501100CED4762C57
+:04501200CED1762B5A
+:04501300CECE762A5D
+:04501400CECB762960
+:04501500CEC8762863
+:04501600CEC5762667
+:04501700CEC276256A
+:04501800CEBF76246D
+:04501900CEBC762370
+:04501A00CEBA762173
+:04501B00CEB7762076
+:04501C00CEB4761F79
+:04501D00CEB1761E7C
+:04501E00CEAE761D7F
+:04501F00CEAB761B83
+:04502000CEA8761A86
+:04502100CEA5761989
+:04502200CEA276188C
+:04502300CE9F76178F
+:04502400CE9D761592
+:04502500CE9A761495
+:04502600CE97761398
+:04502700CE9476129B
+:04502800CE9176119E
+:04502900CE8E760FA2
+:04502A00CE8B760EA5
+:04502B00CE88760DA8
+:04502C00CE85760CAB
+:04502D00CE83760AAE
+:04502E00CE807609B1
+:04502F00CE7D7608B4
+:04503000CE7A7607B7
+:04503100CE777606BA
+:04503200CE747604BE
+:04503300CE717603C1
+:04503400CE6E7602C4
+:04503500CE6B7601C7
+:04503600CE687600CA
+:04503700CE6675FECE
+:04503800CE6375FDD1
+:04503900CE6075FCD4
+:04503A00CE5D75FBD7
+:04503B00CE5A75F9DB
+:04503C00CE5775F8DE
+:04503D00CE5475F7E1
+:04503E00CE5175F6E4
+:04503F00CE4E75F5E7
+:04504000CE4B75F3EB
+:04504100CE4975F2ED
+:04504200CE4675F1F0
+:04504300CE4375F0F3
+:04504400CE4075EEF7
+:04504500CE3D75EDFA
+:04504600CE3A75ECFD
+:04504700CE3775EB00
+:04504800CE3475EA03
+:04504900CE3175E807
+:04504A00CE2F75E709
+:04504B00CE2C75E60C
+:04504C00CE2975E50F
+:04504D00CE2675E313
+:04504E00CE2375E216
+:04504F00CE2075E119
+:04505000CE1D75E01C
+:04505100CE1A75DF1F
+:04505200CE1775DD23
+:04505300CE1575DC25
+:04505400CE1275DB28
+:04505500CE0F75DA2B
+:04505600CE0C75D82F
+:04505700CE0975D732
+:04505800CE0675D635
+:04505900CE0375D538
+:04505A00CE0075D43B
+:04505B00CDFD75D240
+:04505C00CDFA75D143
+:04505D00CDF875D045
+:04505E00CDF575CF48
+:04505F00CDF275CD4C
+:04506000CDEF75CC4F
+:04506100CDEC75CB52
+:04506200CDE975CA55
+:04506300CDE675C859
+:04506400CDE375C75C
+:04506500CDE075C65F
+:04506600CDDE75C561
+:04506700CDDB75C464
+:04506800CDD875C268
+:04506900CDD575C16B
+:04506A00CDD275C06E
+:04506B00CDCF75BF71
+:04506C00CDCC75BD75
+:04506D00CDC975BC78
+:04506E00CDC675BB7B
+:04506F00CDC475BA7D
+:04507000CDC175B881
+:04507100CDBE75B784
+:04507200CDBB75B687
+:04507300CDB875B58A
+:04507400CDB575B48D
+:04507500CDB275B291
+:04507600CDAF75B194
+:04507700CDAC75B097
+:04507800CDAA75AF99
+:04507900CDA775AD9D
+:04507A00CDA475ACA0
+:04507B00CDA175ABA3
+:04507C00CD9E75AAA6
+:04507D00CD9B75A8AA
+:04507E00CD9875A7AD
+:04507F00CD9575A6B0
+:04508000CD9275A5B3
+:04508100CD9075A3B6
+:04508200CD8D75A2B9
+:04508300CD8A75A1BC
+:04508400CD8775A0BF
+:04508500CD84759EC3
+:04508600CD81759DC6
+:04508700CD7E759CC9
+:04508800CD7B759BCC
+:04508900CD78759ACF
+:04508A00CD767598D2
+:04508B00CD737597D5
+:04508C00CD707596D8
+:04508D00CD6D7595DB
+:04508E00CD6A7593DF
+:04508F00CD677592E2
+:04509000CD647591E5
+:04509100CD617590E8
+:04509200CD5F758EEB
+:04509300CD5C758DEE
+:04509400CD59758CF1
+:04509500CD56758BF4
+:04509600CD537589F8
+:04509700CD507588FB
+:04509800CD4D7587FE
+:04509900CD4A758601
+:04509A00CD47758405
+:04509B00CD45758307
+:04509C00CD4275820A
+:04509D00CD3F75810D
+:04509E00CD3C757F11
+:04509F00CD39757E14
+:0450A000CD36757D17
+:0450A100CD33757C1A
+:0450A200CD30757A1E
+:0450A300CD2D757921
+:0450A400CD2B757823
+:0450A500CD28757726
+:0450A600CD2575752A
+:0450A700CD2275742D
+:0450A800CD1F757330
+:0450A900CD1C757233
+:0450AA00CD19757037
+:0450AB00CD16756F3A
+:0450AC00CD14756E3C
+:0450AD00CD11756D3F
+:0450AE00CD0E756B43
+:0450AF00CD0B756A46
+:0450B000CD08756949
+:0450B100CD0575684C
+:0450B200CD02756650
+:0450B300CCFF756554
+:0450B400CCFC756457
+:0450B500CCFA756359
+:0450B600CCF775615D
+:0450B700CCF4756060
+:0450B800CCF1755F63
+:0450B900CCEE755E66
+:0450BA00CCEB755C6A
+:0450BB00CCE8755B6D
+:0450BC00CCE5755A70
+:0450BD00CCE3755972
+:0450BE00CCE0755776
+:0450BF00CCDD755679
+:0450C000CCDA75557C
+:0450C100CCD775547F
+:0450C200CCD4755283
+:0450C300CCD1755186
+:0450C400CCCE755089
+:0450C500CCCC754F8B
+:0450C600CCC9754D8F
+:0450C700CCC6754C92
+:0450C800CCC3754B95
+:0450C900CCC0754A98
+:0450CA00CCBD75489C
+:0450CB00CCBA75479F
+:0450CC00CCB77546A2
+:0450CD00CCB47545A5
+:0450CE00CCB27543A8
+:0450CF00CCAF7542AB
+:0450D000CCAC7541AE
+:0450D100CCA97540B1
+:0450D200CCA6753EB5
+:0450D300CCA3753DB8
+:0450D400CCA0753CBB
+:0450D500CC9D753ABF
+:0450D600CC9B7539C1
+:0450D700CC987538C4
+:0450D800CC957537C7
+:0450D900CC927535CB
+:0450DA00CC8F7534CE
+:0450DB00CC8C7533D1
+:0450DC00CC897532D4
+:0450DD00CC867530D8
+:0450DE00CC84752FDA
+:0450DF00CC81752EDD
+:0450E000CC7E752DE0
+:0450E100CC7B752BE4
+:0450E200CC78752AE7
+:0450E300CC757529EA
+:0450E400CC727528ED
+:0450E500CC6F7526F1
+:0450E600CC6D7525F3
+:0450E700CC6A7524F6
+:0450E800CC677522FA
+:0450E900CC647521FD
+:0450EA00CC61752000
+:0450EB00CC5E751F03
+:0450EC00CC5B751D07
+:0450ED00CC58751C0A
+:0450EE00CC56751B0C
+:0450EF00CC53751A0F
+:0450F000CC50751813
+:0450F100CC4D751716
+:0450F200CC4A751619
+:0450F300CC4775151C
+:0450F400CC44751320
+:0450F500CC41751223
+:0450F600CC3F751125
+:0450F700CC3C750F29
+:0450F800CC39750E2C
+:0450F900CC36750D2F
+:0450FA00CC33750C32
+:0450FB00CC30750A36
+:0450FC00CC2D750939
+:0450FD00CC2A75083C
+:0450FE00CC2875073E
+:0450FF00CC25750542
+:04510000CC22750444
+:04510100CC1F750347
+:04510200CC1C75014B
+:04510300CC1975004E
+:04510400CC1674FF52
+:04510500CC1474FE54
+:04510600CC1174FC58
+:04510700CC0E74FB5B
+:04510800CC0B74FA5E
+:04510900CC0874F961
+:04510A00CC0574F765
+:04510B00CC0274F668
+:04510C00CBFF74F56C
+:04510D00CBFD74F36F
+:04510E00CBFA74F272
+:04510F00CBF774F175
+:04511000CBF474F078
+:04511100CBF174EE7C
+:04511200CBEE74ED7F
+:04511300CBEB74EC82
+:04511400CBE874EA86
+:04511500CBE674E988
+:04511600CBE374E88B
+:04511700CBE074E78E
+:04511800CBDD74E592
+:04511900CBDA74E495
+:04511A00CBD774E398
+:04511B00CBD474E29B
+:04511C00CBD174E09F
+:04511D00CBCF74DFA1
+:04511E00CBCC74DEA4
+:04511F00CBC974DCA8
+:04512000CBC674DBAB
+:04512100CBC374DAAE
+:04512200CBC074D9B1
+:04512300CBBD74D7B5
+:04512400CBBB74D6B7
+:04512500CBB874D5BA
+:04512600CBB574D3BE
+:04512700CBB274D2C1
+:04512800CBAF74D1C4
+:04512900CBAC74D0C7
+:04512A00CBA974CECB
+:04512B00CBA674CDCE
+:04512C00CBA474CCD0
+:04512D00CBA174CAD4
+:04512E00CB9E74C9D7
+:04512F00CB9B74C8DA
+:04513000CB9874C7DD
+:04513100CB9574C5E1
+:04513200CB9274C4E4
+:04513300CB9074C3E6
+:04513400CB8D74C1EA
+:04513500CB8A74C0ED
+:04513600CB8774BFF0
+:04513700CB8474BEF3
+:04513800CB8174BCF7
+:04513900CB7E74BBFA
+:04513A00CB7B74BAFD
+:04513B00CB7974B800
+:04513C00CB7674B703
+:04513D00CB7374B606
+:04513E00CB7074B509
+:04513F00CB6D74B30D
+:04514000CB6A74B210
+:04514100CB6774B113
+:04514200CB6574AF16
+:04514300CB6274AE19
+:04514400CB5F74AD1C
+:04514500CB5C74AC1F
+:04514600CB5974AA23
+:04514700CB5674A926
+:04514800CB5374A829
+:04514900CB5174A62C
+:04514A00CB4E74A52F
+:04514B00CB4B74A432
+:04514C00CB4874A236
+:04514D00CB4574A139
+:04514E00CB4274A03C
+:04514F00CB3F749F3F
+:04515000CB3D749D42
+:04515100CB3A749C45
+:04515200CB37749B48
+:04515300CB3474994C
+:04515400CB3174984F
+:04515500CB2E749752
+:04515600CB2B749556
+:04515700CB28749459
+:04515800CB2674935B
+:04515900CB2374925E
+:04515A00CB20749062
+:04515B00CB1D748F65
+:04515C00CB1A748E68
+:04515D00CB17748C6C
+:04515E00CB14748B6F
+:04515F00CB12748A71
+:04516000CB0F748974
+:04516100CB0C748778
+:04516200CB0974867B
+:04516300CB0674857E
+:04516400CB03748382
+:04516500CB00748285
+:04516600CAFE748188
+:04516700CAFB747F8C
+:04516800CAF8747E8F
+:04516900CAF5747D92
+:04516A00CAF2747C95
+:04516B00CAEF747A99
+:04516C00CAEC74799C
+:04516D00CAEA74789E
+:04516E00CAE77476A2
+:04516F00CAE47475A5
+:04517000CAE17474A8
+:04517100CADE7472AC
+:04517200CADB7471AF
+:04517300CAD87470B2
+:04517400CAD6746EB5
+:04517500CAD3746DB8
+:04517600CAD0746CBB
+:04517700CACD746BBE
+:04517800CACA7469C2
+:04517900CAC77468C5
+:04517A00CAC47467C8
+:04517B00CAC27465CB
+:04517C00CABF7464CE
+:04517D00CABC7463D1
+:04517E00CAB97461D5
+:04517F00CAB67460D8
+:04518000CAB3745FDB
+:04518100CAB0745DDF
+:04518200CAAE745CE1
+:04518300CAAB745BE4
+:04518400CAA8745AE7
+:04518500CAA57458EB
+:04518600CAA27457EE
+:04518700CA9F7456F1
+:04518800CA9C7454F5
+:04518900CA9A7453F7
+:04518A00CA977452FA
+:04518B00CA947450FE
+:04518C00CA91744F01
+:04518D00CA8E744E04
+:04518E00CA8B744C08
+:04518F00CA88744B0B
+:04519000CA86744A0D
+:04519100CA83744910
+:04519200CA80744714
+:04519300CA7D744617
+:04519400CA7A74451A
+:04519500CA7774431E
+:04519600CA74744221
+:04519700CA72744123
+:04519800CA6F743F27
+:04519900CA6C743E2A
+:04519A00CA69743D2D
+:04519B00CA66743B31
+:04519C00CA63743A34
+:04519D00CA60743937
+:04519E00CA5E74373A
+:04519F00CA5B74363D
+:0451A000CA58743540
+:0451A100CA55743344
+:0451A200CA52743247
+:0451A300CA4F74314A
+:0451A400CA4D74304C
+:0451A500CA4A742E50
+:0451A600CA47742D53
+:0451A700CA44742C56
+:0451A800CA41742A5A
+:0451A900CA3E74295D
+:0451AA00CA3B742860
+:0451AB00CA39742663
+:0451AC00CA36742566
+:0451AD00CA33742469
+:0451AE00CA3074226D
+:0451AF00CA2D742170
+:0451B000CA2A742073
+:0451B100CA27741E77
+:0451B200CA25741D79
+:0451B300CA22741C7C
+:0451B400CA1F741A80
+:0451B500CA1C741983
+:0451B600CA19741886
+:0451B700CA1674168A
+:0451B800CA1474158C
+:0451B900CA1174148F
+:0451BA00CA0E741293
+:0451BB00CA0B741196
+:0451BC00CA08741099
+:0451BD00CA05740E9D
+:0451BE00CA02740DA0
+:0451BF00CA00740CA2
+:0451C000C9FD740BA6
+:0451C100C9FA7409AA
+:0451C200C9F77408AD
+:0451C300C9F47407B0
+:0451C400C9F17405B4
+:0451C500C9EF7404B6
+:0451C600C9EC7403B9
+:0451C700C9E97401BD
+:0451C800C9E67400C0
+:0451C900C9E373FFC4
+:0451CA00C9E073FDC8
+:0451CB00C9DD73FCCB
+:0451CC00C9DB73FBCD
+:0451CD00C9D873F9D1
+:0451CE00C9D573F8D4
+:0451CF00C9D273F7D7
+:0451D000C9CF73F5DB
+:0451D100C9CC73F4DE
+:0451D200C9C973F3E1
+:0451D300C9C773F1E4
+:0451D400C9C473F0E7
+:0451D500C9C173EFEA
+:0451D600C9BE73EDEE
+:0451D700C9BB73ECF1
+:0451D800C9B873EBF4
+:0451D900C9B673E9F7
+:0451DA00C9B373E8FA
+:0451DB00C9B073E7FD
+:0451DC00C9AD73E501
+:0451DD00C9AA73E404
+:0451DE00C9A773E307
+:0451DF00C9A573E10A
+:0451E000C9A273E00D
+:0451E100C99F73DF10
+:0451E200C99C73DD14
+:0451E300C99973DC17
+:0451E400C99673DB1A
+:0451E500C99373D91E
+:0451E600C99173D820
+:0451E700C98E73D723
+:0451E800C98B73D527
+:0451E900C98873D42A
+:0451EA00C98573D32D
+:0451EB00C98273D131
+:0451EC00C98073D033
+:0451ED00C97D73CF36
+:0451EE00C97A73CD3A
+:0451EF00C97773CC3D
+:0451F000C97473CB40
+:0451F100C97173C944
+:0451F200C96E73C847
+:0451F300C96C73C749
+:0451F400C96973C54D
+:0451F500C96673C450
+:0451F600C96373C353
+:0451F700C96073C157
+:0451F800C95D73C05A
+:0451F900C95B73BF5C
+:0451FA00C95873BD60
+:0451FB00C95573BC63
+:0451FC00C95273BA67
+:0451FD00C94F73B96A
+:0451FE00C94C73B86D
+:0451FF00C94A73B670
+:04520000C94773B572
+:04520100C94473B475
+:04520200C94173B279
+:04520300C93E73B17C
+:04520400C93B73B07F
+:04520500C93973AE82
+:04520600C93673AD85
+:04520700C93373AC88
+:04520800C93073AA8C
+:04520900C92D73A98F
+:04520A00C92A73A892
+:04520B00C92773A696
+:04520C00C92573A598
+:04520D00C92273A49B
+:04520E00C91F73A29F
+:04520F00C91C73A1A2
+:04521000C91973A0A5
+:04521100C916739EA9
+:04521200C914739DAB
+:04521300C911739CAE
+:04521400C90E739AB2
+:04521500C90B7399B5
+:04521600C9087397B9
+:04521700C9057396BC
+:04521800C9037395BE
+:04521900C9007393C2
+:04521A00C8FD7392C6
+:04521B00C8FA7391C9
+:04521C00C8F7738FCD
+:04521D00C8F4738ED0
+:04521E00C8F2738DD2
+:04521F00C8EF738BD6
+:04522000C8EC738AD9
+:04522100C8E97389DC
+:04522200C8E67387E0
+:04522300C8E37386E3
+:04522400C8E17385E5
+:04522500C8DE7383E9
+:04522600C8DB7382EC
+:04522700C8D87381EF
+:04522800C8D5737FF3
+:04522900C8D2737EF6
+:04522A00C8D0737CF9
+:04522B00C8CD737BFC
+:04522C00C8CA737AFF
+:04522D00C8C7737803
+:04522E00C8C4737706
+:04522F00C8C1737609
+:04523000C8BF73740C
+:04523100C8BC73730F
+:04523200C8B9737212
+:04523300C8B6737016
+:04523400C8B3736F19
+:04523500C8B0736E1C
+:04523600C8AE736C1F
+:04523700C8AB736B22
+:04523800C8A8736926
+:04523900C8A5736829
+:04523A00C8A273672C
+:04523B00C89F736530
+:04523C00C89D736432
+:04523D00C89A736335
+:04523E00C897736139
+:04523F00C89473603C
+:04524000C891735F3F
+:04524100C88E735D43
+:04524200C88C735C45
+:04524300C889735B48
+:04524400C88673594C
+:04524500C88373584F
+:04524600C880735653
+:04524700C87D735556
+:04524800C87B735458
+:04524900C87873525C
+:04524A00C87573515F
+:04524B00C872735062
+:04524C00C86F734E66
+:04524D00C86C734D69
+:04524E00C86A734C6B
+:04524F00C867734A6F
+:04525000C864734972
+:04525100C861734776
+:04525200C85E734679
+:04525300C85B73457C
+:04525400C85973437F
+:04525500C856734282
+:04525600C853734185
+:04525700C850733F89
+:04525800C84D733E8C
+:04525900C84B733C8F
+:04525A00C848733B92
+:04525B00C845733A95
+:04525C00C842733899
+:04525D00C83F73379C
+:04525E00C83C73369F
+:04525F00C83A7334A2
+:04526000C8377333A5
+:04526100C8347332A8
+:04526200C8317330AC
+:04526300C82E732FAF
+:04526400C82B732DB3
+:04526500C829732CB5
+:04526600C826732BB8
+:04526700C8237329BC
+:04526800C8207328BF
+:04526900C81D7327C2
+:04526A00C81A7325C6
+:04526B00C8187324C8
+:04526C00C8157322CC
+:04526D00C8127321CF
+:04526E00C80F7320D2
+:04526F00C80C731ED6
+:04527000C809731DD9
+:04527100C807731CDB
+:04527200C804731ADF
+:04527300C8017319E2
+:04527400C7FE7317E7
+:04527500C7FB7316EA
+:04527600C7F97315EC
+:04527700C7F67313F0
+:04527800C7F37312F3
+:04527900C7F07311F6
+:04527A00C7ED730FFA
+:04527B00C7EA730EFD
+:04527C00C7E8730C00
+:04527D00C7E5730B03
+:04527E00C7E2730A06
+:04527F00C7DF73080A
+:04528000C7DC73070D
+:04528100C7D9730610
+:04528200C7D7730413
+:04528300C7D4730316
+:04528400C7D173011A
+:04528500C7CE73001D
+:04528600C7CB72FF21
+:04528700C7C972FD24
+:04528800C7C672FC27
+:04528900C7C372FB2A
+:04528A00C7C072F92E
+:04528B00C7BD72F831
+:04528C00C7BA72F635
+:04528D00C7B872F537
+:04528E00C7B572F43A
+:04528F00C7B272F23E
+:04529000C7AF72F141
+:04529100C7AC72F044
+:04529200C7AA72EE47
+:04529300C7A772ED4A
+:04529400C7A472EB4E
+:04529500C7A172EA51
+:04529600C79E72E954
+:04529700C79B72E758
+:04529800C79972E65A
+:04529900C79672E45E
+:04529A00C79372E361
+:04529B00C79072E264
+:04529C00C78D72E068
+:04529D00C78A72DF6B
+:04529E00C78872DE6D
+:04529F00C78572DC71
+:0452A000C78272DB74
+:0452A100C77F72D978
+:0452A200C77C72D87B
+:0452A300C77A72D77D
+:0452A400C77772D581
+:0452A500C77472D484
+:0452A600C77172D288
+:0452A700C76E72D18B
+:0452A800C76B72D08E
+:0452A900C76972CE91
+:0452AA00C76672CD94
+:0452AB00C76372CB98
+:0452AC00C76072CA9B
+:0452AD00C75D72C99E
+:0452AE00C75B72C7A1
+:0452AF00C75872C6A4
+:0452B000C75572C5A7
+:0452B100C75272C3AB
+:0452B200C74F72C2AE
+:0452B300C74C72C0B2
+:0452B400C74A72BFB4
+:0452B500C74772BEB7
+:0452B600C74472BCBB
+:0452B700C74172BBBE
+:0452B800C73E72B9C2
+:0452B900C73C72B8C4
+:0452BA00C73972B7C7
+:0452BB00C73672B5CB
+:0452BC00C73372B4CE
+:0452BD00C73072B2D2
+:0452BE00C72E72B1D4
+:0452BF00C72B72B0D7
+:0452C000C72872AEDB
+:0452C100C72572ADDE
+:0452C200C72272ABE2
+:0452C300C71F72AAE5
+:0452C400C71D72A9E7
+:0452C500C71A72A7EB
+:0452C600C71772A6EE
+:0452C700C71472A4F2
+:0452C800C71172A3F5
+:0452C900C70F72A2F7
+:0452CA00C70C72A0FB
+:0452CB00C709729FFE
+:0452CC00C706729D02
+:0452CD00C703729C05
+:0452CE00C701729B07
+:0452CF00C6FE72990C
+:0452D000C6FB72980F
+:0452D100C6F8729613
+:0452D200C6F5729516
+:0452D300C6F2729419
+:0452D400C6F072921C
+:0452D500C6ED72911F
+:0452D600C6EA728F23
+:0452D700C6E7728E26
+:0452D800C6E4728D29
+:0452D900C6E2728B2C
+:0452DA00C6DF728A2F
+:0452DB00C6DC728833
+:0452DC00C6D9728736
+:0452DD00C6D6728639
+:0452DE00C6D472843C
+:0452DF00C6D172833F
+:0452E000C6CE728143
+:0452E100C6CB728046
+:0452E200C6C8727F49
+:0452E300C6C5727D4D
+:0452E400C6C3727C4F
+:0452E500C6C0727A53
+:0452E600C6BD727956
+:0452E700C6BA727859
+:0452E800C6B772765D
+:0452E900C6B572755F
+:0452EA00C6B2727363
+:0452EB00C6AF727266
+:0452EC00C6AC727169
+:0452ED00C6A9726F6D
+:0452EE00C6A7726E6F
+:0452EF00C6A4726C73
+:0452F000C6A1726B76
+:0452F100C69E726A79
+:0452F200C69B72687D
+:0452F300C69972677F
+:0452F400C696726583
+:0452F500C693726486
+:0452F600C69072628A
+:0452F700C68D72618D
+:0452F800C68A726090
+:0452F900C688725E93
+:0452FA00C685725D96
+:0452FB00C682725B9A
+:0452FC00C67F725A9D
+:0452FD00C67C7259A0
+:0452FE00C67A7257A3
+:0452FF00C6777256A6
+:04530000C6747254A9
+:04530100C6717253AC
+:04530200C66E7252AF
+:04530300C66C7250B2
+:04530400C669724FB5
+:04530500C666724DB9
+:04530600C663724CBC
+:04530700C660724AC0
+:04530800C65E7249C2
+:04530900C65B7248C5
+:04530A00C6587246C9
+:04530B00C6557245CC
+:04530C00C6527243D0
+:04530D00C6507242D2
+:04530E00C64D7241D5
+:04530F00C64A723FD9
+:04531000C647723EDC
+:04531100C644723CE0
+:04531200C642723BE2
+:04531300C63F723AE5
+:04531400C63C7238E9
+:04531500C6397237EC
+:04531600C6367235F0
+:04531700C6347234F2
+:04531800C6317232F6
+:04531900C62E7231F9
+:04531A00C62B7230FC
+:04531B00C628722E00
+:04531C00C626722D02
+:04531D00C623722B06
+:04531E00C620722A09
+:04531F00C61D72280D
+:04532000C61A722710
+:04532100C618722612
+:04532200C615722416
+:04532300C612722319
+:04532400C60F72211D
+:04532500C60C722020
+:04532600C60A721F22
+:04532700C607721D26
+:04532800C604721C29
+:04532900C601721A2D
+:04532A00C5FE721931
+:04532B00C5FC721734
+:04532C00C5F9721637
+:04532D00C5F672153A
+:04532E00C5F372133E
+:04532F00C5F0721241
+:04533000C5EE721044
+:04533100C5EB720F47
+:04533200C5E8720D4B
+:04533300C5E5720C4E
+:04533400C5E2720B51
+:04533500C5E0720954
+:04533600C5DD720857
+:04533700C5DA72065B
+:04533800C5D772055E
+:04533900C5D4720362
+:04533A00C5D2720264
+:04533B00C5CF720167
+:04533C00C5CC71FF6C
+:04533D00C5C971FE6F
+:04533E00C5C671FC73
+:04533F00C5C471FB75
+:04534000C5C171F979
+:04534100C5BE71F87C
+:04534200C5BB71F77F
+:04534300C5B871F583
+:04534400C5B671F485
+:04534500C5B371F289
+:04534600C5B071F18C
+:04534700C5AD71EF90
+:04534800C5AA71EE93
+:04534900C5A871ED95
+:04534A00C5A571EB99
+:04534B00C5A271EA9C
+:04534C00C59F71E8A0
+:04534D00C59C71E7A3
+:04534E00C59A71E5A6
+:04534F00C59771E4A9
+:04535000C59471E3AC
+:04535100C59171E1B0
+:04535200C58E71E0B3
+:04535300C58C71DEB6
+:04535400C58971DDB9
+:04535500C58671DBBD
+:04535600C58371DAC0
+:04535700C58071D8C4
+:04535800C57E71D7C6
+:04535900C57B71D6C9
+:04535A00C57871D4CD
+:04535B00C57571D3D0
+:04535C00C57271D1D4
+:04535D00C57071D0D6
+:04535E00C56D71CEDA
+:04535F00C56A71CDDD
+:04536000C56771CCE0
+:04536100C56471CAE4
+:04536200C56271C9E6
+:04536300C55F71C7EA
+:04536400C55C71C6ED
+:04536500C55971C4F1
+:04536600C55771C3F3
+:04536700C55471C1F7
+:04536800C55171C0FA
+:04536900C54E71BFFD
+:04536A00C54B71BD01
+:04536B00C54971BC03
+:04536C00C54671BA07
+:04536D00C54371B90A
+:04536E00C54071B70E
+:04536F00C53D71B611
+:04537000C53B71B513
+:04537100C53871B317
+:04537200C53571B21A
+:04537300C53271B01E
+:04537400C52F71AF21
+:04537500C52D71AD24
+:04537600C52A71AC27
+:04537700C52771AA2B
+:04537800C52471A92E
+:04537900C52271A830
+:04537A00C51F71A634
+:04537B00C51C71A537
+:04537C00C51971A33B
+:04537D00C51671A23E
+:04537E00C51471A041
+:04537F00C511719F44
+:04538000C50E719D48
+:04538100C50B719C4B
+:04538200C508719B4E
+:04538300C506719951
+:04538400C503719854
+:04538500C500719658
+:04538600C4FD71955C
+:04538700C4FA719360
+:04538800C4F8719262
+:04538900C4F5719066
+:04538A00C4F2718F69
+:04538B00C4EF718D6D
+:04538C00C4ED718C6F
+:04538D00C4EA718B72
+:04538E00C4E7718976
+:04538F00C4E4718879
+:04539000C4E171867D
+:04539100C4DF71857F
+:04539200C4DC718383
+:04539300C4D9718286
+:04539400C4D671808A
+:04539500C4D3717F8D
+:04539600C4D1717E8F
+:04539700C4CE717C93
+:04539800C4CB717B96
+:04539900C4C871799A
+:04539A00C4C671789C
+:04539B00C4C37176A0
+:04539C00C4C07175A3
+:04539D00C4BD7173A7
+:04539E00C4BA7172AA
+:04539F00C4B87170AD
+:0453A000C4B5716FB0
+:0453A100C4B2716EB3
+:0453A200C4AF716CB7
+:0453A300C4AC716BBA
+:0453A400C4AA7169BD
+:0453A500C4A77168C0
+:0453A600C4A47166C4
+:0453A700C4A17165C7
+:0453A800C49F7163CA
+:0453A900C49C7162CD
+:0453AA00C4997160D1
+:0453AB00C496715FD4
+:0453AC00C493715DD8
+:0453AD00C491715CDA
+:0453AE00C48E715BDD
+:0453AF00C48B7159E1
+:0453B000C4887158E4
+:0453B100C4867156E7
+:0453B200C4837155EA
+:0453B300C4807153EE
+:0453B400C47D7152F1
+:0453B500C47A7150F5
+:0453B600C478714FF7
+:0453B700C475714DFB
+:0453B800C472714CFE
+:0453B900C46F714B01
+:0453BA00C46C714905
+:0453BB00C46A714807
+:0453BC00C46771460B
+:0453BD00C46471450E
+:0453BE00C461714312
+:0453BF00C45F714214
+:0453C000C45C714018
+:0453C100C459713F1B
+:0453C200C456713D1F
+:0453C300C453713C22
+:0453C400C451713A25
+:0453C500C44E713928
+:0453C600C44B71372C
+:0453C700C44871362F
+:0453C800C446713531
+:0453C900C443713335
+:0453CA00C440713238
+:0453CB00C43D71303C
+:0453CC00C43A712F3F
+:0453CD00C438712D42
+:0453CE00C435712C45
+:0453CF00C432712A49
+:0453D000C42F71294C
+:0453D100C42D71274F
+:0453D200C42A712652
+:0453D300C427712456
+:0453D400C424712359
+:0453D500C42171215D
+:0453D600C41F71205F
+:0453D700C41C711F62
+:0453D800C419711D66
+:0453D900C416711C69
+:0453DA00C414711A6C
+:0453DB00C41171196F
+:0453DC00C40E711773
+:0453DD00C40B711676
+:0453DE00C40871147A
+:0453DF00C40671137C
+:0453E000C403711180
+:0453E100C400711083
+:0453E200C3FD710E88
+:0453E300C3FB710D8A
+:0453E400C3F8710B8E
+:0453E500C3F5710A91
+:0453E600C3F2710895
+:0453E700C3F0710797
+:0453E800C3ED71059B
+:0453E900C3EA71049E
+:0453EA00C3E77103A1
+:0453EB00C3E47101A5
+:0453EC00C3E27100A7
+:0453ED00C3DF70FEAC
+:0453EE00C3DC70FDAF
+:0453EF00C3D970FBB3
+:0453F000C3D770FAB5
+:0453F100C3D470F8B9
+:0453F200C3D170F7BC
+:0453F300C3CE70F5C0
+:0453F400C3CB70F4C3
+:0453F500C3C970F2C6
+:0453F600C3C670F1C9
+:0453F700C3C370EFCD
+:0453F800C3C070EED0
+:0453F900C3BE70ECD3
+:0453FA00C3BB70EBD6
+:0453FB00C3B870E9DA
+:0453FC00C3B570E8DD
+:0453FD00C3B370E6E0
+:0453FE00C3B070E5E3
+:0453FF00C3AD70E4E6
+:04540000C3AA70E2E9
+:04540100C3A770E1EC
+:04540200C3A570DFEF
+:04540300C3A270DEF2
+:04540400C39F70DCF6
+:04540500C39C70DBF9
+:04540600C39A70D9FC
+:04540700C39770D8FF
+:04540800C39470D603
+:04540900C39170D506
+:04540A00C38F70D309
+:04540B00C38C70D20C
+:04540C00C38970D010
+:04540D00C38670CF13
+:04540E00C38370CD17
+:04540F00C38170CC19
+:04541000C37E70CA1D
+:04541100C37B70C920
+:04541200C37870C724
+:04541300C37670C626
+:04541400C37370C42A
+:04541500C37070C32D
+:04541600C36D70C131
+:04541700C36B70C033
+:04541800C36870BE37
+:04541900C36570BD3A
+:04541A00C36270BB3E
+:04541B00C35F70BA41
+:04541C00C35D70B844
+:04541D00C35A70B747
+:04541E00C35770B54B
+:04541F00C35470B44E
+:04542000C35270B350
+:04542100C34F70B154
+:04542200C34C70B057
+:04542300C34970AE5B
+:04542400C34770AD5D
+:04542500C34470AB61
+:04542600C34170AA64
+:04542700C33E70A868
+:04542800C33C70A76A
+:04542900C33970A56E
+:04542A00C33670A471
+:04542B00C33370A275
+:04542C00C33070A178
+:04542D00C32E709F7B
+:04542E00C32B709E7E
+:04542F00C328709C82
+:04543000C325709B85
+:04543100C323709988
+:04543200C32070988B
+:04543300C31D70968F
+:04543400C31A709592
+:04543500C318709395
+:04543600C315709298
+:04543700C31270909C
+:04543800C30F708F9F
+:04543900C30D708DA2
+:04543A00C30A708CA5
+:04543B00C307708AA9
+:04543C00C3047089AC
+:04543D00C3017087B0
+:04543E00C2FF7086B3
+:04543F00C2FC7084B7
+:04544000C2F97083BA
+:04544100C2F67081BE
+:04544200C2F47080C0
+:04544300C2F1707EC4
+:04544400C2EE707DC7
+:04544500C2EB707BCB
+:04544600C2E9707ACD
+:04544700C2E67078D1
+:04544800C2E37077D4
+:04544900C2E07075D8
+:04544A00C2DE7074DA
+:04544B00C2DB7072DE
+:04544C00C2D87071E1
+:04544D00C2D5706FE5
+:04544E00C2D3706EE7
+:04544F00C2D0706CEB
+:04545000C2CD706BEE
+:04545100C2CA7069F2
+:04545200C2C87068F4
+:04545300C2C57066F8
+:04545400C2C27065FB
+:04545500C2BF7063FF
+:04545600C2BC706202
+:04545700C2BA706005
+:04545800C2B7705F08
+:04545900C2B4705D0C
+:04545A00C2B1705C0F
+:04545B00C2AF705A12
+:04545C00C2AC705915
+:04545D00C2A9705719
+:04545E00C2A670561C
+:04545F00C2A470541F
+:04546000C2A1705322
+:04546100C29E705126
+:04546200C29B705029
+:04546300C299704E2C
+:04546400C296704D2F
+:04546500C293704B33
+:04546600C290704A36
+:04546700C28E704839
+:04546800C28B70473C
+:04546900C288704540
+:04546A00C285704443
+:04546B00C283704246
+:04546C00C280704149
+:04546D00C27D703F4D
+:04546E00C27A703E50
+:04546F00C278703C53
+:04547000C275703B56
+:04547100C27270395A
+:04547200C26F70375E
+:04547300C26D703660
+:04547400C26A703464
+:04547500C267703367
+:04547600C26470316B
+:04547700C26270306D
+:04547800C25F702E71
+:04547900C25C702D74
+:04547A00C259702B78
+:04547B00C257702A7A
+:04547C00C25470287E
+:04547D00C251702781
+:04547E00C24E702585
+:04547F00C24C702487
+:04548000C24970228B
+:04548100C24670218E
+:04548200C243701F92
+:04548300C241701E94
+:04548400C23E701C98
+:04548500C23B701B9B
+:04548600C23870199F
+:04548700C2367018A1
+:04548800C2337016A5
+:04548900C2307015A8
+:04548A00C22D7013AC
+:04548B00C22B7012AE
+:04548C00C2287010B2
+:04548D00C225700FB5
+:04548E00C222700DB9
+:04548F00C220700CBB
+:04549000C21D700ABF
+:04549100C21A7009C2
+:04549200C2177007C6
+:04549300C2157005C9
+:04549400C2127004CC
+:04549500C20F7002D0
+:04549600C20C7001D3
+:04549700C20A6FFFD7
+:04549800C2076FFEDA
+:04549900C2046FFCDE
+:04549A00C2016FFBE1
+:04549B00C1FF6FF9E5
+:04549C00C1FC6FF8E8
+:04549D00C1F96FF6EC
+:04549E00C1F66FF5EF
+:04549F00C1F46FF3F2
+:0454A000C1F16FF2F5
+:0454A100C1EE6FF0F9
+:0454A200C1EB6FEFFC
+:0454A300C1E96FEDFF
+:0454A400C1E66FEC02
+:0454A500C1E36FEA06
+:0454A600C1E06FE909
+:0454A700C1DE6FE70C
+:0454A800C1DB6FE60F
+:0454A900C1D86FE413
+:0454AA00C1D56FE217
+:0454AB00C1D36FE119
+:0454AC00C1D06FDF1D
+:0454AD00C1CD6FDE20
+:0454AE00C1CA6FDC24
+:0454AF00C1C86FDB26
+:0454B000C1C56FD92A
+:0454B100C1C26FD82D
+:0454B200C1BF6FD631
+:0454B300C1BD6FD533
+:0454B400C1BA6FD337
+:0454B500C1B76FD23A
+:0454B600C1B46FD03E
+:0454B700C1B26FCF40
+:0454B800C1AF6FCD44
+:0454B900C1AC6FCC47
+:0454BA00C1A96FCA4B
+:0454BB00C1A76FC84E
+:0454BC00C1A46FC751
+:0454BD00C1A16FC555
+:0454BE00C19E6FC458
+:0454BF00C19C6FC25B
+:0454C000C1996FC15E
+:0454C100C1966FBF62
+:0454C200C1936FBE65
+:0454C300C1916FBC68
+:0454C400C18E6FBB6B
+:0454C500C18B6FB96F
+:0454C600C1886FB872
+:0454C700C1866FB675
+:0454C800C1836FB578
+:0454C900C1806FB37C
+:0454CA00C17E6FB27E
+:0454CB00C17B6FB082
+:0454CC00C1786FAE86
+:0454CD00C1756FAD89
+:0454CE00C1736FAB8C
+:0454CF00C1706FAA8F
+:0454D000C16D6FA893
+:0454D100C16A6FA796
+:0454D200C1686FA599
+:0454D300C1656FA49C
+:0454D400C1626FA2A0
+:0454D500C15F6FA1A3
+:0454D600C15D6F9FA6
+:0454D700C15A6F9EA9
+:0454D800C1576F9CAD
+:0454D900C1546F9AB1
+:0454DA00C1526F99B3
+:0454DB00C14F6F97B7
+:0454DC00C14C6F96BA
+:0454DD00C1496F94BE
+:0454DE00C1476F93C0
+:0454DF00C1446F91C4
+:0454E000C1416F90C7
+:0454E100C13F6F8ECA
+:0454E200C13C6F8DCD
+:0454E300C1396F8BD1
+:0454E400C1366F8AD4
+:0454E500C1346F88D7
+:0454E600C1316F86DB
+:0454E700C12E6F85DE
+:0454E800C12B6F83E2
+:0454E900C1296F82E4
+:0454EA00C1266F80E8
+:0454EB00C1236F7FEB
+:0454EC00C1206F7DEF
+:0454ED00C11E6F7CF1
+:0454EE00C11B6F7AF5
+:0454EF00C1186F79F8
+:0454F000C1156F77FC
+:0454F100C1136F75FF
+:0454F200C1106F7402
+:0454F300C10D6F7206
+:0454F400C10B6F7108
+:0454F500C1086F6F0C
+:0454F600C1056F6E0F
+:0454F700C1026F6C13
+:0454F800C1006F6B15
+:0454F900C0FD6F691A
+:0454FA00C0FA6F681D
+:0454FB00C0F76F6621
+:0454FC00C0F56F6424
+:0454FD00C0F26F6327
+:0454FE00C0EF6F612B
+:0454FF00C0EC6F602E
+:04550000C0EA6F5E30
+:04550100C0E76F5D33
+:04550200C0E46F5B37
+:04550300C0E16F5A3A
+:04550400C0DF6F583D
+:04550500C0DC6F5740
+:04550600C0D96F5544
+:04550700C0D76F5347
+:04550800C0D46F524A
+:04550900C0D16F504E
+:04550A00C0CE6F4F51
+:04550B00C0CC6F4D54
+:04550C00C0C96F4C57
+:04550D00C0C66F4A5B
+:04550E00C0C36F495E
+:04550F00C0C16F4761
+:04551000C0BE6F4565
+:04551100C0BB6F4468
+:04551200C0B96F426B
+:04551300C0B66F416E
+:04551400C0B36F3F72
+:04551500C0B06F3E75
+:04551600C0AE6F3C78
+:04551700C0AB6F3B7B
+:04551800C0A86F397F
+:04551900C0A56F3783
+:04551A00C0A36F3685
+:04551B00C0A06F3489
+:04551C00C09D6F338C
+:04551D00C09A6F3190
+:04551E00C0986F3092
+:04551F00C0956F2E96
+:04552000C0926F2D99
+:04552100C0906F2B9C
+:04552200C08D6F29A0
+:04552300C08A6F28A3
+:04552400C0876F26A7
+:04552500C0856F25A9
+:04552600C0826F23AD
+:04552700C07F6F22B0
+:04552800C07C6F20B4
+:04552900C07A6F1FB6
+:04552A00C0776F1DBA
+:04552B00C0746F1BBE
+:04552C00C0726F1AC0
+:04552D00C06F6F18C4
+:04552E00C06C6F17C7
+:04552F00C0696F15CB
+:04553000C0676F14CD
+:04553100C0646F12D1
+:04553200C0616F11D4
+:04553300C05E6F0FD8
+:04553400C05C6F0DDB
+:04553500C0596F0CDE
+:04553600C0566F0AE2
+:04553700C0546F09E4
+:04553800C0516F07E8
+:04553900C04E6F06EB
+:04553A00C04B6F04EF
+:04553B00C0496F02F2
+:04553C00C0466F01F5
+:04553D00C0436EFFFA
+:04553E00C0416EFEFC
+:04553F00C03E6EFC00
+:04554000C03B6EFB03
+:04554100C0386EF907
+:04554200C0366EF809
+:04554300C0336EF60D
+:04554400C0306EF411
+:04554500C02D6EF314
+:04554600C02B6EF117
+:04554700C0286EF01A
+:04554800C0256EEE1E
+:04554900C0236EED20
+:04554A00C0206EEB24
+:04554B00C01D6EE928
+:04554C00C01A6EE82B
+:04554D00C0186EE62E
+:04554E00C0156EE531
+:04554F00C0126EE335
+:04555000C0106EE237
+:04555100C00D6EE03B
+:04555200C00A6EDE3F
+:04555300C0076EDD42
+:04555400C0056EDB45
+:04555500C0026EDA48
+:04555600BFFE6ED84E
+:04555700BFFB6ED751
+:04555800BFF96ED554
+:04555900BFF66ED358
+:04555A00BFF36ED25B
+:04555B00BFF16ED05E
+:04555C00BFEE6ECF61
+:04555D00BFEB6ECD65
+:04555E00BFE86ECC68
+:04555F00BFE66ECA6B
+:04556000BFE36EC86F
+:04556100BFE06EC772
+:04556200BFDE6EC575
+:04556300BFDB6EC478
+:04556400BFD86EC27C
+:04556500BFD56EC17F
+:04556600BFD36EBF82
+:04556700BFD06EBD86
+:04556800BFCD6EBC89
+:04556900BFCB6EBA8C
+:04556A00BFC86EB98F
+:04556B00BFC56EB793
+:04556C00BFC26EB696
+:04556D00BFC06EB499
+:04556E00BFBD6EB29D
+:04556F00BFBA6EB1A0
+:04557000BFB86EAFA3
+:04557100BFB56EAEA6
+:04557200BFB26EACAA
+:04557300BFAF6EAAAE
+:04557400BFAD6EA9B0
+:04557500BFAA6EA7B4
+:04557600BFA76EA6B7
+:04557700BFA46EA4BB
+:04557800BFA26EA3BD
+:04557900BF9F6EA1C1
+:04557A00BF9C6E9FC5
+:04557B00BF9A6E9EC7
+:04557C00BF976E9CCB
+:04557D00BF946E9BCE
+:04557E00BF916E99D2
+:04557F00BF8F6E98D4
+:04558000BF8C6E96D8
+:04558100BF896E94DC
+:04558200BF876E93DE
+:04558300BF846E91E2
+:04558400BF816E90E5
+:04558500BF7E6E8EE9
+:04558600BF7C6E8CEC
+:04558700BF796E8BEF
+:04558800BF766E89F3
+:04558900BF746E88F5
+:04558A00BF716E86F9
+:04558B00BF6E6E85FC
+:04558C00BF6C6E83FF
+:04558D00BF696E8103
+:04558E00BF666E8006
+:04558F00BF636E7E0A
+:04559000BF616E7D0C
+:04559100BF5E6E7B10
+:04559200BF5B6E7914
+:04559300BF596E7816
+:04559400BF566E761A
+:04559500BF536E751D
+:04559600BF506E7321
+:04559700BF4E6E7124
+:04559800BF4B6E7027
+:04559900BF486E6E2B
+:04559A00BF466E6D2D
+:04559B00BF436E6B31
+:04559C00BF406E6A34
+:04559D00BF3D6E6838
+:04559E00BF3B6E663B
+:04559F00BF386E653E
+:0455A000BF356E6342
+:0455A100BF336E6244
+:0455A200BF306E6048
+:0455A300BF2D6E5E4C
+:0455A400BF2A6E5D4F
+:0455A500BF286E5B52
+:0455A600BF256E5A55
+:0455A700BF226E5859
+:0455A800BF206E565C
+:0455A900BF1D6E555F
+:0455AA00BF1A6E5363
+:0455AB00BF176E5266
+:0455AC00BF156E5069
+:0455AD00BF126E4E6D
+:0455AE00BF0F6E4D70
+:0455AF00BF0D6E4B73
+:0455B000BF0A6E4A76
+:0455B100BF076E487A
+:0455B200BF056E477C
+:0455B300BF026E4580
+:0455B400BEFF6E4385
+:0455B500BEFC6E4288
+:0455B600BEFA6E408B
+:0455B700BEF76E3F8E
+:0455B800BEF46E3D92
+:0455B900BEF26E3B95
+:0455BA00BEEF6E3A98
+:0455BB00BEEC6E389C
+:0455BC00BEE96E379F
+:0455BD00BEE76E35A2
+:0455BE00BEE46E33A6
+:0455BF00BEE16E32A9
+:0455C000BEDF6E30AC
+:0455C100BEDC6E2FAF
+:0455C200BED96E2DB3
+:0455C300BED76E2BB6
+:0455C400BED46E2AB9
+:0455C500BED16E28BD
+:0455C600BECE6E27C0
+:0455C700BECC6E25C3
+:0455C800BEC96E23C7
+:0455C900BEC66E22CA
+:0455CA00BEC46E20CD
+:0455CB00BEC16E1FD0
+:0455CC00BEBE6E1DD4
+:0455CD00BEBC6E1BD7
+:0455CE00BEB96E1ADA
+:0455CF00BEB66E18DE
+:0455D000BEB36E17E1
+:0455D100BEB16E15E4
+:0455D200BEAE6E13E8
+:0455D300BEAB6E12EB
+:0455D400BEA96E10EE
+:0455D500BEA66E0FF1
+:0455D600BEA36E0DF5
+:0455D700BEA16E0BF8
+:0455D800BE9E6E0AFB
+:0455D900BE9B6E08FF
+:0455DA00BE986E0702
+:0455DB00BE966E0505
+:0455DC00BE936E0309
+:0455DD00BE906E020C
+:0455DE00BE8E6E000F
+:0455DF00BE8B6DFE14
+:0455E000BE886DFD17
+:0455E100BE866DFB1A
+:0455E200BE836DFA1D
+:0455E300BE806DF821
+:0455E400BE7D6DF625
+:0455E500BE7B6DF527
+:0455E600BE786DF32B
+:0455E700BE756DF22E
+:0455E800BE736DF031
+:0455E900BE706DEE35
+:0455EA00BE6D6DED38
+:0455EB00BE6B6DEB3B
+:0455EC00BE686DEA3E
+:0455ED00BE656DE842
+:0455EE00BE626DE646
+:0455EF00BE606DE548
+:0455F000BE5D6DE34C
+:0455F100BE5A6DE24F
+:0455F200BE586DE052
+:0455F300BE556DDE56
+:0455F400BE526DDD59
+:0455F500BE506DDB5C
+:0455F600BE4D6DD960
+:0455F700BE4A6DD863
+:0455F800BE476DD667
+:0455F900BE456DD569
+:0455FA00BE426DD36D
+:0455FB00BE3F6DD171
+:0455FC00BE3D6DD073
+:0455FD00BE3A6DCE77
+:0455FE00BE376DCD7A
+:0455FF00BE356DCB7D
+:04560000BE326DC980
+:04560100BE2F6DC883
+:04560200BE2D6DC686
+:04560300BE2A6DC48A
+:04560400BE276DC38D
+:04560500BE246DC191
+:04560600BE226DC093
+:04560700BE1F6DBE97
+:04560800BE1C6DBC9B
+:04560900BE1A6DBB9D
+:04560A00BE176DB9A1
+:04560B00BE146DB8A4
+:04560C00BE126DB6A7
+:04560D00BE0F6DB4AB
+:04560E00BE0C6DB3AE
+:04560F00BE0A6DB1B1
+:04561000BE076DAFB5
+:04561100BE046DAEB8
+:04561200BE016DACBC
+:04561300BDFF6DABBF
+:04561400BDFC6DA9C3
+:04561500BDF96DA7C7
+:04561600BDF76DA6C9
+:04561700BDF46DA4CD
+:04561800BDF16DA3D0
+:04561900BDEF6DA1D3
+:04561A00BDEC6D9FD7
+:04561B00BDE96D9EDA
+:04561C00BDE76D9CDD
+:04561D00BDE46D9AE1
+:04561E00BDE16D99E4
+:04561F00BDDE6D97E8
+:04562000BDDC6D96EA
+:04562100BDD96D94EE
+:04562200BDD66D92F2
+:04562300BDD46D91F4
+:04562400BDD16D8FF8
+:04562500BDCE6D8DFC
+:04562600BDCC6D8CFE
+:04562700BDC96D8A02
+:04562800BDC66D8905
+:04562900BDC46D8708
+:04562A00BDC16D850C
+:04562B00BDBE6D840F
+:04562C00BDBC6D8212
+:04562D00BDB96D8016
+:04562E00BDB66D7F19
+:04562F00BDB36D7D1D
+:04563000BDB16D7C1F
+:04563100BDAE6D7A23
+:04563200BDAB6D7827
+:04563300BDA96D7729
+:04563400BDA66D752D
+:04563500BDA36D7331
+:04563600BDA16D7233
+:04563700BD9E6D7037
+:04563800BD9B6D6E3B
+:04563900BD996D6D3D
+:04563A00BD966D6B41
+:04563B00BD936D6A44
+:04563C00BD916D6847
+:04563D00BD8E6D664B
+:04563E00BD8B6D654E
+:04563F00BD886D6352
+:04564000BD866D6155
+:04564100BD836D6058
+:04564200BD806D5E5C
+:04564300BD7E6D5D5E
+:04564400BD7B6D5B62
+:04564500BD786D5966
+:04564600BD766D5868
+:04564700BD736D566C
+:04564800BD706D5470
+:04564900BD6E6D5372
+:04564A00BD6B6D5176
+:04564B00BD686D4F7A
+:04564C00BD666D4E7C
+:04564D00BD636D4C80
+:04564E00BD606D4B83
+:04564F00BD5E6D4986
+:04565000BD5B6D478A
+:04565100BD586D468D
+:04565200BD556D4491
+:04565300BD536D4294
+:04565400BD506D4197
+:04565500BD4D6D3F9B
+:04565600BD4B6D3D9E
+:04565700BD486D3CA1
+:04565800BD456D3AA5
+:04565900BD436D39A7
+:04565A00BD406D37AB
+:04565B00BD3D6D35AF
+:04565C00BD3B6D34B1
+:04565D00BD386D32B5
+:04565E00BD356D30B9
+:04565F00BD336D2FBB
+:04566000BD306D2DBF
+:04566100BD2D6D2BC3
+:04566200BD2B6D2AC5
+:04566300BD286D28C9
+:04566400BD256D27CC
+:04566500BD236D25CF
+:04566600BD206D23D3
+:04566700BD1D6D22D6
+:04566800BD1B6D20D9
+:04566900BD186D1EDD
+:04566A00BD156D1DE0
+:04566B00BD126D1BE4
+:04566C00BD106D19E7
+:04566D00BD0D6D18EA
+:04566E00BD0A6D16EE
+:04566F00BD086D14F1
+:04567000BD056D13F4
+:04567100BD026D11F8
+:04567200BD006D10FA
+:04567300BCFD6D0EFF
+:04567400BCFA6D0C03
+:04567500BCF86D0B05
+:04567600BCF56D0909
+:04567700BCF26D070D
+:04567800BCF06D060F
+:04567900BCED6D0413
+:04567A00BCEA6D0217
+:04567B00BCE86D0119
+:04567C00BCE56CFF1E
+:04567D00BCE26CFD22
+:04567E00BCE06CFC24
+:04567F00BCDD6CFA28
+:04568000BCDA6CF92B
+:04568100BCD86CF72E
+:04568200BCD56CF532
+:04568300BCD26CF435
+:04568400BCD06CF238
+:04568500BCCD6CF03C
+:04568600BCCA6CEF3F
+:04568700BCC86CED42
+:04568800BCC56CEB46
+:04568900BCC26CEA49
+:04568A00BCC06CE84C
+:04568B00BCBD6CE650
+:04568C00BCBA6CE553
+:04568D00BCB86CE356
+:04568E00BCB56CE15A
+:04568F00BCB26CE05D
+:04569000BCB06CDE60
+:04569100BCAD6CDC64
+:04569200BCAA6CDB67
+:04569300BCA86CD96A
+:04569400BCA56CD76E
+:04569500BCA26CD671
+:04569600BC9F6CD475
+:04569700BC9D6CD377
+:04569800BC9A6CD17B
+:04569900BC976CCF7F
+:04569A00BC956CCE81
+:04569B00BC926CCC85
+:04569C00BC8F6CCA89
+:04569D00BC8D6CC98B
+:04569E00BC8A6CC78F
+:04569F00BC876CC593
+:0456A000BC856CC495
+:0456A100BC826CC299
+:0456A200BC7F6CC09D
+:0456A300BC7D6CBF9F
+:0456A400BC7A6CBDA3
+:0456A500BC776CBBA7
+:0456A600BC756CBAA9
+:0456A700BC726CB8AD
+:0456A800BC6F6CB6B1
+:0456A900BC6D6CB5B3
+:0456AA00BC6A6CB3B7
+:0456AB00BC676CB1BB
+:0456AC00BC656CB0BD
+:0456AD00BC626CAEC1
+:0456AE00BC5F6CACC5
+:0456AF00BC5D6CABC7
+:0456B000BC5A6CA9CB
+:0456B100BC576CA7CF
+:0456B200BC556CA6D1
+:0456B300BC526CA4D5
+:0456B400BC4F6CA2D9
+:0456B500BC4D6CA1DB
+:0456B600BC4A6C9FDF
+:0456B700BC476C9DE3
+:0456B800BC456C9CE5
+:0456B900BC426C9AE9
+:0456BA00BC3F6C98ED
+:0456BB00BC3D6C97EF
+:0456BC00BC3A6C95F3
+:0456BD00BC376C94F6
+:0456BE00BC356C92F9
+:0456BF00BC326C90FD
+:0456C000BC2F6C8F00
+:0456C100BC2D6C8D03
+:0456C200BC2A6C8B07
+:0456C300BC276C8A0A
+:0456C400BC256C880D
+:0456C500BC226C8611
+:0456C600BC1F6C8514
+:0456C700BC1D6C8317
+:0456C800BC1A6C811B
+:0456C900BC176C801E
+:0456CA00BC156C7E21
+:0456CB00BC126C7C25
+:0456CC00BC0F6C7B28
+:0456CD00BC0D6C792B
+:0456CE00BC0A6C772F
+:0456CF00BC086C7631
+:0456D000BC056C7435
+:0456D100BC026C7239
+:0456D200BC006C713B
+:0456D300BBFD6C6F40
+:0456D400BBFA6C6D44
+:0456D500BBF86C6C46
+:0456D600BBF56C6A4A
+:0456D700BBF26C684E
+:0456D800BBF06C6651
+:0456D900BBED6C6554
+:0456DA00BBEA6C6358
+:0456DB00BBE86C615B
+:0456DC00BBE56C605E
+:0456DD00BBE26C5E62
+:0456DE00BBE06C5C65
+:0456DF00BBDD6C5B68
+:0456E000BBDA6C596C
+:0456E100BBD86C576F
+:0456E200BBD56C5672
+:0456E300BBD26C5476
+:0456E400BBD06C5279
+:0456E500BBCD6C517C
+:0456E600BBCA6C4F80
+:0456E700BBC86C4D83
+:0456E800BBC56C4C86
+:0456E900BBC26C4A8A
+:0456EA00BBC06C488D
+:0456EB00BBBD6C4790
+:0456EC00BBBA6C4594
+:0456ED00BBB86C4397
+:0456EE00BBB56C429A
+:0456EF00BBB26C409E
+:0456F000BBB06C3EA1
+:0456F100BBAD6C3DA4
+:0456F200BBAA6C3BA8
+:0456F300BBA86C39AB
+:0456F400BBA56C38AE
+:0456F500BBA26C36B2
+:0456F600BBA06C34B5
+:0456F700BB9D6C33B8
+:0456F800BB9B6C31BB
+:0456F900BB986C2FBF
+:0456FA00BB956C2EC2
+:0456FB00BB936C2CC5
+:0456FC00BB906C2AC9
+:0456FD00BB8D6C29CC
+:0456FE00BB8B6C27CF
+:0456FF00BB886C25D3
+:04570000BB856C23D6
+:04570100BB836C22D8
+:04570200BB806C20DC
+:04570300BB7D6C1EE0
+:04570400BB7B6C1DE2
+:04570500BB786C1BE6
+:04570600BB756C19EA
+:04570700BB736C18EC
+:04570800BB706C16F0
+:04570900BB6D6C14F4
+:04570A00BB6B6C13F6
+:04570B00BB686C11FA
+:04570C00BB656C0FFE
+:04570D00BB636C0E00
+:04570E00BB606C0C04
+:04570F00BB5D6C0A08
+:04571000BB5B6C090A
+:04571100BB586C070E
+:04571200BB566C0511
+:04571300BB536C0315
+:04571400BB506C0218
+:04571500BB4E6C001B
+:04571600BB4B6BFE20
+:04571700BB486BFD23
+:04571800BB466BFB26
+:04571900BB436BF92A
+:04571A00BB406BF82D
+:04571B00BB3E6BF630
+:04571C00BB3B6BF434
+:04571D00BB386BF337
+:04571E00BB366BF13A
+:04571F00BB336BEF3E
+:04572000BB306BEE41
+:04572100BB2E6BEC44
+:04572200BB2B6BEA48
+:04572300BB286BE84C
+:04572400BB266BE74E
+:04572500BB236BE552
+:04572600BB216BE355
+:04572700BB1E6BE258
+:04572800BB1B6BE05C
+:04572900BB196BDE5F
+:04572A00BB166BDD62
+:04572B00BB136BDB66
+:04572C00BB116BD969
+:04572D00BB0E6BD86C
+:04572E00BB0B6BD670
+:04572F00BB096BD473
+:04573000BB066BD376
+:04573100BB036BD17A
+:04573200BB016BCF7D
+:04573300BAFE6BCD82
+:04573400BAFB6BCC85
+:04573500BAF96BCA88
+:04573600BAF66BC88C
+:04573700BAF46BC78E
+:04573800BAF16BC592
+:04573900BAEE6BC396
+:04573A00BAEC6BC298
+:04573B00BAE96BC09C
+:04573C00BAE66BBEA0
+:04573D00BAE46BBCA3
+:04573E00BAE16BBBA6
+:04573F00BADE6BB9AA
+:04574000BADC6BB7AD
+:04574100BAD96BB6B0
+:04574200BAD66BB4B4
+:04574300BAD46BB2B7
+:04574400BAD16BB1BA
+:04574500BACF6BAFBD
+:04574600BACC6BADC1
+:04574700BAC96BACC4
+:04574800BAC76BAAC7
+:04574900BAC46BA8CB
+:04574A00BAC16BA6CF
+:04574B00BABF6BA5D1
+:04574C00BABC6BA3D5
+:04574D00BAB96BA1D9
+:04574E00BAB76BA0DB
+:04574F00BAB46B9EDF
+:04575000BAB16B9CE3
+:04575100BAAF6B9BE5
+:04575200BAAC6B99E9
+:04575300BAAA6B97EC
+:04575400BAA76B95F0
+:04575500BAA46B94F3
+:04575600BAA26B92F6
+:04575700BA9F6B90FA
+:04575800BA9C6B8FFD
+:04575900BA9A6B8D00
+:04575A00BA976B8B04
+:04575B00BA946B8908
+:04575C00BA926B880A
+:04575D00BA8F6B860E
+:04575E00BA8D6B8411
+:04575F00BA8A6B8314
+:04576000BA876B8118
+:04576100BA856B7F1B
+:04576200BA826B7E1E
+:04576300BA7F6B7C22
+:04576400BA7D6B7A25
+:04576500BA7A6B7829
+:04576600BA776B772C
+:04576700BA756B752F
+:04576800BA726B7333
+:04576900BA6F6B7236
+:04576A00BA6D6B7039
+:04576B00BA6A6B6E3D
+:04576C00BA686B6C40
+:04576D00BA656B6B43
+:04576E00BA626B6947
+:04576F00BA606B674A
+:04577000BA5D6B664D
+:04577100BA5A6B6451
+:04577200BA586B6254
+:04577300BA556B6157
+:04577400BA526B5F5B
+:04577500BA506B5D5E
+:04577600BA4D6B5B62
+:04577700BA4B6B5A64
+:04577800BA486B5868
+:04577900BA456B566C
+:04577A00BA436B556E
+:04577B00BA406B5372
+:04577C00BA3D6B5176
+:04577D00BA3B6B4F79
+:04577E00BA386B4E7C
+:04577F00BA366B4C7F
+:04578000BA336B4A83
+:04578100BA306B4986
+:04578200BA2E6B4789
+:04578300BA2B6B458D
+:04578400BA286B4391
+:04578500BA266B4293
+:04578600BA236B4097
+:04578700BA206B3E9B
+:04578800BA1E6B3D9D
+:04578900BA1B6B3BA1
+:04578A00BA196B39A4
+:04578B00BA166B37A8
+:04578C00BA136B36AB
+:04578D00BA116B34AE
+:04578E00BA0E6B32B2
+:04578F00BA0B6B31B5
+:04579000BA096B2FB8
+:04579100BA066B2DBC
+:04579200BA046B2BBF
+:04579300BA016B2AC2
+:04579400B9FE6B28C7
+:04579500B9FC6B26CA
+:04579600B9F96B25CD
+:04579700B9F66B23D1
+:04579800B9F46B21D4
+:04579900B9F16B1FD8
+:04579A00B9EE6B1EDB
+:04579B00B9EC6B1CDE
+:04579C00B9E96B1AE2
+:04579D00B9E76B18E5
+:04579E00B9E46B17E8
+:04579F00B9E16B15EC
+:0457A000B9DF6B13EF
+:0457A100B9DC6B12F2
+:0457A200B9D96B10F6
+:0457A300B9D76B0EF9
+:0457A400B9D46B0CFD
+:0457A500B9D26B0BFF
+:0457A600B9CF6B0903
+:0457A700B9CC6B0707
+:0457A800B9CA6B0609
+:0457A900B9C76B040D
+:0457AA00B9C46B0211
+:0457AB00B9C26B0014
+:0457AC00B9BF6AFF18
+:0457AD00B9BD6AFD1B
+:0457AE00B9BA6AFB1F
+:0457AF00B9B76AF923
+:0457B000B9B56AF825
+:0457B100B9B26AF629
+:0457B200B9AF6AF42D
+:0457B300B9AD6AF32F
+:0457B400B9AA6AF133
+:0457B500B9A86AEF36
+:0457B600B9A56AED3A
+:0457B700B9A26AEC3D
+:0457B800B9A06AEA40
+:0457B900B99D6AE844
+:0457BA00B99A6AE648
+:0457BB00B9986AE54A
+:0457BC00B9956AE34E
+:0457BD00B9936AE151
+:0457BE00B9906AE054
+:0457BF00B98D6ADE58
+:0457C000B98B6ADC5B
+:0457C100B9886ADA5F
+:0457C200B9856AD962
+:0457C300B9836AD765
+:0457C400B9806AD569
+:0457C500B97E6AD36C
+:0457C600B97B6AD26F
+:0457C700B9786AD073
+:0457C800B9766ACE76
+:0457C900B9736ACD79
+:0457CA00B9706ACB7D
+:0457CB00B96E6AC980
+:0457CC00B96B6AC784
+:0457CD00B9696AC686
+:0457CE00B9666AC48A
+:0457CF00B9636AC28E
+:0457D000B9616AC091
+:0457D100B95E6ABF94
+:0457D200B95C6ABD97
+:0457D300B9596ABB9B
+:0457D400B9566AB99F
+:0457D500B9546AB8A1
+:0457D600B9516AB6A5
+:0457D700B94E6AB4A9
+:0457D800B94C6AB3AB
+:0457D900B9496AB1AF
+:0457DA00B9476AAFB2
+:0457DB00B9446AADB6
+:0457DC00B9416AACB9
+:0457DD00B93F6AAABC
+:0457DE00B93C6AA8C0
+:0457DF00B9396AA6C4
+:0457E000B9376AA5C6
+:0457E100B9346AA3CA
+:0457E200B9326AA1CD
+:0457E300B92F6A9FD1
+:0457E400B92C6A9ED4
+:0457E500B92A6A9CD7
+:0457E600B9276A9ADB
+:0457E700B9256A98DE
+:0457E800B9226A97E1
+:0457E900B91F6A95E5
+:0457EA00B91D6A93E8
+:0457EB00B91A6A92EB
+:0457EC00B9176A90EF
+:0457ED00B9156A8EF2
+:0457EE00B9126A8CF6
+:0457EF00B9106A8BF8
+:0457F000B90D6A89FC
+:0457F100B90A6A8700
+:0457F200B9086A8503
+:0457F300B9056A8406
+:0457F400B9036A8209
+:0457F500B9006A800D
+:0457F600B8FD6A7E12
+:0457F700B8FB6A7D14
+:0457F800B8F86A7B18
+:0457F900B8F56A791C
+:0457FA00B8F36A771F
+:0457FB00B8F06A7622
+:0457FC00B8EE6A7425
+:0457FD00B8EB6A7229
+:0457FE00B8E86A702D
+:0457FF00B8E66A6F2F
+:04580000B8E36A6D32
+:04580100B8E16A6B35
+:04580200B8DE6A6939
+:04580300B8DB6A683C
+:04580400B8D96A663F
+:04580500B8D66A6443
+:04580600B8D46A6246
+:04580700B8D16A6149
+:04580800B8CE6A5F4D
+:04580900B8CC6A5D50
+:04580A00B8C96A5B54
+:04580B00B8C66A5A57
+:04580C00B8C46A585A
+:04580D00B8C16A565E
+:04580E00B8BF6A5461
+:04580F00B8BC6A5364
+:04581000B8B96A5168
+:04581100B8B76A4F6B
+:04581200B8B46A4D6F
+:04581300B8B26A4C71
+:04581400B8AF6A4A75
+:04581500B8AC6A4879
+:04581600B8AA6A467C
+:04581700B8A76A457F
+:04581800B8A56A4382
+:04581900B8A26A4186
+:04581A00B89F6A3F8A
+:04581B00B89D6A3E8C
+:04581C00B89A6A3C90
+:04581D00B8986A3A93
+:04581E00B8956A3897
+:04581F00B8926A379A
+:04582000B8906A359D
+:04582100B88D6A33A1
+:04582200B88A6A31A5
+:04582300B8886A30A7
+:04582400B8856A2EAB
+:04582500B8836A2CAE
+:04582600B8806A2AB2
+:04582700B87D6A29B5
+:04582800B87B6A27B8
+:04582900B8786A25BC
+:04582A00B8766A23BF
+:04582B00B8736A22C2
+:04582C00B8706A20C6
+:04582D00B86E6A1EC9
+:04582E00B86B6A1CCD
+:04582F00B8696A1BCF
+:04583000B8666A19D3
+:04583100B8636A17D7
+:04583200B8616A15DA
+:04583300B85E6A14DD
+:04583400B85C6A12E0
+:04583500B8596A10E4
+:04583600B8566A0EE8
+:04583700B8546A0DEA
+:04583800B8516A0BEE
+:04583900B84F6A09F1
+:04583A00B84C6A07F5
+:04583B00B8496A06F8
+:04583C00B8476A04FB
+:04583D00B8446A02FF
+:04583E00B8426A0002
+:04583F00B83F69FE07
+:04584000B83C69FD0A
+:04584100B83A69FB0D
+:04584200B83769F911
+:04584300B83569F714
+:04584400B83269F617
+:04584500B82F69F41B
+:04584600B82D69F21E
+:04584700B82A69F022
+:04584800B82869EF24
+:04584900B82569ED28
+:04584A00B82269EB2C
+:04584B00B82069E92F
+:04584C00B81D69E832
+:04584D00B81B69E635
+:04584E00B81869E439
+:04584F00B81569E23D
+:04585000B81369E13F
+:04585100B81069DF43
+:04585200B80E69DD46
+:04585300B80B69DB4A
+:04585400B80869D94E
+:04585500B80669D850
+:04585600B80369D654
+:04585700B80169D457
+:04585800B7FE69D25C
+:04585900B7FB69D15F
+:04585A00B7F969CF62
+:04585B00B7F669CD66
+:04585C00B7F469CB69
+:04585D00B7F169CA6C
+:04585E00B7EE69C870
+:04585F00B7EC69C673
+:04586000B7E969C477
+:04586100B7E769C27A
+:04586200B7E469C17D
+:04586300B7E169BF81
+:04586400B7DF69BD84
+:04586500B7DC69BB88
+:04586600B7DA69BA8A
+:04586700B7D769B88E
+:04586800B7D469B692
+:04586900B7D269B495
+:04586A00B7CF69B398
+:04586B00B7CD69B19B
+:04586C00B7CA69AF9F
+:04586D00B7C769ADA3
+:04586E00B7C569ABA6
+:04586F00B7C269AAA9
+:04587000B7C069A8AC
+:04587100B7BD69A6B0
+:04587200B7BB69A4B3
+:04587300B7B869A3B6
+:04587400B7B569A1BA
+:04587500B7B3699FBD
+:04587600B7B0699DC1
+:04587700B7AE699BC4
+:04587800B7AB699AC7
+:04587900B7A86998CB
+:04587A00B7A66996CE
+:04587B00B7A36994D2
+:04587C00B7A16993D4
+:04587D00B79E6991D8
+:04587E00B79B698FDC
+:04587F00B799698DDF
+:04588000B796698BE3
+:04588100B794698AE5
+:04588200B7916988E9
+:04588300B78E6986ED
+:04588400B78C6984F0
+:04588500B7896983F3
+:04588600B7876981F6
+:04588700B784697FFA
+:04588800B782697DFD
+:04588900B77F697B01
+:04588A00B77C697A04
+:04588B00B77A697807
+:04588C00B77769760B
+:04588D00B77569740E
+:04588E00B772697311
+:04588F00B76F697115
+:04589000B76D696F18
+:04589100B76A696D1C
+:04589200B768696B1F
+:04589300B765696A22
+:04589400B762696826
+:04589500B760696629
+:04589600B75D69642D
+:04589700B75B69632F
+:04589800B758696133
+:04589900B756695F36
+:04589A00B753695D3A
+:04589B00B750695B3E
+:04589C00B74E695A40
+:04589D00B74B695844
+:04589E00B749695647
+:04589F00B74669544B
+:0458A000B74369524F
+:0458A100B741695151
+:0458A200B73E694F55
+:0458A300B73C694D58
+:0458A400B739694B5C
+:0458A500B737694A5E
+:0458A600B734694862
+:0458A700B731694666
+:0458A800B72F694469
+:0458A900B72C69426D
+:0458AA00B72A69416F
+:0458AB00B727693F73
+:0458AC00B724693D77
+:0458AD00B722693B7A
+:0458AE00B71F69397E
+:0458AF00B71D693880
+:0458B000B71A693684
+:0458B100B718693487
+:0458B200B71569328B
+:0458B300B71269318E
+:0458B400B710692F91
+:0458B500B70D692D95
+:0458B600B70B692B98
+:0458B700B70869299C
+:0458B800B70569289F
+:0458B900B7036926A2
+:0458BA00B7006924A6
+:0458BB00B6FE6922AA
+:0458BC00B6FB6920AE
+:0458BD00B6F9691FB0
+:0458BE00B6F6691DB4
+:0458BF00B6F3691BB8
+:0458C000B6F16919BB
+:0458C100B6EE6917BF
+:0458C200B6EC6916C1
+:0458C300B6E96914C5
+:0458C400B6E66912C9
+:0458C500B6E46910CC
+:0458C600B6E1690ED0
+:0458C700B6DF690DD2
+:0458C800B6DC690BD6
+:0458C900B6DA6909D9
+:0458CA00B6D76907DD
+:0458CB00B6D46906E0
+:0458CC00B6D26904E3
+:0458CD00B6CF6902E7
+:0458CE00B6CD6900EA
+:0458CF00B6CA68FEEF
+:0458D000B6C868FDF1
+:0458D100B6C568FBF5
+:0458D200B6C268F9F9
+:0458D300B6C068F7FC
+:0458D400B6BD68F500
+:0458D500B6BB68F402
+:0458D600B6B868F206
+:0458D700B6B668F009
+:0458D800B6B368EE0D
+:0458D900B6B068EC11
+:0458DA00B6AE68EB13
+:0458DB00B6AB68E917
+:0458DC00B6A968E71A
+:0458DD00B6A668E51E
+:0458DE00B6A368E322
+:0458DF00B6A168E224
+:0458E000B69E68E028
+:0458E100B69C68DE2B
+:0458E200B69968DC2F
+:0458E300B69768DA32
+:0458E400B69468D935
+:0458E500B69168D739
+:0458E600B68F68D53C
+:0458E700B68C68D340
+:0458E800B68A68D143
+:0458E900B68768D046
+:0458EA00B68568CE49
+:0458EB00B68268CC4D
+:0458EC00B67F68CA51
+:0458ED00B67D68C854
+:0458EE00B67A68C757
+:0458EF00B67868C55A
+:0458F000B67568C35E
+:0458F100B67368C161
+:0458F200B67068BF65
+:0458F300B66D68BD69
+:0458F400B66B68BC6B
+:0458F500B66868BA6F
+:0458F600B66668B872
+:0458F700B66368B676
+:0458F800B66168B479
+:0458F900B65E68B37C
+:0458FA00B65B68B180
+:0458FB00B65968AF83
+:0458FC00B65668AD87
+:0458FD00B65468AB8A
+:0458FE00B65168AA8D
+:0458FF00B64F68A890
+:04590000B64C68A693
+:04590100B64A68A496
+:04590200B64768A29A
+:04590300B64468A19D
+:04590400B642689FA0
+:04590500B63F689DA4
+:04590600B63D689BA7
+:04590700B63A6899AB
+:04590800B6386898AD
+:04590900B6356896B1
+:04590A00B6326894B5
+:04590B00B6306892B8
+:04590C00B62D6890BC
+:04590D00B62B688EBF
+:04590E00B628688DC2
+:04590F00B626688BC5
+:04591000B6236889C9
+:04591100B6206887CD
+:04591200B61E6885D0
+:04591300B61B6884D3
+:04591400B6196882D6
+:04591500B6166880DA
+:04591600B614687EDD
+:04591700B611687CE1
+:04591800B60E687BE4
+:04591900B60C6879E7
+:04591A00B6096877EB
+:04591B00B6076875EE
+:04591C00B6046873F2
+:04591D00B6026871F5
+:04591E00B5FF6870F9
+:04591F00B5FD686EFC
+:04592000B5FA686C00
+:04592100B5F7686A04
+:04592200B5F5686807
+:04592300B5F268670A
+:04592400B5F068650D
+:04592500B5ED686311
+:04592600B5EB686114
+:04592700B5E8685F18
+:04592800B5E5685D1C
+:04592900B5E3685C1E
+:04592A00B5E0685A22
+:04592B00B5DE685825
+:04592C00B5DB685629
+:04592D00B5D968542C
+:04592E00B5D668532F
+:04592F00B5D4685132
+:04593000B5D1684F36
+:04593100B5CE684D3A
+:04593200B5CC684B3D
+:04593300B5C9684941
+:04593400B5C7684843
+:04593500B5C4684647
+:04593600B5C268444A
+:04593700B5BF68424E
+:04593800B5BD684051
+:04593900B5BA683E55
+:04593A00B5B7683D58
+:04593B00B5B5683B5B
+:04593C00B5B268395F
+:04593D00B5B0683762
+:04593E00B5AD683566
+:04593F00B5AB683468
+:04594000B5A868326C
+:04594100B5A5683070
+:04594200B5A3682E73
+:04594300B5A0682C77
+:04594400B59E682A7A
+:04594500B59B68297D
+:04594600B599682780
+:04594700B596682584
+:04594800B594682387
+:04594900B59168218B
+:04594A00B58E681F8F
+:04594B00B58C681E91
+:04594C00B589681C95
+:04594D00B587681A98
+:04594E00B58468189C
+:04594F00B58268169F
+:04595000B57F6814A3
+:04595100B57D6813A5
+:04595200B57A6811A9
+:04595300B577680FAD
+:04595400B575680DB0
+:04595500B572680BB4
+:04595600B570680AB6
+:04595700B56D6808BA
+:04595800B56B6806BD
+:04595900B5686804C1
+:04595A00B5666802C4
+:04595B00B5636800C8
+:04595C00B56167FFCB
+:04595D00B55E67FDCF
+:04595E00B55B67FBD3
+:04595F00B55967F9D6
+:04596000B55667F7DA
+:04596100B55467F5DD
+:04596200B55167F4E0
+:04596300B54F67F2E3
+:04596400B54C67F0E7
+:04596500B54A67EEEA
+:04596600B54767ECEE
+:04596700B54467EAF2
+:04596800B54267E9F4
+:04596900B53F67E7F8
+:04596A00B53D67E5FB
+:04596B00B53A67E3FF
+:04596C00B53867E102
+:04596D00B53567DF06
+:04596E00B53367DE08
+:04596F00B53067DC0C
+:04597000B52E67DA0F
+:04597100B52B67D813
+:04597200B52867D617
+:04597300B52667D41A
+:04597400B52367D31D
+:04597500B52167D120
+:04597600B51E67CF24
+:04597700B51C67CD27
+:04597800B51967CB2B
+:04597900B51767C92E
+:04597A00B51467C732
+:04597B00B51167C635
+:04597C00B50F67C438
+:04597D00B50C67C23C
+:04597E00B50A67C03F
+:04597F00B50767BE43
+:04598000B50567BC46
+:04598100B50267BB49
+:04598200B50067B94C
+:04598300B4FD67B751
+:04598400B4FB67B554
+:04598500B4F867B358
+:04598600B4F567B15C
+:04598700B4F367B05E
+:04598800B4F067AE62
+:04598900B4EE67AC65
+:04598A00B4EB67AA69
+:04598B00B4E967A86C
+:04598C00B4E667A670
+:04598D00B4E467A473
+:04598E00B4E167A376
+:04598F00B4DF67A179
+:04599000B4DC679F7D
+:04599100B4D9679D81
+:04599200B4D7679B84
+:04599300B4D4679988
+:04599400B4D267988A
+:04599500B4CF67968E
+:04599600B4CD679491
+:04599700B4CA679295
+:04599800B4C8679098
+:04599900B4C5678E9C
+:04599A00B4C3678D9E
+:04599B00B4C0678BA2
+:04599C00B4BE6789A5
+:04599D00B4BB6787A9
+:04599E00B4B86785AD
+:04599F00B4B66783B0
+:0459A000B4B36781B4
+:0459A100B4B16780B6
+:0459A200B4AE677EBA
+:0459A300B4AC677CBD
+:0459A400B4A9677AC1
+:0459A500B4A76778C4
+:0459A600B4A46776C8
+:0459A700B4A26774CB
+:0459A800B49F6773CE
+:0459A900B49D6771D1
+:0459AA00B49A676FD5
+:0459AB00B497676DD9
+:0459AC00B495676BDC
+:0459AD00B4926769E0
+:0459AE00B4906768E2
+:0459AF00B48D6766E6
+:0459B000B48B6764E9
+:0459B100B4886762ED
+:0459B200B4866760F0
+:0459B300B483675EF4
+:0459B400B481675CF7
+:0459B500B47E675BFA
+:0459B600B47C6759FD
+:0459B700B479675701
+:0459B800B476675505
+:0459B900B474675308
+:0459BA00B47167510C
+:0459BB00B46F674F0F
+:0459BC00B46C674E12
+:0459BD00B46A674C15
+:0459BE00B467674A19
+:0459BF00B46567481C
+:0459C000B462674620
+:0459C100B460674423
+:0459C200B45D674227
+:0459C300B45B674129
+:0459C400B458673F2D
+:0459C500B455673D31
+:0459C600B453673B34
+:0459C700B450673938
+:0459C800B44E67373B
+:0459C900B44B67353F
+:0459CA00B449673441
+:0459CB00B446673245
+:0459CC00B444673048
+:0459CD00B441672E4C
+:0459CE00B43F672C4F
+:0459CF00B43C672A53
+:0459D000B43A672856
+:0459D100B437672759
+:0459D200B43567255C
+:0459D300B432672360
+:0459D400B430672163
+:0459D500B42D671F67
+:0459D600B42A671D6B
+:0459D700B428671B6E
+:0459D800B425671A71
+:0459D900B423671874
+:0459DA00B420671678
+:0459DB00B41E67147B
+:0459DC00B41B67127F
+:0459DD00B419671082
+:0459DE00B416670E86
+:0459DF00B414670D88
+:0459E000B411670B8C
+:0459E100B40F67098F
+:0459E200B40C670793
+:0459E300B40A670596
+:0459E400B40767039A
+:0459E500B40567019D
+:0459E600B40266FFA2
+:0459E700B3FF66FEA6
+:0459E800B3FD66FCA9
+:0459E900B3FA66FAAD
+:0459EA00B3F866F8B0
+:0459EB00B3F566F6B4
+:0459EC00B3F366F4B7
+:0459ED00B3F066F2BB
+:0459EE00B3EE66F1BD
+:0459EF00B3EB66EFC1
+:0459F000B3E966EDC4
+:0459F100B3E666EBC8
+:0459F200B3E466E9CB
+:0459F300B3E166E7CF
+:0459F400B3DF66E5D2
+:0459F500B3DC66E3D6
+:0459F600B3DA66E2D8
+:0459F700B3D766E0DC
+:0459F800B3D566DEDF
+:0459F900B3D266DCE3
+:0459FA00B3CF66DAE7
+:0459FB00B3CD66D8EA
+:0459FC00B3CA66D6EE
+:0459FD00B3C866D5F0
+:0459FE00B3C566D3F4
+:0459FF00B3C366D1F7
+:045A0000B3C066CFFA
+:045A0100B3BE66CDFD
+:045A0200B3BB66CB01
+:045A0300B3B966C904
+:045A0400B3B666C708
+:045A0500B3B466C60A
+:045A0600B3B166C40E
+:045A0700B3AF66C211
+:045A0800B3AC66C015
+:045A0900B3AA66BE18
+:045A0A00B3A766BC1C
+:045A0B00B3A566BA1F
+:045A0C00B3A266B823
+:045A0D00B3A066B725
+:045A0E00B39D66B529
+:045A0F00B39A66B32D
+:045A1000B39866B130
+:045A1100B39566AF34
+:045A1200B39366AD37
+:045A1300B39066AB3B
+:045A1400B38E66A93E
+:045A1500B38B66A841
+:045A1600B38966A644
+:045A1700B38666A448
+:045A1800B38466A24B
+:045A1900B38166A04F
+:045A1A00B37F669E52
+:045A1B00B37C669C56
+:045A1C00B37A669A59
+:045A1D00B37766995C
+:045A1E00B37566975F
+:045A1F00B372669563
+:045A2000B370669366
+:045A2100B36D66916A
+:045A2200B36B668F6D
+:045A2300B368668D71
+:045A2400B366668B74
+:045A2500B363668978
+:045A2600B36166887A
+:045A2700B35E66867E
+:045A2800B35C668481
+:045A2900B359668285
+:045A2A00B357668088
+:045A2B00B354667E8C
+:045A2C00B351667C90
+:045A2D00B34F667A93
+:045A2E00B34C667996
+:045A2F00B34A667799
+:045A3000B34766759D
+:045A3100B3456673A0
+:045A3200B3426671A4
+:045A3300B340666FA7
+:045A3400B33D666DAB
+:045A3500B33B666BAE
+:045A3600B3386669B2
+:045A3700B3366668B4
+:045A3800B3336666B8
+:045A3900B3316664BB
+:045A3A00B32E6662BF
+:045A3B00B32C6660C2
+:045A3C00B329665EC6
+:045A3D00B327665CC9
+:045A3E00B324665ACD
+:045A3F00B3226659CF
+:045A4000B31F6657D3
+:045A4100B31D6655D6
+:045A4200B31A6653DA
+:045A4300B3186651DD
+:045A4400B315664FE1
+:045A4500B313664DE4
+:045A4600B310664BE8
+:045A4700B30E6649EB
+:045A4800B30B6648EE
+:045A4900B3096646F1
+:045A4A00B3066644F5
+:045A4B00B3046642F8
+:045A4C00B3016640FC
+:045A4D00B2FF663E00
+:045A4E00B2FC663C04
+:045A4F00B2FA663A07
+:045A5000B2F766380B
+:045A5100B2F566370D
+:045A5200B2F2663511
+:045A5300B2F0663314
+:045A5400B2ED663118
+:045A5500B2EA662F1C
+:045A5600B2E8662D1F
+:045A5700B2E5662B23
+:045A5800B2E3662926
+:045A5900B2E066272A
+:045A5A00B2DE66262C
+:045A5B00B2DB662430
+:045A5C00B2D9662233
+:045A5D00B2D6662037
+:045A5E00B2D4661E3A
+:045A5F00B2D1661C3E
+:045A6000B2CF661A41
+:045A6100B2CC661845
+:045A6200B2CA661648
+:045A6300B2C766144C
+:045A6400B2C566134E
+:045A6500B2C2661152
+:045A6600B2C0660F55
+:045A6700B2BD660D59
+:045A6800B2BB660B5C
+:045A6900B2B8660960
+:045A6A00B2B6660763
+:045A6B00B2B3660567
+:045A6C00B2B166036A
+:045A6D00B2AE66016E
+:045A6E00B2AC660070
+:045A6F00B2A965FE75
+:045A7000B2A765FC78
+:045A7100B2A465FA7C
+:045A7200B2A265F87F
+:045A7300B29F65F683
+:045A7400B29D65F486
+:045A7500B29A65F28A
+:045A7600B29865F08D
+:045A7700B29565EF90
+:045A7800B29365ED93
+:045A7900B29065EB97
+:045A7A00B28E65E99A
+:045A7B00B28B65E79E
+:045A7C00B28965E5A1
+:045A7D00B28665E3A5
+:045A7E00B28465E1A8
+:045A7F00B28165DFAC
+:045A8000B27F65DDAF
+:045A8100B27C65DBB3
+:045A8200B27A65DAB5
+:045A8300B27765D8B9
+:045A8400B27565D6BC
+:045A8500B27265D4C0
+:045A8600B27065D2C3
+:045A8700B26D65D0C7
+:045A8800B26B65CECA
+:045A8900B26865CCCE
+:045A8A00B26665CAD1
+:045A8B00B26365C8D5
+:045A8C00B26165C7D7
+:045A8D00B25E65C5DB
+:045A8E00B25C65C3DE
+:045A8F00B25965C1E2
+:045A9000B25765BFE5
+:045A9100B25465BDE9
+:045A9200B25265BBEC
+:045A9300B24F65B9F0
+:045A9400B24D65B7F3
+:045A9500B24A65B5F7
+:045A9600B24865B3FA
+:045A9700B24565B2FD
+:045A9800B24365B000
+:045A9900B24065AE04
+:045A9A00B23E65AC07
+:045A9B00B23B65AA0B
+:045A9C00B23965A80E
+:045A9D00B23665A612
+:045A9E00B23465A415
+:045A9F00B23165A219
+:045AA000B22F65A01C
+:045AA100B22C659E20
+:045AA200B22A659D22
+:045AA300B227659B26
+:045AA400B225659929
+:045AA500B22265972D
+:045AA600B220659530
+:045AA700B21D659334
+:045AA800B21B659137
+:045AA900B218658F3B
+:045AAA00B216658D3E
+:045AAB00B213658B42
+:045AAC00B211658945
+:045AAD00B20F658847
+:045AAE00B20C65864B
+:045AAF00B20A65844E
+:045AB000B207658252
+:045AB100B205658055
+:045AB200B202657E59
+:045AB300B200657C5C
+:045AB400B1FD657A61
+:045AB500B1FB657864
+:045AB600B1F8657668
+:045AB700B1F665746B
+:045AB800B1F365726F
+:045AB900B1F1657171
+:045ABA00B1EE656F75
+:045ABB00B1EC656D78
+:045ABC00B1E9656B7C
+:045ABD00B1E765697F
+:045ABE00B1E4656783
+:045ABF00B1E2656586
+:045AC000B1DF65638A
+:045AC100B1DD65618D
+:045AC200B1DA655F91
+:045AC300B1D8655D94
+:045AC400B1D5655B98
+:045AC500B1D3655A9A
+:045AC600B1D065589E
+:045AC700B1CE6556A1
+:045AC800B1CB6554A5
+:045AC900B1C96552A8
+:045ACA00B1C66550AC
+:045ACB00B1C4654EAF
+:045ACC00B1C1654CB3
+:045ACD00B1BF654AB6
+:045ACE00B1BC6548BA
+:045ACF00B1BA6546BD
+:045AD000B1B76544C1
+:045AD100B1B56543C3
+:045AD200B1B26541C7
+:045AD300B1B0653FCA
+:045AD400B1AD653DCE
+:045AD500B1AB653BD1
+:045AD600B1A86539D5
+:045AD700B1A66537D8
+:045AD800B1A46535DB
+:045AD900B1A16533DF
+:045ADA00B19F6531E2
+:045ADB00B19C652FE6
+:045ADC00B19A652DE9
+:045ADD00B197652BED
+:045ADE00B195652AEF
+:045ADF00B1926528F3
+:045AE000B1906526F6
+:045AE100B18D6524FA
+:045AE200B18B6522FD
+:045AE300B188652001
+:045AE400B186651E04
+:045AE500B183651C08
+:045AE600B181651A0B
+:045AE700B17E65180F
+:045AE800B17C651612
+:045AE900B179651416
+:045AEA00B177651219
+:045AEB00B17465101D
+:045AEC00B172650F1F
+:045AED00B16F650D23
+:045AEE00B16D650B26
+:045AEF00B16A65092A
+:045AF000B16865072D
+:045AF100B165650531
+:045AF200B163650334
+:045AF300B161650137
+:045AF400B15E64FF3C
+:045AF500B15C64FD3F
+:045AF600B15964FB43
+:045AF700B15764F946
+:045AF800B15464F74A
+:045AF900B15264F54D
+:045AFA00B14F64F450
+:045AFB00B14D64F253
+:045AFC00B14A64F057
+:045AFD00B14864EE5A
+:045AFE00B14564EC5E
+:045AFF00B14364EA61
+:045B0000B14064E864
+:045B0100B13E64E667
+:045B0200B13B64E46B
+:045B0300B13964E26E
+:045B0400B13664E072
+:045B0500B13464DE75
+:045B0600B13164DC79
+:045B0700B12F64DA7C
+:045B0800B12D64D87F
+:045B0900B12A64D782
+:045B0A00B12864D585
+:045B0B00B12564D389
+:045B0C00B12364D18C
+:045B0D00B12064CF90
+:045B0E00B11E64CD93
+:045B0F00B11B64CB97
+:045B1000B11964C99A
+:045B1100B11664C79E
+:045B1200B11464C5A1
+:045B1300B11164C3A5
+:045B1400B10F64C1A8
+:045B1500B10C64BFAC
+:045B1600B10A64BDAF
+:045B1700B10764BBB3
+:045B1800B10564BAB5
+:045B1900B10264B8B9
+:045B1A00B10064B6BC
+:045B1B00B0FE64B4C0
+:045B1C00B0FB64B2C4
+:045B1D00B0F964B0C7
+:045B1E00B0F664AECB
+:045B1F00B0F464ACCE
+:045B2000B0F164AAD2
+:045B2100B0EF64A8D5
+:045B2200B0EC64A6D9
+:045B2300B0EA64A4DC
+:045B2400B0E764A2E0
+:045B2500B0E564A0E3
+:045B2600B0E2649EE7
+:045B2700B0E0649CEA
+:045B2800B0DD649AEE
+:045B2900B0DB6499F0
+:045B2A00B0D86497F4
+:045B2B00B0D66495F7
+:045B2C00B0D46493FA
+:045B2D00B0D16491FE
+:045B2E00B0CF648F01
+:045B2F00B0CC648D05
+:045B3000B0CA648B08
+:045B3100B0C764890C
+:045B3200B0C564870F
+:045B3300B0C2648513
+:045B3400B0C0648316
+:045B3500B0BD64811A
+:045B3600B0BB647F1D
+:045B3700B0B8647D21
+:045B3800B0B6647B24
+:045B3900B0B3647928
+:045B3A00B0B164772B
+:045B3B00B0AF64762D
+:045B3C00B0AC647431
+:045B3D00B0AA647234
+:045B3E00B0A7647038
+:045B3F00B0A5646E3B
+:045B4000B0A2646C3F
+:045B4100B0A0646A42
+:045B4200B09D646846
+:045B4300B09B646649
+:045B4400B09864644D
+:045B4500B096646250
+:045B4600B093646054
+:045B4700B091645E57
+:045B4800B08E645C5B
+:045B4900B08C645A5E
+:045B4A00B08A645861
+:045B4B00B087645665
+:045B4C00B085645468
+:045B4D00B08264526C
+:045B4E00B08064506F
+:045B4F00B07D644F72
+:045B5000B07B644D75
+:045B5100B078644B79
+:045B5200B07664497C
+:045B5300B073644780
+:045B5400B071644583
+:045B5500B06E644387
+:045B5600B06C64418A
+:045B5700B06A643F8D
+:045B5800B067643D91
+:045B5900B065643B94
+:045B5A00B062643998
+:045B5B00B06064379B
+:045B5C00B05D64359F
+:045B5D00B05B6433A2
+:045B5E00B0586431A6
+:045B5F00B056642FA9
+:045B6000B053642DAD
+:045B6100B051642BB0
+:045B6200B04F6429B3
+:045B6300B04C6427B7
+:045B6400B04A6426B9
+:045B6500B0476424BD
+:045B6600B0456422C0
+:045B6700B0426420C4
+:045B6800B040641EC7
+:045B6900B03D641CCB
+:045B6A00B03B641ACE
+:045B6B00B0386418D2
+:045B6C00B0366416D5
+:045B6D00B0336414D9
+:045B6E00B0316412DC
+:045B6F00B02F6410DF
+:045B7000B02C640EE3
+:045B7100B02A640CE6
+:045B7200B027640AEA
+:045B7300B0256408ED
+:045B7400B0226406F1
+:045B7500B0206404F4
+:045B7600B01D6402F8
+:045B7700B01B6400FB
+:045B7800B01863FE00
+:045B7900B01663FC03
+:045B7A00B01463FA06
+:045B7B00B01163F80A
+:045B7C00B00F63F60D
+:045B7D00B00C63F510
+:045B7E00B00A63F313
+:045B7F00B00763F117
+:045B8000B00563EF1A
+:045B8100B00263ED1E
+:045B8200B00063EB21
+:045B8300AFFD63E926
+:045B8400AFFB63E729
+:045B8500AFF963E52C
+:045B8600AFF663E330
+:045B8700AFF463E133
+:045B8800AFF163DF37
+:045B8900AFEF63DD3A
+:045B8A00AFEC63DB3E
+:045B8B00AFEA63D941
+:045B8C00AFE763D745
+:045B8D00AFE563D548
+:045B8E00AFE363D34B
+:045B8F00AFE063D14F
+:045B9000AFDE63CF52
+:045B9100AFDB63CD56
+:045B9200AFD963CB59
+:045B9300AFD663C95D
+:045B9400AFD463C760
+:045B9500AFD163C564
+:045B9600AFCF63C367
+:045B9700AFCC63C16B
+:045B9800AFCA63BF6E
+:045B9900AFC863BD71
+:045B9A00AFC563BC74
+:045B9B00AFC363BA77
+:045B9C00AFC063B87B
+:045B9D00AFBE63B67E
+:045B9E00AFBB63B482
+:045B9F00AFB963B285
+:045BA000AFB663B089
+:045BA100AFB463AE8C
+:045BA200AFB263AC8F
+:045BA300AFAF63AA93
+:045BA400AFAD63A896
+:045BA500AFAA63A69A
+:045BA600AFA863A49D
+:045BA700AFA563A2A1
+:045BA800AFA363A0A4
+:045BA900AFA0639EA8
+:045BAA00AF9E639CAB
+:045BAB00AF9C639AAE
+:045BAC00AF996398B2
+:045BAD00AF976396B5
+:045BAE00AF946394B9
+:045BAF00AF926392BC
+:045BB000AF8F6390C0
+:045BB100AF8D638EC3
+:045BB200AF8A638CC7
+:045BB300AF88638ACA
+:045BB400AF866388CD
+:045BB500AF836386D1
+:045BB600AF816384D4
+:045BB700AF7E6382D8
+:045BB800AF7C6380DB
+:045BB900AF79637EDF
+:045BBA00AF77637CE2
+:045BBB00AF74637AE6
+:045BBC00AF726378E9
+:045BBD00AF706376EC
+:045BBE00AF6D6374F0
+:045BBF00AF6B6373F2
+:045BC000AF686371F6
+:045BC100AF66636FF9
+:045BC200AF63636DFD
+:045BC300AF61636B00
+:045BC400AF5F636903
+:045BC500AF5C636707
+:045BC600AF5A63650A
+:045BC700AF5763630E
+:045BC800AF55636111
+:045BC900AF52635F15
+:045BCA00AF50635D18
+:045BCB00AF4D635B1C
+:045BCC00AF4B63591F
+:045BCD00AF49635722
+:045BCE00AF46635526
+:045BCF00AF44635329
+:045BD000AF4163512D
+:045BD100AF3F634F30
+:045BD200AF3C634D34
+:045BD300AF3A634B37
+:045BD400AF3863493A
+:045BD500AF3563473E
+:045BD600AF33634541
+:045BD700AF30634345
+:045BD800AF2E634148
+:045BD900AF2B633F4C
+:045BDA00AF29633D4F
+:045BDB00AF26633B53
+:045BDC00AF24633956
+:045BDD00AF22633759
+:045BDE00AF1F63355D
+:045BDF00AF1D633360
+:045BE000AF1A633164
+:045BE100AF18632F67
+:045BE200AF15632D6B
+:045BE300AF13632B6E
+:045BE400AF11632971
+:045BE500AF0E632775
+:045BE600AF0C632578
+:045BE700AF0963237C
+:045BE800AF0763217F
+:045BE900AF04631F83
+:045BEA00AF02631D86
+:045BEB00AF00631B89
+:045BEC00AEFD63198E
+:045BED00AEFB631791
+:045BEE00AEF8631595
+:045BEF00AEF6631398
+:045BF000AEF363119C
+:045BF100AEF1630F9F
+:045BF200AEEE630DA3
+:045BF300AEEC630BA6
+:045BF400AEEA6309A9
+:045BF500AEE76307AD
+:045BF600AEE56305B0
+:045BF700AEE26303B4
+:045BF800AEE06301B7
+:045BF900AEDD62FFBC
+:045BFA00AEDB62FDBF
+:045BFB00AED962FBC2
+:045BFC00AED662F9C6
+:045BFD00AED462F7C9
+:045BFE00AED162F5CD
+:045BFF00AECF62F3D0
+:045C0000AECC62F1D3
+:045C0100AECA62EFD6
+:045C0200AEC862EDD9
+:045C0300AEC562EBDD
+:045C0400AEC362E9E0
+:045C0500AEC062E7E4
+:045C0600AEBE62E5E7
+:045C0700AEBB62E4EA
+:045C0800AEB962E2ED
+:045C0900AEB762E0F0
+:045C0A00AEB462DEF4
+:045C0B00AEB262DCF7
+:045C0C00AEAF62DAFB
+:045C0D00AEAD62D8FE
+:045C0E00AEAA62D602
+:045C0F00AEA862D405
+:045C1000AEA662D208
+:045C1100AEA362D00C
+:045C1200AEA162CE0F
+:045C1300AE9E62CC13
+:045C1400AE9C62CA16
+:045C1500AE9A62C819
+:045C1600AE9762C61D
+:045C1700AE9562C420
+:045C1800AE9262C224
+:045C1900AE9062C027
+:045C1A00AE8D62BE2B
+:045C1B00AE8B62BC2E
+:045C1C00AE8962BA31
+:045C1D00AE8662B835
+:045C1E00AE8462B638
+:045C1F00AE8162B43C
+:045C2000AE7F62B23F
+:045C2100AE7C62B043
+:045C2200AE7A62AE46
+:045C2300AE7862AC49
+:045C2400AE7562AA4D
+:045C2500AE7362A850
+:045C2600AE7062A654
+:045C2700AE6E62A457
+:045C2800AE6B62A25B
+:045C2900AE6962A05E
+:045C2A00AE67629E61
+:045C2B00AE64629C65
+:045C2C00AE62629A68
+:045C2D00AE5F62986C
+:045C2E00AE5D62966F
+:045C2F00AE5B629472
+:045C3000AE58629276
+:045C3100AE56629079
+:045C3200AE53628E7D
+:045C3300AE51628C80
+:045C3400AE4E628A84
+:045C3500AE4C628887
+:045C3600AE4A62868A
+:045C3700AE4762848E
+:045C3800AE45628192
+:045C3900AE42627F96
+:045C3A00AE40627D99
+:045C3B00AE3E627B9C
+:045C3C00AE3B6279A0
+:045C3D00AE396277A3
+:045C3E00AE366275A7
+:045C3F00AE346273AA
+:045C4000AE316271AE
+:045C4100AE2F626FB1
+:045C4200AE2D626DB4
+:045C4300AE2A626BB8
+:045C4400AE286269BB
+:045C4500AE256267BF
+:045C4600AE236265C2
+:045C4700AE216263C5
+:045C4800AE1E6261C9
+:045C4900AE1C625FCC
+:045C4A00AE19625DD0
+:045C4B00AE17625BD3
+:045C4C00AE146259D7
+:045C4D00AE126257DA
+:045C4E00AE106255DD
+:045C4F00AE0D6253E1
+:045C5000AE0B6251E4
+:045C5100AE08624FE8
+:045C5200AE06624DEB
+:045C5300AE04624BEE
+:045C5400AE016249F2
+:045C5500ADFF6247F6
+:045C5600ADFC6245FA
+:045C5700ADFA6243FD
+:045C5800ADF8624100
+:045C5900ADF5623F04
+:045C5A00ADF3623D07
+:045C5B00ADF0623B0B
+:045C5C00ADEE62390E
+:045C5D00ADEB623712
+:045C5E00ADE9623515
+:045C5F00ADE7623318
+:045C6000ADE462311C
+:045C6100ADE2622F1F
+:045C6200ADDF622D23
+:045C6300ADDD622B26
+:045C6400ADDB622929
+:045C6500ADD862272D
+:045C6600ADD6622530
+:045C6700ADD3622334
+:045C6800ADD1622137
+:045C6900ADCF621F3A
+:045C6A00ADCC621D3E
+:045C6B00ADCA621B41
+:045C6C00ADC7621945
+:045C6D00ADC5621748
+:045C6E00ADC362154B
+:045C6F00ADC062134F
+:045C7000ADBE621152
+:045C7100ADBB620F56
+:045C7200ADB9620D59
+:045C7300ADB6620B5D
+:045C7400ADB4620960
+:045C7500ADB2620763
+:045C7600ADAF620567
+:045C7700ADAD62036A
+:045C7800ADAA62016E
+:045C7900ADA861FF72
+:045C7A00ADA661FD75
+:045C7B00ADA361FB79
+:045C7C00ADA161F97C
+:045C7D00AD9E61F780
+:045C7E00AD9C61F583
+:045C7F00AD9A61F287
+:045C8000AD9761F08B
+:045C8100AD9561EE8E
+:045C8200AD9261EC92
+:045C8300AD9061EA95
+:045C8400AD8E61E898
+:045C8500AD8B61E69C
+:045C8600AD8961E49F
+:045C8700AD8661E2A3
+:045C8800AD8461E0A6
+:045C8900AD8261DEA9
+:045C8A00AD7F61DCAD
+:045C8B00AD7D61DAB0
+:045C8C00AD7A61D8B4
+:045C8D00AD7861D6B7
+:045C8E00AD7661D4BA
+:045C8F00AD7361D2BE
+:045C9000AD7161D0C1
+:045C9100AD6E61CEC5
+:045C9200AD6C61CCC8
+:045C9300AD6A61CACB
+:045C9400AD6761C8CF
+:045C9500AD6561C6D2
+:045C9600AD6261C4D6
+:045C9700AD6061C2D9
+:045C9800AD5E61C0DC
+:045C9900AD5B61BEE0
+:045C9A00AD5961BCE3
+:045C9B00AD5661BAE7
+:045C9C00AD5461B8EA
+:045C9D00AD5261B6ED
+:045C9E00AD4F61B4F1
+:045C9F00AD4D61B2F4
+:045CA000AD4A61B0F8
+:045CA100AD4861AEFB
+:045CA200AD4661ACFE
+:045CA300AD4361AA02
+:045CA400AD4161A805
+:045CA500AD3E61A50A
+:045CA600AD3C61A30D
+:045CA700AD3A61A110
+:045CA800AD37619F14
+:045CA900AD35619D17
+:045CAA00AD32619B1B
+:045CAB00AD3061991E
+:045CAC00AD2E619721
+:045CAD00AD2B619525
+:045CAE00AD29619328
+:045CAF00AD2661912C
+:045CB000AD24618F2F
+:045CB100AD22618D32
+:045CB200AD1F618B36
+:045CB300AD1D618939
+:045CB400AD1A61873D
+:045CB500AD18618540
+:045CB600AD16618343
+:045CB700AD13618147
+:045CB800AD11617F4A
+:045CB900AD0F617D4D
+:045CBA00AD0C617B51
+:045CBB00AD0A617954
+:045CBC00AD07617758
+:045CBD00AD0561755B
+:045CBE00AD0361735E
+:045CBF00AD00617162
+:045CC000ACFE616F66
+:045CC100ACFB616D6A
+:045CC200ACF9616A6E
+:045CC300ACF7616871
+:045CC400ACF4616675
+:045CC500ACF2616478
+:045CC600ACEF61627C
+:045CC700ACED61607F
+:045CC800ACEB615E82
+:045CC900ACE8615C86
+:045CCA00ACE6615A89
+:045CCB00ACE361588D
+:045CCC00ACE1615690
+:045CCD00ACDF615493
+:045CCE00ACDC615297
+:045CCF00ACDA61509A
+:045CD000ACD8614E9D
+:045CD100ACD5614CA1
+:045CD200ACD3614AA4
+:045CD300ACD06148A8
+:045CD400ACCE6146AB
+:045CD500ACCC6144AE
+:045CD600ACC96142B2
+:045CD700ACC76140B5
+:045CD800ACC4613EB9
+:045CD900ACC2613CBC
+:045CDA00ACC0613ABF
+:045CDB00ACBD6137C4
+:045CDC00ACBB6135C7
+:045CDD00ACB96133CA
+:045CDE00ACB66131CE
+:045CDF00ACB4612FD1
+:045CE000ACB1612DD5
+:045CE100ACAF612BD8
+:045CE200ACAD6129DB
+:045CE300ACAA6127DF
+:045CE400ACA86125E2
+:045CE500ACA56123E6
+:045CE600ACA36121E9
+:045CE700ACA1611FEC
+:045CE800AC9E611DF0
+:045CE900AC9C611BF3
+:045CEA00AC9A6119F6
+:045CEB00AC976117FA
+:045CEC00AC956115FD
+:045CED00AC92611301
+:045CEE00AC90611104
+:045CEF00AC8E610F07
+:045CF000AC8B610D0B
+:045CF100AC89610A0F
+:045CF200AC86610813
+:045CF300AC84610616
+:045CF400AC82610419
+:045CF500AC7F61021D
+:045CF600AC7D610020
+:045CF700AC7B60FE24
+:045CF800AC7860FC28
+:045CF900AC7660FA2B
+:045CFA00AC7360F82F
+:045CFB00AC7160F632
+:045CFC00AC6F60F435
+:045CFD00AC6C60F239
+:045CFE00AC6A60F03C
+:045CFF00AC6860EE3F
+:045D0000AC6560EC42
+:045D0100AC6360EA45
+:045D0200AC6060E849
+:045D0300AC5E60E64C
+:045D0400AC5C60E350
+:045D0500AC5960E154
+:045D0600AC5760DF57
+:045D0700AC5460DD5B
+:045D0800AC5260DB5E
+:045D0900AC5060D961
+:045D0A00AC4D60D765
+:045D0B00AC4B60D568
+:045D0C00AC4960D36B
+:045D0D00AC4660D16F
+:045D0E00AC4460CF72
+:045D0F00AC4160CD76
+:045D1000AC3F60CB79
+:045D1100AC3D60C97C
+:045D1200AC3A60C780
+:045D1300AC3860C583
+:045D1400AC3660C386
+:045D1500AC3360C18A
+:045D1600AC3160BF8D
+:045D1700AC2E60BC92
+:045D1800AC2C60BA95
+:045D1900AC2A60B898
+:045D1A00AC2760B69C
+:045D1B00AC2560B49F
+:045D1C00AC2360B2A2
+:045D1D00AC2060B0A6
+:045D1E00AC1E60AEA9
+:045D1F00AC1B60ACAD
+:045D2000AC1960AAB0
+:045D2100AC1760A8B3
+:045D2200AC1460A6B7
+:045D2300AC1260A4BA
+:045D2400AC1060A2BD
+:045D2500AC0D60A0C1
+:045D2600AC0B609EC4
+:045D2700AC09609CC7
+:045D2800AC066099CC
+:045D2900AC046097CF
+:045D2A00AC016095D3
+:045D2B00ABFF6093D7
+:045D2C00ABFD6091DA
+:045D2D00ABFA608FDE
+:045D2E00ABF8608DE1
+:045D2F00ABF6608BE4
+:045D3000ABF36089E8
+:045D3100ABF16087EB
+:045D3200ABEE6085EF
+:045D3300ABEC6083F2
+:045D3400ABEA6081F5
+:045D3500ABE7607FF9
+:045D3600ABE5607DFC
+:045D3700ABE3607BFF
+:045D3800ABE0607804
+:045D3900ABDE607607
+:045D3A00ABDC60740A
+:045D3B00ABD960720E
+:045D3C00ABD7607011
+:045D3D00ABD4606E15
+:045D3E00ABD2606C18
+:045D3F00ABD0606A1B
+:045D4000ABCD60681F
+:045D4100ABCB606622
+:045D4200ABC9606425
+:045D4300ABC6606229
+:045D4400ABC460602C
+:045D4500ABC1605E30
+:045D4600ABBF605C33
+:045D4700ABBD605937
+:045D4800ABBA60573B
+:045D4900ABB860553E
+:045D4A00ABB6605341
+:045D4B00ABB3605145
+:045D4C00ABB1604F48
+:045D4D00ABAF604D4B
+:045D4E00ABAC604B4F
+:045D4F00ABAA604952
+:045D5000ABA7604756
+:045D5100ABA5604559
+:045D5200ABA360435C
+:045D5300ABA0604160
+:045D5400AB9E603F63
+:045D5500AB9C603C67
+:045D5600AB99603A6B
+:045D5700AB9760386E
+:045D5800AB95603671
+:045D5900AB92603475
+:045D5A00AB90603278
+:045D5B00AB8D60307C
+:045D5C00AB8B602E7F
+:045D5D00AB89602C82
+:045D5E00AB86602A86
+:045D5F00AB84602889
+:045D6000AB8260268C
+:045D6100AB7F602490
+:045D6200AB7D602293
+:045D6300AB7B601F97
+:045D6400AB78601D9B
+:045D6500AB76601B9E
+:045D6600AB746019A1
+:045D6700AB716017A5
+:045D6800AB6F6015A8
+:045D6900AB6C6013AC
+:045D6A00AB6A6011AF
+:045D6B00AB68600FB2
+:045D6C00AB65600DB6
+:045D6D00AB63600BB9
+:045D6E00AB616009BC
+:045D6F00AB5E6007C0
+:045D7000AB5C6004C4
+:045D7100AB5A6002C7
+:045D7200AB576000CB
+:045D7300AB555FFECF
+:045D7400AB535FFCD2
+:045D7500AB505FFAD6
+:045D7600AB4E5FF8D9
+:045D7700AB4B5FF6DD
+:045D7800AB495FF4E0
+:045D7900AB475FF2E3
+:045D7A00AB445FF0E7
+:045D7B00AB425FEEEA
+:045D7C00AB405FECED
+:045D7D00AB3D5FE9F2
+:045D7E00AB3B5FE7F5
+:045D7F00AB395FE5F8
+:045D8000AB365FE3FC
+:045D8100AB345FE1FF
+:045D8200AB325FDF02
+:045D8300AB2F5FDD06
+:045D8400AB2D5FDB09
+:045D8500AB2B5FD90C
+:045D8600AB285FD710
+:045D8700AB265FD513
+:045D8800AB235FD317
+:045D8900AB215FD01B
+:045D8A00AB1F5FCE1E
+:045D8B00AB1C5FCC22
+:045D8C00AB1A5FCA25
+:045D8D00AB185FC828
+:045D8E00AB155FC62C
+:045D8F00AB135FC42F
+:045D9000AB115FC232
+:045D9100AB0E5FC036
+:045D9200AB0C5FBE39
+:045D9300AB0A5FBC3C
+:045D9400AB075FBA40
+:045D9500AB055FB744
+:045D9600AB035FB547
+:045D9700AB005FB34B
+:045D9800AAFE5FB14F
+:045D9900AAFC5FAF52
+:045D9A00AAF95FAD56
+:045D9B00AAF75FAB59
+:045D9C00AAF45FA95D
+:045D9D00AAF25FA760
+:045D9E00AAF05FA563
+:045D9F00AAED5FA367
+:045DA000AAEB5FA06B
+:045DA100AAE95F9E6E
+:045DA200AAE65F9C72
+:045DA300AAE45F9A75
+:045DA400AAE25F9878
+:045DA500AADF5F967C
+:045DA600AADD5F947F
+:045DA700AADB5F9282
+:045DA800AAD85F9086
+:045DA900AAD65F8E89
+:045DAA00AAD45F8C8C
+:045DAB00AAD15F8A90
+:045DAC00AACF5F8794
+:045DAD00AACD5F8597
+:045DAE00AACA5F839B
+:045DAF00AAC85F819E
+:045DB000AAC65F7FA1
+:045DB100AAC35F7DA5
+:045DB200AAC15F7BA8
+:045DB300AABF5F79AB
+:045DB400AABC5F77AF
+:045DB500AABA5F75B2
+:045DB600AAB75F73B6
+:045DB700AAB55F70BA
+:045DB800AAB35F6EBD
+:045DB900AAB05F6CC1
+:045DBA00AAAE5F6AC4
+:045DBB00AAAC5F68C7
+:045DBC00AAA95F66CB
+:045DBD00AAA75F64CE
+:045DBE00AAA55F62D1
+:045DBF00AAA25F60D5
+:045DC000AAA05F5ED8
+:045DC100AA9E5F5BDC
+:045DC200AA9B5F59E0
+:045DC300AA995F57E3
+:045DC400AA975F55E6
+:045DC500AA945F53EA
+:045DC600AA925F51ED
+:045DC700AA905F4FF0
+:045DC800AA8D5F4DF4
+:045DC900AA8B5F4BF7
+:045DCA00AA895F49FA
+:045DCB00AA865F47FE
+:045DCC00AA845F4402
+:045DCD00AA825F4205
+:045DCE00AA7F5F4009
+:045DCF00AA7D5F3E0C
+:045DD000AA7B5F3C0F
+:045DD100AA785F3A13
+:045DD200AA765F3816
+:045DD300AA745F3619
+:045DD400AA715F341D
+:045DD500AA6F5F3220
+:045DD600AA6D5F2F24
+:045DD700AA6A5F2D28
+:045DD800AA685F2B2B
+:045DD900AA665F292E
+:045DDA00AA635F2732
+:045DDB00AA615F2535
+:045DDC00AA5F5F2338
+:045DDD00AA5C5F213C
+:045DDE00AA5A5F1F3F
+:045DDF00AA585F1D42
+:045DE000AA555F1A47
+:045DE100AA535F184A
+:045DE200AA515F164D
+:045DE300AA4E5F1451
+:045DE400AA4C5F1254
+:045DE500AA4A5F1057
+:045DE600AA475F0E5B
+:045DE700AA455F0C5E
+:045DE800AA435F0A61
+:045DE900AA405F0766
+:045DEA00AA3E5F0569
+:045DEB00AA3C5F036C
+:045DEC00AA395F0170
+:045DED00AA375EFF74
+:045DEE00AA355EFD77
+:045DEF00AA325EFB7B
+:045DF000AA305EF97E
+:045DF100AA2E5EF781
+:045DF200AA2B5EF585
+:045DF300AA295EF289
+:045DF400AA275EF08C
+:045DF500AA245EEE90
+:045DF600AA225EEC93
+:045DF700AA205EEA96
+:045DF800AA1D5EE89A
+:045DF900AA1B5EE69D
+:045DFA00AA195EE4A0
+:045DFB00AA165EE2A4
+:045DFC00AA145EDFA8
+:045DFD00AA125EDDAB
+:045DFE00AA0F5EDBAF
+:045DFF00AA0D5ED9B2
+:045E0000AA0B5ED7B4
+:045E0100AA085ED5B8
+:045E0200AA065ED3BB
+:045E0300AA045ED1BE
+:045E0400AA015ECFC2
+:045E0500A9FF5ECCC7
+:045E0600A9FD5ECACA
+:045E0700A9FA5EC8CE
+:045E0800A9F85EC6D1
+:045E0900A9F65EC4D4
+:045E0A00A9F35EC2D8
+:045E0B00A9F15EC0DB
+:045E0C00A9EF5EBEDE
+:045E0D00A9EC5EBCE2
+:045E0E00A9EA5EB9E6
+:045E0F00A9E85EB7E9
+:045E1000A9E55EB5ED
+:045E1100A9E35EB3F0
+:045E1200A9E15EB1F3
+:045E1300A9DF5EAFF6
+:045E1400A9DC5EADFA
+:045E1500A9DA5EABFD
+:045E1600A9D85EA900
+:045E1700A9D55EA605
+:045E1800A9D35EA408
+:045E1900A9D15EA20B
+:045E1A00A9CE5EA00F
+:045E1B00A9CC5E9E12
+:045E1C00A9CA5E9C15
+:045E1D00A9C75E9A19
+:045E1E00A9C55E981C
+:045E1F00A9C35E9520
+:045E2000A9C05E9324
+:045E2100A9BE5E9127
+:045E2200A9BC5E8F2A
+:045E2300A9B95E8D2E
+:045E2400A9B75E8B31
+:045E2500A9B55E8934
+:045E2600A9B25E8738
+:045E2700A9B05E853B
+:045E2800A9AE5E823F
+:045E2900A9AB5E8043
+:045E2A00A9A95E7E46
+:045E2B00A9A75E7C49
+:045E2C00A9A45E7A4D
+:045E2D00A9A25E7850
+:045E2E00A9A05E7653
+:045E2F00A99E5E7456
+:045E3000A99B5E715B
+:045E3100A9995E6F5E
+:045E3200A9975E6D61
+:045E3300A9945E6B65
+:045E3400A9925E6968
+:045E3500A9905E676B
+:045E3600A98D5E656F
+:045E3700A98B5E6372
+:045E3800A9895E6175
+:045E3900A9865E5E7A
+:045E3A00A9845E5C7D
+:045E3B00A9825E5A80
+:045E3C00A97F5E5884
+:045E3D00A97D5E5687
+:045E3E00A97B5E548A
+:045E3F00A9785E528E
+:045E4000A9765E5091
+:045E4100A9745E4D95
+:045E4200A9725E4B98
+:045E4300A96F5E499C
+:045E4400A96D5E479F
+:045E4500A96B5E45A2
+:045E4600A9685E43A6
+:045E4700A9665E41A9
+:045E4800A9645E3FAC
+:045E4900A9615E3CB1
+:045E4A00A95F5E3AB4
+:045E4B00A95D5E38B7
+:045E4C00A95A5E36BB
+:045E4D00A9585E34BE
+:045E4E00A9565E32C1
+:045E4F00A9535E30C5
+:045E5000A9515E2EC8
+:045E5100A94F5E2BCC
+:045E5200A94D5E29CF
+:045E5300A94A5E27D3
+:045E5400A9485E25D6
+:045E5500A9465E23D9
+:045E5600A9435E21DD
+:045E5700A9415E1FE0
+:045E5800A93F5E1CE4
+:045E5900A93C5E1AE8
+:045E5A00A93A5E18EB
+:045E5B00A9385E16EE
+:045E5C00A9355E14F2
+:045E5D00A9335E12F5
+:045E5E00A9315E10F8
+:045E5F00A92E5E0EFC
+:045E6000A92C5E0B00
+:045E6100A92A5E0903
+:045E6200A9285E0706
+:045E6300A9255E050A
+:045E6400A9235E030D
+:045E6500A9215E0110
+:045E6600A91E5DFF15
+:045E6700A91C5DFD18
+:045E6800A91A5DFA1C
+:045E6900A9175DF820
+:045E6A00A9155DF623
+:045E6B00A9135DF426
+:045E6C00A9105DF22A
+:045E6D00A90E5DF02D
+:045E6E00A90C5DEE30
+:045E6F00A90A5DEB34
+:045E7000A9075DE938
+:045E7100A9055DE73B
+:045E7200A9035DE53E
+:045E7300A9005DE342
+:045E7400A8FE5DE146
+:045E7500A8FC5DDF49
+:045E7600A8F95DDD4D
+:045E7700A8F75DDA51
+:045E7800A8F55DD854
+:045E7900A8F35DD657
+:045E7A00A8F05DD45B
+:045E7B00A8EE5DD25E
+:045E7C00A8EC5DD061
+:045E7D00A8E95DCE65
+:045E7E00A8E75DCB69
+:045E7F00A8E55DC96C
+:045E8000A8E25DC770
+:045E8100A8E05DC573
+:045E8200A8DE5DC376
+:045E8300A8DC5DC179
+:045E8400A8D95DBF7D
+:045E8500A8D75DBC81
+:045E8600A8D55DBA84
+:045E8700A8D25DB888
+:045E8800A8D05DB68B
+:045E8900A8CE5DB48E
+:045E8A00A8CB5DB292
+:045E8B00A8C95DB095
+:045E8C00A8C75DAD99
+:045E8D00A8C55DAB9C
+:045E8E00A8C25DA9A0
+:045E8F00A8C05DA7A3
+:045E9000A8BE5DA5A6
+:045E9100A8BB5DA3AA
+:045E9200A8B95DA1AD
+:045E9300A8B75D9EB1
+:045E9400A8B45D9CB5
+:045E9500A8B25D9AB8
+:045E9600A8B05D98BB
+:045E9700A8AE5D96BE
+:045E9800A8AB5D94C2
+:045E9900A8A95D92C5
+:045E9A00A8A75D8FC9
+:045E9B00A8A45D8DCD
+:045E9C00A8A25D8BD0
+:045E9D00A8A05D89D3
+:045E9E00A89D5D87D7
+:045E9F00A89B5D85DA
+:045EA000A8995D83DD
+:045EA100A8975D80E1
+:045EA200A8945D7EE5
+:045EA300A8925D7CE8
+:045EA400A8905D7AEB
+:045EA500A88D5D78EF
+:045EA600A88B5D76F2
+:045EA700A8895D74F5
+:045EA800A8875D71F9
+:045EA900A8845D6FFD
+:045EAA00A8825D6D00
+:045EAB00A8805D6B03
+:045EAC00A87D5D6907
+:045EAD00A87B5D670A
+:045EAE00A8795D650D
+:045EAF00A8765D6212
+:045EB000A8745D6015
+:045EB100A8725D5E18
+:045EB200A8705D5C1B
+:045EB300A86D5D5A1F
+:045EB400A86B5D5822
+:045EB500A8695D5625
+:045EB600A8665D532A
+:045EB700A8645D512D
+:045EB800A8625D4F30
+:045EB900A8605D4D33
+:045EBA00A85D5D4B37
+:045EBB00A85B5D493A
+:045EBC00A8595D463E
+:045EBD00A8565D4442
+:045EBE00A8545D4245
+:045EBF00A8525D4048
+:045EC000A8505D3E4B
+:045EC100A84D5D3C4F
+:045EC200A84B5D3A52
+:045EC300A8495D3756
+:045EC400A8465D355A
+:045EC500A8445D335D
+:045EC600A8425D3160
+:045EC700A8405D2F63
+:045EC800A83D5D2D67
+:045EC900A83B5D2A6B
+:045ECA00A8395D286E
+:045ECB00A8365D2672
+:045ECC00A8345D2475
+:045ECD00A8325D2278
+:045ECE00A8305D207B
+:045ECF00A82D5D1E7F
+:045ED000A82B5D1B83
+:045ED100A8295D1986
+:045ED200A8265D178A
+:045ED300A8245D158D
+:045ED400A8225D1390
+:045ED500A8205D1193
+:045ED600A81D5D0E98
+:045ED700A81B5D0C9B
+:045ED800A8195D0A9E
+:045ED900A8165D08A2
+:045EDA00A8145D06A5
+:045EDB00A8125D04A8
+:045EDC00A8105D02AB
+:045EDD00A80D5CFFB1
+:045EDE00A80B5CFDB4
+:045EDF00A8095CFBB7
+:045EE000A8065CF9BB
+:045EE100A8045CF7BE
+:045EE200A8025CF5C1
+:045EE300A8005CF2C5
+:045EE400A7FD5CF0CA
+:045EE500A7FB5CEECD
+:045EE600A7F95CECD0
+:045EE700A7F65CEAD4
+:045EE800A7F45CE8D7
+:045EE900A7F25CE5DB
+:045EEA00A7F05CE3DE
+:045EEB00A7ED5CE1E2
+:045EEC00A7EB5CDFE5
+:045EED00A7E95CDDE8
+:045EEE00A7E75CDBEB
+:045EEF00A7E45CD8F0
+:045EF000A7E25CD6F3
+:045EF100A7E05CD4F6
+:045EF200A7DD5CD2FA
+:045EF300A7DB5CD0FD
+:045EF400A7D95CCE00
+:045EF500A7D75CCB04
+:045EF600A7D45CC908
+:045EF700A7D25CC70B
+:045EF800A7D05CC50E
+:045EF900A7CD5CC312
+:045EFA00A7CB5CC115
+:045EFB00A7C95CBF18
+:045EFC00A7C75CBC1C
+:045EFD00A7C45CBA20
+:045EFE00A7C25CB823
+:045EFF00A7C05CB626
+:045F0000A7BE5CB428
+:045F0100A7BB5CB22C
+:045F0200A7B95CAF30
+:045F0300A7B75CAD33
+:045F0400A7B45CAB37
+:045F0500A7B25CA93A
+:045F0600A7B05CA73D
+:045F0700A7AE5CA540
+:045F0800A7AB5CA245
+:045F0900A7A95CA048
+:045F0A00A7A75C9E4B
+:045F0B00A7A55C9C4E
+:045F0C00A7A25C9A52
+:045F0D00A7A05C9855
+:045F0E00A79E5C9559
+:045F0F00A79B5C935D
+:045F1000A7995C9160
+:045F1100A7975C8F63
+:045F1200A7955C8D66
+:045F1300A7925C8A6B
+:045F1400A7905C886E
+:045F1500A78E5C8671
+:045F1600A78C5C8474
+:045F1700A7895C8278
+:045F1800A7875C807B
+:045F1900A7855C7D7F
+:045F1A00A7825C7B83
+:045F1B00A7805C7986
+:045F1C00A77E5C7789
+:045F1D00A77C5C758C
+:045F1E00A7795C7390
+:045F1F00A7775C7094
+:045F2000A7755C6E97
+:045F2100A7735C6C9A
+:045F2200A7705C6A9E
+:045F2300A76E5C68A1
+:045F2400A76C5C66A4
+:045F2500A7695C63A9
+:045F2600A7675C61AC
+:045F2700A7655C5FAF
+:045F2800A7635C5DB2
+:045F2900A7605C5BB6
+:045F2A00A75E5C59B9
+:045F2B00A75C5C56BD
+:045F2C00A75A5C54C0
+:045F2D00A7575C52C4
+:045F2E00A7555C50C7
+:045F2F00A7535C4ECA
+:045F3000A7515C4BCE
+:045F3100A74E5C49D2
+:045F3200A74C5C47D5
+:045F3300A74A5C45D8
+:045F3400A7475C43DC
+:045F3500A7455C41DF
+:045F3600A7435C3EE3
+:045F3700A7415C3CE6
+:045F3800A73E5C3AEA
+:045F3900A73C5C38ED
+:045F3A00A73A5C36F0
+:045F3B00A7385C33F4
+:045F3C00A7355C31F8
+:045F3D00A7335C2FFB
+:045F3E00A7315C2DFE
+:045F3F00A72F5C2B01
+:045F4000A72C5C2905
+:045F4100A72A5C2609
+:045F4200A7285C240C
+:045F4300A7265C220F
+:045F4400A7235C2013
+:045F4500A7215C1E16
+:045F4600A71F5C1C19
+:045F4700A71D5C191D
+:045F4800A71A5C1721
+:045F4900A7185C1524
+:045F4A00A7165C1327
+:045F4B00A7135C112B
+:045F4C00A7115C0E2F
+:045F4D00A70F5C0C32
+:045F4E00A70D5C0A35
+:045F4F00A70A5C0839
+:045F5000A7085C063C
+:045F5100A7065C043F
+:045F5200A7045C0143
+:045F5300A7015BFF48
+:045F5400A6FF5BFD4C
+:045F5500A6FD5BFB4F
+:045F5600A6FB5BF952
+:045F5700A6F85BF657
+:045F5800A6F65BF45A
+:045F5900A6F45BF25D
+:045F5A00A6F25BF060
+:045F5B00A6EF5BEE64
+:045F5C00A6ED5BEB68
+:045F5D00A6EB5BE96B
+:045F5E00A6E95BE76E
+:045F5F00A6E65BE572
+:045F6000A6E45BE375
+:045F6100A6E25BE178
+:045F6200A6E05BDE7C
+:045F6300A6DD5BDC80
+:045F6400A6DB5BDA83
+:045F6500A6D95BD886
+:045F6600A6D75BD689
+:045F6700A6D45BD38E
+:045F6800A6D25BD191
+:045F6900A6D05BCF94
+:045F6A00A6CE5BCD97
+:045F6B00A6CB5BCB9B
+:045F6C00A6C95BC89F
+:045F6D00A6C75BC6A2
+:045F6E00A6C55BC4A5
+:045F6F00A6C25BC2A9
+:045F7000A6C05BC0AC
+:045F7100A6BE5BBEAF
+:045F7200A6BC5BBBB3
+:045F7300A6B95BB9B7
+:045F7400A6B75BB7BA
+:045F7500A6B55BB5BD
+:045F7600A6B25BB3C1
+:045F7700A6B05BB0C5
+:045F7800A6AE5BAEC8
+:045F7900A6AC5BACCB
+:045F7A00A6A95BAACF
+:045F7B00A6A75BA8D2
+:045F7C00A6A55BA5D6
+:045F7D00A6A35BA3D9
+:045F7E00A6A15BA1DC
+:045F7F00A69E5B9FE0
+:045F8000A69C5B9DE3
+:045F8100A69A5B9AE7
+:045F8200A6985B98EA
+:045F8300A6955B96EE
+:045F8400A6935B94F1
+:045F8500A6915B92F4
+:045F8600A68F5B8FF8
+:045F8700A68C5B8DFC
+:045F8800A68A5B8BFF
+:045F8900A6885B8902
+:045F8A00A6865B8705
+:045F8B00A6835B840A
+:045F8C00A6815B820D
+:045F8D00A67F5B8010
+:045F8E00A67D5B7E13
+:045F8F00A67A5B7C17
+:045F9000A6785B7A1A
+:045F9100A6765B771E
+:045F9200A6745B7521
+:045F9300A6715B7325
+:045F9400A66F5B7128
+:045F9500A66D5B6F2B
+:045F9600A66B5B6C2F
+:045F9700A6685B6A33
+:045F9800A6665B6836
+:045F9900A6645B6639
+:045F9A00A6625B643C
+:045F9B00A65F5B6141
+:045F9C00A65D5B5F44
+:045F9D00A65B5B5D47
+:045F9E00A6595B5B4A
+:045F9F00A6565B594E
+:045FA000A6545B5652
+:045FA100A6525B5455
+:045FA200A6505B5258
+:045FA300A64D5B505C
+:045FA400A64B5B4E5F
+:045FA500A6495B4B63
+:045FA600A6475B4966
+:045FA700A6445B476A
+:045FA800A6425B456D
+:045FA900A6405B4370
+:045FAA00A63E5B4074
+:045FAB00A63C5B3E77
+:045FAC00A6395B3C7B
+:045FAD00A6375B3A7E
+:045FAE00A6355B3782
+:045FAF00A6335B3585
+:045FB000A6305B3389
+:045FB100A62E5B318C
+:045FB200A62C5B2F8F
+:045FB300A62A5B2C93
+:045FB400A6275B2A97
+:045FB500A6255B289A
+:045FB600A6235B269D
+:045FB700A6215B24A0
+:045FB800A61E5B21A5
+:045FB900A61C5B1FA8
+:045FBA00A61A5B1DAB
+:045FBB00A6185B1BAE
+:045FBC00A6155B19B2
+:045FBD00A6135B16B6
+:045FBE00A6115B14B9
+:045FBF00A60F5B12BC
+:045FC000A60D5B10BF
+:045FC100A60A5B0EC3
+:045FC200A6085B0BC7
+:045FC300A6065B09CA
+:045FC400A6045B07CD
+:045FC500A6015B05D1
+:045FC600A5FF5B03D5
+:045FC700A5FD5B00D9
+:045FC800A5FB5AFEDD
+:045FC900A5F85AFCE1
+:045FCA00A5F65AFAE4
+:045FCB00A5F45AF7E8
+:045FCC00A5F25AF5EB
+:045FCD00A5F05AF3EE
+:045FCE00A5ED5AF1F2
+:045FCF00A5EB5AEFF5
+:045FD000A5E95AECF9
+:045FD100A5E75AEAFC
+:045FD200A5E45AE800
+:045FD300A5E25AE603
+:045FD400A5E05AE406
+:045FD500A5DE5AE10A
+:045FD600A5DB5ADF0E
+:045FD700A5D95ADD11
+:045FD800A5D75ADB14
+:045FD900A5D55AD917
+:045FDA00A5D35AD61B
+:045FDB00A5D05AD41F
+:045FDC00A5CE5AD222
+:045FDD00A5CC5AD025
+:045FDE00A5CA5ACD29
+:045FDF00A5C75ACB2D
+:045FE000A5C55AC930
+:045FE100A5C35AC733
+:045FE200A5C15AC536
+:045FE300A5BE5AC23B
+:045FE400A5BC5AC03E
+:045FE500A5BA5ABE41
+:045FE600A5B85ABC44
+:045FE700A5B65ABA47
+:045FE800A5B35AB74C
+:045FE900A5B15AB54F
+:045FEA00A5AF5AB352
+:045FEB00A5AD5AB155
+:045FEC00A5AA5AAE5A
+:045FED00A5A85AAC5D
+:045FEE00A5A65AAA60
+:045FEF00A5A45AA863
+:045FF000A5A25AA666
+:045FF100A59F5AA36B
+:045FF200A59D5AA16E
+:045FF300A59B5A9F71
+:045FF400A5995A9D74
+:045FF500A5965A9A79
+:045FF600A5945A987C
+:045FF700A5925A967F
+:045FF800A5905A9482
+:045FF900A58D5A9286
+:045FFA00A58B5A8F8A
+:045FFB00A5895A8D8D
+:045FFC00A5875A8B90
+:045FFD00A5855A8993
+:045FFE00A5825A8797
+:045FFF00A5805A849B
+:04600000A57E5A829D
+:04600100A57C5A80A0
+:04600200A5795A7EA4
+:04600300A5775A7BA8
+:04600400A5755A79AB
+:04600500A5735A77AE
+:04600600A5715A75B1
+:04600700A56E5A73B5
+:04600800A56C5A70B9
+:04600900A56A5A6EBC
+:04600A00A5685A6CBF
+:04600B00A5665A6AC2
+:04600C00A5635A67C7
+:04600D00A5615A65CA
+:04600E00A55F5A63CD
+:04600F00A55D5A61D0
+:04601000A55A5A5ED5
+:04601100A5585A5CD8
+:04601200A5565A5ADB
+:04601300A5545A58DE
+:04601400A5525A56E1
+:04601500A54F5A53E6
+:04601600A54D5A51E9
+:04601700A54B5A4FEC
+:04601800A5495A4DEF
+:04601900A5465A4AF4
+:04601A00A5445A48F7
+:04601B00A5425A46FA
+:04601C00A5405A44FD
+:04601D00A53E5A4200
+:04601E00A53B5A3F05
+:04601F00A5395A3D08
+:04602000A5375A3B0B
+:04602100A5355A390E
+:04602200A5335A3612
+:04602300A5305A3416
+:04602400A52E5A3219
+:04602500A52C5A301C
+:04602600A52A5A2D20
+:04602700A5275A2B24
+:04602800A5255A2927
+:04602900A5235A272A
+:04602A00A5215A252D
+:04602B00A51F5A2231
+:04602C00A51C5A2035
+:04602D00A51A5A1E38
+:04602E00A5185A1C3B
+:04602F00A5165A193F
+:04603000A5145A1742
+:04603100A5115A1546
+:04603200A50F5A1349
+:04603300A50D5A104D
+:04603400A50B5A0E50
+:04603500A5095A0C53
+:04603600A5065A0A57
+:04603700A5045A085A
+:04603800A5025A055E
+:04603900A5005A0361
+:04603A00A4FD5A0166
+:04603B00A4FB59FF6A
+:04603C00A4F959FC6E
+:04603D00A4F759FA71
+:04603E00A4F559F874
+:04603F00A4F259F678
+:04604000A4F059F37C
+:04604100A4EE59F17F
+:04604200A4EC59EF82
+:04604300A4EA59ED85
+:04604400A4E759EB89
+:04604500A4E559E88D
+:04604600A4E359E690
+:04604700A4E159E493
+:04604800A4DF59E296
+:04604900A4DC59DF9B
+:04604A00A4DA59DD9E
+:04604B00A4D859DBA1
+:04604C00A4D659D9A4
+:04604D00A4D459D6A8
+:04604E00A4D159D4AC
+:04604F00A4CF59D2AF
+:04605000A4CD59D0B2
+:04605100A4CB59CDB6
+:04605200A4C959CBB9
+:04605300A4C659C9BD
+:04605400A4C459C7C0
+:04605500A4C259C4C4
+:04605600A4C059C2C7
+:04605700A4BD59C0CB
+:04605800A4BB59BECE
+:04605900A4B959BCD1
+:04605A00A4B759B9D5
+:04605B00A4B559B7D8
+:04605C00A4B259B5DC
+:04605D00A4B059B3DF
+:04605E00A4AE59B0E3
+:04605F00A4AC59AEE6
+:04606000A4AA59ACE9
+:04606100A4A759AAED
+:04606200A4A559A7F1
+:04606300A4A359A5F4
+:04606400A4A159A3F7
+:04606500A49F59A1FA
+:04606600A49C599EFF
+:04606700A49A599C02
+:04606800A498599A05
+:04606900A496599808
+:04606A00A49459950C
+:04606B00A491599310
+:04606C00A48F599113
+:04606D00A48D598F16
+:04606E00A48B598C1A
+:04606F00A489598A1D
+:04607000A486598821
+:04607100A484598624
+:04607200A482598328
+:04607300A48059812B
+:04607400A47E597F2E
+:04607500A47C597D31
+:04607600A479597A36
+:04607700A477597839
+:04607800A47559763C
+:04607900A47359743F
+:04607A00A471597143
+:04607B00A46E596F47
+:04607C00A46C596D4A
+:04607D00A46A596B4D
+:04607E00A468596851
+:04607F00A466596654
+:04608000A463596458
+:04608100A46159625B
+:04608200A45F595F5F
+:04608300A45D595D62
+:04608400A45B595B65
+:04608500A458595969
+:04608600A45659576C
+:04608700A454595470
+:04608800A452595273
+:04608900A450595076
+:04608A00A44D594E7A
+:04608B00A44B594B7E
+:04608C00A449594981
+:04608D00A447594784
+:04608E00A445594488
+:04608F00A44259428C
+:04609000A44059408F
+:04609100A43E593E92
+:04609200A43C593B96
+:04609300A43A593999
+:04609400A43859379C
+:04609500A4355935A0
+:04609600A4335932A4
+:04609700A4315930A7
+:04609800A42F592EAA
+:04609900A42D592CAD
+:04609A00A42A5929B2
+:04609B00A4285927B5
+:04609C00A4265925B8
+:04609D00A4245923BB
+:04609E00A4225920BF
+:04609F00A41F591EC3
+:0460A000A41D591CC6
+:0460A100A41B591AC9
+:0460A200A4195917CD
+:0460A300A4175915D0
+:0460A400A4155913D3
+:0460A500A4125911D7
+:0460A600A410590EDB
+:0460A700A40E590CDE
+:0460A800A40C590AE1
+:0460A900A40A5908E4
+:0460AA00A4075905E9
+:0460AB00A4055903EC
+:0460AC00A4035901EF
+:0460AD00A40158FFF3
+:0460AE00A3FF58FCF8
+:0460AF00A3FC58FAFC
+:0460B000A3FA58F8FF
+:0460B100A3F858F602
+:0460B200A3F658F306
+:0460B300A3F458F109
+:0460B400A3F258EF0C
+:0460B500A3EF58ED10
+:0460B600A3ED58EA14
+:0460B700A3EB58E817
+:0460B800A3E958E61A
+:0460B900A3E758E31E
+:0460BA00A3E458E122
+:0460BB00A3E258DF25
+:0460BC00A3E058DD28
+:0460BD00A3DE58DA2C
+:0460BE00A3DC58D82F
+:0460BF00A3DA58D632
+:0460C000A3D758D436
+:0460C100A3D558D13A
+:0460C200A3D358CF3D
+:0460C300A3D158CD40
+:0460C400A3CF58CB43
+:0460C500A3CD58C847
+:0460C600A3CA58C64B
+:0460C700A3C858C44E
+:0460C800A3C658C251
+:0460C900A3C458BF55
+:0460CA00A3C258BD58
+:0460CB00A3BF58BB5C
+:0460CC00A3BD58B95F
+:0460CD00A3BB58B663
+:0460CE00A3B958B466
+:0460CF00A3B758B269
+:0460D000A3B558AF6D
+:0460D100A3B258AD71
+:0460D200A3B058AB74
+:0460D300A3AE58A977
+:0460D400A3AC58A67B
+:0460D500A3AA58A47E
+:0460D600A3A758A282
+:0460D700A3A558A085
+:0460D800A3A3589D89
+:0460D900A3A1589B8C
+:0460DA00A39F58998F
+:0460DB00A39D589792
+:0460DC00A39A589497
+:0460DD00A39858929A
+:0460DE00A39658909D
+:0460DF00A394588DA1
+:0460E000A392588BA4
+:0460E100A3905889A7
+:0460E200A38D5887AB
+:0460E300A38B5884AF
+:0460E400A3895882B2
+:0460E500A3875880B5
+:0460E600A385587EB8
+:0460E700A383587BBC
+:0460E800A3805879C0
+:0460E900A37E5877C3
+:0460EA00A37C5874C7
+:0460EB00A37A5872CA
+:0460EC00A3785870CD
+:0460ED00A376586ED0
+:0460EE00A373586BD5
+:0460EF00A3715869D8
+:0460F000A36F5867DB
+:0460F100A36D5865DE
+:0460F200A36B5862E2
+:0460F300A3685860E6
+:0460F400A366585EE9
+:0460F500A364585BED
+:0460F600A3625859F0
+:0460F700A3605857F3
+:0460F800A35E5855F6
+:0460F900A35B5852FB
+:0460FA00A3595850FE
+:0460FB00A357584E01
+:0460FC00A355584C04
+:0460FD00A353584908
+:0460FE00A35158470B
+:0460FF00A34E58450F
+:04610000A34C584212
+:04610100A34A584015
+:04610200A348583E18
+:04610300A346583C1B
+:04610400A34458391F
+:04610500A341583723
+:04610600A33F583526
+:04610700A33D583329
+:04610800A33B58302D
+:04610900A339582E30
+:04610A00A337582C33
+:04610B00A335582937
+:04610C00A33258273B
+:04610D00A33058253E
+:04610E00A32E582341
+:04610F00A32C582045
+:04611000A32A581E48
+:04611100A328581C4B
+:04611200A325581950
+:04611300A323581753
+:04611400A321581556
+:04611500A31F581359
+:04611600A31D58105D
+:04611700A31B580E60
+:04611800A318580C64
+:04611900A316580A67
+:04611A00A31458076B
+:04611B00A31258056E
+:04611C00A310580371
+:04611D00A30E580075
+:04611E00A30B57FE7A
+:04611F00A30957FC7D
+:04612000A30757FA80
+:04612100A30557F784
+:04612200A30357F587
+:04612300A30157F38A
+:04612400A2FE57F090
+:04612500A2FC57EE93
+:04612600A2FA57EC96
+:04612700A2F857EA99
+:04612800A2F657E79D
+:04612900A2F457E5A0
+:04612A00A2F257E3A3
+:04612B00A2EF57E0A8
+:04612C00A2ED57DEAB
+:04612D00A2EB57DCAE
+:04612E00A2E957DAB1
+:04612F00A2E757D7B5
+:04613000A2E557D5B8
+:04613100A2E257D3BC
+:04613200A2E057D0C0
+:04613300A2DE57CEC3
+:04613400A2DC57CCC6
+:04613500A2DA57CAC9
+:04613600A2D857C7CD
+:04613700A2D657C5D0
+:04613800A2D357C3D4
+:04613900A2D157C0D8
+:04613A00A2CF57BEDB
+:04613B00A2CD57BCDE
+:04613C00A2CB57BAE1
+:04613D00A2C957B7E5
+:04613E00A2C657B5E9
+:04613F00A2C457B3EC
+:04614000A2C257B0F0
+:04614100A2C057AEF3
+:04614200A2BE57ACF6
+:04614300A2BC57AAF9
+:04614400A2BA57A7FD
+:04614500A2B757A501
+:04614600A2B557A304
+:04614700A2B357A008
+:04614800A2B1579E0B
+:04614900A2AF579C0E
+:04614A00A2AD579A11
+:04614B00A2AA579716
+:04614C00A2A8579519
+:04614D00A2A657931C
+:04614E00A2A4579020
+:04614F00A2A2578E23
+:04615000A2A0578C26
+:04615100A29E578A29
+:04615200A29B57872E
+:04615300A299578531
+:04615400A297578334
+:04615500A295578038
+:04615600A293577E3B
+:04615700A291577C3E
+:04615800A28F577942
+:04615900A28C577746
+:04615A00A28A577549
+:04615B00A28857734C
+:04615C00A286577050
+:04615D00A284576E53
+:04615E00A282576C56
+:04615F00A28057695A
+:04616000A27D57675E
+:04616100A27B576561
+:04616200A279576364
+:04616300A277576068
+:04616400A275575E6B
+:04616500A273575C6E
+:04616600A271575972
+:04616700A26E575776
+:04616800A26C575579
+:04616900A26A57527D
+:04616A00A268575080
+:04616B00A266574E83
+:04616C00A264574C86
+:04616D00A26257498A
+:04616E00A25F57478E
+:04616F00A25D574591
+:04617000A25B574295
+:04617100A259574098
+:04617200A257573E9B
+:04617300A255573B9F
+:04617400A2535739A2
+:04617500A2505737A6
+:04617600A24E5735A9
+:04617700A24C5732AD
+:04617800A24A5730B0
+:04617900A248572EB3
+:04617A00A246572BB7
+:04617B00A2445729BA
+:04617C00A2415727BE
+:04617D00A23F5724C2
+:04617E00A23D5722C5
+:04617F00A23B5720C8
+:04618000A239571ECB
+:04618100A237571BCF
+:04618200A2355719D2
+:04618300A2325717D6
+:04618400A2305714DA
+:04618500A22E5712DD
+:04618600A22C5710E0
+:04618700A22A570DE4
+:04618800A228570BE7
+:04618900A2265709EA
+:04618A00A2235707EE
+:04618B00A2215704F2
+:04618C00A21F5702F5
+:04618D00A21D5700F8
+:04618E00A21B56FDFD
+:04618F00A21956FB00
+:04619000A21756F903
+:04619100A21556F607
+:04619200A21256F40B
+:04619300A21056F20E
+:04619400A20E56F011
+:04619500A20C56ED15
+:04619600A20A56EB18
+:04619700A20856E91B
+:04619800A20656E61F
+:04619900A20356E423
+:04619A00A20156E226
+:04619B00A1FF56DF2B
+:04619C00A1FD56DD2E
+:04619D00A1FB56DB31
+:04619E00A1F956D835
+:04619F00A1F756D638
+:0461A000A1F556D43B
+:0461A100A1F256D23F
+:0461A200A1F056CF43
+:0461A300A1EE56CD46
+:0461A400A1EC56CB49
+:0461A500A1EA56C84D
+:0461A600A1E856C650
+:0461A700A1E656C453
+:0461A800A1E456C157
+:0461A900A1E156BF5B
+:0461AA00A1DF56BD5E
+:0461AB00A1DD56BA62
+:0461AC00A1DB56B865
+:0461AD00A1D956B668
+:0461AE00A1D756B36C
+:0461AF00A1D556B16F
+:0461B000A1D256AF73
+:0461B100A1D056AD76
+:0461B200A1CE56AA7A
+:0461B300A1CC56A87D
+:0461B400A1CA56A680
+:0461B500A1C856A384
+:0461B600A1C656A187
+:0461B700A1C4569F8A
+:0461B800A1C1569C8F
+:0461B900A1BF569A92
+:0461BA00A1BD569895
+:0461BB00A1BB569599
+:0461BC00A1B956939C
+:0461BD00A1B756919F
+:0461BE00A1B5568EA3
+:0461BF00A1B3568CA6
+:0461C000A1B0568AAA
+:0461C100A1AE5688AD
+:0461C200A1AC5685B1
+:0461C300A1AA5683B4
+:0461C400A1A85681B7
+:0461C500A1A6567EBB
+:0461C600A1A4567CBE
+:0461C700A1A2567AC1
+:0461C800A19F5677C6
+:0461C900A19D5675C9
+:0461CA00A19B5673CC
+:0461CB00A1995670D0
+:0461CC00A197566ED3
+:0461CD00A195566CD6
+:0461CE00A1935669DA
+:0461CF00A1915667DD
+:0461D000A18F5665E0
+:0461D100A18C5662E5
+:0461D200A18A5660E8
+:0461D300A188565EEB
+:0461D400A186565CEE
+:0461D500A1845659F2
+:0461D600A1825657F5
+:0461D700A1805655F8
+:0461D800A17E5652FC
+:0461D900A17B565000
+:0461DA00A179564E03
+:0461DB00A177564B07
+:0461DC00A17556490A
+:0461DD00A17356470D
+:0461DE00A171564411
+:0461DF00A16F564214
+:0461E000A16D564017
+:0461E100A16B563D1B
+:0461E200A168563B1F
+:0461E300A166563922
+:0461E400A164563626
+:0461E500A162563429
+:0461E600A16056322C
+:0461E700A15E562F30
+:0461E800A15C562D33
+:0461E900A15A562B36
+:0461EA00A15756283B
+:0461EB00A15556263E
+:0461EC00A153562441
+:0461ED00A151562145
+:0461EE00A14F561F48
+:0461EF00A14D561D4B
+:0461F000A14B561B4E
+:0461F100A149561852
+:0461F200A147561655
+:0461F300A144561459
+:0461F400A14256115D
+:0461F500A140560F60
+:0461F600A13E560D63
+:0461F700A13C560A67
+:0461F800A13A56086A
+:0461F900A13856066D
+:0461FA00A136560371
+:0461FB00A134560174
+:0461FC00A13155FF79
+:0461FD00A12F55FC7D
+:0461FE00A12D55FA80
+:0461FF00A12B55F883
+:04620000A12955F586
+:04620100A12755F389
+:04620200A12555F18C
+:04620300A12355EE90
+:04620400A12155EC93
+:04620500A11E55EA97
+:04620600A11C55E79B
+:04620700A11A55E59E
+:04620800A11855E3A1
+:04620900A11655E0A5
+:04620A00A11455DEA8
+:04620B00A11255DCAB
+:04620C00A11055D9AF
+:04620D00A10E55D7B2
+:04620E00A10B55D5B6
+:04620F00A10955D2BA
+:04621000A10755D0BD
+:04621100A10555CEC0
+:04621200A10355CBC4
+:04621300A10155C9C7
+:04621400A0FF55C7CB
+:04621500A0FD55C4CF
+:04621600A0FB55C2D2
+:04621700A0F955C0D5
+:04621800A0F655BDDA
+:04621900A0F455BBDD
+:04621A00A0F255B9E0
+:04621B00A0F055B6E4
+:04621C00A0EE55B4E7
+:04621D00A0EC55B2EA
+:04621E00A0EA55AFEE
+:04621F00A0E855ADF1
+:04622000A0E655ABF4
+:04622100A0E355A8F9
+:04622200A0E155A6FC
+:04622300A0DF55A4FF
+:04622400A0DD55A103
+:04622500A0DB559F06
+:04622600A0D9559D09
+:04622700A0D7559A0D
+:04622800A0D5559810
+:04622900A0D3559613
+:04622A00A0D1559317
+:04622B00A0CE55911B
+:04622C00A0CC558F1E
+:04622D00A0CA558C22
+:04622E00A0C8558A25
+:04622F00A0C6558828
+:04623000A0C455852C
+:04623100A0C255832F
+:04623200A0C0558132
+:04623300A0BE557E36
+:04623400A0BC557C39
+:04623500A0B9557A3D
+:04623600A0B7557741
+:04623700A0B5557544
+:04623800A0B3557347
+:04623900A0B155704B
+:04623A00A0AF556E4E
+:04623B00A0AD556C51
+:04623C00A0AB556955
+:04623D00A0A9556758
+:04623E00A0A755655B
+:04623F00A0A555625F
+:04624000A0A2556063
+:04624100A0A0555E66
+:04624200A09E555B6A
+:04624300A09C55596D
+:04624400A09A555770
+:04624500A098555474
+:04624600A096555277
+:04624700A09455507A
+:04624800A092554D7E
+:04624900A090554B81
+:04624A00A08D554985
+:04624B00A08B554689
+:04624C00A08955448C
+:04624D00A087554190
+:04624E00A085553F93
+:04624F00A083553D96
+:04625000A081553A9A
+:04625100A07F55389D
+:04625200A07D5536A0
+:04625300A07B5533A4
+:04625400A0795531A7
+:04625500A076552FAB
+:04625600A074552CAF
+:04625700A072552AB2
+:04625800A0705528B5
+:04625900A06E5525B9
+:04625A00A06C5523BC
+:04625B00A06A5521BF
+:04625C00A068551EC3
+:04625D00A066551CC6
+:04625E00A064551AC9
+:04625F00A0625517CD
+:04626000A0605515D0
+:04626100A05D5513D4
+:04626200A05B5510D8
+:04626300A059550EDB
+:04626400A057550CDE
+:04626500A0555509E2
+:04626600A0535507E5
+:04626700A0515504E9
+:04626800A04F5502EC
+:04626900A04D5500EF
+:04626A00A04B54FDF4
+:04626B00A04954FBF7
+:04626C00A04654F9FB
+:04626D00A04454F6FF
+:04626E00A04254F402
+:04626F00A04054F205
+:04627000A03E54EF09
+:04627100A03C54ED0C
+:04627200A03A54EB0F
+:04627300A03854E813
+:04627400A03654E616
+:04627500A03454E419
+:04627600A03254E11D
+:04627700A03054DF20
+:04627800A02D54DD24
+:04627900A02B54DA28
+:04627A00A02954D82B
+:04627B00A02754D52F
+:04627C00A02554D332
+:04627D00A02354D135
+:04627E00A02154CE39
+:04627F00A01F54CC3C
+:04628000A01D54CA3F
+:04628100A01B54C743
+:04628200A01954C546
+:04628300A01754C349
+:04628400A01454C04E
+:04628500A01254BE51
+:04628600A01054BC54
+:04628700A00E54B958
+:04628800A00C54B75B
+:04628900A00A54B55E
+:04628A00A00854B262
+:04628B00A00654B065
+:04628C00A00454AD69
+:04628D00A00254AB6C
+:04628E00A00054A96F
+:04628F009FFE54A674
+:046290009FFC54A477
+:046291009FF954A27B
+:046292009FF7549F7F
+:046293009FF5549D82
+:046294009FF3549B85
+:046295009FF1549889
+:046296009FEF54968C
+:046297009FED54948F
+:046298009FEB549193
+:046299009FE9548F96
+:04629A009FE7548C9A
+:04629B009FE5548A9D
+:04629C009FE35488A0
+:04629D009FE15485A4
+:04629E009FDE5483A8
+:04629F009FDC5481AB
+:0462A0009FDA547EAF
+:0462A1009FD8547CB2
+:0462A2009FD6547AB5
+:0462A3009FD45477B9
+:0462A4009FD25475BC
+:0462A5009FD05473BF
+:0462A6009FCE5470C3
+:0462A7009FCC546EC6
+:0462A8009FCA546BCA
+:0462A9009FC85469CD
+:0462AA009FC65467D0
+:0462AB009FC45464D4
+:0462AC009FC15462D8
+:0462AD009FBF5460DB
+:0462AE009FBD545DDF
+:0462AF009FBB545BE2
+:0462B0009FB95459E5
+:0462B1009FB75456E9
+:0462B2009FB55454EC
+:0462B3009FB35451F0
+:0462B4009FB1544FF3
+:0462B5009FAF544DF6
+:0462B6009FAD544AFA
+:0462B7009FAB5448FD
+:0462B8009FA9544600
+:0462B9009FA7544304
+:0462BA009FA4544108
+:0462BB009FA2543F0B
+:0462BC009FA0543C0F
+:0462BD009F9E543A12
+:0462BE009F9C543716
+:0462BF009F9A543519
+:0462C0009F9854331C
+:0462C1009F96543020
+:0462C2009F94542E23
+:0462C3009F92542C26
+:0462C4009F9054292A
+:0462C5009F8E54272D
+:0462C6009F8C542431
+:0462C7009F8A542234
+:0462C8009F88542037
+:0462C9009F85541D3C
+:0462CA009F83541B3F
+:0462CB009F81541942
+:0462CC009F7F541646
+:0462CD009F7D541449
+:0462CE009F7B54124C
+:0462CF009F79540F50
+:0462D0009F77540D53
+:0462D1009F75540A57
+:0462D2009F7354085A
+:0462D3009F7154065D
+:0462D4009F6F540361
+:0462D5009F6D540164
+:0462D6009F6B53FF68
+:0462D7009F6953FC6C
+:0462D8009F6753FA6F
+:0462D9009F6453F774
+:0462DA009F6253F577
+:0462DB009F6053F37A
+:0462DC009F5E53F07E
+:0462DD009F5C53EE81
+:0462DE009F5A53EC84
+:0462DF009F5853E988
+:0462E0009F5653E78B
+:0462E1009F5453E58E
+:0462E2009F5253E292
+:0462E3009F5053E095
+:0462E4009F4E53DD99
+:0462E5009F4C53DB9C
+:0462E6009F4A53D99F
+:0462E7009F4853D6A3
+:0462E8009F4653D4A6
+:0462E9009F4453D2A9
+:0462EA009F4153CFAE
+:0462EB009F3F53CDB1
+:0462EC009F3D53CAB5
+:0462ED009F3B53C8B8
+:0462EE009F3953C6BB
+:0462EF009F3753C3BF
+:0462F0009F3553C1C2
+:0462F1009F3353BFC5
+:0462F2009F3153BCC9
+:0462F3009F2F53BACC
+:0462F4009F2D53B7D0
+:0462F5009F2B53B5D3
+:0462F6009F2953B3D6
+:0462F7009F2753B0DA
+:0462F8009F2553AEDD
+:0462F9009F2353ACE0
+:0462FA009F2153A9E4
+:0462FB009F1F53A7E7
+:0462FC009F1D53A4EB
+:0462FD009F1A53A2EF
+:0462FE009F1853A0F2
+:0462FF009F16539DF6
+:046300009F14539BF8
+:046301009F125398FC
+:046302009F105396FF
+:046303009F0E539402
+:046304009F0C539106
+:046305009F0A538F09
+:046306009F08538D0C
+:046307009F06538A10
+:046308009F04538813
+:046309009F02538517
+:04630A009F0053831A
+:04630B009EFE53811E
+:04630C009EFC537E22
+:04630D009EFA537C25
+:04630E009EF8537A28
+:04630F009EF653772C
+:046310009EF3537530
+:046311009EF1537234
+:046312009EEF537037
+:046313009EED536E3A
+:046314009EEB536B3E
+:046315009EE9536941
+:046316009EE7536645
+:046317009EE5536448
+:046318009EE353624B
+:046319009EE1535F4F
+:04631A009EDF535D52
+:04631B009EDD535B55
+:04631C009EDB535859
+:04631D009ED953565C
+:04631E009ED7535360
+:04631F009ED5535163
+:046320009ED3534F66
+:046321009ED1534C6A
+:046322009ECF534A6D
+:046323009ECD534771
+:046324009ECB534574
+:046325009EC9534377
+:046326009EC653407C
+:046327009EC4533E7F
+:046328009EC2533C82
+:046329009EC0533986
+:04632A009EBE533789
+:04632B009EBC53348D
+:04632C009EBA533290
+:04632D009EB8533093
+:04632E009EB6532D97
+:04632F009EB4532B9A
+:046330009EB253289E
+:046331009EB05326A1
+:046332009EAE5324A4
+:046333009EAC5321A8
+:046334009EAA531FAB
+:046335009EA8531DAE
+:046336009EA6531AB2
+:046337009EA45318B5
+:046338009EA25315B9
+:046339009EA05313BC
+:04633A009E9E5311BF
+:04633B009E9C530EC3
+:04633C009E9A530CC6
+:04633D009E985309CA
+:04633E009E965307CD
+:04633F009E935305D1
+:046340009E915302D5
+:046341009E8F5300D8
+:046342009E8D52FDDD
+:046343009E8B52FBE0
+:046344009E8952F9E3
+:046345009E8752F6E7
+:046346009E8552F4EA
+:046347009E8352F1EE
+:046348009E8152EFF1
+:046349009E7F52EDF4
+:04634A009E7D52EAF8
+:04634B009E7B52E8FB
+:04634C009E7952E6FE
+:04634D009E7752E302
+:04634E009E7552E105
+:04634F009E7352DE09
+:046350009E7152DC0C
+:046351009E6F52DA0F
+:046352009E6D52D713
+:046353009E6B52D516
+:046354009E6952D21A
+:046355009E6752D01D
+:046356009E6552CE20
+:046357009E6352CB24
+:046358009E6152C927
+:046359009E5F52C62B
+:04635A009E5D52C42E
+:04635B009E5B52C231
+:04635C009E5852BF36
+:04635D009E5652BD39
+:04635E009E5452BA3D
+:04635F009E5252B840
+:046360009E5052B643
+:046361009E4E52B347
+:046362009E4C52B14A
+:046363009E4A52AE4E
+:046364009E4852AC51
+:046365009E4652AA54
+:046366009E4452A758
+:046367009E4252A55B
+:046368009E4052A25F
+:046369009E3E52A062
+:04636A009E3C529E65
+:04636B009E3A529B69
+:04636C009E3852996C
+:04636D009E36529670
+:04636E009E34529473
+:04636F009E32529276
+:046370009E30528F7A
+:046371009E2E528D7D
+:046372009E2C528A81
+:046373009E2A528884
+:046374009E28528687
+:046375009E2652838B
+:046376009E2452818E
+:046377009E22527E92
+:046378009E20527C95
+:046379009E1E527A98
+:04637A009E1C52779C
+:04637B009E1A52759F
+:04637C009E185272A3
+:04637D009E165270A6
+:04637E009E14526EA9
+:04637F009E12526BAD
+:046380009E105269B0
+:046381009E0E5266B4
+:046382009E0B5264B8
+:046383009E095262BB
+:046384009E07525FBF
+:046385009E05525DC2
+:046386009E03525AC6
+:046387009E015258C9
+:046388009DFF5256CD
+:046389009DFD5253D1
+:04638A009DFB5251D4
+:04638B009DF9524ED8
+:04638C009DF7524CDB
+:04638D009DF5524ADE
+:04638E009DF35247E2
+:04638F009DF15245E5
+:046390009DEF5242E9
+:046391009DED5240EC
+:046392009DEB523DF0
+:046393009DE9523BF3
+:046394009DE75239F6
+:046395009DE55236FA
+:046396009DE35234FD
+:046397009DE1523101
+:046398009DDF522F04
+:046399009DDD522D07
+:04639A009DDB522A0B
+:04639B009DD952280E
+:04639C009DD7522512
+:04639D009DD5522315
+:04639E009DD3522118
+:04639F009DD1521E1C
+:0463A0009DCF521C1F
+:0463A1009DCD521923
+:0463A2009DCB521726
+:0463A3009DC9521529
+:0463A4009DC752122D
+:0463A5009DC5521030
+:0463A6009DC3520D34
+:0463A7009DC1520B37
+:0463A8009DBF52083B
+:0463A9009DBD52063E
+:0463AA009DBB520441
+:0463AB009DB9520145
+:0463AC009DB751FF49
+:0463AD009DB551FC4D
+:0463AE009DB351FA50
+:0463AF009DB151F853
+:0463B0009DAF51F557
+:0463B1009DAD51F35A
+:0463B2009DAB51F05E
+:0463B3009DA951EE61
+:0463B4009DA751EC64
+:0463B5009DA551E968
+:0463B6009DA351E76B
+:0463B7009DA151E46F
+:0463B8009D9F51E272
+:0463B9009D9D51DF76
+:0463BA009D9B51DD79
+:0463BB009D9951DB7C
+:0463BC009D9751D880
+:0463BD009D9551D683
+:0463BE009D9351D387
+:0463BF009D9151D18A
+:0463C0009D8F51CF8D
+:0463C1009D8D51CC91
+:0463C2009D8B51CA94
+:0463C3009D8951C798
+:0463C4009D8751C59B
+:0463C5009D8551C29F
+:0463C6009D8351C0A2
+:0463C7009D8151BEA5
+:0463C8009D7F51BBA9
+:0463C9009D7C51B9AD
+:0463CA009D7A51B6B1
+:0463CB009D7851B4B4
+:0463CC009D7651B2B7
+:0463CD009D7451AFBB
+:0463CE009D7251ADBE
+:0463CF009D7051AAC2
+:0463D0009D6E51A8C5
+:0463D1009D6C51A5C9
+:0463D2009D6A51A3CC
+:0463D3009D6851A1CF
+:0463D4009D66519ED3
+:0463D5009D64519CD6
+:0463D6009D625199DA
+:0463D7009D605197DD
+:0463D8009D5E5195E0
+:0463D9009D5C5192E4
+:0463DA009D5A5190E7
+:0463DB009D58518DEB
+:0463DC009D56518BEE
+:0463DD009D545188F2
+:0463DE009D525186F5
+:0463DF009D505184F8
+:0463E0009D4E5181FC
+:0463E1009D4C517FFF
+:0463E2009D4A517C03
+:0463E3009D48517A06
+:0463E4009D4651770A
+:0463E5009D4451750D
+:0463E6009D42517310
+:0463E7009D40517014
+:0463E8009D3E516E17
+:0463E9009D3C516B1B
+:0463EA009D3A51691E
+:0463EB009D38516622
+:0463EC009D36516425
+:0463ED009D34516228
+:0463EE009D32515F2C
+:0463EF009D30515D2F
+:0463F0009D2E515A33
+:0463F1009D2C515836
+:0463F2009D2A515639
+:0463F3009D2851533D
+:0463F4009D26515140
+:0463F5009D24514E44
+:0463F6009D22514C47
+:0463F7009D2051494B
+:0463F8009D1E51474E
+:0463F9009D1C514551
+:0463FA009D1B514254
+:0463FB009D19514057
+:0463FC009D17513D5B
+:0463FD009D15513B5E
+:0463FE009D13513862
+:0463FF009D11513665
+:046400009D0F513467
+:046401009D0D51316B
+:046402009D0B512F6E
+:046403009D09512C72
+:046404009D07512A75
+:046405009D05512779
+:046406009D0351257C
+:046407009D0151237F
+:046408009CFF512084
+:046409009CFD511E87
+:04640A009CFB511B8B
+:04640B009CF951198E
+:04640C009CF7511692
+:04640D009CF5511495
+:04640E009CF3511298
+:04640F009CF1510F9C
+:046410009CEF510D9F
+:046411009CED510AA3
+:046412009CEB5108A6
+:046413009CE95105AA
+:046414009CE75103AD
+:046415009CE55100B1
+:046416009CE350FEB5
+:046417009CE150FCB8
+:046418009CDF50F9BC
+:046419009CDD50F7BF
+:04641A009CDB50F4C3
+:04641B009CD950F2C6
+:04641C009CD750EFCA
+:04641D009CD550EDCD
+:04641E009CD350EBD0
+:04641F009CD150E8D4
+:046420009CCF50E6D7
+:046421009CCD50E3DB
+:046422009CCB50E1DE
+:046423009CC950DEE2
+:046424009CC750DCE5
+:046425009CC550DAE8
+:046426009CC350D7EC
+:046427009CC150D5EF
+:046428009CBF50D2F3
+:046429009CBD50D0F6
+:04642A009CBB50CDFA
+:04642B009CB950CBFD
+:04642C009CB750C801
+:04642D009CB550C604
+:04642E009CB350C407
+:04642F009CB150C10B
+:046430009CAF50BF0E
+:046431009CAD50BC12
+:046432009CAB50BA15
+:046433009CA950B719
+:046434009CA750B51C
+:046435009CA550B31F
+:046436009CA350B023
+:046437009CA150AE26
+:046438009C9F50AB2A
+:046439009C9D50A92D
+:04643A009C9B50A631
+:04643B009C9950A434
+:04643C009C9750A138
+:04643D009C95509F3B
+:04643E009C93509D3E
+:04643F009C91509A42
+:046440009C8F509845
+:046441009C8D509549
+:046442009C8C50934B
+:046443009C8A50904F
+:046444009C88508E52
+:046445009C86508C55
+:046446009C84508959
+:046447009C8250875C
+:046448009C80508460
+:046449009C7E508263
+:04644A009C7C507F67
+:04644B009C7A507D6A
+:04644C009C78507A6E
+:04644D009C76507871
+:04644E009C74507674
+:04644F009C72507378
+:046450009C7050717B
+:046451009C6E506E7F
+:046452009C6C506C82
+:046453009C6A506986
+:046454009C68506789
+:046455009C6650648D
+:046456009C64506290
+:046457009C62506093
+:046458009C60505D97
+:046459009C5E505B9A
+:04645A009C5C50589E
+:04645B009C5A5056A1
+:04645C009C585053A5
+:04645D009C565051A8
+:04645E009C54504EAC
+:04645F009C52504CAF
+:046460009C50504AB2
+:046461009C4E5047B6
+:046462009C4C5045B9
+:046463009C4A5042BD
+:046464009C485040C0
+:046465009C46503DC4
+:046466009C44503BC7
+:046467009C435038CA
+:046468009C415036CD
+:046469009C3F5034D0
+:04646A009C3D5031D4
+:04646B009C3B502FD7
+:04646C009C39502CDB
+:04646D009C37502ADE
+:04646E009C355027E2
+:04646F009C335025E5
+:046470009C315022E9
+:046471009C2F5020EC
+:046472009C2D501DF0
+:046473009C2B501BF3
+:046474009C295019F6
+:046475009C275016FA
+:046476009C255014FD
+:046477009C23501101
+:046478009C21500F04
+:046479009C1F500C08
+:04647A009C1D500A0B
+:04647B009C1B50070F
+:04647C009C19500512
+:04647D009C17500315
+:04647E009C15500019
+:04647F009C134FFE1D
+:046480009C114FFB21
+:046481009C0F4FF924
+:046482009C0D4FF628
+:046483009C0B4FF42B
+:046484009C0A4FF12E
+:046485009C084FEF31
+:046486009C064FEC35
+:046487009C044FEA38
+:046488009C024FE83B
+:046489009C004FE53F
+:04648A009BFE4FE343
+:04648B009BFC4FE047
+:04648C009BFA4FDE4A
+:04648D009BF84FDB4E
+:04648E009BF64FD951
+:04648F009BF44FD655
+:046490009BF24FD458
+:046491009BF04FD15C
+:046492009BEE4FCF5F
+:046493009BEC4FCD62
+:046494009BEA4FCA66
+:046495009BE84FC869
+:046496009BE64FC56D
+:046497009BE44FC370
+:046498009BE24FC074
+:046499009BE04FBE77
+:04649A009BDE4FBB7B
+:04649B009BDC4FB97E
+:04649C009BDA4FB682
+:04649D009BD94FB484
+:04649E009BD74FB188
+:04649F009BD54FAF8B
+:0464A0009BD34FAD8E
+:0464A1009BD14FAA92
+:0464A2009BCF4FA895
+:0464A3009BCD4FA599
+:0464A4009BCB4FA39C
+:0464A5009BC94FA0A0
+:0464A6009BC74F9EA3
+:0464A7009BC54F9BA7
+:0464A8009BC34F99AA
+:0464A9009BC14F96AE
+:0464AA009BBF4F94B1
+:0464AB009BBD4F92B4
+:0464AC009BBB4F8FB8
+:0464AD009BB94F8DBB
+:0464AE009BB74F8ABF
+:0464AF009BB54F88C2
+:0464B0009BB34F85C6
+:0464B1009BB14F83C9
+:0464B2009BB04F80CC
+:0464B3009BAE4F7ECF
+:0464B4009BAC4F7BD3
+:0464B5009BAA4F79D6
+:0464B6009BA84F76DA
+:0464B7009BA64F74DD
+:0464B8009BA44F72E0
+:0464B9009BA24F6FE4
+:0464BA009BA04F6DE7
+:0464BB009B9E4F6AEB
+:0464BC009B9C4F68EE
+:0464BD009B9A4F65F2
+:0464BE009B984F63F5
+:0464BF009B964F60F9
+:0464C0009B944F5EFC
+:0464C1009B924F5B00
+:0464C2009B904F5903
+:0464C3009B8E4F5607
+:0464C4009B8C4F540A
+:0464C5009B8A4F510E
+:0464C6009B894F4F10
+:0464C7009B874F4D13
+:0464C8009B854F4A17
+:0464C9009B834F481A
+:0464CA009B814F451E
+:0464CB009B7F4F4321
+:0464CC009B7D4F4025
+:0464CD009B7B4F3E28
+:0464CE009B794F3B2C
+:0464CF009B774F392F
+:0464D0009B754F3633
+:0464D1009B734F3436
+:0464D2009B714F313A
+:0464D3009B6F4F2F3D
+:0464D4009B6D4F2C41
+:0464D5009B6B4F2A44
+:0464D6009B694F2847
+:0464D7009B674F254B
+:0464D8009B664F234D
+:0464D9009B644F2051
+:0464DA009B624F1E54
+:0464DB009B604F1B58
+:0464DC009B5E4F195B
+:0464DD009B5C4F165F
+:0464DE009B5A4F1462
+:0464DF009B584F1166
+:0464E0009B564F0F69
+:0464E1009B544F0C6D
+:0464E2009B524F0A70
+:0464E3009B504F0774
+:0464E4009B4E4F0577
+:0464E5009B4C4F027B
+:0464E6009B4A4F007E
+:0464E7009B484EFE82
+:0464E8009B464EFB86
+:0464E9009B454EF988
+:0464EA009B434EF68C
+:0464EB009B414EF48F
+:0464EC009B3F4EF193
+:0464ED009B3D4EEF96
+:0464EE009B3B4EEC9A
+:0464EF009B394EEA9D
+:0464F0009B374EE7A1
+:0464F1009B354EE5A4
+:0464F2009B334EE2A8
+:0464F3009B314EE0AB
+:0464F4009B2F4EDDAF
+:0464F5009B2D4EDBB2
+:0464F6009B2B4ED8B6
+:0464F7009B294ED6B9
+:0464F8009B284ED3BC
+:0464F9009B264ED1BF
+:0464FA009B244ECFC2
+:0464FB009B224ECCC6
+:0464FC009B204ECAC9
+:0464FD009B1E4EC7CD
+:0464FE009B1C4EC5D0
+:0464FF009B1A4EC2D4
+:046500009B184EC0D6
+:046501009B164EBDDA
+:046502009B144EBBDD
+:046503009B124EB8E1
+:046504009B104EB6E4
+:046505009B0E4EB3E8
+:046506009B0C4EB1EB
+:046507009B0B4EAEEE
+:046508009B094EACF1
+:046509009B074EA9F5
+:04650A009B054EA7F8
+:04650B009B034EA4FC
+:04650C009B014EA2FF
+:04650D009AFF4E9F04
+:04650E009AFD4E9D07
+:04650F009AFB4E9B0A
+:046510009AF94E980E
+:046511009AF74E9611
+:046512009AF54E9315
+:046513009AF34E9118
+:046514009AF14E8E1C
+:046515009AF04E8C1E
+:046516009AEE4E8922
+:046517009AEC4E8725
+:046518009AEA4E8429
+:046519009AE84E822C
+:04651A009AE64E7F30
+:04651B009AE44E7D33
+:04651C009AE24E7A37
+:04651D009AE04E783A
+:04651E009ADE4E753E
+:04651F009ADC4E7341
+:046520009ADA4E7045
+:046521009AD84E6E48
+:046522009AD64E6B4C
+:046523009AD54E694E
+:046524009AD34E6652
+:046525009AD14E6455
+:046526009ACF4E6159
+:046527009ACD4E5F5C
+:046528009ACB4E5C60
+:046529009AC94E5A63
+:04652A009AC74E5866
+:04652B009AC54E556A
+:04652C009AC34E536D
+:04652D009AC14E5071
+:04652E009ABF4E4E74
+:04652F009ABD4E4B78
+:046530009ABC4E497A
+:046531009ABA4E467E
+:046532009AB84E4481
+:046533009AB64E4185
+:046534009AB44E3F88
+:046535009AB24E3C8C
+:046536009AB04E3A8F
+:046537009AAE4E3793
+:046538009AAC4E3596
+:046539009AAA4E329A
+:04653A009AA84E309D
+:04653B009AA64E2DA1
+:04653C009AA54E2BA3
+:04653D009AA34E28A7
+:04653E009AA14E26AA
+:04653F009A9F4E23AE
+:046540009A9D4E21B1
+:046541009A9B4E1EB5
+:046542009A994E1CB8
+:046543009A974E19BC
+:046544009A954E17BF
+:046545009A934E14C3
+:046546009A914E12C6
+:046547009A8F4E0FCA
+:046548009A8E4E0DCC
+:046549009A8C4E0AD0
+:04654A009A8A4E08D3
+:04654B009A884E05D7
+:04654C009A864E03DA
+:04654D009A844E00DE
+:04654E009A824DFEE2
+:04654F009A804DFBE6
+:046550009A7E4DF9E9
+:046551009A7C4DF6ED
+:046552009A7A4DF4F0
+:046553009A784DF1F4
+:046554009A774DEFF6
+:046555009A754DEDF9
+:046556009A734DEAFD
+:046557009A714DE800
+:046558009A6F4DE504
+:046559009A6D4DE307
+:04655A009A6B4DE00B
+:04655B009A694DDE0E
+:04655C009A674DDB12
+:04655D009A654DD915
+:04655E009A634DD619
+:04655F009A624DD41B
+:046560009A604DD11F
+:046561009A5E4DCF22
+:046562009A5C4DCC26
+:046563009A5A4DCA29
+:046564009A584DC72D
+:046565009A564DC530
+:046566009A544DC234
+:046567009A524DC037
+:046568009A504DBD3B
+:046569009A4E4DBB3E
+:04656A009A4D4DB841
+:04656B009A4B4DB644
+:04656C009A494DB348
+:04656D009A474DB14B
+:04656E009A454DAE4F
+:04656F009A434DAC52
+:046570009A414DA956
+:046571009A3F4DA759
+:046572009A3D4DA45D
+:046573009A3B4DA260
+:046574009A394D9F64
+:046575009A384D9D66
+:046576009A364D9A6A
+:046577009A344D986D
+:046578009A324D9571
+:046579009A304D9374
+:04657A009A2E4D9078
+:04657B009A2C4D8E7B
+:04657C009A2A4D8B7F
+:04657D009A284D8982
+:04657E009A264D8686
+:04657F009A254D8488
+:046580009A234D818C
+:046581009A214D7F8F
+:046582009A1F4D7C93
+:046583009A1D4D7A96
+:046584009A1B4D779A
+:046585009A194D759D
+:046586009A174D72A1
+:046587009A154D70A4
+:046588009A134D6DA8
+:046589009A114D6BAB
+:04658A009A104D68AE
+:04658B009A0E4D66B1
+:04658C009A0C4D63B5
+:04658D009A0A4D61B8
+:04658E009A084D5EBC
+:04658F009A064D5CBF
+:046590009A044D59C3
+:046591009A024D57C6
+:046592009A004D54CA
+:0465930099FF4D52CD
+:0465940099FD4D4FD1
+:0465950099FB4D4DD4
+:0465960099F94D4AD8
+:0465970099F74D48DB
+:0465980099F54D45DF
+:0465990099F34D43E2
+:04659A0099F14D40E6
+:04659B0099EF4D3EE9
+:04659C0099ED4D3BED
+:04659D0099EC4D39EF
+:04659E0099EA4D36F3
+:04659F0099E84D34F6
+:0465A00099E64D31FA
+:0465A10099E44D2FFD
+:0465A20099E24D2C01
+:0465A30099E04D2A04
+:0465A40099DE4D2708
+:0465A50099DC4D250B
+:0465A60099DA4D220F
+:0465A70099D94D2011
+:0465A80099D74D1D15
+:0465A90099D54D1B18
+:0465AA0099D34D181C
+:0465AB0099D14D161F
+:0465AC0099CF4D1323
+:0465AD0099CD4D1027
+:0465AE0099CB4D0E2A
+:0465AF0099C94D0B2E
+:0465B00099C84D0930
+:0465B10099C64D0634
+:0465B20099C44D0437
+:0465B30099C24D013B
+:0465B40099C04CFF3F
+:0465B50099BE4CFC43
+:0465B60099BC4CFA46
+:0465B70099BA4CF74A
+:0465B80099B84CF54D
+:0465B90099B74CF250
+:0465BA0099B54CF053
+:0465BB0099B34CED57
+:0465BC0099B14CEB5A
+:0465BD0099AF4CE85E
+:0465BE0099AD4CE661
+:0465BF0099AB4CE365
+:0465C00099A94CE168
+:0465C10099A74CDE6C
+:0465C20099A64CDC6E
+:0465C30099A44CD972
+:0465C40099A24CD775
+:0465C50099A04CD479
+:0465C600999E4CD27C
+:0465C700999C4CCF80
+:0465C800999A4CCD83
+:0465C90099984CCA87
+:0465CA0099974CC889
+:0465CB0099954CC58D
+:0465CC0099934CC390
+:0465CD0099914CC094
+:0465CE00998F4CBE97
+:0465CF00998D4CBB9B
+:0465D000998B4CB99E
+:0465D10099894CB6A2
+:0465D20099874CB4A5
+:0465D30099864CB1A8
+:0465D40099844CAFAB
+:0465D50099824CACAF
+:0465D60099804CA9B3
+:0465D700997E4CA7B6
+:0465D800997C4CA4BA
+:0465D900997A4CA2BD
+:0465DA0099784C9FC1
+:0465DB0099774C9DC3
+:0465DC0099754C9AC7
+:0465DD0099734C98CA
+:0465DE0099714C95CE
+:0465DF00996F4C93D1
+:0465E000996D4C90D5
+:0465E100996B4C8ED8
+:0465E20099694C8BDC
+:0465E30099674C89DF
+:0465E40099664C86E2
+:0465E50099644C84E5
+:0465E60099624C81E9
+:0465E70099604C7FEC
+:0465E800995E4C7CF0
+:0465E900995C4C7AF3
+:0465EA00995A4C77F7
+:0465EB0099584C75FA
+:0465EC0099574C72FD
+:0465ED0099554C7000
+:0465EE0099534C6D04
+:0465EF0099514C6B07
+:0465F000994F4C680B
+:0465F100994D4C660E
+:0465F200994B4C6312
+:0465F30099494C6016
+:0465F40099484C5E18
+:0465F50099464C5B1C
+:0465F60099444C591F
+:0465F70099424C5623
+:0465F80099404C5426
+:0465F900993E4C512A
+:0465FA00993C4C4F2D
+:0465FB00993A4C4C31
+:0465FC0099394C4A33
+:0465FD0099374C4737
+:0465FE0099354C453A
+:0465FF0099334C423E
+:0466000099314C4040
+:04660100992F4C3D44
+:04660200992D4C3B47
+:04660300992B4C384B
+:04660400992A4C364D
+:0466050099284C3351
+:0466060099264C3154
+:0466070099244C2E58
+:0466080099224C2B5C
+:0466090099204C295F
+:04660A00991E4C2663
+:04660B00991D4C2465
+:04660C00991B4C2169
+:04660D0099194C1F6C
+:04660E0099174C1C70
+:04660F0099154C1A73
+:0466100099134C1777
+:0466110099114C157A
+:04661200990F4C127E
+:04661300990E4C1080
+:04661400990C4C0D84
+:04661500990A4C0B87
+:0466160099084C088B
+:0466170099064C068E
+:0466180099044C0392
+:0466190099024C0195
+:04661A0099014BFE99
+:04661B0098FF4BFB9E
+:04661C0098FD4BF9A1
+:04661D0098FB4BF6A5
+:04661E0098F94BF4A8
+:04661F0098F74BF1AC
+:0466200098F54BEFAF
+:0466210098F34BECB3
+:0466220098F24BEAB5
+:0466230098F04BE7B9
+:0466240098EE4BE5BC
+:0466250098EC4BE2C0
+:0466260098EA4BE0C3
+:0466270098E84BDDC7
+:0466280098E64BDBCA
+:0466290098E54BD8CD
+:04662A0098E34BD6D0
+:04662B0098E14BD3D4
+:04662C0098DF4BD0D8
+:04662D0098DD4BCEDB
+:04662E0098DB4BCBDF
+:04662F0098D94BC9E2
+:0466300098D84BC6E5
+:0466310098D64BC4E8
+:0466320098D44BC1EC
+:0466330098D24BBFEF
+:0466340098D04BBCF3
+:0466350098CE4BBAF6
+:0466360098CC4BB7FA
+:0466370098CB4BB5FC
+:0466380098C94BB200
+:0466390098C74BB003
+:04663A0098C54BAD07
+:04663B0098C34BAB0A
+:04663C0098C14BA80E
+:04663D0098BF4BA512
+:04663E0098BE4BA314
+:04663F0098BC4BA018
+:0466400098BA4B9E1B
+:0466410098B84B9B1F
+:0466420098B64B9922
+:0466430098B44B9626
+:0466440098B24B9429
+:0466450098B14B912C
+:0466460098AF4B8F2F
+:0466470098AD4B8C33
+:0466480098AB4B8A36
+:0466490098A94B873A
+:04664A0098A74B843E
+:04664B0098A54B8241
+:04664C0098A44B7F44
+:04664D0098A24B7D47
+:04664E0098A04B7A4B
+:04664F00989E4B784E
+:04665000989C4B7552
+:04665100989A4B7355
+:0466520098984B7059
+:0466530098974B6E5B
+:0466540098954B6B5F
+:0466550098934B6962
+:0466560098914B6666
+:04665700988F4B636A
+:04665800988D4B616D
+:04665900988C4B5E70
+:04665A00988A4B5C73
+:04665B0098884B5977
+:04665C0098864B577A
+:04665D0098844B547E
+:04665E0098824B5281
+:04665F0098804B4F85
+:04666000987F4B4D87
+:04666100987D4B4A8B
+:04666200987B4B488E
+:0466630098794B4592
+:0466640098774B4296
+:0466650098754B4099
+:0466660098734B3D9D
+:0466670098724B3B9F
+:0466680098704B38A3
+:04666900986E4B36A6
+:04666A00986C4B33AA
+:04666B00986A4B31AD
+:04666C0098684B2EB1
+:04666D0098674B2CB3
+:04666E0098654B29B7
+:04666F0098634B27BA
+:0466700098614B24BE
+:04667100985F4B21C2
+:04667200985D4B1FC5
+:04667300985C4B1CC8
+:04667400985A4B1ACB
+:0466750098584B17CF
+:0466760098564B15D2
+:0466770098544B12D6
+:0466780098524B10D9
+:0466790098504B0DDD
+:04667A00984F4B0BDF
+:04667B00984D4B08E3
+:04667C00984B4B05E7
+:04667D0098494B03EA
+:04667E0098474B00EE
+:04667F0098454AFEF2
+:0466800098444AFBF5
+:0466810098424AF9F8
+:0466820098404AF6FC
+:04668300983E4AF4FF
+:04668400983C4AF103
+:04668500983A4AEF06
+:0466860098394AEC09
+:0466870098374AE90D
+:0466880098354AE710
+:0466890098334AE414
+:04668A0098314AE217
+:04668B00982F4ADF1B
+:04668C00982D4ADD1E
+:04668D00982C4ADA21
+:04668E00982A4AD824
+:04668F0098284AD528
+:0466900098264AD22C
+:0466910098244AD02F
+:0466920098224ACD33
+:0466930098214ACB35
+:04669400981F4AC839
+:04669500981D4AC63C
+:04669600981B4AC340
+:0466970098194AC143
+:0466980098174ABE47
+:0466990098164ABC49
+:04669A0098144AB94D
+:04669B0098124AB651
+:04669C0098104AB454
+:04669D00980E4AB158
+:04669E00980C4AAF5B
+:04669F00980B4AAC5E
+:0466A00098094AAA61
+:0466A10098074AA765
+:0466A20098054AA568
+:0466A30098034AA26C
+:0466A40098014A9F70
+:0466A50098004A9D72
+:0466A60097FE4A9A77
+:0466A70097FC4A987A
+:0466A80097FA4A957E
+:0466A90097F84A9381
+:0466AA0097F64A9085
+:0466AB0097F54A8E87
+:0466AC0097F34A8B8B
+:0466AD0097F14A898E
+:0466AE0097EF4A8692
+:0466AF0097ED4A8396
+:0466B00097EC4A8198
+:0466B10097EA4A7E9C
+:0466B20097E84A7C9F
+:0466B30097E64A79A3
+:0466B40097E44A77A6
+:0466B50097E24A74AA
+:0466B60097E14A72AC
+:0466B70097DF4A6FB0
+:0466B80097DD4A6CB4
+:0466B90097DB4A6AB7
+:0466BA0097D94A67BB
+:0466BB0097D74A65BE
+:0466BC0097D64A62C1
+:0466BD0097D44A60C4
+:0466BE0097D24A5DC8
+:0466BF0097D04A5BCB
+:0466C00097CE4A58CF
+:0466C10097CC4A55D3
+:0466C20097CB4A53D5
+:0466C30097C94A50D9
+:0466C40097C74A4EDC
+:0466C50097C54A4BE0
+:0466C60097C34A49E3
+:0466C70097C24A46E6
+:0466C80097C04A43EA
+:0466C90097BE4A41ED
+:0466CA0097BC4A3EF1
+:0466CB0097BA4A3CF4
+:0466CC0097B84A39F8
+:0466CD0097B74A37FA
+:0466CE0097B54A34FE
+:0466CF0097B34A3201
+:0466D00097B14A2F05
+:0466D10097AF4A2C09
+:0466D20097AD4A2A0C
+:0466D30097AC4A270F
+:0466D40097AA4A2512
+:0466D50097A84A2216
+:0466D60097A64A2019
+:0466D70097A44A1D1D
+:0466D80097A34A1B1F
+:0466D90097A14A1823
+:0466DA00979F4A1527
+:0466DB00979D4A132A
+:0466DC00979B4A102E
+:0466DD0097994A0E31
+:0466DE0097984A0B34
+:0466DF0097964A0937
+:0466E00097944A063B
+:0466E10097924A033F
+:0466E20097904A0142
+:0466E300978F49FE46
+:0466E400978D49FC49
+:0466E500978B49F94D
+:0466E600978949F750
+:0466E700978749F454
+:0466E800978549F257
+:0466E900978449EF5A
+:0466EA00978249EC5E
+:0466EB00978049EA61
+:0466EC00977E49E765
+:0466ED00977C49E568
+:0466EE00977B49E26B
+:0466EF00977949E06E
+:0466F000977749DD72
+:0466F100977549DA76
+:0466F200977349D879
+:0466F300977249D57C
+:0466F400977049D37F
+:0466F500976E49D083
+:0466F600976C49CE86
+:0466F700976A49CB8A
+:0466F800976849C88E
+:0466F900976749C690
+:0466FA00976549C394
+:0466FB00976349C197
+:0466FC00976149BE9B
+:0466FD00975F49BC9E
+:0466FE00975E49B9A1
+:0466FF00975C49B6A5
+:04670000975A49B4A7
+:04670100975849B1AB
+:04670200975649AFAE
+:04670300975549ACB1
+:04670400975349AAB4
+:04670500975149A7B8
+:04670600974F49A5BB
+:04670700974D49A2BF
+:04670800974C499FC2
+:04670900974A499DC5
+:04670A009748499AC9
+:04670B0097464998CC
+:04670C0097444995D0
+:04670D0097434993D2
+:04670E0097414990D6
+:04670F00973F498DDA
+:04671000973D498BDD
+:04671100973B4988E1
+:0467120097394986E4
+:0467130097384983E7
+:0467140097364981EA
+:046715009734497EEE
+:046716009732497BF2
+:0467170097304979F5
+:04671800972F4976F8
+:04671900972D4974FB
+:04671A00972B4971FF
+:04671B009729496F02
+:04671C009727496C06
+:04671D009726496909
+:04671E00972449670C
+:04671F009722496410
+:046720009720496213
+:04672100971E495F17
+:04672200971D495D19
+:04672300971B495A1D
+:046724009719495721
+:046725009717495524
+:046726009715495228
+:04672700971449502A
+:046728009712494D2E
+:046729009710494A32
+:04672A00970E494835
+:04672B00970C494539
+:04672C00970B49433B
+:04672D00970949403F
+:04672E009707493E42
+:04672F009705493B46
+:04673000970349384A
+:04673100970249364C
+:046732009700493350
+:0467330096FE493154
+:0467340096FC492E58
+:0467350096FA492C5B
+:0467360096F949295E
+:0467370096F7492662
+:0467380096F5492465
+:0467390096F3492169
+:04673A0096F2491F6B
+:04673B0096F0491C6F
+:04673C0096EE491A72
+:04673D0096EC491776
+:04673E0096EA49147A
+:04673F0096E949127C
+:0467400096E7490F80
+:0467410096E5490D83
+:0467420096E3490A87
+:0467430096E149078B
+:0467440096E049058D
+:0467450096DE490291
+:0467460096DC490094
+:0467470096DA48FD99
+:0467480096D848FB9C
+:0467490096D748F89F
+:04674A0096D548F5A3
+:04674B0096D348F3A6
+:04674C0096D148F0AA
+:04674D0096CF48EEAD
+:04674E0096CE48EBB0
+:04674F0096CC48E8B4
+:0467500096CA48E6B7
+:0467510096C848E3BB
+:0467520096C748E1BD
+:0467530096C548DEC1
+:0467540096C348DCC4
+:0467550096C148D9C8
+:0467560096BF48D6CC
+:0467570096BE48D4CE
+:0467580096BC48D1D2
+:0467590096BA48CFD5
+:04675A0096B848CCD9
+:04675B0096B648C9DD
+:04675C0096B548C7DF
+:04675D0096B348C4E3
+:04675E0096B148C2E6
+:04675F0096AF48BFEA
+:0467600096AE48BDEC
+:0467610096AC48BAF0
+:0467620096AA48B7F4
+:0467630096A848B5F7
+:0467640096A648B2FB
+:0467650096A548B0FD
+:0467660096A348AD01
+:0467670096A148AA05
+:04676800969F48A808
+:04676900969D48A50C
+:04676A00969C48A30E
+:04676B00969A48A012
+:04676C009698489E15
+:04676D009696489B19
+:04676E00969548981C
+:04676F00969348961F
+:046770009691489323
+:04677100968F489126
+:04677200968D488E2A
+:04677300968C488B2D
+:04677400968A488930
+:046775009688488634
+:046776009686488437
+:04677700968548813A
+:046778009683487E3E
+:046779009681487C41
+:04677A00967F487945
+:04677B00967D487748
+:04677C00967C48744B
+:04677D00967A48724E
+:04677E009678486F52
+:04677F009676486C56
+:046780009675486A58
+:04678100967348675C
+:04678200967148655F
+:04678300966F486263
+:04678400966D485F67
+:04678500966C485D69
+:04678600966A485A6D
+:046787009668485870
+:046788009666485574
+:046789009665485277
+:04678A00966348507A
+:04678B009661484D7E
+:04678C00965F484B81
+:04678D00965D484885
+:04678E00965C484588
+:04678F00965A48438B
+:04679000965848408F
+:046791009656483E92
+:046792009655483B95
+:046793009653483998
+:04679400965148369C
+:04679500964F4833A0
+:04679600964D4831A3
+:04679700964C482EA6
+:04679800964A482CA9
+:0467990096484829AD
+:04679A0096464826B1
+:04679B0096454824B3
+:04679C0096434821B7
+:04679D009641481FBA
+:04679E00963F481CBE
+:04679F00963E4819C1
+:0467A000963C4817C4
+:0467A100963A4814C8
+:0467A20096384812CB
+:0467A3009636480FCF
+:0467A4009635480CD2
+:0467A5009633480AD5
+:0467A60096314807D9
+:0467A700962F4805DC
+:0467A800962E4802DF
+:0467A900962C47FFE4
+:0467AA00962A47FDE7
+:0467AB00962847FAEB
+:0467AC00962747F8ED
+:0467AD00962547F5F1
+:0467AE00962347F2F5
+:0467AF00962147F0F8
+:0467B000961F47EDFC
+:0467B100961E47EBFE
+:0467B200961C47E802
+:0467B300961A47E506
+:0467B400961847E309
+:0467B500961747E00C
+:0467B600961547DE0F
+:0467B700961347DB13
+:0467B800961147D817
+:0467B900961047D619
+:0467BA00960E47D31D
+:0467BB00960C47D120
+:0467BC00960A47CE24
+:0467BD00960947CB27
+:0467BE00960747C92A
+:0467BF00960547C62E
+:0467C000960347C431
+:0467C100960247C134
+:0467C200960047BE38
+:0467C30095FE47BC3C
+:0467C40095FC47B940
+:0467C50095FA47B743
+:0467C60095F947B446
+:0467C70095F747B14A
+:0467C80095F547AF4D
+:0467C90095F347AC51
+:0467CA0095F247AA53
+:0467CB0095F047A757
+:0467CC0095EE47A45B
+:0467CD0095EC47A25E
+:0467CE0095EB479F61
+:0467CF0095E9479D64
+:0467D00095E7479A68
+:0467D10095E547976C
+:0467D20095E447956E
+:0467D30095E2479272
+:0467D40095E0479075
+:0467D50095DE478D79
+:0467D60095DD478A7C
+:0467D70095DB47887F
+:0467D80095D9478583
+:0467D90095D7478386
+:0467DA0095D6478089
+:0467DB0095D4477D8D
+:0467DC0095D2477B90
+:0467DD0095D0477894
+:0467DE0095CF477696
+:0467DF0095CD47739A
+:0467E00095CB47709E
+:0467E10095C9476EA1
+:0467E20095C8476BA4
+:0467E30095C64768A8
+:0467E40095C44766AB
+:0467E50095C24763AF
+:0467E60095C14761B1
+:0467E70095BF475EB5
+:0467E80095BD475BB9
+:0467E90095BB4759BC
+:0467EA0095BA4756BF
+:0467EB0095B84754C2
+:0467EC0095B64751C6
+:0467ED0095B4474ECA
+:0467EE0095B3474CCC
+:0467EF0095B14749D0
+:0467F00095AF4747D3
+:0467F10095AD4744D7
+:0467F20095AC4741DA
+:0467F30095AA473FDD
+:0467F40095A8473CE1
+:0467F50095A6473AE4
+:0467F60095A54737E7
+:0467F70095A34734EB
+:0467F80095A14732EE
+:0467F900959F472FF2
+:0467FA00959E472CF5
+:0467FB00959C472AF8
+:0467FC00959A4727FC
+:0467FD0095984725FF
+:0467FE009597472202
+:0467FF009595471F06
+:046800009593471D08
+:046801009591471A0C
+:04680200959047180E
+:04680300958E471512
+:04680400958C471216
+:04680500958A471019
+:046806009589470D1C
+:046807009587470B1F
+:046808009585470823
+:046809009583470527
+:04680A009582470329
+:04680B00958047002D
+:04680C00957E46FD32
+:04680D00957C46FB35
+:04680E00957B46F838
+:04680F00957946F63B
+:04681000957746F33F
+:04681100957546F043
+:04681200957446EE45
+:04681300957246EB49
+:04681400957046E94C
+:04681500956E46E650
+:04681600956D46E353
+:04681700956B46E156
+:04681800956946DE5A
+:04681900956846DB5D
+:04681A00956646D960
+:04681B00956446D664
+:04681C00956246D467
+:04681D00956146D16A
+:04681E00955F46CE6E
+:04681F00955D46CC71
+:04682000955B46C975
+:04682100955A46C777
+:04682200955846C47B
+:04682300955646C17F
+:04682400955446BF82
+:04682500955346BC85
+:04682600955146B989
+:04682700954F46B78C
+:04682800954D46B490
+:04682900954C46B292
+:04682A00954A46AF96
+:04682B00954846AC9A
+:04682C00954746AA9C
+:04682D00954546A7A0
+:04682E00954346A4A4
+:04682F00954146A2A7
+:046830009540469FAA
+:04683100953E469DAD
+:04683200953C469AB1
+:04683300953A4697B5
+:0468340095394695B7
+:0468350095374692BB
+:0468360095354690BE
+:046837009533468DC2
+:046838009532468AC5
+:0468390095304688C8
+:04683A00952E4685CC
+:04683B00952D4682CF
+:04683C00952B4680D2
+:04683D009529467DD6
+:04683E009527467BD9
+:04683F0095264678DC
+:0468400095244675E0
+:0468410095224673E3
+:0468420095204670E7
+:04684300951F466DEA
+:04684400951D466BED
+:04684500951B4668F1
+:04684600951A4666F3
+:0468470095184663F7
+:0468480095164660FB
+:046849009514465EFE
+:04684A009513465B01
+:04684B009511465805
+:04684C00950F465608
+:04684D00950D46530C
+:04684E00950C46510E
+:04684F00950A464E12
+:046850009508464B16
+:046851009507464918
+:04685200950546461C
+:046853009503464320
+:046854009501464123
+:046855009500463E26
+:0468560094FE463C2A
+:0468570094FC46392E
+:0468580094FA463632
+:0468590094F9463434
+:04685A0094F7463138
+:04685B0094F5462E3C
+:04685C0094F4462C3E
+:04685D0094F2462942
+:04685E0094F0462745
+:04685F0094EE462449
+:0468600094ED46214C
+:0468610094EB461F4F
+:0468620094E9461C53
+:0468630094E8461956
+:0468640094E6461759
+:0468650094E446145D
+:0468660094E2461260
+:0468670094E1460F63
+:0468680094DF460C67
+:0468690094DD460A6A
+:04686A0094DB46076E
+:04686B0094DA460471
+:04686C0094D8460274
+:04686D0094D645FF79
+:04686E0094D545FC7C
+:04686F0094D345FA7F
+:0468700094D145F783
+:0468710094CF45F586
+:0468720094CE45F289
+:0468730094CC45EF8D
+:0468740094CA45ED90
+:0468750094C945EA93
+:0468760094C745E797
+:0468770094C545E59A
+:0468780094C345E29E
+:0468790094C245E0A0
+:04687A0094C045DDA4
+:04687B0094BE45DAA8
+:04687C0094BD45D8AA
+:04687D0094BB45D5AE
+:04687E0094B945D2B2
+:04687F0094B745D0B5
+:0468800094B645CDB8
+:0468810094B445CABC
+:0468820094B245C8BF
+:0468830094B145C5C2
+:0468840094AF45C3C5
+:0468850094AD45C0C9
+:0468860094AB45BDCD
+:0468870094AA45BBCF
+:0468880094A845B8D3
+:0468890094A645B5D7
+:04688A0094A545B3D9
+:04688B0094A345B0DD
+:04688C0094A145AEE0
+:04688D00949F45ABE4
+:04688E00949E45A8E7
+:04688F00949C45A6EA
+:04689000949A45A3EE
+:04689100949945A0F1
+:046892009497459EF4
+:046893009495459BF8
+:0468940094944598FB
+:0468950094924596FE
+:046896009490459302
+:04689700948E459105
+:04689800948D458E08
+:04689900948B458B0C
+:04689A00948945890F
+:04689B009488458612
+:04689C009486458316
+:04689D009484458119
+:04689E009482457E1D
+:04689F009481457B20
+:0468A000947F457923
+:0468A100947D457627
+:0468A200947C45732A
+:0468A300947A45712D
+:0468A4009478456E31
+:0468A5009477456C33
+:0468A6009475456937
+:0468A700947345663B
+:0468A800947145643E
+:0468A9009470456141
+:0468AA00946E455E45
+:0468AB00946C455C48
+:0468AC00946B45594B
+:0468AD00946945564F
+:0468AE009467455452
+:0468AF009465455156
+:0468B0009464454F58
+:0468B1009462454C5C
+:0468B2009460454960
+:0468B300945F454762
+:0468B400945D454466
+:0468B500945B45416A
+:0468B600945A453F6C
+:0468B7009458453C70
+:0468B8009456453974
+:0468B9009454453777
+:0468BA00945345347A
+:0468BB00945145317E
+:0468BC00944F452F81
+:0468BD00944E452C84
+:0468BE00944C452A87
+:0468BF00944A45278B
+:0468C000944945248E
+:0468C1009447452291
+:0468C2009445451F95
+:0468C3009444451C98
+:0468C4009442451A9B
+:0468C500944045179F
+:0468C600943E4514A3
+:0468C700943D4512A5
+:0468C800943B450FA9
+:0468C9009439450CAD
+:0468CA009438450AAF
+:0468CB0094364507B3
+:0468CC0094344505B6
+:0468CD0094334502B9
+:0468CE00943144FFBE
+:0468CF00942F44FDC1
+:0468D000942D44FAC5
+:0468D100942C44F7C8
+:0468D200942A44F5CB
+:0468D300942844F2CF
+:0468D400942744EFD2
+:0468D500942544EDD5
+:0468D600942344EAD9
+:0468D700942244E7DC
+:0468D800942044E5DF
+:0468D900941E44E2E3
+:0468DA00941D44DFE6
+:0468DB00941B44DDE9
+:0468DC00941944DAED
+:0468DD00941844D8EF
+:0468DE00941644D5F3
+:0468DF00941444D2F7
+:0468E000941244D0FA
+:0468E100941144CDFD
+:0468E200940F44CA01
+:0468E300940D44C804
+:0468E400940C44C507
+:0468E500940A44C20B
+:0468E600940844C00E
+:0468E700940744BD11
+:0468E800940544BA15
+:0468E900940344B818
+:0468EA00940244B51B
+:0468EB00940044B21F
+:0468EC0093FE44B023
+:0468ED0093FD44AD26
+:0468EE0093FB44AA2A
+:0468EF0093F944A82D
+:0468F00093F744A531
+:0468F10093F644A333
+:0468F20093F444A037
+:0468F30093F2449D3B
+:0468F40093F1449B3D
+:0468F50093EF449841
+:0468F60093ED449545
+:0468F70093EC449347
+:0468F80093EA44904B
+:0468F90093E8448D4F
+:0468FA0093E7448B51
+:0468FB0093E5448855
+:0468FC0093E3448559
+:0468FD0093E244835B
+:0468FE0093E044805F
+:0468FF0093DE447D63
+:0469000093DD447B64
+:0469010093DB447868
+:0469020093D944756C
+:0469030093D744736F
+:0469040093D6447072
+:0469050093D4446D76
+:0469060093D2446B79
+:0469070093D144687C
+:0469080093CF446580
+:0469090093CD446383
+:04690A0093CC446086
+:04690B0093CA445E89
+:04690C0093C8445B8D
+:04690D0093C7445890
+:04690E0093C5445693
+:04690F0093C3445397
+:0469100093C244509A
+:0469110093C0444E9D
+:0469120093BE444BA1
+:0469130093BD4448A4
+:0469140093BB4446A7
+:0469150093B94443AB
+:0469160093B84440AE
+:0469170093B6443EB1
+:0469180093B4443BB5
+:0469190093B34438B8
+:04691A0093B14436BB
+:04691B0093AF4433BF
+:04691C0093AE4430C2
+:04691D0093AC442EC5
+:04691E0093AA442BC9
+:04691F0093A94428CC
+:0469200093A74426CF
+:0469210093A54423D3
+:0469220093A44420D6
+:0469230093A2441ED9
+:0469240093A0441BDD
+:04692500939F4418E0
+:04692600939D4416E3
+:04692700939B4413E7
+:04692800939A4410EA
+:046929009398440EED
+:04692A009396440BF1
+:04692B0093944408F5
+:04692C0093934406F7
+:04692D0093914403FB
+:04692E00938F4400FF
+:04692F00938E43FE02
+:04693000938C43FB06
+:04693100938A43F80A
+:04693200938943F60C
+:04693300938743F310
+:04693400938543F113
+:04693500938443EE16
+:04693600938243EB1A
+:04693700938043E91D
+:04693800937F43E620
+:04693900937D43E324
+:04693A00937B43E127
+:04693B00937A43DE2A
+:04693C00937843DB2E
+:04693D00937643D931
+:04693E00937543D634
+:04693F00937343D338
+:04694000937143D13B
+:04694100937043CE3E
+:04694200936E43CB42
+:04694300936C43C945
+:04694400936B43C648
+:04694500936943C34C
+:04694600936843C14E
+:04694700936643BE52
+:04694800936443BB56
+:04694900936343B958
+:04694A00936143B65C
+:04694B00935F43B360
+:04694C00935E43B162
+:04694D00935C43AE66
+:04694E00935A43AB6A
+:04694F00935943A96C
+:04695000935743A670
+:04695100935543A374
+:04695200935443A176
+:046953009352439E7A
+:046954009350439B7E
+:04695500934F439980
+:04695600934D439684
+:04695700934B439388
+:04695800934A43918A
+:046959009348438E8E
+:04695A009346438B92
+:04695B009345438994
+:04695C009343438698
+:04695D00934143839C
+:04695E00934043819E
+:04695F00933E437EA2
+:04696000933C437BA6
+:04696100933B4379A8
+:0469620093394376AC
+:0469630093374373B0
+:0469640093364371B2
+:046965009334436EB6
+:046966009332436BBA
+:0469670093314369BC
+:04696800932F4366C0
+:04696900932D4363C4
+:04696A00932C4361C6
+:04696B00932A435ECA
+:04696C009329435BCD
+:04696D0093274358D1
+:04696E0093254356D4
+:04696F0093244353D7
+:0469700093224350DB
+:046971009320434EDE
+:04697200931F434BE1
+:04697300931D4348E5
+:04697400931B4346E8
+:04697500931A4343EB
+:0469760093184340EF
+:046977009316433EF2
+:046978009315433BF5
+:0469790093134338F9
+:04697A0093114336FC
+:04697B0093104333FF
+:04697C00930E433003
+:04697D00930C432E06
+:04697E00930B432B09
+:04697F00930943280D
+:046980009307432610
+:046981009306432313
+:046982009304432017
+:046983009303431E19
+:046984009301431B1D
+:0469850092FF431822
+:0469860092FE431624
+:0469870092FC431328
+:0469880092FA43102C
+:0469890092F9430E2E
+:04698A0092F7430B32
+:04698B0092F5430836
+:04698C0092F4430638
+:04698D0092F243033C
+:04698E0092F0430040
+:04698F0092EF42FE43
+:0469900092ED42FB47
+:0469910092EC42F84A
+:0469920092EA42F64D
+:0469930092E842F351
+:0469940092E742F054
+:0469950092E542EE57
+:0469960092E342EB5B
+:0469970092E242E85E
+:0469980092E042E562
+:0469990092DE42E365
+:04699A0092DD42E068
+:04699B0092DB42DD6C
+:04699C0092D942DB6F
+:04699D0092D842D872
+:04699E0092D642D576
+:04699F0092D542D378
+:0469A00092D342D07C
+:0469A10092D142CD80
+:0469A20092D042CB82
+:0469A30092CE42C886
+:0469A40092CC42C58A
+:0469A50092CB42C38C
+:0469A60092C942C090
+:0469A70092C742BD94
+:0469A80092C642BB96
+:0469A90092C442B89A
+:0469AA0092C342B59D
+:0469AB0092C142B3A0
+:0469AC0092BF42B0A4
+:0469AD0092BE42ADA7
+:0469AE0092BC42ABAA
+:0469AF0092BA42A8AE
+:0469B00092B942A5B1
+:0469B10092B742A2B5
+:0469B20092B542A0B8
+:0469B30092B4429DBB
+:0469B40092B2429ABF
+:0469B50092B14298C1
+:0469B60092AF4295C5
+:0469B70092AD4292C9
+:0469B80092AC4290CB
+:0469B90092AA428DCF
+:0469BA0092A8428AD3
+:0469BB0092A74288D5
+:0469BC0092A54285D9
+:0469BD0092A34282DD
+:0469BE0092A24280DF
+:0469BF0092A0427DE3
+:0469C000929F427AE6
+:0469C100929D4278E9
+:0469C200929B4275ED
+:0469C300929A4272F0
+:0469C4009298426FF4
+:0469C5009296426DF7
+:0469C6009295426AFA
+:0469C70092934267FE
+:0469C8009292426500
+:0469C9009290426204
+:0469CA00928E425F08
+:0469CB00928D425D0A
+:0469CC00928B425A0E
+:0469CD009289425712
+:0469CE009288425514
+:0469CF009286425218
+:0469D0009284424F1C
+:0469D1009283424D1E
+:0469D2009281424A22
+:0469D3009280424725
+:0469D400927E424429
+:0469D500927C42422C
+:0469D600927B423F2F
+:0469D7009279423C33
+:0469D8009277423A36
+:0469D9009276423739
+:0469DA00927442343D
+:0469DB00927342323F
+:0469DC009271422F43
+:0469DD00926F422C47
+:0469DE00926E422A49
+:0469DF00926C42274D
+:0469E000926A422451
+:0469E1009269422253
+:0469E2009267421F57
+:0469E3009266421C5A
+:0469E400926442195E
+:0469E5009262421761
+:0469E6009261421464
+:0469E700925F421168
+:0469E800925D420F6B
+:0469E900925C420C6E
+:0469EA00925A420972
+:0469EB009259420774
+:0469EC009257420478
+:0469ED00925542017C
+:0469EE00925441FF7F
+:0469EF00925241FC83
+:0469F000925141F986
+:0469F100924F41F68A
+:0469F200924D41F48D
+:0469F300924C41F190
+:0469F400924A41EE94
+:0469F500924841EC97
+:0469F600924741E99A
+:0469F700924541E69E
+:0469F800924441E4A0
+:0469F900924241E1A4
+:0469FA00924041DEA8
+:0469FB00923F41DCAA
+:0469FC00923D41D9AE
+:0469FD00923C41D6B1
+:0469FE00923A41D3B5
+:0469FF00923841D1B8
+:046A0000923741CEBA
+:046A0100923541CBBE
+:046A0200923341C9C1
+:046A0300923241C6C4
+:046A0400923041C3C8
+:046A0500922F41C1CA
+:046A0600922D41BECE
+:046A0700922B41BBD2
+:046A0800922A41B9D4
+:046A0900922841B6D8
+:046A0A00922741B3DB
+:046A0B00922541B0DF
+:046A0C00922341AEE2
+:046A0D00922241ABE5
+:046A0E00922041A8E9
+:046A0F00921E41A6EC
+:046A1000921D41A3EF
+:046A1100921B41A0F3
+:046A1200921A419EF5
+:046A13009218419BF9
+:046A140092164198FD
+:046A15009215419500
+:046A16009213419303
+:046A17009212419006
+:046A18009210418D0A
+:046A1900920E418B0D
+:046A1A00920D418810
+:046A1B00920B418514
+:046A1C00920A418316
+:046A1D00920841801A
+:046A1E009206417D1E
+:046A1F009205417A21
+:046A20009203417824
+:046A21009202417527
+:046A2200920041722B
+:046A230091FE41702F
+:046A240091FD416D32
+:046A250091FB416A36
+:046A260091F9416839
+:046A270091F841653C
+:046A280091F6416240
+:046A290091F5415F43
+:046A2A0091F3415D46
+:046A2B0091F1415A4A
+:046A2C0091F041574D
+:046A2D0091EE415550
+:046A2E0091ED415253
+:046A2F0091EB414F57
+:046A300091E9414D5A
+:046A310091E8414A5D
+:046A320091E6414761
+:046A330091E5414464
+:046A340091E3414267
+:046A350091E1413F6B
+:046A360091E0413C6E
+:046A370091DE413A71
+:046A380091DD413774
+:046A390091DB413478
+:046A3A0091D941327B
+:046A3B0091D8412F7E
+:046A3C0091D6412C82
+:046A3D0091D5412985
+:046A3E0091D3412788
+:046A3F0091D141248C
+:046A400091D041218F
+:046A410091CE411F92
+:046A420091CD411C95
+:046A430091CB411999
+:046A440091C941179C
+:046A450091C841149F
+:046A460091C64111A3
+:046A470091C5410EA6
+:046A480091C3410CA9
+:046A490091C14109AD
+:046A4A0091C04106B0
+:046A4B0091BE4104B3
+:046A4C0091BD4101B6
+:046A4D0091BB40FEBB
+:046A4E0091B940FBBF
+:046A4F0091B840F9C1
+:046A500091B640F6C5
+:046A510091B540F3C8
+:046A520091B340F1CB
+:046A530091B240EECE
+:046A540091B040EBD2
+:046A550091AE40E9D5
+:046A560091AD40E6D8
+:046A570091AB40E3DC
+:046A580091AA40E0DF
+:046A590091A840DEE2
+:046A5A0091A640DBE6
+:046A5B0091A540D8E9
+:046A5C0091A340D6EC
+:046A5D0091A240D3EF
+:046A5E0091A040D0F3
+:046A5F00919E40CDF7
+:046A6000919D40CBF9
+:046A6100919B40C8FD
+:046A6200919A40C500
+:046A6300919840C303
+:046A6400919640C007
+:046A6500919540BD0A
+:046A6600919340BA0E
+:046A6700919240B810
+:046A6800919040B514
+:046A6900918F40B217
+:046A6A00918D40B01A
+:046A6B00918B40AD1E
+:046A6C00918A40AA21
+:046A6D00918840A725
+:046A6E00918740A527
+:046A6F00918540A22B
+:046A70009183409F2F
+:046A71009182409D31
+:046A72009180409A35
+:046A7300917F409738
+:046A7400917D40943C
+:046A7500917B40923F
+:046A7600917A408F42
+:046A77009178408C46
+:046A78009177408A48
+:046A7900917540874C
+:046A7A00917440844F
+:046A7B009172408252
+:046A7C009170407F56
+:046A7D00916F407C59
+:046A7E00916D40795D
+:046A7F00916C40775F
+:046A8000916A407463
+:046A81009168407167
+:046A82009167406F69
+:046A83009165406C6D
+:046A84009164406970
+:046A85009162406674
+:046A86009161406476
+:046A8700915F40617A
+:046A8800915D405E7E
+:046A8900915C405C80
+:046A8A00915A405984
+:046A8B009159405687
+:046A8C00915740538B
+:046A8D00915640518D
+:046A8E009154404E91
+:046A8F009152404B95
+:046A90009151404898
+:046A9100914F40469B
+:046A9200914E40439E
+:046A9300914C4040A2
+:046A9400914A403EA5
+:046A95009149403BA8
+:046A960091474038AC
+:046A970091464035AF
+:046A980091444033B2
+:046A990091434030B5
+:046A9A009141402DB9
+:046A9B00913F402BBC
+:046A9C00913E4028BF
+:046A9D00913C4025C3
+:046A9E00913B4022C6
+:046A9F0091394020C9
+:046AA0009138401DCC
+:046AA1009136401AD0
+:046AA20091344018D3
+:046AA30091334015D6
+:046AA40091314012DA
+:046AA5009130400FDD
+:046AA600912E400DE0
+:046AA700912D400AE3
+:046AA800912B4007E7
+:046AA90091294005EA
+:046AAA0091284002ED
+:046AAB0091263FFEF3
+:046AAC0091253FFBF6
+:046AAD0091233FF9F9
+:046AAE0091223FF6FC
+:046AAF0091203FF300
+:046AB000911E3FF004
+:046AB100911D3FEE06
+:046AB200911B3FEB0A
+:046AB300911A3FE80D
+:046AB40091183FE610
+:046AB50091173FE313
+:046AB60091153FE017
+:046AB70091133FDD1B
+:046AB80091123FDB1D
+:046AB90091103FD821
+:046ABA00910F3FD524
+:046ABB00910D3FD327
+:046ABC00910C3FD02A
+:046ABD00910A3FCD2E
+:046ABE0091083FCA32
+:046ABF0091073FC834
+:046AC00091053FC538
+:046AC10091043FC23B
+:046AC20091023FBF3F
+:046AC30091013FBD41
+:046AC40090FF3FBA46
+:046AC50090FE3FB749
+:046AC60090FC3FB54C
+:046AC70090FA3FB250
+:046AC80090F93FAF53
+:046AC90090F73FAC57
+:046ACA0090F63FAA59
+:046ACB0090F43FA75D
+:046ACC0090F33FA460
+:046ACD0090F13FA263
+:046ACE0090EF3F9F67
+:046ACF0090EE3F9C6A
+:046AD00090EC3F996E
+:046AD10090EB3F9770
+:046AD20090E93F9474
+:046AD30090E83F9177
+:046AD40090E63F8E7B
+:046AD50090E53F8C7D
+:046AD60090E33F8981
+:046AD70090E13F8685
+:046AD80090E03F8487
+:046AD90090DE3F818B
+:046ADA0090DD3F7E8E
+:046ADB0090DB3F7B92
+:046ADC0090DA3F7994
+:046ADD0090D83F7698
+:046ADE0090D73F739B
+:046ADF0090D53F709F
+:046AE00090D33F6EA2
+:046AE10090D23F6BA5
+:046AE20090D03F68A9
+:046AE30090CF3F66AB
+:046AE40090CD3F63AF
+:046AE50090CC3F60B2
+:046AE60090CA3F5DB6
+:046AE70090C93F5BB8
+:046AE80090C73F58BC
+:046AE90090C53F55C0
+:046AEA0090C43F52C3
+:046AEB0090C23F50C6
+:046AEC0090C13F4DC9
+:046AED0090BF3F4ACD
+:046AEE0090BE3F47D0
+:046AEF0090BC3F45D3
+:046AF00090BB3F42D6
+:046AF10090B93F3FDA
+:046AF20090B73F3DDD
+:046AF30090B63F3AE0
+:046AF40090B43F37E4
+:046AF50090B33F34E7
+:046AF60090B13F32EA
+:046AF70090B03F2FED
+:046AF80090AE3F2CF1
+:046AF90090AD3F29F4
+:046AFA0090AB3F27F7
+:046AFB0090A93F24FB
+:046AFC0090A83F21FE
+:046AFD0090A63F1F01
+:046AFE0090A53F1C04
+:046AFF0090A33F1908
+:046B000090A23F160A
+:046B010090A03F140D
+:046B0200909F3F1110
+:046B0300909D3F0E14
+:046B0400909C3F0B17
+:046B0500909A3F091A
+:046B060090983F061E
+:046B070090973F0321
+:046B080090953F0025
+:046B090090943EFE28
+:046B0A0090923EFB2C
+:046B0B0090913EF82F
+:046B0C00908F3EF533
+:046B0D00908E3EF335
+:046B0E00908C3EF039
+:046B0F00908B3EED3C
+:046B100090893EEB3F
+:046B110090873EE843
+:046B120090863EE546
+:046B130090843EE24A
+:046B140090833EE04C
+:046B150090813EDD50
+:046B160090803EDA53
+:046B1700907E3ED757
+:046B1800907D3ED559
+:046B1900907B3ED25D
+:046B1A00907A3ECF60
+:046B1B0090783ECC64
+:046B1C0090763ECA67
+:046B1D0090753EC76A
+:046B1E0090733EC46E
+:046B1F0090723EC171
+:046B200090703EBF74
+:046B2100906F3EBC77
+:046B2200906D3EB97B
+:046B2300906C3EB77D
+:046B2400906A3EB481
+:046B250090693EB184
+:046B260090673EAE88
+:046B270090663EAC8A
+:046B280090643EA98E
+:046B290090623EA692
+:046B2A0090613EA395
+:046B2B00905F3EA198
+:046B2C00905E3E9E9B
+:046B2D00905C3E9B9F
+:046B2E00905B3E98A2
+:046B2F0090593E96A5
+:046B300090583E93A8
+:046B310090563E90AC
+:046B320090553E8DAF
+:046B330090533E8BB2
+:046B340090523E88B5
+:046B350090503E85B9
+:046B3600904E3E82BD
+:046B3700904D3E80BF
+:046B3800904B3E7DC3
+:046B3900904A3E7AC6
+:046B3A0090483E78C9
+:046B3B0090473E75CC
+:046B3C0090453E72D0
+:046B3D0090443E6FD3
+:046B3E0090423E6DD6
+:046B3F0090413E6AD9
+:046B4000903F3E67DD
+:046B4100903E3E64E0
+:046B4200903C3E62E3
+:046B4300903B3E5FE6
+:046B440090393E5CEA
+:046B450090383E59ED
+:046B460090363E57F0
+:046B470090343E54F4
+:046B480090333E51F7
+:046B490090313E4EFB
+:046B4A0090303E4CFD
+:046B4B00902E3E4901
+:046B4C00902D3E4604
+:046B4D00902B3E4308
+:046B4E00902A3E410A
+:046B4F0090283E3E0E
+:046B500090273E3B11
+:046B510090253E3815
+:046B520090243E3617
+:046B530090223E331B
+:046B540090213E301E
+:046B5500901F3E2D22
+:046B5600901E3E2B24
+:046B5700901C3E2828
+:046B5800901A3E252C
+:046B590090193E222F
+:046B5A0090173E2032
+:046B5B0090163E1D35
+:046B5C0090143E1A39
+:046B5D0090133E173C
+:046B5E0090113E153F
+:046B5F0090103E1242
+:046B6000900E3E0F46
+:046B6100900D3E0C49
+:046B6200900B3E0A4C
+:046B6300900A3E074F
+:046B640090083E0453
+:046B650090073E0156
+:046B660090053DFF5A
+:046B670090043DFC5D
+:046B680090023DF961
+:046B690090013DF664
+:046B6A008FFF3DF468
+:046B6B008FFE3DF16B
+:046B6C008FFC3DEE6F
+:046B6D008FFB3DEB72
+:046B6E008FF93DE975
+:046B6F008FF73DE679
+:046B70008FF63DE37C
+:046B71008FF43DE080
+:046B72008FF33DDE82
+:046B73008FF13DDB86
+:046B74008FF03DD889
+:046B75008FEE3DD58D
+:046B76008FED3DD38F
+:046B77008FEB3DD093
+:046B78008FEA3DCD96
+:046B79008FE83DCA9A
+:046B7A008FE73DC89C
+:046B7B008FE53DC5A0
+:046B7C008FE43DC2A3
+:046B7D008FE23DBFA7
+:046B7E008FE13DBDA9
+:046B7F008FDF3DBAAD
+:046B80008FDE3DB7B0
+:046B81008FDC3DB4B4
+:046B82008FDB3DB2B6
+:046B83008FD93DAFBA
+:046B84008FD83DACBD
+:046B85008FD63DA9C1
+:046B86008FD53DA7C3
+:046B87008FD33DA4C7
+:046B88008FD23DA1CA
+:046B89008FD03D9ECE
+:046B8A008FCF3D9CD0
+:046B8B008FCD3D99D4
+:046B8C008FCC3D96D7
+:046B8D008FCA3D93DB
+:046B8E008FC93D91DD
+:046B8F008FC73D8EE1
+:046B90008FC53D8BE5
+:046B91008FC43D88E8
+:046B92008FC23D86EB
+:046B93008FC13D83EE
+:046B94008FBF3D80F2
+:046B95008FBE3D7DF5
+:046B96008FBC3D7BF8
+:046B97008FBB3D78FB
+:046B98008FB93D75FF
+:046B99008FB83D7202
+:046B9A008FB63D7005
+:046B9B008FB53D6D08
+:046B9C008FB33D6A0C
+:046B9D008FB23D670F
+:046B9E008FB03D6512
+:046B9F008FAF3D6215
+:046BA0008FAD3D5F19
+:046BA1008FAC3D5C1C
+:046BA2008FAA3D5A1F
+:046BA3008FA93D5722
+:046BA4008FA73D5426
+:046BA5008FA63D5129
+:046BA6008FA43D4F2C
+:046BA7008FA33D4C2F
+:046BA8008FA13D4933
+:046BA9008FA03D4636
+:046BAA008F9E3D4439
+:046BAB008F9D3D413C
+:046BAC008F9B3D3E40
+:046BAD008F9A3D3B43
+:046BAE008F983D3847
+:046BAF008F973D3649
+:046BB0008F953D334D
+:046BB1008F943D3050
+:046BB2008F923D2D54
+:046BB3008F913D2B56
+:046BB4008F8F3D285A
+:046BB5008F8E3D255D
+:046BB6008F8C3D2261
+:046BB7008F8B3D2063
+:046BB8008F893D1D67
+:046BB9008F883D1A6A
+:046BBA008F863D176E
+:046BBB008F853D1570
+:046BBC008F833D1274
+:046BBD008F823D0F77
+:046BBE008F803D0C7B
+:046BBF008F7F3D0A7D
+:046BC0008F7D3D0781
+:046BC1008F7C3D0484
+:046BC2008F7A3D0188
+:046BC3008F793CFF8B
+:046BC4008F773CFC8F
+:046BC5008F763CF992
+:046BC6008F743CF696
+:046BC7008F733CF399
+:046BC8008F713CF19C
+:046BC9008F703CEE9F
+:046BCA008F6E3CEBA3
+:046BCB008F6D3CE8A6
+:046BCC008F6B3CE6A9
+:046BCD008F6A3CE3AC
+:046BCE008F683CE0B0
+:046BCF008F673CDDB3
+:046BD0008F653CDBB6
+:046BD1008F643CD8B9
+:046BD2008F623CD5BD
+:046BD3008F613CD2C0
+:046BD4008F5F3CD0C3
+:046BD5008F5E3CCDC6
+:046BD6008F5C3CCACA
+:046BD7008F5B3CC7CD
+:046BD8008F593CC4D1
+:046BD9008F583CC2D3
+:046BDA008F563CBFD7
+:046BDB008F553CBCDA
+:046BDC008F533CB9DE
+:046BDD008F523CB7E0
+:046BDE008F503CB4E4
+:046BDF008F4F3CB1E7
+:046BE0008F4D3CAEEB
+:046BE1008F4C3CACED
+:046BE2008F4B3CA9F0
+:046BE3008F493CA6F4
+:046BE4008F483CA3F7
+:046BE5008F463CA1FA
+:046BE6008F453C9EFD
+:046BE7008F433C9B01
+:046BE8008F423C9804
+:046BE9008F403C9508
+:046BEA008F3F3C930A
+:046BEB008F3D3C900E
+:046BEC008F3C3C8D11
+:046BED008F3A3C8A15
+:046BEE008F393C8817
+:046BEF008F373C851B
+:046BF0008F363C821E
+:046BF1008F343C7F22
+:046BF2008F333C7D24
+:046BF3008F313C7A28
+:046BF4008F303C772B
+:046BF5008F2E3C742F
+:046BF6008F2D3C7132
+:046BF7008F2B3C6F35
+:046BF8008F2A3C6C38
+:046BF9008F283C693C
+:046BFA008F273C663F
+:046BFB008F253C6442
+:046BFC008F243C6145
+:046BFD008F223C5E49
+:046BFE008F213C5B4C
+:046BFF008F1F3C594F
+:046C00008F1E3C5651
+:046C01008F1C3C5355
+:046C02008F1B3C5058
+:046C03008F1A3C4D5B
+:046C04008F183C4B5E
+:046C05008F173C4861
+:046C06008F153C4565
+:046C07008F143C4268
+:046C08008F123C406B
+:046C09008F113C3D6E
+:046C0A008F0F3C3A72
+:046C0B008F0E3C3775
+:046C0C008F0C3C3578
+:046C0D008F0B3C327B
+:046C0E008F093C2F7F
+:046C0F008F083C2C82
+:046C10008F063C2986
+:046C11008F053C2788
+:046C12008F033C248C
+:046C13008F023C218F
+:046C14008F003C1E93
+:046C15008EFF3C1C96
+:046C16008EFD3C199A
+:046C17008EFC3C169D
+:046C18008EFB3C13A0
+:046C19008EF93C10A4
+:046C1A008EF83C0EA6
+:046C1B008EF63C0BAA
+:046C1C008EF53C08AD
+:046C1D008EF33C05B1
+:046C1E008EF23C03B3
+:046C1F008EF03C00B7
+:046C20008EEF3BFDBB
+:046C21008EED3BFABF
+:046C22008EEC3BF8C1
+:046C23008EEA3BF5C5
+:046C24008EE93BF2C8
+:046C25008EE73BEFCC
+:046C26008EE63BECCF
+:046C27008EE43BEAD2
+:046C28008EE33BE7D5
+:046C29008EE13BE4D9
+:046C2A008EE03BE1DC
+:046C2B008EDF3BDFDE
+:046C2C008EDD3BDCE2
+:046C2D008EDC3BD9E5
+:046C2E008EDA3BD6E9
+:046C2F008ED93BD3EC
+:046C30008ED73BD1EF
+:046C31008ED63BCEF2
+:046C32008ED43BCBF6
+:046C33008ED33BC8F9
+:046C34008ED13BC6FC
+:046C35008ED03BC3FF
+:046C36008ECE3BC003
+:046C37008ECD3BBD06
+:046C38008ECB3BBA0A
+:046C39008ECA3BB80C
+:046C3A008EC93BB50F
+:046C3B008EC73BB213
+:046C3C008EC63BAF16
+:046C3D008EC43BAD19
+:046C3E008EC33BAA1C
+:046C3F008EC13BA720
+:046C40008EC03BA423
+:046C41008EBE3BA127
+:046C42008EBD3B9F29
+:046C43008EBB3B9C2D
+:046C44008EBA3B9930
+:046C45008EB83B9634
+:046C46008EB73B9436
+:046C47008EB53B913A
+:046C48008EB43B8E3D
+:046C49008EB33B8B40
+:046C4A008EB13B8844
+:046C4B008EB03B8646
+:046C4C008EAE3B834A
+:046C4D008EAD3B804D
+:046C4E008EAB3B7D51
+:046C4F008EAA3B7A54
+:046C50008EA83B7857
+:046C51008EA73B755A
+:046C52008EA53B725E
+:046C53008EA43B6F61
+:046C54008EA33B6D63
+:046C55008EA13B6A67
+:046C56008EA03B676A
+:046C57008E9E3B646E
+:046C58008E9D3B6171
+:046C59008E9B3B5F74
+:046C5A008E9A3B5C77
+:046C5B008E983B597B
+:046C5C008E973B567E
+:046C5D008E953B5481
+:046C5E008E943B5184
+:046C5F008E923B4E88
+:046C60008E913B4B8B
+:046C61008E903B488E
+:046C62008E8E3B4691
+:046C63008E8D3B4394
+:046C64008E8B3B4098
+:046C65008E8A3B3D9B
+:046C66008E883B3A9F
+:046C67008E873B38A1
+:046C68008E853B35A5
+:046C69008E843B32A8
+:046C6A008E823B2FAC
+:046C6B008E813B2DAE
+:046C6C008E803B2AB1
+:046C6D008E7E3B27B5
+:046C6E008E7D3B24B8
+:046C6F008E7B3B21BC
+:046C70008E7A3B1FBE
+:046C71008E783B1CC2
+:046C72008E773B19C5
+:046C73008E753B16C9
+:046C74008E743B13CC
+:046C75008E733B11CE
+:046C76008E713B0ED2
+:046C77008E703B0BD5
+:046C78008E6E3B08D9
+:046C79008E6D3B06DB
+:046C7A008E6B3B03DF
+:046C7B008E6A3B00E2
+:046C7C008E683AFDE7
+:046C7D008E673AFAEA
+:046C7E008E653AF8ED
+:046C7F008E643AF5F0
+:046C80008E633AF2F3
+:046C81008E613AEFF7
+:046C82008E603AECFA
+:046C83008E5E3AEAFD
+:046C84008E5D3AE700
+:046C85008E5B3AE404
+:046C86008E5A3AE107
+:046C87008E583ADE0B
+:046C88008E573ADC0D
+:046C89008E563AD910
+:046C8A008E543AD614
+:046C8B008E533AD317
+:046C8C008E513AD11A
+:046C8D008E503ACE1D
+:046C8E008E4E3ACB21
+:046C8F008E4D3AC824
+:046C90008E4B3AC528
+:046C91008E4A3AC32A
+:046C92008E493AC02D
+:046C93008E473ABD31
+:046C94008E463ABA34
+:046C95008E443AB738
+:046C96008E433AB53A
+:046C97008E413AB23E
+:046C98008E403AAF41
+:046C99008E3F3AAC44
+:046C9A008E3D3AA948
+:046C9B008E3C3AA74A
+:046C9C008E3A3AA44E
+:046C9D008E393AA151
+:046C9E008E373A9E55
+:046C9F008E363A9C57
+:046CA0008E343A995B
+:046CA1008E333A965E
+:046CA2008E323A9361
+:046CA3008E303A9065
+:046CA4008E2F3A8E67
+:046CA5008E2D3A8B6B
+:046CA6008E2C3A886E
+:046CA7008E2A3A8572
+:046CA8008E293A8275
+:046CA9008E283A8077
+:046CAA008E263A7D7B
+:046CAB008E253A7A7E
+:046CAC008E233A7782
+:046CAD008E223A7485
+:046CAE008E203A7288
+:046CAF008E1F3A6F8B
+:046CB0008E1D3A6C8F
+:046CB1008E1C3A6992
+:046CB2008E1B3A6695
+:046CB3008E193A6498
+:046CB4008E183A619B
+:046CB5008E163A5E9F
+:046CB6008E153A5BA2
+:046CB7008E133A58A6
+:046CB8008E123A56A8
+:046CB9008E113A53AB
+:046CBA008E0F3A50AF
+:046CBB008E0E3A4DB2
+:046CBC008E0C3A4AB6
+:046CBD008E0B3A48B8
+:046CBE008E093A45BC
+:046CBF008E083A42BF
+:046CC0008E073A3FC2
+:046CC1008E053A3CC6
+:046CC2008E043A3AC8
+:046CC3008E023A37CC
+:046CC4008E013A34CF
+:046CC5008DFF3A31D4
+:046CC6008DFE3A2ED7
+:046CC7008DFD3A2CD9
+:046CC8008DFB3A29DD
+:046CC9008DFA3A26E0
+:046CCA008DF83A23E4
+:046CCB008DF73A20E7
+:046CCC008DF53A1EEA
+:046CCD008DF43A1BED
+:046CCE008DF33A18F0
+:046CCF008DF13A15F4
+:046CD0008DF03A12F7
+:046CD1008DEE3A10FA
+:046CD2008DED3A0DFD
+:046CD3008DEB3A0A01
+:046CD4008DEA3A0704
+:046CD5008DE93A0407
+:046CD6008DE73A020A
+:046CD7008DE639FF0E
+:046CD8008DE439FC12
+:046CD9008DE339F915
+:046CDA008DE139F619
+:046CDB008DE039F41B
+:046CDC008DDF39F11E
+:046CDD008DDD39EE22
+:046CDE008DDC39EB25
+:046CDF008DDA39E829
+:046CE0008DD939E62B
+:046CE1008DD839E32E
+:046CE2008DD639E032
+:046CE3008DD539DD35
+:046CE4008DD339DA39
+:046CE5008DD239D83B
+:046CE6008DD039D53F
+:046CE7008DCF39D242
+:046CE8008DCE39CF45
+:046CE9008DCC39CC49
+:046CEA008DCB39CA4B
+:046CEB008DC939C74F
+:046CEC008DC839C452
+:046CED008DC639C156
+:046CEE008DC539BE59
+:046CEF008DC439BC5B
+:046CF0008DC239B95F
+:046CF1008DC139B662
+:046CF2008DBF39B366
+:046CF3008DBE39B069
+:046CF4008DBD39AE6B
+:046CF5008DBB39AB6F
+:046CF6008DBA39A872
+:046CF7008DB839A576
+:046CF8008DB739A279
+:046CF9008DB639A07B
+:046CFA008DB4399D7F
+:046CFB008DB3399A82
+:046CFC008DB1399786
+:046CFD008DB0399489
+:046CFE008DAE39928C
+:046CFF008DAD398F8F
+:046D00008DAC398C91
+:046D01008DAA398995
+:046D02008DA9398698
+:046D03008DA739849B
+:046D04008DA639819E
+:046D05008DA5397EA1
+:046D06008DA3397BA5
+:046D07008DA23978A8
+:046D08008DA03976AB
+:046D09008D9F3973AE
+:046D0A008D9E3970B1
+:046D0B008D9C396DB5
+:046D0C008D9B396AB8
+:046D0D008D993967BC
+:046D0E008D983965BE
+:046D0F008D963962C2
+:046D10008D95395FC5
+:046D11008D94395CC8
+:046D12008D923959CC
+:046D13008D913957CE
+:046D14008D8F3954D2
+:046D15008D8E3951D5
+:046D16008D8D394ED8
+:046D17008D8B394BDC
+:046D18008D8A3949DE
+:046D19008D883946E2
+:046D1A008D873943E5
+:046D1B008D863940E8
+:046D1C008D84393DEC
+:046D1D008D83393BEE
+:046D1E008D813938F2
+:046D1F008D803935F5
+:046D20008D7F3932F8
+:046D21008D7D392FFC
+:046D22008D7C392CFF
+:046D23008D7A392A02
+:046D24008D79392705
+:046D25008D78392408
+:046D26008D7639210C
+:046D27008D75391E0F
+:046D28008D73391C12
+:046D29008D72391915
+:046D2A008D71391618
+:046D2B008D6F39131C
+:046D2C008D6E39101F
+:046D2D008D6C390E22
+:046D2E008D6B390B25
+:046D2F008D6A390828
+:046D30008D6839052C
+:046D31008D6739022F
+:046D32008D6538FF34
+:046D33008D6438FD36
+:046D34008D6338FA39
+:046D35008D6138F73D
+:046D36008D6038F440
+:046D37008D5E38F144
+:046D38008D5D38EF46
+:046D39008D5C38EC49
+:046D3A008D5A38E94D
+:046D3B008D5938E650
+:046D3C008D5738E354
+:046D3D008D5638E156
+:046D3E008D5538DE59
+:046D3F008D5338DB5D
+:046D40008D5238D860
+:046D41008D5038D564
+:046D42008D4F38D267
+:046D43008D4E38D069
+:046D44008D4C38CD6D
+:046D45008D4B38CA70
+:046D46008D4938C774
+:046D47008D4838C477
+:046D48008D4738C279
+:046D49008D4538BF7D
+:046D4A008D4438BC80
+:046D4B008D4238B984
+:046D4C008D4138B687
+:046D4D008D4038B489
+:046D4E008D3E38B18D
+:046D4F008D3D38AE90
+:046D50008D3B38AB94
+:046D51008D3A38A897
+:046D52008D3938A59A
+:046D53008D3738A39D
+:046D54008D3638A0A0
+:046D55008D35389DA3
+:046D56008D33389AA7
+:046D57008D323897AA
+:046D58008D303895AD
+:046D59008D2F3892B0
+:046D5A008D2E388FB3
+:046D5B008D2C388CB7
+:046D5C008D2B3889BA
+:046D5D008D293886BE
+:046D5E008D283884C0
+:046D5F008D273881C3
+:046D60008D25387EC7
+:046D61008D24387BCA
+:046D62008D223878CE
+:046D63008D213876D0
+:046D64008D203873D3
+:046D65008D1E3870D7
+:046D66008D1D386DDA
+:046D67008D1C386ADD
+:046D68008D1A3867E1
+:046D69008D193865E3
+:046D6A008D173862E7
+:046D6B008D16385FEA
+:046D6C008D15385CED
+:046D6D008D133859F1
+:046D6E008D123856F4
+:046D6F008D103854F7
+:046D70008D0F3851FA
+:046D71008D0E384EFD
+:046D72008D0C384B01
+:046D73008D0B384804
+:046D74008D0A384606
+:046D75008D0838430A
+:046D76008D0738400D
+:046D77008D05383D11
+:046D78008D04383A14
+:046D79008D03383717
+:046D7A008D0138351A
+:046D7B008D0038321D
+:046D7C008CFF382F21
+:046D7D008CFD382C25
+:046D7E008CFC382928
+:046D7F008CFA38272B
+:046D80008CF938242E
+:046D81008CF8382131
+:046D82008CF6381E35
+:046D83008CF5381B38
+:046D84008CF438183B
+:046D85008CF238163E
+:046D86008CF1381341
+:046D87008CEF381045
+:046D88008CEE380D48
+:046D89008CED380A4B
+:046D8A008CEB38074F
+:046D8B008CEA380551
+:046D8C008CE9380254
+:046D8D008CE737FF59
+:046D8E008CE637FC5C
+:046D8F008CE437F960
+:046D90008CE337F762
+:046D91008CE237F465
+:046D92008CE037F169
+:046D93008CDF37EE6C
+:046D94008CDE37EB6F
+:046D95008CDC37E873
+:046D96008CDB37E675
+:046D97008CD937E379
+:046D98008CD837E07C
+:046D99008CD737DD7F
+:046D9A008CD537DA83
+:046D9B008CD437D786
+:046D9C008CD337D588
+:046D9D008CD137D28C
+:046D9E008CD037CF8F
+:046D9F008CCE37CC93
+:046DA0008CCD37C996
+:046DA1008CCC37C699
+:046DA2008CCA37C49C
+:046DA3008CC937C19F
+:046DA4008CC837BEA2
+:046DA5008CC637BBA6
+:046DA6008CC537B8A9
+:046DA7008CC437B5AC
+:046DA8008CC237B3AF
+:046DA9008CC137B0B2
+:046DAA008CBF37ADB6
+:046DAB008CBE37AAB9
+:046DAC008CBD37A7BC
+:046DAD008CBB37A5BF
+:046DAE008CBA37A2C2
+:046DAF008CB9379FC5
+:046DB0008CB7379CC9
+:046DB1008CB63799CC
+:046DB2008CB43796D0
+:046DB3008CB33794D2
+:046DB4008CB23791D5
+:046DB5008CB0378ED9
+:046DB6008CAF378BDC
+:046DB7008CAE3788DF
+:046DB8008CAC3785E3
+:046DB9008CAB3783E5
+:046DBA008CAA3780E8
+:046DBB008CA8377DEC
+:046DBC008CA7377AEF
+:046DBD008CA53777F3
+:046DBE008CA43774F6
+:046DBF008CA33772F8
+:046DC0008CA1376FFC
+:046DC1008CA0376CFF
+:046DC2008C9F376902
+:046DC3008C9D376606
+:046DC4008C9C376309
+:046DC5008C9B37610B
+:046DC6008C99375E0F
+:046DC7008C98375B12
+:046DC8008C97375815
+:046DC9008C95375519
+:046DCA008C9437521C
+:046DCB008C9237501F
+:046DCC008C91374D22
+:046DCD008C90374A25
+:046DCE008C8E374729
+:046DCF008C8D37442C
+:046DD0008C8C37412F
+:046DD1008C8A373F32
+:046DD2008C89373C35
+:046DD3008C88373938
+:046DD4008C8637363C
+:046DD5008C8537333F
+:046DD6008C84373042
+:046DD7008C82372E45
+:046DD8008C81372B48
+:046DD9008C7F37284C
+:046DDA008C7E37254F
+:046DDB008C7D372252
+:046DDC008C7B371F56
+:046DDD008C7A371D58
+:046DDE008C79371A5B
+:046DDF008C7737175F
+:046DE0008C76371462
+:046DE1008C75371165
+:046DE2008C73370E69
+:046DE3008C72370C6B
+:046DE4008C7137096E
+:046DE5008C6F370672
+:046DE6008C6E370375
+:046DE7008C6D370078
+:046DE8008C6B36FD7D
+:046DE9008C6A36FB7F
+:046DEA008C6936F882
+:046DEB008C6736F586
+:046DEC008C6636F289
+:046DED008C6436EF8D
+:046DEE008C6336EC90
+:046DEF008C6236EA92
+:046DF0008C6036E796
+:046DF1008C5F36E499
+:046DF2008C5E36E19C
+:046DF3008C5C36DEA0
+:046DF4008C5B36DBA3
+:046DF5008C5A36D9A5
+:046DF6008C5836D6A9
+:046DF7008C5736D3AC
+:046DF8008C5636D0AF
+:046DF9008C5436CDB3
+:046DFA008C5336CAB6
+:046DFB008C5236C7B9
+:046DFC008C5036C5BC
+:046DFD008C4F36C2BF
+:046DFE008C4E36BFC2
+:046DFF008C4C36BCC6
+:046E00008C4B36B9C8
+:046E01008C4A36B6CB
+:046E02008C4836B4CE
+:046E03008C4736B1D1
+:046E04008C4636AED4
+:046E05008C4436ABD8
+:046E06008C4336A8DB
+:046E07008C4136A5DF
+:046E08008C4036A3E1
+:046E09008C3F36A0E4
+:046E0A008C3D369DE8
+:046E0B008C3C369AEB
+:046E0C008C3B3697EE
+:046E0D008C393694F2
+:046E0E008C383692F4
+:046E0F008C37368FF7
+:046E10008C35368CFB
+:046E11008C343689FE
+:046E12008C33368601
+:046E13008C31368305
+:046E14008C30368008
+:046E15008C2F367E0A
+:046E16008C2D367B0E
+:046E17008C2C367811
+:046E18008C2B367514
+:046E19008C29367218
+:046E1A008C28366F1B
+:046E1B008C27366D1D
+:046E1C008C25366A21
+:046E1D008C24366724
+:046E1E008C23366427
+:046E1F008C2136612B
+:046E20008C20365E2E
+:046E21008C1F365B31
+:046E22008C1D365934
+:046E23008C1C365637
+:046E24008C1B36533A
+:046E25008C1936503E
+:046E26008C18364D41
+:046E27008C17364A44
+:046E28008C15364847
+:046E29008C1436454A
+:046E2A008C1336424D
+:046E2B008C11363F51
+:046E2C008C10363C54
+:046E2D008C0F363957
+:046E2E008C0D36375A
+:046E2F008C0C36345D
+:046E30008C0B363160
+:046E31008C09362E64
+:046E32008C08362B67
+:046E33008C0736286A
+:046E34008C0536256E
+:046E35008C04362370
+:046E36008C03362073
+:046E37008C01361D77
+:046E38008C00361A7A
+:046E39008BFF36177E
+:046E3A008BFD361482
+:046E3B008BFC361185
+:046E3C008BFB360F87
+:046E3D008BF9360C8B
+:046E3E008BF836098E
+:046E3F008BF7360691
+:046E40008BF5360395
+:046E41008BF4360098
+:046E42008BF335FE9B
+:046E43008BF235FB9E
+:046E44008BF035F8A2
+:046E45008BEF35F5A5
+:046E46008BEE35F2A8
+:046E47008BEC35EFAC
+:046E48008BEB35ECAF
+:046E49008BEA35EAB1
+:046E4A008BE835E7B5
+:046E4B008BE735E4B8
+:046E4C008BE635E1BB
+:046E4D008BE435DEBF
+:046E4E008BE335DBC2
+:046E4F008BE235D9C4
+:046E50008BE035D6C8
+:046E51008BDF35D3CB
+:046E52008BDE35D0CE
+:046E53008BDC35CDD2
+:046E54008BDB35CAD5
+:046E55008BDA35C7D8
+:046E56008BD835C5DB
+:046E57008BD735C2DE
+:046E58008BD635BFE1
+:046E59008BD435BCE5
+:046E5A008BD335B9E8
+:046E5B008BD235B6EB
+:046E5C008BD035B3EF
+:046E5D008BCF35B1F1
+:046E5E008BCE35AEF4
+:046E5F008BCD35ABF7
+:046E60008BCB35A8FB
+:046E61008BCA35A5FE
+:046E62008BC935A201
+:046E63008BC735A004
+:046E64008BC6359D07
+:046E65008BC5359A0A
+:046E66008BC335970E
+:046E67008BC2359411
+:046E68008BC1359114
+:046E69008BBF358E18
+:046E6A008BBE358C1A
+:046E6B008BBD35891D
+:046E6C008BBB358621
+:046E6D008BBA358324
+:046E6E008BB9358027
+:046E6F008BB7357D2B
+:046E70008BB6357A2E
+:046E71008BB5357830
+:046E72008BB4357533
+:046E73008BB2357237
+:046E74008BB1356F3A
+:046E75008BB0356C3D
+:046E76008BAE356941
+:046E77008BAD356644
+:046E78008BAC356446
+:046E79008BAA35614A
+:046E7A008BA9355E4D
+:046E7B008BA8355B50
+:046E7C008BA6355854
+:046E7D008BA5355557
+:046E7E008BA435525A
+:046E7F008BA335505C
+:046E80008BA1354D60
+:046E81008BA0354A63
+:046E82008B9F354766
+:046E83008B9D35446A
+:046E84008B9C35416D
+:046E85008B9B353E70
+:046E86008B99353C73
+:046E87008B98353976
+:046E88008B97353679
+:046E89008B9535337D
+:046E8A008B94353080
+:046E8B008B93352D83
+:046E8C008B92352A86
+:046E8D008B90352889
+:046E8E008B8F35258C
+:046E8F008B8E35228F
+:046E90008B8C351F93
+:046E91008B8B351C96
+:046E92008B8A351999
+:046E93008B8835169D
+:046E94008B8735149F
+:046E95008B863511A2
+:046E96008B84350EA6
+:046E97008B83350BA9
+:046E98008B823508AC
+:046E99008B813505AF
+:046E9A008B7F3502B3
+:046E9B008B7E3500B5
+:046E9C008B7D34FDB9
+:046E9D008B7B34FABD
+:046E9E008B7A34F7C0
+:046E9F008B7934F4C3
+:046EA0008B7734F1C7
+:046EA1008B7634EECA
+:046EA2008B7534ECCC
+:046EA3008B7434E9CF
+:046EA4008B7234E6D3
+:046EA5008B7134E3D6
+:046EA6008B7034E0D9
+:046EA7008B6E34DDDD
+:046EA8008B6D34DAE0
+:046EA9008B6C34D8E2
+:046EAA008B6B34D5E5
+:046EAB008B6934D2E9
+:046EAC008B6834CFEC
+:046EAD008B6734CCEF
+:046EAE008B6534C9F3
+:046EAF008B6434C6F6
+:046EB0008B6334C3F9
+:046EB1008B6134C1FC
+:046EB2008B6034BEFF
+:046EB3008B5F34BB02
+:046EB4008B5E34B805
+:046EB5008B5C34B509
+:046EB6008B5B34B20C
+:046EB7008B5A34AF0F
+:046EB8008B5834AD12
+:046EB9008B5734AA15
+:046EBA008B5634A718
+:046EBB008B5434A41C
+:046EBC008B5334A11F
+:046EBD008B52349E22
+:046EBE008B51349B25
+:046EBF008B4F349928
+:046EC0008B4E34962B
+:046EC1008B4D34932E
+:046EC2008B4B349032
+:046EC3008B4A348D35
+:046EC4008B49348A38
+:046EC5008B4834873B
+:046EC6008B4634853E
+:046EC7008B45348241
+:046EC8008B44347F44
+:046EC9008B42347C48
+:046ECA008B4134794B
+:046ECB008B4034764E
+:046ECC008B3F347351
+:046ECD008B3D347055
+:046ECE008B3C346E57
+:046ECF008B3B346B5A
+:046ED0008B3934685E
+:046ED1008B38346561
+:046ED2008B37346264
+:046ED3008B36345F67
+:046ED4008B34345C6B
+:046ED5008B33345A6D
+:046ED6008B32345770
+:046ED7008B30345474
+:046ED8008B2F345177
+:046ED9008B2E344E7A
+:046EDA008B2D344B7D
+:046EDB008B2B344881
+:046EDC008B2A344584
+:046EDD008B29344386
+:046EDE008B2734408A
+:046EDF008B26343D8D
+:046EE0008B25343A90
+:046EE1008B24343793
+:046EE2008B22343497
+:046EE3008B2134319A
+:046EE4008B20342F9C
+:046EE5008B1E342CA0
+:046EE6008B1D3429A3
+:046EE7008B1C3426A6
+:046EE8008B1B3423A9
+:046EE9008B193420AD
+:046EEA008B18341DB0
+:046EEB008B17341AB3
+:046EEC008B163418B5
+:046EED008B143415B9
+:046EEE008B133412BC
+:046EEF008B12340FBF
+:046EF0008B10340CC3
+:046EF1008B0F3409C6
+:046EF2008B0E3406C9
+:046EF3008B0D3403CC
+:046EF4008B0B3401CF
+:046EF5008B0A33FED3
+:046EF6008B0933FBD6
+:046EF7008B0733F8DA
+:046EF8008B0633F5DD
+:046EF9008B0533F2E0
+:046EFA008B0433EFE3
+:046EFB008B0233ECE7
+:046EFC008B0133EAE9
+:046EFD008B0033E7EC
+:046EFE008AFF33E4F0
+:046EFF008AFD33E1F4
+:046F00008AFC33DEF6
+:046F01008AFB33DBF9
+:046F02008AF933D8FD
+:046F03008AF833D6FF
+:046F04008AF733D302
+:046F05008AF633D005
+:046F06008AF433CD09
+:046F07008AF333CA0C
+:046F08008AF233C70F
+:046F09008AF133C412
+:046F0A008AEF33C116
+:046F0B008AEE33BF18
+:046F0C008AED33BC1B
+:046F0D008AEB33B91F
+:046F0E008AEA33B622
+:046F0F008AE933B325
+:046F10008AE833B028
+:046F11008AE633AD2C
+:046F12008AE533AA2F
+:046F13008AE433A831
+:046F14008AE333A534
+:046F15008AE133A238
+:046F16008AE0339F3B
+:046F17008ADF339C3E
+:046F18008ADE339941
+:046F19008ADC339645
+:046F1A008ADB339348
+:046F1B008ADA33914A
+:046F1C008AD8338E4E
+:046F1D008AD7338B51
+:046F1E008AD6338854
+:046F1F008AD5338557
+:046F20008AD333825B
+:046F21008AD2337F5E
+:046F22008AD1337C61
+:046F23008AD0337A63
+:046F24008ACE337767
+:046F25008ACD33746A
+:046F26008ACC33716D
+:046F27008ACB336E70
+:046F28008AC9336B74
+:046F29008AC8336877
+:046F2A008AC733657A
+:046F2B008AC633637C
+:046F2C008AC4336080
+:046F2D008AC3335D83
+:046F2E008AC2335A86
+:046F2F008AC033578A
+:046F30008ABF33548D
+:046F31008ABE335190
+:046F32008ABD334E93
+:046F33008ABB334C96
+:046F34008ABA334999
+:046F35008AB933469C
+:046F36008AB833439F
+:046F37008AB63340A3
+:046F38008AB5333DA6
+:046F39008AB4333AA9
+:046F3A008AB33337AC
+:046F3B008AB13334B0
+:046F3C008AB03332B2
+:046F3D008AAF332FB5
+:046F3E008AAE332CB8
+:046F3F008AAC3329BC
+:046F40008AAB3326BF
+:046F41008AAA3323C2
+:046F42008AA93320C5
+:046F43008AA7331DC9
+:046F44008AA6331BCB
+:046F45008AA53318CE
+:046F46008AA43315D1
+:046F47008AA23312D5
+:046F48008AA1330FD8
+:046F49008AA0330CDB
+:046F4A008A9F3309DE
+:046F4B008A9D3306E2
+:046F4C008A9C3304E4
+:046F4D008A9B3301E7
+:046F4E008A9A32FEEB
+:046F4F008A9832FBEF
+:046F50008A9732F8F2
+:046F51008A9632F5F5
+:046F52008A9532F2F8
+:046F53008A9332EFFC
+:046F54008A9232ECFF
+:046F55008A9132EA01
+:046F56008A9032E704
+:046F57008A8E32E408
+:046F58008A8D32E10B
+:046F59008A8C32DE0E
+:046F5A008A8B32DB11
+:046F5B008A8932D815
+:046F5C008A8832D518
+:046F5D008A8732D31A
+:046F5E008A8632D01D
+:046F5F008A8432CD21
+:046F60008A8332CA24
+:046F61008A8232C727
+:046F62008A8132C42A
+:046F63008A7F32C12E
+:046F64008A7E32BE31
+:046F65008A7D32BB34
+:046F66008A7C32B936
+:046F67008A7A32B63A
+:046F68008A7932B33D
+:046F69008A7832B040
+:046F6A008A7732AD43
+:046F6B008A7532AA47
+:046F6C008A7432A74A
+:046F6D008A7332A44D
+:046F6E008A7232A150
+:046F6F008A70329F53
+:046F70008A6F329C56
+:046F71008A6E329959
+:046F72008A6D32965C
+:046F73008A6B329360
+:046F74008A6A329063
+:046F75008A69328D66
+:046F76008A68328A69
+:046F77008A6632886C
+:046F78008A6532856F
+:046F79008A64328272
+:046F7A008A63327F75
+:046F7B008A62327C78
+:046F7C008A6032797C
+:046F7D008A5F32767F
+:046F7E008A5E327382
+:046F7F008A5D327085
+:046F80008A5B326E88
+:046F81008A5A326B8B
+:046F82008A5932688E
+:046F83008A58326591
+:046F84008A56326295
+:046F85008A55325F98
+:046F86008A54325C9B
+:046F87008A5332599E
+:046F88008A513256A2
+:046F89008A503254A4
+:046F8A008A4F3251A7
+:046F8B008A4E324EAA
+:046F8C008A4C324BAE
+:046F8D008A4B3248B1
+:046F8E008A4A3245B4
+:046F8F008A493242B7
+:046F90008A48323FBA
+:046F91008A46323CBE
+:046F92008A45323AC0
+:046F93008A443237C3
+:046F94008A433234C6
+:046F95008A413231CA
+:046F96008A40322ECD
+:046F97008A3F322BD0
+:046F98008A3E3228D3
+:046F99008A3C3225D7
+:046F9A008A3B3222DA
+:046F9B008A3A3220DC
+:046F9C008A39321DDF
+:046F9D008A38321AE2
+:046F9E008A363217E6
+:046F9F008A353214E9
+:046FA0008A343211EC
+:046FA1008A33320EEF
+:046FA2008A31320BF3
+:046FA3008A303208F6
+:046FA4008A2F3206F8
+:046FA5008A2E3203FB
+:046FA6008A2C3200FF
+:046FA7008A2B31FD03
+:046FA8008A2A31FA06
+:046FA9008A2931F709
+:046FAA008A2831F40C
+:046FAB008A2631F110
+:046FAC008A2531EE13
+:046FAD008A2431EB16
+:046FAE008A2331E918
+:046FAF008A2131E61C
+:046FB0008A2031E31F
+:046FB1008A1F31E022
+:046FB2008A1E31DD25
+:046FB3008A1D31DA28
+:046FB4008A1B31D72C
+:046FB5008A1A31D42F
+:046FB6008A1931D132
+:046FB7008A1831CF34
+:046FB8008A1631CC38
+:046FB9008A1531C93B
+:046FBA008A1431C63E
+:046FBB008A1331C341
+:046FBC008A1231C044
+:046FBD008A1031BD48
+:046FBE008A0F31BA4B
+:046FBF008A0E31B74E
+:046FC0008A0D31B550
+:046FC1008A0B31B254
+:046FC2008A0A31AF57
+:046FC3008A0931AC5A
+:046FC4008A0831A95D
+:046FC5008A0731A660
+:046FC6008A0531A364
+:046FC7008A0431A067
+:046FC8008A03319D6A
+:046FC9008A02319A6D
+:046FCA008A00319870
+:046FCB0089FF319574
+:046FCC0089FE319277
+:046FCD0089FD318F7A
+:046FCE0089FC318C7D
+:046FCF0089FA318981
+:046FD00089F9318684
+:046FD10089F8318387
+:046FD20089F731808A
+:046FD30089F6317D8D
+:046FD40089F4317B90
+:046FD50089F3317893
+:046FD60089F2317596
+:046FD70089F1317299
+:046FD80089EF316F9D
+:046FD90089EE316CA0
+:046FDA0089ED3169A3
+:046FDB0089EC3166A6
+:046FDC0089EB3163A9
+:046FDD0089E93161AC
+:046FDE0089E8315EAF
+:046FDF0089E7315BB2
+:046FE00089E63158B5
+:046FE10089E53155B8
+:046FE20089E33152BC
+:046FE30089E2314FBF
+:046FE40089E1314CC2
+:046FE50089E03149C5
+:046FE60089DF3146C8
+:046FE70089DD3144CB
+:046FE80089DC3141CE
+:046FE90089DB313ED1
+:046FEA0089DA313BD4
+:046FEB0089D83138D8
+:046FEC0089D73135DB
+:046FED0089D63132DE
+:046FEE0089D5312FE1
+:046FEF0089D4312CE4
+:046FF00089D23129E8
+:046FF10089D13127EA
+:046FF20089D03124ED
+:046FF30089CF3121F0
+:046FF40089CE311EF3
+:046FF50089CC311BF7
+:046FF60089CB3118FA
+:046FF70089CA3115FD
+:046FF80089C9311200
+:046FF90089C8310F03
+:046FFA0089C6310C07
+:046FFB0089C5310A09
+:046FFC0089C431070C
+:046FFD0089C331040F
+:046FFE0089C2310112
+:046FFF0089C030FE17
+:0470000089BF30FB19
+:0470010089BE30F81C
+:0470020089BD30F51F
+:0470030089BC30F222
+:0470040089BA30EF26
+:0470050089B930EC29
+:0470060089B830EA2B
+:0470070089B730E72E
+:0470080089B630E431
+:0470090089B430E135
+:04700A0089B330DE38
+:04700B0089B230DB3B
+:04700C0089B130D83E
+:04700D0089B030D541
+:04700E0089AE30D245
+:04700F0089AD30CF48
+:0470100089AC30CD4A
+:0470110089AB30CA4D
+:0470120089AA30C750
+:0470130089A830C454
+:0470140089A730C157
+:0470150089A630BE5A
+:0470160089A530BB5D
+:0470170089A430B860
+:0470180089A230B564
+:0470190089A130B267
+:04701A0089A030B069
+:04701B00899F30AD6C
+:04701C00899E30AA6F
+:04701D00899C30A773
+:04701E00899B30A476
+:04701F00899A30A179
+:047020008999309E7C
+:047021008998309B7F
+:047022008996309883
+:047023008995309586
+:047024008994309289
+:04702500899330908B
+:047026008992308D8E
+:047027008990308A92
+:04702800898F308795
+:04702900898E308498
+:04702A00898D30819B
+:04702B00898C307E9E
+:04702C00898B307BA1
+:04702D0089893078A5
+:04702E0089883075A8
+:04702F0089873072AB
+:0470300089863070AD
+:047031008985306DB0
+:047032008983306AB4
+:0470330089823067B7
+:0470340089813064BA
+:0470350089803061BD
+:04703600897F305EC0
+:04703700897D305BC4
+:04703800897C3058C7
+:04703900897B3055CA
+:04703A00897A3052CD
+:04703B0089793050CF
+:04703C008978304DD2
+:04703D008976304AD6
+:04703E0089753047D9
+:04703F0089743044DC
+:0470400089733041DF
+:047041008972303EE2
+:047042008970303BE6
+:04704300896F3038E9
+:04704400896E3035EC
+:04704500896D3032EF
+:04704600896C3030F1
+:04704700896B302DF4
+:047048008969302AF8
+:0470490089683027FB
+:04704A0089673024FE
+:04704B008966302101
+:04704C008965301E04
+:04704D008963301B08
+:04704E00896230180B
+:04704F00896130150E
+:047050008960301211
+:04705100895F301013
+:04705200895E300D16
+:04705300895C300A1A
+:04705400895B30071D
+:04705500895A300420
+:047056008959300123
+:0470570089582FFE27
+:0470580089562FFB2B
+:0470590089552FF82E
+:04705A0089542FF531
+:04705B0089532FF234
+:04705C0089522FF036
+:04705D0089512FED39
+:04705E00894F2FEA3D
+:04705F00894E2FE740
+:04706000894D2FE443
+:04706100894C2FE146
+:04706200894B2FDE49
+:04706300894A2FDB4C
+:0470640089482FD850
+:0470650089472FD553
+:0470660089462FD256
+:0470670089452FCF59
+:0470680089442FCD5B
+:0470690089422FCA5F
+:04706A0089412FC762
+:04706B0089402FC465
+:04706C00893F2FC168
+:04706D00893E2FBE6B
+:04706E00893D2FBB6E
+:04706F00893B2FB872
+:04707000893A2FB575
+:0470710089392FB278
+:0470720089382FAF7B
+:0470730089372FAC7E
+:0470740089362FAA80
+:0470750089342FA784
+:0470760089332FA487
+:0470770089322FA18A
+:0470780089312F9E8D
+:0470790089302F9B90
+:04707A00892F2F9893
+:04707B00892D2F9597
+:04707C00892C2F929A
+:04707D00892B2F8F9D
+:04707E00892A2F8CA0
+:04707F0089292F89A3
+:0470800089282F87A5
+:0470810089262F84A9
+:0470820089252F81AC
+:0470830089242F7EAF
+:0470840089232F7BB2
+:0470850089222F78B5
+:0470860089212F75B8
+:04708700891F2F72BC
+:04708800891E2F6FBF
+:04708900891D2F6CC2
+:04708A00891C2F69C5
+:04708B00891B2F66C8
+:04708C00891A2F64CA
+:04708D0089182F61CE
+:04708E0089172F5ED1
+:04708F0089162F5BD4
+:0470900089152F58D7
+:0470910089142F55DA
+:0470920089132F52DD
+:0470930089112F4FE1
+:0470940089102F4CE4
+:04709500890F2F49E7
+:04709600890E2F46EA
+:04709700890D2F43ED
+:04709800890C2F41EF
+:04709900890A2F3EF3
+:04709A0089092F3BF6
+:04709B0089082F38F9
+:04709C0089072F35FC
+:04709D0089062F32FF
+:04709E0089052F2F02
+:04709F0089042F2C05
+:0470A00089022F2909
+:0470A10089012F260C
+:0470A20089002F230F
+:0470A30088FF2F2013
+:0470A40088FE2F1E15
+:0470A50088FD2F1B18
+:0470A60088FB2F181C
+:0470A70088FA2F151F
+:0470A80088F92F1222
+:0470A90088F82F0F25
+:0470AA0088F72F0C28
+:0470AB0088F62F092B
+:0470AC0088F52F062E
+:0470AD0088F32F0332
+:0470AE0088F22F0035
+:0470AF0088F12EFD39
+:0470B00088F02EFA3C
+:0470B10088EF2EF83E
+:0470B20088EE2EF541
+:0470B30088EC2EF245
+:0470B40088EB2EEF48
+:0470B50088EA2EEC4B
+:0470B60088E92EE94E
+:0470B70088E82EE651
+:0470B80088E72EE354
+:0470B90088E62EE057
+:0470BA0088E42EDD5B
+:0470BB0088E32EDA5E
+:0470BC0088E22ED761
+:0470BD0088E12ED464
+:0470BE0088E02ED266
+:0470BF0088DF2ECF69
+:0470C00088DD2ECC6D
+:0470C10088DC2EC970
+:0470C20088DB2EC673
+:0470C30088DA2EC376
+:0470C40088D92EC079
+:0470C50088D82EBD7C
+:0470C60088D72EBA7F
+:0470C70088D52EB783
+:0470C80088D42EB486
+:0470C90088D32EB189
+:0470CA0088D22EAE8C
+:0470CB0088D12EAC8E
+:0470CC0088D02EA991
+:0470CD0088CF2EA694
+:0470CE0088CD2EA398
+:0470CF0088CC2EA09B
+:0470D00088CB2E9D9E
+:0470D10088CA2E9AA1
+:0470D20088C92E97A4
+:0470D30088C82E94A7
+:0470D40088C72E91AA
+:0470D50088C52E8EAE
+:0470D60088C42E8BB1
+:0470D70088C32E88B4
+:0470D80088C22E85B7
+:0470D90088C12E83B9
+:0470DA0088C02E80BC
+:0470DB0088BF2E7DBF
+:0470DC0088BD2E7AC3
+:0470DD0088BC2E77C6
+:0470DE0088BB2E74C9
+:0470DF0088BA2E71CC
+:0470E00088B92E6ECF
+:0470E10088B82E6BD2
+:0470E20088B72E68D5
+:0470E30088B52E65D9
+:0470E40088B42E62DC
+:0470E50088B32E5FDF
+:0470E60088B22E5CE2
+:0470E70088B12E5AE4
+:0470E80088B02E57E7
+:0470E90088AF2E54EA
+:0470EA0088AD2E51EE
+:0470EB0088AC2E4EF1
+:0470EC0088AB2E4BF4
+:0470ED0088AA2E48F7
+:0470EE0088A92E45FA
+:0470EF0088A82E42FD
+:0470F00088A72E3F00
+:0470F10088A62E3C03
+:0470F20088A42E3907
+:0470F30088A32E360A
+:0470F40088A22E330D
+:0470F50088A12E310F
+:0470F60088A02E2E12
+:0470F700889F2E2B15
+:0470F800889E2E2818
+:0470F900889C2E251C
+:0470FA00889B2E221F
+:0470FB00889A2E1F22
+:0470FC0088992E1C25
+:0470FD0088982E1928
+:0470FE0088972E162B
+:0470FF0088962E132E
+:0471000088952E1030
+:0471010088932E0D34
+:0471020088922E0A37
+:0471030088912E0839
+:0471040088902E053C
+:04710500888F2E023F
+:04710600888E2DFF43
+:04710700888D2DFC46
+:04710800888C2DF949
+:04710900888A2DF64D
+:04710A0088892DF350
+:04710B0088882DF053
+:04710C0088872DED56
+:04710D0088862DEA59
+:04710E0088852DE75C
+:04710F0088842DE45F
+:0471100088832DE162
+:0471110088812DDE66
+:0471120088802DDC68
+:04711300887F2DD96B
+:04711400887E2DD66E
+:04711500887D2DD371
+:04711600887C2DD074
+:04711700887B2DCD77
+:04711800887A2DCA7A
+:0471190088782DC77E
+:04711A0088772DC481
+:04711B0088762DC184
+:04711C0088752DBE87
+:04711D0088742DBB8A
+:04711E0088732DB88D
+:04711F0088722DB590
+:0471200088712DB293
+:04712100886F2DB096
+:04712200886E2DAD99
+:04712300886D2DAA9C
+:04712400886C2DA79F
+:04712500886B2DA4A2
+:04712600886A2DA1A5
+:0471270088692D9EA8
+:0471280088682D9BAB
+:0471290088662D98AF
+:04712A0088652D95B2
+:04712B0088642D92B5
+:04712C0088632D8FB8
+:04712D0088622D8CBB
+:04712E0088612D89BE
+:04712F0088602D86C1
+:04713000885F2D84C3
+:04713100885D2D81C7
+:04713200885C2D7ECA
+:04713300885B2D7BCD
+:04713400885A2D78D0
+:0471350088592D75D3
+:0471360088582D72D6
+:0471370088572D6FD9
+:0471380088562D6CDC
+:0471390088552D69DF
+:04713A0088532D66E3
+:04713B0088522D63E6
+:04713C0088512D60E9
+:04713D0088502D5DEC
+:04713E00884F2D5AEF
+:04713F00884E2D57F2
+:04714000884D2D55F4
+:04714100884C2D52F7
+:04714200884B2D4FFA
+:0471430088492D4CFE
+:0471440088482D4901
+:0471450088472D4604
+:0471460088462D4307
+:0471470088452D400A
+:0471480088442D3D0D
+:0471490088432D3A10
+:04714A0088422D3713
+:04714B0088412D3416
+:04714C00883F2D311A
+:04714D00883E2D2E1D
+:04714E00883D2D2B20
+:04714F00883C2D2823
+:04715000883B2D2625
+:04715100883A2D2328
+:0471520088392D202B
+:0471530088382D1D2E
+:0471540088372D1A31
+:0471550088352D1735
+:0471560088342D1438
+:0471570088332D113B
+:0471580088322D0E3E
+:0471590088312D0B41
+:04715A0088302D0844
+:04715B00882F2D0547
+:04715C00882E2D024A
+:04715D00882D2CFF4E
+:04715E00882C2CFC51
+:04715F00882A2CF955
+:0471600088292CF658
+:0471610088282CF45A
+:0471620088272CF15D
+:0471630088262CEE60
+:0471640088252CEB63
+:0471650088242CE866
+:0471660088232CE569
+:0471670088222CE26C
+:0471680088202CDF70
+:04716900881F2CDC73
+:04716A00881E2CD976
+:04716B00881D2CD679
+:04716C00881C2CD37C
+:04716D00881B2CD07F
+:04716E00881A2CCD82
+:04716F0088192CCA85
+:0471700088182CC788
+:0471710088172CC48B
+:0471720088162CC18E
+:0471730088142CBF91
+:0471740088132CBC94
+:0471750088122CB997
+:0471760088112CB69A
+:0471770088102CB39D
+:04717800880F2CB0A0
+:04717900880E2CADA3
+:04717A00880D2CAAA6
+:04717B00880C2CA7A9
+:04717C00880B2CA4AC
+:04717D0088092CA1B0
+:04717E0088082C9EB3
+:04717F0088072C9BB6
+:0471800088062C98B9
+:0471810088052C95BC
+:0471820088042C92BF
+:0471830088032C8FC2
+:0471840088022C8DC4
+:0471850088012C8AC7
+:0471860088002C87CA
+:0471870087FE2C84CF
+:0471880087FD2C81D2
+:0471890087FC2C7ED5
+:04718A0087FB2C7BD8
+:04718B0087FA2C78DB
+:04718C0087F92C75DE
+:04718D0087F82C72E1
+:04718E0087F72C6FE4
+:04718F0087F62C6CE7
+:0471900087F52C69EA
+:0471910087F42C66ED
+:0471920087F22C63F1
+:0471930087F12C60F4
+:0471940087F02C5DF7
+:0471950087EF2C5AFA
+:0471960087EE2C57FD
+:0471970087ED2C55FF
+:0471980087EC2C5202
+:0471990087EB2C4F05
+:04719A0087EA2C4C08
+:04719B0087E92C490B
+:04719C0087E82C460E
+:04719D0087E72C4311
+:04719E0087E52C4015
+:04719F0087E42C3D18
+:0471A00087E32C3A1B
+:0471A10087E22C371E
+:0471A20087E12C3421
+:0471A30087E02C3124
+:0471A40087DF2C2E27
+:0471A50087DE2C2B2A
+:0471A60087DD2C282D
+:0471A70087DC2C2530
+:0471A80087DB2C2233
+:0471A90087DA2C1F36
+:0471AA0087D82C1D39
+:0471AB0087D72C1A3C
+:0471AC0087D62C173F
+:0471AD0087D52C1442
+:0471AE0087D42C1145
+:0471AF0087D32C0E48
+:0471B00087D22C0B4B
+:0471B10087D12C084E
+:0471B20087D02C0551
+:0471B30087CF2C0254
+:0471B40087CE2BFF58
+:0471B50087CD2BFC5B
+:0471B60087CB2BF95F
+:0471B70087CA2BF662
+:0471B80087C92BF365
+:0471B90087C82BF068
+:0471BA0087C72BED6B
+:0471BB0087C62BEA6E
+:0471BC0087C52BE771
+:0471BD0087C42BE474
+:0471BE0087C32BE276
+:0471BF0087C22BDF79
+:0471C00087C12BDC7C
+:0471C10087C02BD97F
+:0471C20087BF2BD682
+:0471C30087BD2BD386
+:0471C40087BC2BD089
+:0471C50087BB2BCD8C
+:0471C60087BA2BCA8F
+:0471C70087B92BC792
+:0471C80087B82BC495
+:0471C90087B72BC198
+:0471CA0087B62BBE9B
+:0471CB0087B52BBB9E
+:0471CC0087B42BB8A1
+:0471CD0087B32BB5A4
+:0471CE0087B22BB2A7
+:0471CF0087B12BAFAA
+:0471D00087AF2BACAE
+:0471D10087AE2BA9B1
+:0471D20087AD2BA6B4
+:0471D30087AC2BA4B6
+:0471D40087AB2BA1B9
+:0471D50087AA2B9EBC
+:0471D60087A92B9BBF
+:0471D70087A82B98C2
+:0471D80087A72B95C5
+:0471D90087A62B92C8
+:0471DA0087A52B8FCB
+:0471DB0087A42B8CCE
+:0471DC0087A32B89D1
+:0471DD0087A22B86D4
+:0471DE0087A12B83D7
+:0471DF00879F2B80DB
+:0471E000879E2B7DDE
+:0471E100879D2B7AE1
+:0471E200879C2B77E4
+:0471E300879B2B74E7
+:0471E400879A2B71EA
+:0471E50087992B6EED
+:0471E60087982B6BF0
+:0471E70087972B68F3
+:0471E80087962B65F6
+:0471E90087952B63F8
+:0471EA0087942B60FB
+:0471EB0087932B5DFE
+:0471EC0087922B5A01
+:0471ED0087912B5704
+:0471EE00878F2B5408
+:0471EF00878E2B510B
+:0471F000878D2B4E0E
+:0471F100878C2B4B11
+:0471F200878B2B4814
+:0471F300878A2B4517
+:0471F40087892B421A
+:0471F50087882B3F1D
+:0471F60087872B3C20
+:0471F70087862B3923
+:0471F80087852B3626
+:0471F90087842B3329
+:0471FA0087832B302C
+:0471FB0087822B2D2F
+:0471FC0087812B2A32
+:0471FD0087802B2735
+:0471FE00877E2B2439
+:0471FF00877D2B213C
+:04720000877C2B1F3D
+:04720100877B2B1C40
+:04720200877A2B1943
+:0472030087792B1646
+:0472040087782B1349
+:0472050087772B104C
+:0472060087762B0D4F
+:0472070087752B0A52
+:0472080087742B0755
+:0472090087732B0458
+:04720A0087722B015B
+:04720B0087712AFE5F
+:04720C0087702AFB62
+:04720D00876F2AF865
+:04720E00876E2AF568
+:04720F00876D2AF26B
+:04721000876B2AEF6F
+:04721100876A2AEC72
+:0472120087692AE975
+:0472130087682AE678
+:0472140087672AE37B
+:0472150087662AE07E
+:0472160087652ADD81
+:0472170087642ADA84
+:0472180087632AD886
+:0472190087622AD589
+:04721A0087612AD28C
+:04721B0087602ACF8F
+:04721C00875F2ACC92
+:04721D00875E2AC995
+:04721E00875D2AC698
+:04721F00875C2AC39B
+:04722000875B2AC09E
+:04722100875A2ABDA1
+:0472220087592ABAA4
+:0472230087582AB7A7
+:0472240087562AB4AB
+:0472250087552AB1AE
+:0472260087542AAEB1
+:0472270087532AABB4
+:0472280087522AA8B7
+:0472290087512AA5BA
+:04722A0087502AA2BD
+:04722B00874F2A9FC0
+:04722C00874E2A9CC3
+:04722D00874D2A99C6
+:04722E00874C2A96C9
+:04722F00874B2A93CC
+:04723000874A2A90CF
+:0472310087492A8DD2
+:0472320087482A8BD4
+:0472330087472A88D7
+:0472340087462A85DA
+:0472350087452A82DD
+:0472360087442A7FE0
+:0472370087432A7CE3
+:0472380087422A79E6
+:0472390087412A76E9
+:04723A00873F2A73ED
+:04723B00873E2A70F0
+:04723C00873D2A6DF3
+:04723D00873C2A6AF6
+:04723E00873B2A67F9
+:04723F00873A2A64FC
+:0472400087392A61FF
+:0472410087382A5E02
+:0472420087372A5B05
+:0472430087362A5808
+:0472440087352A550B
+:0472450087342A520E
+:0472460087332A4F11
+:0472470087322A4C14
+:0472480087312A4917
+:0472490087302A461A
+:04724A00872F2A431D
+:04724B00872E2A4020
+:04724C00872D2A3D23
+:04724D00872C2A3A26
+:04724E00872B2A3828
+:04724F00872A2A352B
+:0472500087292A322E
+:0472510087282A2F31
+:0472520087272A2C34
+:0472530087262A2937
+:0472540087242A263B
+:0472550087232A233E
+:0472560087222A2041
+:0472570087212A1D44
+:0472580087202A1A47
+:04725900871F2A174A
+:04725A00871E2A144D
+:04725B00871D2A1150
+:04725C00871C2A0E53
+:04725D00871B2A0B56
+:04725E00871A2A0859
+:04725F0087192A055C
+:0472600087182A025F
+:04726100871729FF63
+:04726200871629FC66
+:04726300871529F969
+:04726400871429F66C
+:04726500871329F36F
+:04726600871229F072
+:04726700871129ED75
+:04726800871029EA78
+:04726900870F29E77B
+:04726A00870E29E47E
+:04726B00870D29E181
+:04726C00870C29DF83
+:04726D00870B29DC86
+:04726E00870A29D989
+:04726F00870929D68C
+:04727000870829D38F
+:04727100870729D092
+:04727200870629CD95
+:04727300870529CA98
+:04727400870429C79B
+:04727500870229C49F
+:04727600870129C1A2
+:04727700870029BEA5
+:0472780086FF29BBA9
+:0472790086FE29B8AC
+:04727A0086FD29B5AF
+:04727B0086FC29B2B2
+:04727C0086FB29AFB5
+:04727D0086FA29ACB8
+:04727E0086F929A9BB
+:04727F0086F829A6BE
+:0472800086F729A3C1
+:0472810086F629A0C4
+:0472820086F5299DC7
+:0472830086F4299ACA
+:0472840086F32997CD
+:0472850086F22994D0
+:0472860086F12991D3
+:0472870086F0298ED6
+:0472880086EF298BD9
+:0472890086EE2988DC
+:04728A0086ED2985DF
+:04728B0086EC2982E2
+:04728C0086EB297FE5
+:04728D0086EA297CE8
+:04728E0086E9297AEA
+:04728F0086E82977ED
+:0472900086E72974F0
+:0472910086E62971F3
+:0472920086E5296EF6
+:0472930086E4296BF9
+:0472940086E32968FC
+:0472950086E22965FF
+:0472960086E1296202
+:0472970086E0295F05
+:0472980086DF295C08
+:0472990086DE29590B
+:04729A0086DD29560E
+:04729B0086DC295311
+:04729C0086DB295014
+:04729D0086DA294D17
+:04729E0086D9294A1A
+:04729F0086D829471D
+:0472A00086D7294420
+:0472A10086D6294123
+:0472A20086D5293E26
+:0472A30086D4293B29
+:0472A40086D329382C
+:0472A50086D229352F
+:0472A60086D1293232
+:0472A70086D0292F35
+:0472A80086CF292C38
+:0472A90086CE29293B
+:0472AA0086CD29263E
+:0472AB0086CC292341
+:0472AC0086CB292044
+:0472AD0086CA291D47
+:0472AE0086C9291A4A
+:0472AF0086C829174D
+:0472B00086C7291450
+:0472B10086C5291154
+:0472B20086C4290E57
+:0472B30086C3290B5A
+:0472B40086C229095C
+:0472B50086C129065F
+:0472B60086C0290362
+:0472B70086BF290065
+:0472B80086BE28FD69
+:0472B90086BD28FA6C
+:0472BA0086BC28F76F
+:0472BB0086BB28F472
+:0472BC0086BA28F175
+:0472BD0086B928EE78
+:0472BE0086B828EB7B
+:0472BF0086B728E87E
+:0472C00086B628E581
+:0472C10086B528E284
+:0472C20086B428DF87
+:0472C30086B328DC8A
+:0472C40086B228D98D
+:0472C50086B128D690
+:0472C60086B028D393
+:0472C70086AF28D096
+:0472C80086AE28CD99
+:0472C90086AD28CA9C
+:0472CA0086AC28C79F
+:0472CB0086AB28C4A2
+:0472CC0086AA28C1A5
+:0472CD0086A928BEA8
+:0472CE0086A828BBAB
+:0472CF0086A728B8AE
+:0472D00086A628B5B1
+:0472D10086A528B2B4
+:0472D20086A428AFB7
+:0472D30086A328ACBA
+:0472D40086A228A9BD
+:0472D50086A128A6C0
+:0472D60086A028A3C3
+:0472D700869F28A0C6
+:0472D800869E289DC9
+:0472D900869D289ACC
+:0472DA00869C2897CF
+:0472DB00869B2894D2
+:0472DC00869A2891D5
+:0472DD008699288ED8
+:0472DE008698288BDB
+:0472DF0086972888DE
+:0472E00086962885E1
+:0472E10086952883E3
+:0472E20086942880E6
+:0472E3008693287DE9
+:0472E4008692287AEC
+:0472E50086912877EF
+:0472E60086902874F2
+:0472E700868F2871F5
+:0472E800868E286EF8
+:0472E900868D286BFB
+:0472EA00868D2868FD
+:0472EB00868C286500
+:0472EC00868B286203
+:0472ED00868A285F06
+:0472EE008689285C09
+:0472EF00868828590C
+:0472F000868728560F
+:0472F1008686285312
+:0472F2008685285015
+:0472F3008684284D18
+:0472F4008683284A1B
+:0472F500868228471E
+:0472F6008681284421
+:0472F7008680284124
+:0472F800867F283E27
+:0472F900867E283B2A
+:0472FA00867D28382D
+:0472FB00867C283530
+:0472FC00867B283233
+:0472FD00867A282F36
+:0472FE008679282C39
+:0472FF00867828293C
+:04730000867728263E
+:047301008676282341
+:047302008675282044
+:047303008674281D47
+:047304008673281A4A
+:04730500867228174D
+:047306008671281450
+:047307008670281153
+:04730800866F280E56
+:04730900866E280B59
+:04730A00866D28085C
+:04730B00866C28055F
+:04730C00866B280262
+:04730D00866A27FF66
+:04730E00866927FC69
+:04730F00866827F96C
+:04731000866727F66F
+:04731100866627F372
+:04731200866527F075
+:04731300866427ED78
+:04731400866327EA7B
+:04731500866227E77E
+:04731600866127E481
+:04731700866027E184
+:04731800865F27DE87
+:04731900865E27DB8A
+:04731A00865D27D98C
+:04731B00865C27D68F
+:04731C00865B27D392
+:04731D00865A27D095
+:04731E00865927CD98
+:04731F00865827CA9B
+:04732000865727C79E
+:04732100865627C4A1
+:04732200865527C1A4
+:04732300865427BEA7
+:04732400865327BBAA
+:04732500865227B8AD
+:04732600865227B5AF
+:04732700865127B2B2
+:04732800865027AFB5
+:04732900864F27ACB8
+:04732A00864E27A9BB
+:04732B00864D27A6BE
+:04732C00864C27A3C1
+:04732D00864B27A0C4
+:04732E00864A279DC7
+:04732F008649279ACA
+:0473300086482797CD
+:0473310086472794D0
+:0473320086462791D3
+:047333008645278ED6
+:047334008644278BD9
+:0473350086432788DC
+:0473360086422785DF
+:0473370086412782E2
+:047338008640277FE5
+:04733900863F277CE8
+:04733A00863E2779EB
+:04733B00863D2776EE
+:04733C00863C2773F1
+:04733D00863B2770F4
+:04733E00863A276DF7
+:04733F008639276AFA
+:0473400086382767FD
+:047341008637276400
+:047342008636276103
+:047343008635275E06
+:047344008634275B09
+:04734500863327580C
+:04734600863227550F
+:047347008632275211
+:047348008631274F14
+:047349008630274C17
+:04734A00862F27491A
+:04734B00862E27461D
+:04734C00862D274320
+:04734D00862C274023
+:04734E00862B273D26
+:04734F00862A273A29
+:04735000862927372C
+:04735100862827342F
+:047352008627273132
+:047353008626272E35
+:047354008625272B38
+:04735500862427283B
+:04735600862327253E
+:047357008622272241
+:047358008621271F44
+:047359008620271C47
+:04735A00861F27194A
+:04735B00861E27164D
+:04735C00861D271350
+:04735D00861C271053
+:04735E00861B270D56
+:04735F00861A270A59
+:04736000861927075C
+:04736100861927045E
+:047362008618270161
+:04736300861726FE65
+:04736400861626FB68
+:04736500861526F86B
+:04736600861426F56E
+:04736700861326F271
+:04736800861226EF74
+:04736900861126EC77
+:04736A00861026E97A
+:04736B00860F26E67D
+:04736C00860E26E380
+:04736D00860D26E083
+:04736E00860C26DD86
+:04736F00860B26DA89
+:04737000860A26D78C
+:04737100860926D48F
+:04737200860826D192
+:04737300860726CE95
+:04737400860626CB98
+:04737500860526C89B
+:04737600860426C59E
+:04737700860426C2A0
+:04737800860326BFA3
+:04737900860226BCA6
+:04737A00860126B9A9
+:04737B00860026B6AC
+:04737C0085FF26B4AF
+:04737D0085FE26B1B2
+:04737E0085FD26AEB5
+:04737F0085FC26ABB8
+:0473800085FB26A8BB
+:0473810085FA26A5BE
+:0473820085F926A2C1
+:0473830085F8269FC4
+:0473840085F7269CC7
+:0473850085F62699CA
+:0473860085F52696CD
+:0473870085F42693D0
+:0473880085F32690D3
+:0473890085F2268DD6
+:04738A0085F2268AD8
+:04738B0085F12687DB
+:04738C0085F02684DE
+:04738D0085EF2681E1
+:04738E0085EE267EE4
+:04738F0085ED267BE7
+:0473900085EC2678EA
+:0473910085EB2675ED
+:0473920085EA2672F0
+:0473930085E9266FF3
+:0473940085E8266CF6
+:0473950085E72669F9
+:0473960085E62666FC
+:0473970085E52663FF
+:0473980085E4266002
+:0473990085E3265D05
+:04739A0085E2265A08
+:04739B0085E126570B
+:04739C0085E126540D
+:04739D0085E0265110
+:04739E0085DF264E13
+:04739F0085DE264B16
+:0473A00085DD264819
+:0473A10085DC26451C
+:0473A20085DB26421F
+:0473A30085DA263F22
+:0473A40085D9263C25
+:0473A50085D8263928
+:0473A60085D726362B
+:0473A70085D626332E
+:0473A80085D5263031
+:0473A90085D4262D34
+:0473AA0085D3262A37
+:0473AB0085D226273A
+:0473AC0085D226243C
+:0473AD0085D126213F
+:0473AE0085D0261E42
+:0473AF0085CF261B45
+:0473B00085CE261848
+:0473B10085CD26154B
+:0473B20085CC26124E
+:0473B30085CB260F51
+:0473B40085CA260C54
+:0473B50085C9260957
+:0473B60085C826065A
+:0473B70085C726035D
+:0473B80085C6260060
+:0473B90085C525FD64
+:0473BA0085C425FA67
+:0473BB0085C325F76A
+:0473BC0085C325F46C
+:0473BD0085C225F16F
+:0473BE0085C125EE72
+:0473BF0085C025EB75
+:0473C00085BF25E878
+:0473C10085BE25E57B
+:0473C20085BD25E27E
+:0473C30085BC25DF81
+:0473C40085BB25DC84
+:0473C50085BA25D987
+:0473C60085B925D68A
+:0473C70085B825D38D
+:0473C80085B725D090
+:0473C90085B625CD93
+:0473CA0085B625CA95
+:0473CB0085B525C798
+:0473CC0085B425C49B
+:0473CD0085B325C19E
+:0473CE0085B225BEA1
+:0473CF0085B125BBA4
+:0473D00085B025B8A7
+:0473D10085AF25B5AA
+:0473D20085AE25B2AD
+:0473D30085AD25AFB0
+:0473D40085AC25ACB3
+:0473D50085AB25A9B6
+:0473D60085AA25A6B9
+:0473D70085AA25A3BB
+:0473D80085A925A0BE
+:0473D90085A8259DC1
+:0473DA0085A7259AC4
+:0473DB0085A62597C7
+:0473DC0085A52594CA
+:0473DD0085A42591CD
+:0473DE0085A3258ED0
+:0473DF0085A2258BD3
+:0473E00085A12588D6
+:0473E10085A02585D9
+:0473E200859F2582DC
+:0473E300859E257FDF
+:0473E400859E257CE1
+:0473E500859D2579E4
+:0473E600859C2576E7
+:0473E700859B2573EA
+:0473E800859A2570ED
+:0473E9008599256DF0
+:0473EA008598256AF3
+:0473EB0085972567F6
+:0473EC0085962564F9
+:0473ED0085952561FC
+:0473EE008594255EFF
+:0473EF008593255B02
+:0473F0008593255804
+:0473F1008592255507
+:0473F200859125520A
+:0473F3008590254F0D
+:0473F400858F254C10
+:0473F500858E254913
+:0473F600858D254616
+:0473F700858C254319
+:0473F800858B25401C
+:0473F900858A253D1F
+:0473FA008589253A22
+:0473FB008588253626
+:0473FC008588253328
+:0473FD00858725302B
+:0473FE008586252D2E
+:0473FF008585252A31
+:047400008584252733
+:047401008583252436
+:047402008582252139
+:047403008581251E3C
+:047404008580251B3F
+:04740500857F251842
+:04740600857E251545
+:04740700857E251247
+:04740800857D250F4A
+:04740900857C250C4D
+:04740A00857B250950
+:04740B00857A250653
+:04740C008579250356
+:04740D008578250059
+:04740E00857724FD5D
+:04740F00857624FA60
+:04741000857524F763
+:04741100857424F466
+:04741200857424F168
+:04741300857324EE6B
+:04741400857224EB6E
+:04741500857124E871
+:04741600857024E574
+:04741700856F24E277
+:04741800856E24DF7A
+:04741900856D24DC7D
+:04741A00856C24D980
+:04741B00856B24D683
+:04741C00856A24D386
+:04741D00856A24D088
+:04741E00856924CD8B
+:04741F00856824CA8E
+:04742000856724C791
+:04742100856624C494
+:04742200856524C197
+:04742300856424BE9A
+:04742400856324BB9D
+:04742500856224B8A0
+:04742600856124B5A3
+:04742700856124B2A5
+:04742800856024AFA8
+:04742900855F24ACAB
+:04742A00855E24A9AE
+:04742B00855D24A6B1
+:04742C00855C24A3B4
+:04742D00855B24A0B7
+:04742E00855A249DBA
+:04742F008559249ABD
+:0474300085582497C0
+:0474310085582494C2
+:0474320085572491C5
+:047433008556248EC8
+:047434008555248BCB
+:0474350085542488CE
+:0474360085532485D1
+:0474370085522482D4
+:047438008551247FD7
+:047439008550247CDA
+:04743A00854F2479DD
+:04743B00854F2476DF
+:04743C00854E2473E2
+:04743D00854D2470E5
+:04743E00854C246DE8
+:04743F00854B246AEB
+:04744000854A2467EE
+:0474410085492464F1
+:0474420085482461F4
+:047443008547245EF7
+:047444008547245BF9
+:0474450085462458FC
+:0474460085452455FF
+:047447008544245202
+:047448008543244F05
+:047449008542244C08
+:04744A00854124490B
+:04744B00854024460E
+:04744C00853F244311
+:04744D00853F244013
+:04744E00853E243D16
+:04744F00853D243A19
+:04745000853C24371C
+:04745100853B24341F
+:04745200853A243122
+:047453008539242E25
+:047454008538242B28
+:04745500853724282B
+:04745600853724252D
+:047457008536242230
+:047458008535241F33
+:047459008534241C36
+:04745A008533241939
+:04745B00853224163C
+:04745C00853124133F
+:04745D008530241042
+:04745E00852F240D45
+:04745F00852F240948
+:04746000852E24064B
+:04746100852D24034E
+:04746200852C240051
+:04746300852B23FD55
+:04746400852A23FA58
+:04746500852923F75B
+:04746600852823F45E
+:04746700852723F161
+:04746800852723EE63
+:04746900852623EB66
+:04746A00852523E869
+:04746B00852423E56C
+:04746C00852323E26F
+:04746D00852223DF72
+:04746E00852123DC75
+:04746F00852023D978
+:04747000852023D67A
+:04747100851F23D37D
+:04747200851E23D080
+:04747300851D23CD83
+:04747400851C23CA86
+:04747500851B23C789
+:04747600851A23C48C
+:04747700851923C18F
+:04747800851923BE91
+:04747900851823BB94
+:04747A00851723B897
+:04747B00851623B59A
+:04747C00851523B29D
+:04747D00851423AFA0
+:04747E00851323ACA3
+:04747F00851223A9A6
+:04748000851223A6A8
+:04748100851123A3AB
+:04748200851023A0AE
+:04748300850F239DB1
+:04748400850E239AB4
+:04748500850D2397B7
+:04748600850C2394BA
+:04748700850B2391BD
+:04748800850B238EBF
+:04748900850A238BC2
+:04748A0085092388C5
+:04748B0085082385C8
+:04748C0085072382CB
+:04748D008506237FCE
+:04748E008505237CD1
+:04748F0085042379D4
+:0474900085042376D6
+:0474910085032373D9
+:0474920085022370DC
+:047493008501236DDF
+:047494008500236AE2
+:0474950084FF2367E6
+:0474960084FE2364E9
+:0474970084FD2361EC
+:0474980084FD235EEE
+:0474990084FC235BF1
+:04749A0084FB2358F4
+:04749B0084FA2354F8
+:04749C0084F92351FB
+:04749D0084F8234EFE
+:04749E0084F7234B01
+:04749F0084F7234803
+:0474A00084F6234506
+:0474A10084F5234209
+:0474A20084F4233F0C
+:0474A30084F3233C0F
+:0474A40084F2233912
+:0474A50084F1233615
+:0474A60084F0233318
+:0474A70084F023301A
+:0474A80084EF232D1D
+:0474A90084EE232A20
+:0474AA0084ED232723
+:0474AB0084EC232426
+:0474AC0084EB232129
+:0474AD0084EA231E2C
+:0474AE0084EA231B2E
+:0474AF0084E9231831
+:0474B00084E8231534
+:0474B10084E7231237
+:0474B20084E6230F3A
+:0474B30084E5230C3D
+:0474B40084E4230940
+:0474B50084E4230642
+:0474B60084E3230345
+:0474B70084E2230048
+:0474B80084E122FD4C
+:0474B90084E022FA4F
+:0474BA0084DF22F752
+:0474BB0084DE22F455
+:0474BC0084DE22F157
+:0474BD0084DD22EE5A
+:0474BE0084DC22EB5D
+:0474BF0084DB22E860
+:0474C00084DA22E563
+:0474C10084D922E266
+:0474C20084D822DF69
+:0474C30084D822DC6B
+:0474C40084D722D96E
+:0474C50084D622D671
+:0474C60084D522D374
+:0474C70084D422D077
+:0474C80084D322CD7A
+:0474C90084D222CA7D
+:0474CA0084D222C680
+:0474CB0084D122C383
+:0474CC0084D022C086
+:0474CD0084CF22BD89
+:0474CE0084CE22BA8C
+:0474CF0084CD22B78F
+:0474D00084CC22B492
+:0474D10084CC22B194
+:0474D20084CB22AE97
+:0474D30084CA22AB9A
+:0474D40084C922A89D
+:0474D50084C822A5A0
+:0474D60084C722A2A3
+:0474D70084C7229FA5
+:0474D80084C6229CA8
+:0474D90084C52299AB
+:0474DA0084C42296AE
+:0474DB0084C32293B1
+:0474DC0084C22290B4
+:0474DD0084C1228DB7
+:0474DE0084C1228AB9
+:0474DF0084C02287BC
+:0474E00084BF2284BF
+:0474E10084BE2281C2
+:0474E20084BD227EC5
+:0474E30084BC227BC8
+:0474E40084BB2278CB
+:0474E50084BB2275CD
+:0474E60084BA2272D0
+:0474E70084B9226FD3
+:0474E80084B8226CD6
+:0474E90084B72269D9
+:0474EA0084B62266DC
+:0474EB0084B62263DE
+:0474EC0084B52260E1
+:0474ED0084B4225DE4
+:0474EE0084B3225AE7
+:0474EF0084B22257EA
+:0474F00084B12254ED
+:0474F10084B12251EF
+:0474F20084B0224DF3
+:0474F30084AF224AF6
+:0474F40084AE2247F9
+:0474F50084AD2244FC
+:0474F60084AC2241FF
+:0474F70084AB223E02
+:0474F80084AB223B04
+:0474F90084AA223807
+:0474FA0084A922350A
+:0474FB0084A822320D
+:0474FC0084A7222F10
+:0474FD0084A6222C13
+:0474FE0084A6222915
+:0474FF0084A5222618
+:0475000084A422231A
+:0475010084A322201D
+:0475020084A2221D20
+:0475030084A1221A23
+:0475040084A1221725
+:0475050084A0221428
+:04750600849F22112B
+:04750700849E220E2E
+:04750800849D220B31
+:04750900849C220834
+:04750A00849C220536
+:04750B00849B220239
+:04750C00849A21FF3D
+:04750D00849921FC40
+:04750E00849821F943
+:04750F00849721F646
+:04751000849721F348
+:04751100849621F04B
+:04751200849521ED4E
+:04751300849421EA51
+:04751400849321E754
+:04751500849221E457
+:04751600849221E05A
+:04751700849121DD5D
+:04751800849021DA60
+:04751900848F21D763
+:04751A00848E21D466
+:04751B00848D21D169
+:04751C00848D21CE6B
+:04751D00848C21CB6E
+:04751E00848B21C871
+:04751F00848A21C574
+:04752000848921C277
+:04752100848821BF7A
+:04752200848821BC7C
+:04752300848721B97F
+:04752400848621B682
+:04752500848521B385
+:04752600848421B088
+:04752700848321AD8B
+:04752800848321AA8D
+:04752900848221A790
+:04752A00848121A493
+:04752B00848021A196
+:04752C00847F219E99
+:04752D00847F219B9B
+:04752E00847E21989E
+:04752F00847D2195A1
+:04753000847C2192A4
+:04753100847B218FA7
+:04753200847A218CAA
+:04753300847A2189AC
+:0475340084792186AF
+:0475350084782183B2
+:0475360084772180B5
+:047537008476217CB9
+:0475380084752179BC
+:0475390084752176BE
+:04753A0084742173C1
+:04753B0084732170C4
+:04753C008472216DC7
+:04753D008471216ACA
+:04753E0084712167CC
+:04753F0084702164CF
+:04754000846F2161D2
+:04754100846E215ED5
+:04754200846D215BD8
+:04754300846C2158DB
+:04754400846C2155DD
+:04754500846B2152E0
+:04754600846A214FE3
+:047547008469214CE6
+:0475480084682149E9
+:0475490084682146EB
+:04754A0084672143EE
+:04754B0084662140F1
+:04754C008465213DF4
+:04754D008464213AF7
+:04754E0084632137FA
+:04754F0084632134FC
+:0475500084622131FF
+:047551008461212E02
+:047552008460212B05
+:04755300845F212808
+:04755400845F21250A
+:04755500845E21210E
+:04755600845D211E11
+:04755700845C211B14
+:04755800845B211817
+:04755900845A21151A
+:04755A00845A21121C
+:04755B008459210F1F
+:04755C008458210C22
+:04755D008457210925
+:04755E008456210628
+:04755F00845621032A
+:04756000845521002D
+:04756100845420FD31
+:04756200845320FA34
+:04756300845220F737
+:04756400845220F439
+:04756500845120F13C
+:04756600845020EE3F
+:04756700844F20EB42
+:04756800844E20E845
+:04756900844E20E547
+:04756A00844D20E24A
+:04756B00844C20DF4D
+:04756C00844B20DC50
+:04756D00844A20D953
+:04756E00844A20D655
+:04756F00844920D358
+:04757000844820D05B
+:04757100844720CC5F
+:04757200844620C962
+:04757300844520C665
+:04757400844520C367
+:04757500844420C06A
+:04757600844320BD6D
+:04757700844220BA70
+:04757800844120B773
+:04757900844120B475
+:04757A00844020B178
+:04757B00843F20AE7B
+:04757C00843E20AB7E
+:04757D00843D20A881
+:04757E00843D20A583
+:04757F00843C20A286
+:04758000843B209F89
+:04758100843A209C8C
+:04758200843920998F
+:047583008439209691
+:047584008438209394
+:047585008437209097
+:047586008436208D9A
+:047587008435208A9D
+:04758800843520879F
+:0475890084342084A2
+:04758A0084332081A5
+:04758B008432207EA8
+:04758C008431207AAC
+:04758D0084312077AE
+:04758E0084302074B1
+:04758F00842F2071B4
+:04759000842E206EB7
+:04759100842D206BBA
+:04759200842D2068BC
+:04759300842C2065BF
+:04759400842B2062C2
+:04759500842A205FC5
+:04759600842A205CC7
+:0475970084292059CA
+:0475980084282056CD
+:0475990084272053D0
+:04759A0084262050D3
+:04759B008426204DD5
+:04759C008425204AD8
+:04759D0084242047DB
+:04759E0084232044DE
+:04759F0084222041E1
+:0475A0008422203EE3
+:0475A1008421203BE6
+:0475A20084202038E9
+:0475A300841F2035EC
+:0475A400841E2032EF
+:0475A500841E202EF2
+:0475A600841D202BF5
+:0475A700841C2028F8
+:0475A800841B2025FB
+:0475A900841A2022FE
+:0475AA00841A201F00
+:0475AB008419201C03
+:0475AC008418201906
+:0475AD008417201609
+:0475AE00841720130B
+:0475AF00841620100E
+:0475B0008415200D11
+:0475B1008414200A14
+:0475B2008413200717
+:0475B3008413200419
+:0475B400841220011C
+:0475B50084111FFE20
+:0475B60084101FFB23
+:0475B700840F1FF826
+:0475B800840F1FF528
+:0475B900840E1FF22B
+:0475BA00840D1FEF2E
+:0475BB00840C1FEC31
+:0475BC00840C1FE933
+:0475BD00840B1FE537
+:0475BE00840A1FE23A
+:0475BF0084091FDF3D
+:0475C00084081FDC40
+:0475C10084081FD942
+:0475C20084071FD645
+:0475C30084061FD348
+:0475C40084051FD04B
+:0475C50084041FCD4E
+:0475C60084041FCA50
+:0475C70084031FC753
+:0475C80084021FC456
+:0475C90084011FC159
+:0475CA0084011FBE5B
+:0475CB0084001FBB5E
+:0475CC0083FF1FB862
+:0475CD0083FE1FB565
+:0475CE0083FD1FB268
+:0475CF0083FD1FAF6A
+:0475D00083FC1FAC6D
+:0475D10083FB1FA970
+:0475D20083FA1FA673
+:0475D30083FA1FA375
+:0475D40083F91F9F79
+:0475D50083F81F9C7C
+:0475D60083F71F997F
+:0475D70083F61F9682
+:0475D80083F61F9384
+:0475D90083F51F9087
+:0475DA0083F41F8D8A
+:0475DB0083F31F8A8D
+:0475DC0083F31F878F
+:0475DD0083F21F8492
+:0475DE0083F11F8195
+:0475DF0083F01F7E98
+:0475E00083F01F7B9A
+:0475E10083EF1F789D
+:0475E20083EE1F75A0
+:0475E30083ED1F72A3
+:0475E40083EC1F6FA6
+:0475E50083EC1F6CA8
+:0475E60083EB1F69AB
+:0475E70083EA1F66AE
+:0475E80083E91F63B1
+:0475E90083E91F60B3
+:0475EA0083E81F5DB6
+:0475EB0083E71F59BA
+:0475EC0083E61F56BD
+:0475ED0083E61F53BF
+:0475EE0083E51F50C2
+:0475EF0083E41F4DC5
+:0475F00083E31F4AC8
+:0475F10083E21F47CB
+:0475F20083E21F44CD
+:0475F30083E11F41D0
+:0475F40083E01F3ED3
+:0475F50083DF1F3BD6
+:0475F60083DF1F38D8
+:0475F70083DE1F35DB
+:0475F80083DD1F32DE
+:0475F90083DC1F2FE1
+:0475FA0083DC1F2CE3
+:0475FB0083DB1F29E6
+:0475FC0083DA1F26E9
+:0475FD0083D91F23EC
+:0475FE0083D81F20EF
+:0475FF0083D81F1DF1
+:0476000083D71F19F4
+:0476010083D61F16F7
+:0476020083D51F13FA
+:0476030083D51F10FC
+:0476040083D41F0DFF
+:0476050083D31F0A02
+:0476060083D21F0705
+:0476070083D21F0407
+:0476080083D11F010A
+:0476090083D01EFE0E
+:04760A0083CF1EFB11
+:04760B0083CF1EF813
+:04760C0083CE1EF516
+:04760D0083CD1EF219
+:04760E0083CC1EEF1C
+:04760F0083CC1EEC1E
+:0476100083CB1EE921
+:0476110083CA1EE624
+:0476120083C91EE327
+:0476130083C91EE029
+:0476140083C81EDD2C
+:0476150083C71ED930
+:0476160083C61ED633
+:0476170083C51ED336
+:0476180083C51ED038
+:0476190083C41ECD3B
+:04761A0083C31ECA3E
+:04761B0083C21EC741
+:04761C0083C21EC443
+:04761D0083C11EC146
+:04761E0083C01EBE49
+:04761F0083BF1EBB4C
+:0476200083BF1EB84E
+:0476210083BE1EB551
+:0476220083BD1EB254
+:0476230083BC1EAF57
+:0476240083BC1EAC59
+:0476250083BB1EA95C
+:0476260083BA1EA65F
+:0476270083B91EA362
+:0476280083B91EA064
+:0476290083B81E9C68
+:04762A0083B71E996B
+:04762B0083B61E966E
+:04762C0083B61E9370
+:04762D0083B51E9073
+:04762E0083B41E8D76
+:04762F0083B31E8A79
+:0476300083B31E877B
+:0476310083B21E847E
+:0476320083B11E8181
+:0476330083B01E7E84
+:0476340083B01E7B86
+:0476350083AF1E7889
+:0476360083AE1E758C
+:0476370083AD1E728F
+:0476380083AD1E6F91
+:0476390083AC1E6C94
+:04763A0083AB1E6997
+:04763B0083AA1E669A
+:04763C0083AA1E639C
+:04763D0083A91E5FA0
+:04763E0083A81E5CA3
+:04763F0083A71E59A6
+:0476400083A71E56A8
+:0476410083A61E53AB
+:0476420083A51E50AE
+:0476430083A41E4DB1
+:0476440083A41E4AB3
+:0476450083A31E47B6
+:0476460083A21E44B9
+:0476470083A11E41BC
+:0476480083A11E3EBE
+:0476490083A01E3BC1
+:04764A00839F1E38C4
+:04764B00839F1E35C6
+:04764C00839E1E32C9
+:04764D00839D1E2FCC
+:04764E00839C1E2CCF
+:04764F00839C1E29D1
+:04765000839B1E25D5
+:04765100839A1E22D8
+:0476520083991E1FDB
+:0476530083991E1CDD
+:0476540083981E19E0
+:0476550083971E16E3
+:0476560083961E13E6
+:0476570083961E10E8
+:0476580083951E0DEB
+:0476590083941E0AEE
+:04765A0083931E07F1
+:04765B0083931E04F3
+:04765C0083921E01F6
+:04765D0083911DFEFA
+:04765E0083901DFBFD
+:04765F0083901DF8FF
+:04766000838F1DF502
+:04766100838E1DF205
+:04766200838E1DEF07
+:04766300838D1DEB0B
+:04766400838C1DE80E
+:04766500838B1DE511
+:04766600838B1DE213
+:04766700838A1DDF16
+:0476680083891DDC19
+:0476690083881DD91C
+:04766A0083881DD61E
+:04766B0083871DD321
+:04766C0083861DD024
+:04766D0083851DCD27
+:04766E0083851DCA29
+:04766F0083841DC72C
+:0476700083831DC42F
+:0476710083831DC131
+:0476720083821DBE34
+:0476730083811DBB37
+:0476740083801DB83A
+:0476750083801DB43D
+:04767600837F1DB140
+:04767700837E1DAE43
+:04767800837D1DAB46
+:04767900837D1DA848
+:04767A00837C1DA54B
+:04767B00837B1DA24E
+:04767C00837B1D9F50
+:04767D00837A1D9C53
+:04767E0083791D9956
+:04767F0083781D9659
+:0476800083781D935B
+:0476810083771D905E
+:0476820083761D8D61
+:0476830083751D8A64
+:0476840083751D8766
+:0476850083741D8469
+:0476860083731D816C
+:0476870083731D7D6F
+:0476880083721D7A72
+:0476890083711D7775
+:04768A0083701D7478
+:04768B0083701D717A
+:04768C00836F1D6E7D
+:04768D00836E1D6B80
+:04768E00836E1D6882
+:04768F00836D1D6585
+:04769000836C1D6288
+:04769100836B1D5F8B
+:04769200836B1D5C8D
+:04769300836A1D5990
+:0476940083691D5693
+:0476950083681D5396
+:0476960083681D5098
+:0476970083671D4D9B
+:0476980083661D499F
+:0476990083661D46A1
+:04769A0083651D43A4
+:04769B0083641D40A7
+:04769C0083631D3DAA
+:04769D0083631D3AAC
+:04769E0083621D37AF
+:04769F0083611D34B2
+:0476A00083611D31B4
+:0476A10083601D2EB7
+:0476A200835F1D2BBA
+:0476A300835E1D28BD
+:0476A400835E1D25BF
+:0476A500835D1D22C2
+:0476A600835C1D1FC5
+:0476A700835C1D1CC7
+:0476A800835B1D19CA
+:0476A900835A1D15CE
+:0476AA0083591D12D1
+:0476AB0083591D0FD3
+:0476AC0083581D0CD6
+:0476AD0083571D09D9
+:0476AE0083571D06DB
+:0476AF0083561D03DE
+:0476B00083551D00E1
+:0476B10083541CFDE5
+:0476B20083541CFAE7
+:0476B30083531CF7EA
+:0476B40083521CF4ED
+:0476B50083521CF1EF
+:0476B60083511CEEF2
+:0476B70083501CEBF5
+:0476B800834F1CE8F8
+:0476B900834F1CE5FA
+:0476BA00834E1CE1FE
+:0476BB00834D1CDE01
+:0476BC00834D1CDB03
+:0476BD00834C1CD806
+:0476BE00834B1CD509
+:0476BF00834B1CD20B
+:0476C000834A1CCF0E
+:0476C10083491CCC11
+:0476C20083481CC914
+:0476C30083481CC616
+:0476C40083471CC319
+:0476C50083461CC01C
+:0476C60083461CBD1E
+:0476C70083451CBA21
+:0476C80083441CB724
+:0476C90083431CB427
+:0476CA0083431CB02A
+:0476CB0083421CAD2D
+:0476CC0083411CAA30
+:0476CD0083411CA732
+:0476CE0083401CA435
+:0476CF00833F1CA138
+:0476D000833F1C9E3A
+:0476D100833E1C9B3D
+:0476D200833D1C9840
+:0476D300833C1C9543
+:0476D400833C1C9245
+:0476D500833B1C8F48
+:0476D600833A1C8C4B
+:0476D700833A1C894D
+:0476D80083391C8650
+:0476D90083381C8353
+:0476DA0083381C7F56
+:0476DB0083371C7C59
+:0476DC0083361C795C
+:0476DD0083351C765F
+:0476DE0083351C7361
+:0476DF0083341C7064
+:0476E00083331C6D67
+:0476E10083331C6A69
+:0476E20083321C676C
+:0476E30083311C646F
+:0476E40083311C6171
+:0476E50083301C5E74
+:0476E600832F1C5B77
+:0476E700832E1C587A
+:0476E800832E1C557C
+:0476E900832D1C527F
+:0476EA00832C1C4E83
+:0476EB00832C1C4B85
+:0476EC00832B1C4888
+:0476ED00832A1C458B
+:0476EE00832A1C428D
+:0476EF0083291C3F90
+:0476F00083281C3C93
+:0476F10083281C3995
+:0476F20083271C3698
+:0476F30083261C339B
+:0476F40083251C309E
+:0476F50083251C2DA0
+:0476F60083241C2AA3
+:0476F70083231C27A6
+:0476F80083231C24A8
+:0476F90083221C21AB
+:0476FA0083211C1DAF
+:0476FB0083211C1AB1
+:0476FC0083201C17B4
+:0476FD00831F1C14B7
+:0476FE00831F1C11B9
+:0476FF00831E1C0EBC
+:04770000831D1C0BBE
+:04770100831C1C08C1
+:04770200831C1C05C3
+:04770300831B1C02C6
+:04770400831A1BFFCA
+:04770500831A1BFCCC
+:0477060083191BF9CF
+:0477070083181BF6D2
+:0477080083181BF3D4
+:0477090083171BEFD8
+:04770A0083161BECDB
+:04770B0083161BE9DD
+:04770C0083151BE6E0
+:04770D0083141BE3E3
+:04770E0083141BE0E5
+:04770F0083131BDDE8
+:0477100083121BDAEB
+:0477110083111BD7EE
+:0477120083111BD4F0
+:0477130083101BD1F3
+:04771400830F1BCEF6
+:04771500830F1BCBF8
+:04771600830E1BC8FB
+:04771700830D1BC5FE
+:04771800830D1BC101
+:04771900830C1BBE04
+:04771A00830B1BBB07
+:04771B00830B1BB809
+:04771C00830A1BB50C
+:04771D0083091BB20F
+:04771E0083091BAF11
+:04771F0083081BAC14
+:0477200083071BA917
+:0477210083071BA619
+:0477220083061BA31C
+:0477230083051BA01F
+:0477240083051B9D21
+:0477250083041B9A24
+:0477260083031B9727
+:0477270083031B932A
+:0477280083021B902D
+:0477290083011B8D30
+:04772A0083001B8A33
+:04772B0083001B8735
+:04772C0082FF1B8439
+:04772D0082FE1B813C
+:04772E0082FE1B7E3E
+:04772F0082FD1B7B41
+:0477300082FC1B7844
+:0477310082FC1B7546
+:0477320082FB1B7249
+:0477330082FA1B6F4C
+:0477340082FA1B6C4E
+:0477350082F91B6951
+:0477360082F81B6555
+:0477370082F81B6257
+:0477380082F71B5F5A
+:0477390082F61B5C5D
+:04773A0082F61B595F
+:04773B0082F51B5662
+:04773C0082F41B5365
+:04773D0082F41B5067
+:04773E0082F31B4D6A
+:04773F0082F21B4A6D
+:0477400082F21B476F
+:0477410082F11B4472
+:0477420082F01B4175
+:0477430082F01B3E77
+:0477440082EF1B3A7B
+:0477450082EE1B377E
+:0477460082EE1B3480
+:0477470082ED1B3183
+:0477480082EC1B2E86
+:0477490082EC1B2B88
+:04774A0082EB1B288B
+:04774B0082EA1B258E
+:04774C0082EA1B2290
+:04774D0082E91B1F93
+:04774E0082E81B1C96
+:04774F0082E81B1998
+:0477500082E71B169B
+:0477510082E61B139E
+:0477520082E61B10A0
+:0477530082E51B0CA4
+:0477540082E41B09A7
+:0477550082E41B06A9
+:0477560082E31B03AC
+:0477570082E21B00AF
+:0477580082E21AFDB2
+:0477590082E11AFAB5
+:04775A0082E01AF7B8
+:04775B0082E01AF4BA
+:04775C0082DF1AF1BD
+:04775D0082DE1AEEC0
+:04775E0082DE1AEBC2
+:04775F0082DD1AE8C5
+:0477600082DC1AE5C8
+:0477610082DC1AE1CB
+:0477620082DB1ADECE
+:0477630082DA1ADBD1
+:0477640082DA1AD8D3
+:0477650082D91AD5D6
+:0477660082D81AD2D9
+:0477670082D81ACFDB
+:0477680082D71ACCDE
+:0477690082D61AC9E1
+:04776A0082D61AC6E3
+:04776B0082D51AC3E6
+:04776C0082D51AC0E8
+:04776D0082D41ABDEB
+:04776E0082D31ABAEE
+:04776F0082D31AB6F1
+:0477700082D21AB3F4
+:0477710082D11AB0F7
+:0477720082D11AADF9
+:0477730082D01AAAFC
+:0477740082CF1AA7FF
+:0477750082CF1AA401
+:0477760082CE1AA104
+:0477770082CD1A9E07
+:0477780082CD1A9B09
+:0477790082CC1A980C
+:04777A0082CB1A950F
+:04777B0082CB1A9211
+:04777C0082CA1A8F14
+:04777D0082C91A8B18
+:04777E0082C91A881A
+:04777F0082C81A851D
+:0477800082C71A8220
+:0477810082C71A7F22
+:0477820082C61A7C25
+:0477830082C61A7927
+:0477840082C51A762A
+:0477850082C41A732D
+:0477860082C41A702F
+:0477870082C31A6D32
+:0477880082C21A6A35
+:0477890082C21A6737
+:04778A0082C11A633B
+:04778B0082C01A603E
+:04778C0082C01A5D40
+:04778D0082BF1A5A43
+:04778E0082BE1A5746
+:04778F0082BE1A5448
+:0477900082BD1A514B
+:0477910082BC1A4E4E
+:0477920082BC1A4B50
+:0477930082BB1A4853
+:0477940082BA1A4556
+:0477950082BA1A4258
+:0477960082B91A3F5B
+:0477970082B91A3C5D
+:0477980082B81A3861
+:0477990082B71A3564
+:04779A0082B71A3266
+:04779B0082B61A2F69
+:04779C0082B51A2C6C
+:04779D0082B51A296E
+:04779E0082B41A2671
+:04779F0082B31A2374
+:0477A00082B31A2076
+:0477A10082B21A1D79
+:0477A20082B21A1A7B
+:0477A30082B11A177E
+:0477A40082B01A1481
+:0477A50082B01A1084
+:0477A60082AF1A0D87
+:0477A70082AE1A0A8A
+:0477A80082AE1A078C
+:0477A90082AD1A048F
+:0477AA0082AC1A0192
+:0477AB0082AC19FE95
+:0477AC0082AB19FB98
+:0477AD0082AA19F89B
+:0477AE0082AA19F59D
+:0477AF0082A919F2A0
+:0477B00082A919EFA2
+:0477B10082A819ECA5
+:0477B20082A719E8A9
+:0477B30082A719E5AB
+:0477B40082A619E2AE
+:0477B50082A519DFB1
+:0477B60082A519DCB3
+:0477B70082A419D9B6
+:0477B80082A319D6B9
+:0477B90082A319D3BB
+:0477BA0082A219D0BE
+:0477BB0082A219CDC0
+:0477BC0082A119CAC3
+:0477BD0082A019C7C6
+:0477BE0082A019C4C8
+:0477BF00829F19C0CC
+:0477C000829E19BDCF
+:0477C100829E19BAD1
+:0477C200829D19B7D4
+:0477C300829D19B4D6
+:0477C400829C19B1D9
+:0477C500829B19AEDC
+:0477C600829B19ABDE
+:0477C700829A19A8E1
+:0477C800829919A5E4
+:0477C900829919A2E6
+:0477CA008298199FE9
+:0477CB008297199CEC
+:0477CC0082971998EF
+:0477CD0082961995F2
+:0477CE0082961992F4
+:0477CF008295198FF7
+:0477D0008294198CFA
+:0477D10082941989FC
+:0477D20082931986FF
+:0477D3008292198302
+:0477D4008292198004
+:0477D5008291197D07
+:0477D6008291197A09
+:0477D700829019770C
+:0477D800828F19740F
+:0477D900828F197012
+:0477DA00828E196D15
+:0477DB00828D196A18
+:0477DC00828D19671A
+:0477DD00828C19641D
+:0477DE00828C19611F
+:0477DF00828B195E22
+:0477E000828A195B25
+:0477E100828A195827
+:0477E200828919552A
+:0477E300828819522D
+:0477E4008288194F2F
+:0477E5008287194B33
+:0477E6008287194835
+:0477E7008286194538
+:0477E800828519423B
+:0477E9008285193F3D
+:0477EA008284193C40
+:0477EB008284193942
+:0477EC008283193645
+:0477ED008282193348
+:0477EE00828219304A
+:0477EF008281192D4D
+:0477F0008280192A50
+:0477F1008280192752
+:0477F200827F192356
+:0477F300827F192058
+:0477F400827E191D5B
+:0477F500827D191A5E
+:0477F600827D191760
+:0477F700827C191463
+:0477F800827C191165
+:0477F900827B190E68
+:0477FA00827A190B6B
+:0477FB00827A19086D
+:0477FC008279190570
+:0477FD008278190273
+:0477FE00827818FE77
+:0477FF00827718FB7A
+:04780000827718F87B
+:04780100827618F57E
+:04780200827518F281
+:04780300827518EF83
+:04780400827418EC86
+:04780500827418E988
+:04780600827318E68B
+:04780700827218E38E
+:04780800827218E090
+:04780900827118DD93
+:04780A00827018DA96
+:04780B00827018D699
+:04780C00826F18D39C
+:04780D00826F18D09E
+:04780E00826E18CDA1
+:04780F00826D18CAA4
+:04781000826D18C7A6
+:04781100826C18C4A9
+:04781200826C18C1AB
+:04781300826B18BEAE
+:04781400826A18BBB1
+:04781500826A18B8B3
+:04781600826918B5B6
+:04781700826918B1B9
+:04781800826818AEBC
+:04781900826718ABBF
+:04781A00826718A8C1
+:04781B00826618A5C4
+:04781C00826618A2C6
+:04781D008265189FC9
+:04781E008264189CCC
+:04781F0082641899CE
+:0478200082631896D1
+:0478210082631893D3
+:0478220082621890D6
+:047823008261188CDA
+:0478240082611889DC
+:0478250082601886DF
+:0478260082601883E1
+:04782700825F1880E4
+:04782800825E187DE7
+:04782900825E187AE9
+:04782A00825D1877EC
+:04782B00825D1874EE
+:04782C00825C1871F1
+:04782D00825B186EF4
+:04782E00825B186BF6
+:04782F00825A1867FA
+:04783000825A1864FC
+:0478310082591861FF
+:047832008258185E02
+:047833008258185B04
+:047834008257185807
+:047835008257185509
+:04783600825618520C
+:047837008255184F0F
+:047838008255184C11
+:047839008254184914
+:04783A008254184616
+:04783B00825318421A
+:04783C008252183F1D
+:04783D008252183C1F
+:04783E008251183922
+:04783F008251183624
+:047840008250183327
+:04784100824F18302A
+:04784200824F182D2C
+:04784300824E182A2F
+:04784400824E182731
+:04784500824D182434
+:04784600824C182137
+:04784700824C181D3A
+:04784800824B181A3D
+:04784900824B18173F
+:04784A00824A181442
+:04784B008249181145
+:04784C008249180E47
+:04784D008248180B4A
+:04784E00824818084C
+:04784F00824718054F
+:047850008247180251
+:04785100824617FF55
+:04785200824517FB59
+:04785300824517F85B
+:04785400824417F55E
+:04785500824417F260
+:04785600824317EF63
+:04785700824217EC66
+:04785800824217E968
+:04785900824117E66B
+:04785A00824117E36D
+:04785B00824017E070
+:04785C00823F17DD73
+:04785D00823F17DA75
+:04785E00823E17D679
+:04785F00823E17D37B
+:04786000823D17D07E
+:04786100823D17CD80
+:04786200823C17CA83
+:04786300823B17C786
+:04786400823B17C488
+:04786500823A17C18B
+:04786600823A17BE8D
+:04786700823917BB90
+:04786800823817B893
+:04786900823817B595
+:04786A00823717B199
+:04786B00823717AE9B
+:04786C00823617AB9E
+:04786D00823617A8A0
+:04786E00823517A5A3
+:04786F00823417A2A6
+:047870008234179FA8
+:047871008233179CAB
+:0478720082331799AD
+:0478730082321796B0
+:0478740082311793B3
+:047875008231178FB6
+:047876008230178CB9
+:0478770082301789BB
+:04787800822F1786BE
+:04787900822F1783C0
+:04787A00822E1780C3
+:04787B00822D177DC6
+:04787C00822D177AC8
+:04787D00822C1777CB
+:04787E00822C1774CD
+:04787F00822B1771D0
+:04788000822B176DD3
+:04788100822A176AD6
+:0478820082291767D9
+:0478830082291764DB
+:0478840082281761DE
+:047885008228175EE0
+:047886008227175BE3
+:0478870082271758E5
+:0478880082261755E8
+:0478890082251752EB
+:04788A008225174FED
+:04788B008224174CF0
+:04788C0082241748F3
+:04788D0082231745F6
+:04788E0082231742F8
+:04788F008222173FFB
+:047890008221173CFE
+:047891008221173900
+:047892008220173603
+:047893008220173305
+:04789400821F173008
+:04789500821F172D0A
+:04789600821E172A0D
+:04789700821D172611
+:04789800821D172313
+:04789900821C172016
+:04789A00821C171D18
+:04789B00821B171A1B
+:04789C00821B17171D
+:04789D00821A171420
+:04789E008219171123
+:04789F008219170E25
+:0478A0008218170B28
+:0478A100821817082A
+:0478A200821717042E
+:0478A3008217170130
+:0478A400821616FE34
+:0478A500821516FB37
+:0478A600821516F839
+:0478A700821416F53C
+:0478A800821416F23E
+:0478A900821316EF41
+:0478AA00821316EC43
+:0478AB00821216E946
+:0478AC00821216E648
+:0478AD00821116E24C
+:0478AE00821016DF4F
+:0478AF00821016DC51
+:0478B000820F16D954
+:0478B100820F16D656
+:0478B200820E16D359
+:0478B300820E16D05B
+:0478B400820D16CD5E
+:0478B500820D16CA60
+:0478B600820C16C763
+:0478B700820B16C466
+:0478B800820B16C069
+:0478B900820A16BD6C
+:0478BA00820A16BA6E
+:0478BB00820916B771
+:0478BC00820916B473
+:0478BD00820816B176
+:0478BE00820716AE79
+:0478BF00820716AB7B
+:0478C000820616A87E
+:0478C100820616A580
+:0478C200820516A283
+:0478C3008205169E86
+:0478C4008204169B89
+:0478C500820416988B
+:0478C600820316958E
+:0478C7008202169291
+:0478C8008202168F93
+:0478C9008201168C96
+:0478CA008201168998
+:0478CB00820016869B
+:0478CC00820016839D
+:0478CD0081FF1680A1
+:0478CE0081FF167CA4
+:0478CF0081FE1679A7
+:0478D00081FE1676A9
+:0478D10081FD1673AC
+:0478D20081FC1670AF
+:0478D30081FC166DB1
+:0478D40081FB166AB4
+:0478D50081FB1667B6
+:0478D60081FA1664B9
+:0478D70081FA1661BB
+:0478D80081F9165EBE
+:0478D90081F9165AC1
+:0478DA0081F81657C4
+:0478DB0081F71654C7
+:0478DC0081F71651C9
+:0478DD0081F6164ECC
+:0478DE0081F6164BCE
+:0478DF0081F51648D1
+:0478E00081F51645D3
+:0478E10081F41642D6
+:0478E20081F4163FD8
+:0478E30081F3163BDC
+:0478E40081F31638DE
+:0478E50081F21635E1
+:0478E60081F11632E4
+:0478E70081F1162FE6
+:0478E80081F0162CE9
+:0478E90081F01629EB
+:0478EA0081EF1626EE
+:0478EB0081EF1623F0
+:0478EC0081EE1620F3
+:0478ED0081EE161DF5
+:0478EE0081ED1619F9
+:0478EF0081ED1616FB
+:0478F00081EC1613FE
+:0478F10081EB161001
+:0478F20081EB160D03
+:0478F30081EA160A06
+:0478F40081EA160708
+:0478F50081E916040B
+:0478F60081E916010D
+:0478F70081E815FE11
+:0478F80081E815FA14
+:0478F90081E715F717
+:0478FA0081E715F419
+:0478FB0081E615F11C
+:0478FC0081E615EE1E
+:0478FD0081E515EB21
+:0478FE0081E415E824
+:0478FF0081E415E526
+:0479000081E315E228
+:0479010081E315DF2A
+:0479020081E215DC2D
+:0479030081E215D830
+:0479040081E115D533
+:0479050081E115D235
+:0479060081E015CF38
+:0479070081E015CC3A
+:0479080081DF15C93D
+:0479090081DF15C63F
+:04790A0081DE15C342
+:04790B0081DE15C044
+:04790C0081DD15BD47
+:04790D0081DC15B94B
+:04790E0081DC15B64D
+:04790F0081DB15B350
+:0479100081DB15B052
+:0479110081DA15AD55
+:0479120081DA15AA57
+:0479130081D915A75A
+:0479140081D915A45C
+:0479150081D815A15F
+:0479160081D8159E61
+:0479170081D7159B64
+:0479180081D7159767
+:0479190081D615946A
+:04791A0081D615916C
+:04791B0081D5158E6F
+:04791C0081D4158B72
+:04791D0081D4158874
+:04791E0081D3158577
+:04791F0081D3158279
+:0479200081D2157F7C
+:0479210081D2157C7E
+:0479220081D1157882
+:0479230081D1157584
+:0479240081D0157287
+:0479250081D0156F89
+:0479260081CF156C8C
+:0479270081CF15698E
+:0479280081CE156691
+:0479290081CE156393
+:04792A0081CD156096
+:04792B0081CD155D98
+:04792C0081CC155A9B
+:04792D0081CC15569E
+:04792E0081CB1553A1
+:04792F0081CA1550A4
+:0479300081CA154DA6
+:0479310081C9154AA9
+:0479320081C91547AB
+:0479330081C81544AE
+:0479340081C81541B0
+:0479350081C7153EB3
+:0479360081C7153BB5
+:0479370081C61537B9
+:0479380081C61534BB
+:0479390081C51531BE
+:04793A0081C5152EC0
+:04793B0081C4152BC3
+:04793C0081C41528C5
+:04793D0081C31525C8
+:04793E0081C31522CA
+:04793F0081C2151FCD
+:0479400081C2151CCF
+:0479410081C11518D3
+:0479420081C11515D5
+:0479430081C01512D8
+:0479440081C0150FDA
+:0479450081BF150CDD
+:0479460081BF1509DF
+:0479470081BE1506E2
+:0479480081BD1503E5
+:0479490081BD1500E7
+:04794A0081BC14FDEB
+:04794B0081BC14F9EE
+:04794C0081BB14F6F1
+:04794D0081BB14F3F3
+:04794E0081BA14F0F6
+:04794F0081BA14EDF8
+:0479500081B914EAFB
+:0479510081B914E7FD
+:0479520081B814E400
+:0479530081B814E102
+:0479540081B714DE05
+:0479550081B714DA08
+:0479560081B614D70B
+:0479570081B614D40D
+:0479580081B514D110
+:0479590081B514CE12
+:04795A0081B414CB15
+:04795B0081B414C817
+:04795C0081B314C51A
+:04795D0081B314C21C
+:04795E0081B214BF1F
+:04795F0081B214BB22
+:0479600081B114B825
+:0479610081B114B527
+:0479620081B014B22A
+:0479630081B014AF2C
+:0479640081AF14AC2F
+:0479650081AF14A931
+:0479660081AE14A634
+:0479670081AE14A336
+:0479680081AD14A039
+:0479690081AD149C3C
+:04796A0081AC14993F
+:04796B0081AC149641
+:04796C0081AB149344
+:04796D0081AB149046
+:04796E0081AA148D49
+:04796F0081AA148A4B
+:0479700081A914874E
+:0479710081A9148450
+:0479720081A8148153
+:0479730081A8147D56
+:0479740081A7147A59
+:0479750081A714775B
+:0479760081A614745E
+:0479770081A6147160
+:0479780081A5146E63
+:0479790081A5146B65
+:04797A0081A4146868
+:04797B0081A414656A
+:04797C0081A314626D
+:04797D0081A3145E70
+:04797E0081A2145B73
+:04797F0081A2145875
+:0479800081A1145578
+:0479810081A114527A
+:0479820081A0144F7D
+:0479830081A0144C7F
+:04798400819F144982
+:04798500819F144684
+:04798600819E144387
+:04798700819E143F8A
+:04798800819D143C8D
+:04798900819D14398F
+:04798A00819C143692
+:04798B00819C143394
+:04798C00819B143097
+:04798D00819B142D99
+:04798E00819A142A9C
+:04798F00819A14279E
+:0479900081991424A1
+:0479910081991420A4
+:047992008198141DA7
+:047993008198141AA9
+:0479940081971417AC
+:0479950081971414AE
+:0479960081961411B1
+:047997008196140EB3
+:047998008195140BB6
+:0479990081951408B8
+:04799A0081941404BC
+:04799B0081941401BE
+:04799C00819313FEC2
+:04799D00819313FBC4
+:04799E00819213F8C7
+:04799F00819213F5C9
+:0479A000819113F2CC
+:0479A100819113EFCE
+:0479A200819013ECD1
+:0479A300819013E9D3
+:0479A400818F13E5D7
+:0479A500818F13E2D9
+:0479A600818E13DFDC
+:0479A700818E13DCDE
+:0479A800818D13D9E1
+:0479A900818D13D6E3
+:0479AA00818C13D3E6
+:0479AB00818C13D0E8
+:0479AC00818B13CDEB
+:0479AD00818B13CAED
+:0479AE00818A13C6F1
+:0479AF00818A13C3F3
+:0479B000818913C0F6
+:0479B100818913BDF8
+:0479B200818913BAFA
+:0479B300818813B7FD
+:0479B400818813B4FF
+:0479B500818713B102
+:0479B600818713AE04
+:0479B700818613AA08
+:0479B800818613A70A
+:0479B900818513A40D
+:0479BA00818513A10F
+:0479BB008184139E12
+:0479BC008184139B14
+:0479BD008183139817
+:0479BE008183139519
+:0479BF00818213921C
+:0479C0008182138F1E
+:0479C1008181138B22
+:0479C2008181138824
+:0479C3008180138527
+:0479C4008180138229
+:0479C500817F137F2C
+:0479C600817F137C2E
+:0479C700817E137931
+:0479C800817E137633
+:0479C900817D137336
+:0479CA00817D137038
+:0479CB00817C136C3C
+:0479CC00817C13693E
+:0479CD00817C136640
+:0479CE00817B136343
+:0479CF00817B136045
+:0479D000817A135D48
+:0479D100817A135A4A
+:0479D200817913574D
+:0479D300817913544F
+:0479D4008178135053
+:0479D5008178134D55
+:0479D6008177134A58
+:0479D700817713475A
+:0479D800817613445D
+:0479D900817613415F
+:0479DA008175133E62
+:0479DB008175133B64
+:0479DC008174133867
+:0479DD008174133569
+:0479DE00817313316D
+:0479DF008173132E6F
+:0479E0008173132B71
+:0479E1008172132874
+:0479E2008172132576
+:0479E3008171132279
+:0479E4008171131F7B
+:0479E5008170131C7E
+:0479E6008170131980
+:0479E700816F131584
+:0479E800816F131286
+:0479E900816E130F89
+:0479EA00816E130C8B
+:0479EB00816D13098E
+:0479EC00816D130690
+:0479ED00816C130393
+:0479EE00816C130095
+:0479EF00816C12FD98
+:0479F000816B12F99C
+:0479F100816B12F69E
+:0479F200816A12F3A1
+:0479F300816A12F0A3
+:0479F400816912EDA6
+:0479F500816912EAA8
+:0479F600816812E7AB
+:0479F700816812E4AD
+:0479F800816712E1B0
+:0479F900816712DEB2
+:0479FA00816612DAB6
+:0479FB00816612D7B8
+:0479FC00816512D4BB
+:0479FD00816512D1BD
+:0479FE00816512CEBF
+:0479FF00816412CBC2
+:047A0000816412C8C3
+:047A0100816312C5C6
+:047A0200816312C2C8
+:047A0300816212BECC
+:047A0400816212BBCE
+:047A0500816112B8D1
+:047A0600816112B5D3
+:047A0700816012B2D6
+:047A0800816012AFD8
+:047A0900816012ACDA
+:047A0A00815F12A9DD
+:047A0B00815F12A6DF
+:047A0C00815E12A2E3
+:047A0D00815E129FE5
+:047A0E00815D129CE8
+:047A0F00815D1299EA
+:047A1000815C1296ED
+:047A1100815C1293EF
+:047A1200815B1290F2
+:047A1300815B128DF4
+:047A1400815A128AF7
+:047A1500815A1287F9
+:047A1600815A1283FC
+:047A170081591280FF
+:047A18008159127D01
+:047A19008158127A04
+:047A1A008158127706
+:047A1B008157127409
+:047A1C00815712710B
+:047A1D008156126E0E
+:047A1E008156126B10
+:047A1F008155126714
+:047A20008155126416
+:047A21008155126118
+:047A22008154125E1B
+:047A23008154125B1D
+:047A24008153125820
+:047A25008153125522
+:047A26008152125225
+:047A27008152124F27
+:047A28008151124B2B
+:047A2900815112482D
+:047A2A00815112452F
+:047A2B008150124232
+:047A2C008150123F34
+:047A2D00814F123C37
+:047A2E00814F123939
+:047A2F00814E12363C
+:047A3000814E12333E
+:047A3100814D122F42
+:047A3200814D122C44
+:047A3300814D122946
+:047A3400814C122649
+:047A3500814C12234B
+:047A3600814B12204E
+:047A3700814B121D50
+:047A3800814A121A53
+:047A3900814A121755
+:047A3A008149121359
+:047A3B00814912105B
+:047A3C008149120D5D
+:047A3D008148120A60
+:047A3E008148120762
+:047A3F008147120465
+:047A40008147120167
+:047A4100814611FE6B
+:047A4200814611FB6D
+:047A4300814511F771
+:047A4400814511F473
+:047A4500814511F175
+:047A4600814411EE78
+:047A4700814411EB7A
+:047A4800814311E87D
+:047A4900814311E57F
+:047A4A00814211E282
+:047A4B00814211DF84
+:047A4C00814111DB88
+:047A4D00814111D88A
+:047A4E00814111D58C
+:047A4F00814011D28F
+:047A5000814011CF91
+:047A5100813F11CC94
+:047A5200813F11C996
+:047A5300813E11C699
+:047A5400813E11C39B
+:047A5500813E11BF9E
+:047A5600813D11BCA1
+:047A5700813D11B9A3
+:047A5800813C11B6A6
+:047A5900813C11B3A8
+:047A5A00813B11B0AB
+:047A5B00813B11ADAD
+:047A5C00813A11AAB0
+:047A5D00813A11A7B2
+:047A5E00813A11A3B5
+:047A5F00813911A0B8
+:047A60008139119DBA
+:047A61008138119ABD
+:047A620081381197BF
+:047A630081371194C2
+:047A640081371191C4
+:047A65008137118EC6
+:047A66008136118BC9
+:047A670081361187CC
+:047A680081351184CF
+:047A690081351181D1
+:047A6A008134117ED4
+:047A6B008134117BD6
+:047A6C0081341178D8
+:047A6D0081331175DB
+:047A6E0081331172DD
+:047A6F008132116FE0
+:047A70008132116BE3
+:047A710081311168E6
+:047A720081311165E8
+:047A730081311162EA
+:047A74008130115FED
+:047A75008130115CEF
+:047A7600812F1159F2
+:047A7700812F1156F4
+:047A7800812E1153F7
+:047A7900812E114FFA
+:047A7A00812E114CFC
+:047A7B00812D1149FF
+:047A7C00812D114601
+:047A7D00812C114304
+:047A7E00812C114006
+:047A7F00812C113D08
+:047A8000812B113A0B
+:047A8100812B11370D
+:047A8200812A113311
+:047A8300812A113013
+:047A84008129112D16
+:047A85008129112A18
+:047A8600812911271A
+:047A8700812811241D
+:047A8800812811211F
+:047A89008127111E22
+:047A8A008127111B24
+:047A8B008126111728
+:047A8C00812611142A
+:047A8D00812611112C
+:047A8E008125110E2F
+:047A8F008125110B31
+:047A90008124110834
+:047A91008124110536
+:047A92008124110238
+:047A9300812310FF3C
+:047A9400812310FB3F
+:047A9500812210F842
+:047A9600812210F544
+:047A9700812110F247
+:047A9800812110EF49
+:047A9900812110EC4B
+:047A9A00812010E94E
+:047A9B00812010E650
+:047A9C00811F10E254
+:047A9D00811F10DF56
+:047A9E00811F10DC58
+:047A9F00811E10D95B
+:047AA000811E10D65D
+:047AA100811D10D360
+:047AA200811D10D062
+:047AA300811C10CD65
+:047AA400811C10CA67
+:047AA500811C10C66A
+:047AA600811B10C36D
+:047AA700811B10C06F
+:047AA800811A10BD72
+:047AA900811A10BA74
+:047AAA00811A10B776
+:047AAB00811910B479
+:047AAC00811910B17B
+:047AAD00811810AE7E
+:047AAE00811810AA81
+:047AAF00811810A783
+:047AB000811710A486
+:047AB100811710A188
+:047AB2008116109E8B
+:047AB3008116109B8D
+:047AB400811610988F
+:047AB5008115109592
+:047AB6008115109294
+:047AB7008114108E98
+:047AB8008114108B9A
+:047AB900811310889D
+:047ABA00811310859F
+:047ABB0081131082A1
+:047ABC008112107FA4
+:047ABD008112107CA6
+:047ABE0081111079A9
+:047ABF0081111075AC
+:047AC00081111072AE
+:047AC1008110106FB1
+:047AC2008110106CB3
+:047AC300810F1069B6
+:047AC400810F1066B8
+:047AC500810F1063BA
+:047AC600810E1060BD
+:047AC700810E105DBF
+:047AC800810D1059C3
+:047AC900810D1056C5
+:047ACA00810D1053C7
+:047ACB00810C1050CA
+:047ACC00810C104DCC
+:047ACD00810B104ACF
+:047ACE00810B1047D1
+:047ACF00810B1044D3
+:047AD000810A1041D6
+:047AD100810A103DD9
+:047AD2008109103ADC
+:047AD30081091037DE
+:047AD40081091034E0
+:047AD50081081031E3
+:047AD6008108102EE5
+:047AD7008107102BE8
+:047AD80081071028EA
+:047AD90081071024ED
+:047ADA0081061021F0
+:047ADB008106101EF2
+:047ADC008105101BF5
+:047ADD0081051018F7
+:047ADE0081051015F9
+:047ADF0081041012FC
+:047AE0008104100FFE
+:047AE1008103100C01
+:047AE2008103100804
+:047AE3008103100506
+:047AE4008102100209
+:047AE50081020FFF0C
+:047AE60081020FFC0E
+:047AE70081010FF911
+:047AE80081010FF613
+:047AE90081000FF316
+:047AEA0081000FEF19
+:047AEB0081000FEC1B
+:047AEC0080FF0FE91F
+:047AED0080FF0FE621
+:047AEE0080FE0FE324
+:047AEF0080FE0FE026
+:047AF00080FE0FDD28
+:047AF10080FD0FDA2B
+:047AF20080FD0FD72D
+:047AF30080FC0FD331
+:047AF40080FC0FD033
+:047AF50080FC0FCD35
+:047AF60080FB0FCA38
+:047AF70080FB0FC73A
+:047AF80080FB0FC43C
+:047AF90080FA0FC13F
+:047AFA0080FA0FBE41
+:047AFB0080F90FBA45
+:047AFC0080F90FB747
+:047AFD0080F90FB449
+:047AFE0080F80FB14C
+:047AFF0080F80FAE4E
+:047B000080F70FAB50
+:047B010080F70FA852
+:047B020080F70FA554
+:047B030080F60FA257
+:047B040080F60F9E5A
+:047B050080F50F9B5D
+:047B060080F50F985F
+:047B070080F50F9561
+:047B080080F40F9264
+:047B090080F40F8F66
+:047B0A0080F40F8C68
+:047B0B0080F30F896B
+:047B0C0080F30F856E
+:047B0D0080F20F8271
+:047B0E0080F20F7F73
+:047B0F0080F20F7C75
+:047B100080F10F7978
+:047B110080F10F767A
+:047B120080F10F737C
+:047B130080F00F707F
+:047B140080F00F6D81
+:047B150080EF0F6985
+:047B160080EF0F6687
+:047B170080EF0F6389
+:047B180080EE0F608C
+:047B190080EE0F5D8E
+:047B1A0080EE0F5A90
+:047B1B0080ED0F5793
+:047B1C0080ED0F5495
+:047B1D0080EC0F5099
+:047B1E0080EC0F4D9B
+:047B1F0080EC0F4A9D
+:047B200080EB0F47A0
+:047B210080EB0F44A2
+:047B220080EB0F41A4
+:047B230080EA0F3EA7
+:047B240080EA0F3BA9
+:047B250080E90F38AC
+:047B260080E90F34AF
+:047B270080E90F31B1
+:047B280080E80F2EB4
+:047B290080E80F2BB6
+:047B2A0080E80F28B8
+:047B2B0080E70F25BB
+:047B2C0080E70F22BD
+:047B2D0080E60F1FC0
+:047B2E0080E60F1BC3
+:047B2F0080E60F18C5
+:047B300080E50F15C8
+:047B310080E50F12CA
+:047B320080E50F0FCC
+:047B330080E40F0CCF
+:047B340080E40F09D1
+:047B350080E30F06D4
+:047B360080E30F02D7
+:047B370080E30EFFDA
+:047B380080E20EFCDD
+:047B390080E20EF9DF
+:047B3A0080E20EF6E1
+:047B3B0080E10EF3E4
+:047B3C0080E10EF0E6
+:047B3D0080E10EEDE8
+:047B3E0080E00EEAEB
+:047B3F0080E00EE6EE
+:047B400080DF0EE3F1
+:047B410080DF0EE0F3
+:047B420080DF0EDDF5
+:047B430080DE0EDAF8
+:047B440080DE0ED7FA
+:047B450080DE0ED4FC
+:047B460080DD0ED1FF
+:047B470080DD0ECD02
+:047B480080DD0ECA04
+:047B490080DC0EC707
+:047B4A0080DC0EC409
+:047B4B0080DB0EC10C
+:047B4C0080DB0EBE0E
+:047B4D0080DB0EBB10
+:047B4E0080DA0EB813
+:047B4F0080DA0EB416
+:047B500080DA0EB118
+:047B510080D90EAE1B
+:047B520080D90EAB1D
+:047B530080D90EA81F
+:047B540080D80EA522
+:047B550080D80EA224
+:047B560080D70E9F27
+:047B570080D70E9C29
+:047B580080D70E982C
+:047B590080D60E952F
+:047B5A0080D60E9231
+:047B5B0080D60E8F33
+:047B5C0080D50E8C36
+:047B5D0080D50E8938
+:047B5E0080D50E863A
+:047B5F0080D40E833D
+:047B600080D40E7F40
+:047B610080D40E7C42
+:047B620080D30E7945
+:047B630080D30E7647
+:047B640080D20E734A
+:047B650080D20E704C
+:047B660080D20E6D4E
+:047B670080D10E6A51
+:047B680080D10E6654
+:047B690080D10E6356
+:047B6A0080D00E6059
+:047B6B0080D00E5D5B
+:047B6C0080D00E5A5D
+:047B6D0080CF0E5760
+:047B6E0080CF0E5462
+:047B6F0080CF0E5164
+:047B700080CE0E4D68
+:047B710080CE0E4A6A
+:047B720080CE0E476C
+:047B730080CD0E446F
+:047B740080CD0E4171
+:047B750080CC0E3E74
+:047B760080CC0E3B76
+:047B770080CC0E3878
+:047B780080CB0E357B
+:047B790080CB0E317E
+:047B7A0080CB0E2E80
+:047B7B0080CA0E2B83
+:047B7C0080CA0E2885
+:047B7D0080CA0E2587
+:047B7E0080C90E228A
+:047B7F0080C90E1F8C
+:047B800080C90E1C8E
+:047B810080C80E1892
+:047B820080C80E1594
+:047B830080C80E1296
+:047B840080C70E0F99
+:047B850080C70E0C9B
+:047B860080C70E099D
+:047B870080C60E06A0
+:047B880080C60E03A2
+:047B890080C60DFFA6
+:047B8A0080C50DFCA9
+:047B8B0080C50DF9AB
+:047B8C0080C50DF6AD
+:047B8D0080C40DF3B0
+:047B8E0080C40DF0B2
+:047B8F0080C30DEDB5
+:047B900080C30DEAB7
+:047B910080C30DE6BA
+:047B920080C20DE3BD
+:047B930080C20DE0BF
+:047B940080C20DDDC1
+:047B950080C10DDAC4
+:047B960080C10DD7C6
+:047B970080C10DD4C8
+:047B980080C00DD1CB
+:047B990080C00DCDCE
+:047B9A0080C00DCAD0
+:047B9B0080BF0DC7D3
+:047B9C0080BF0DC4D5
+:047B9D0080BF0DC1D7
+:047B9E0080BE0DBEDA
+:047B9F0080BE0DBBDC
+:047BA00080BE0DB8DE
+:047BA10080BD0DB4E2
+:047BA20080BD0DB1E4
+:047BA30080BD0DAEE6
+:047BA40080BC0DABE9
+:047BA50080BC0DA8EB
+:047BA60080BC0DA5ED
+:047BA70080BB0DA2F0
+:047BA80080BB0D9FF2
+:047BA90080BB0D9CF4
+:047BAA0080BA0D98F8
+:047BAB0080BA0D95FA
+:047BAC0080BA0D92FC
+:047BAD0080B90D8FFF
+:047BAE0080B90D8C01
+:047BAF0080B90D8903
+:047BB00080B80D8606
+:047BB10080B80D8308
+:047BB20080B80D7F0B
+:047BB30080B70D7C0E
+:047BB40080B70D7910
+:047BB50080B70D7612
+:047BB60080B60D7315
+:047BB70080B60D7017
+:047BB80080B60D6D19
+:047BB90080B50D6A1C
+:047BBA0080B50D661F
+:047BBB0080B50D6321
+:047BBC0080B40D6024
+:047BBD0080B40D5D26
+:047BBE0080B40D5A28
+:047BBF0080B30D572B
+:047BC00080B30D542D
+:047BC10080B30D512F
+:047BC20080B20D4D33
+:047BC30080B20D4A35
+:047BC40080B20D4737
+:047BC50080B10D443A
+:047BC60080B10D413C
+:047BC70080B10D3E3E
+:047BC80080B00D3B41
+:047BC90080B00D3843
+:047BCA0080B00D3446
+:047BCB0080B00D3148
+:047BCC0080AF0D2E4B
+:047BCD0080AF0D2B4D
+:047BCE0080AF0D284F
+:047BCF0080AE0D2552
+:047BD00080AE0D2254
+:047BD10080AE0D1F56
+:047BD20080AD0D1B5A
+:047BD30080AD0D185C
+:047BD40080AD0D155E
+:047BD50080AC0D1261
+:047BD60080AC0D0F63
+:047BD70080AC0D0C65
+:047BD80080AB0D0968
+:047BD90080AB0D066A
+:047BDA0080AB0D026D
+:047BDB0080AA0CFF71
+:047BDC0080AA0CFC73
+:047BDD0080AA0CF975
+:047BDE0080A90CF678
+:047BDF0080A90CF37A
+:047BE00080A90CF07C
+:047BE10080A80CED7F
+:047BE20080A80CE982
+:047BE30080A80CE684
+:047BE40080A80CE386
+:047BE50080A70CE089
+:047BE60080A70CDD8B
+:047BE70080A70CDA8D
+:047BE80080A60CD790
+:047BE90080A60CD492
+:047BEA0080A60CD095
+:047BEB0080A50CCD98
+:047BEC0080A50CCA9A
+:047BED0080A50CC79C
+:047BEE0080A40CC49F
+:047BEF0080A40CC1A1
+:047BF00080A40CBEA3
+:047BF10080A30CBBA6
+:047BF20080A30CB7A9
+:047BF30080A30CB4AB
+:047BF40080A20CB1AE
+:047BF50080A20CAEB0
+:047BF60080A20CABB2
+:047BF70080A20CA8B4
+:047BF80080A10CA5B7
+:047BF90080A10CA2B9
+:047BFA0080A10C9EBC
+:047BFB0080A00C9BBF
+:047BFC0080A00C98C1
+:047BFD0080A00C95C3
+:047BFE00809F0C92C6
+:047BFF00809F0C8FC8
+:047C0000809F0C8CC9
+:047C0100809E0C89CC
+:047C0200809E0C85CF
+:047C0300809E0C82D1
+:047C0400809E0C7FD3
+:047C0500809D0C7CD6
+:047C0600809D0C79D8
+:047C0700809D0C76DA
+:047C0800809C0C73DD
+:047C0900809C0C6FE0
+:047C0A00809C0C6CE2
+:047C0B00809B0C69E5
+:047C0C00809B0C66E7
+:047C0D00809B0C63E9
+:047C0E00809A0C60EC
+:047C0F00809A0C5DEE
+:047C1000809A0C5AF0
+:047C1100809A0C56F3
+:047C120080990C53F6
+:047C130080990C50F8
+:047C140080990C4DFA
+:047C150080980C4AFD
+:047C160080980C47FF
+:047C170080980C4401
+:047C180080970C4104
+:047C190080970C3D07
+:047C1A0080970C3A09
+:047C1B0080970C370B
+:047C1C0080960C340E
+:047C1D0080960C3110
+:047C1E0080960C2E12
+:047C1F0080950C2B15
+:047C200080950C2817
+:047C210080950C241A
+:047C220080940C211D
+:047C230080940C1E1F
+:047C240080940C1B21
+:047C250080940C1823
+:047C260080930C1526
+:047C270080930C1228
+:047C280080930C0F2A
+:047C290080920C0B2E
+:047C2A0080920C0830
+:047C2B0080920C0532
+:047C2C0080920C0234
+:047C2D0080910BFF38
+:047C2E0080910BFC3A
+:047C2F0080910BF93C
+:047C300080900BF63F
+:047C310080900BF242
+:047C320080900BEF44
+:047C3300808F0BEC47
+:047C3400808F0BE949
+:047C3500808F0BE64B
+:047C3600808F0BE34D
+:047C3700808E0BE050
+:047C3800808E0BDD52
+:047C3900808E0BD955
+:047C3A00808D0BD658
+:047C3B00808D0BD35A
+:047C3C00808D0BD05C
+:047C3D00808D0BCD5E
+:047C3E00808C0BCA61
+:047C3F00808C0BC763
+:047C4000808C0BC366
+:047C4100808B0BC069
+:047C4200808B0BBD6B
+:047C4300808B0BBA6D
+:047C4400808B0BB76F
+:047C4500808A0BB472
+:047C4600808A0BB174
+:047C4700808A0BAE76
+:047C480080890BAA7A
+:047C490080890BA77C
+:047C4A0080890BA47E
+:047C4B0080890BA180
+:047C4C0080880B9E83
+:047C4D0080880B9B85
+:047C4E0080880B9887
+:047C4F0080870B958A
+:047C500080870B918D
+:047C510080870B8E8F
+:047C520080870B8B91
+:047C530080860B8894
+:047C540080860B8596
+:047C550080860B8298
+:047C560080850B7F9B
+:047C570080850B7C9D
+:047C580080850B78A0
+:047C590080850B75A2
+:047C5A0080840B72A5
+:047C5B0080840B6FA7
+:047C5C0080840B6CA9
+:047C5D0080830B69AC
+:047C5E0080830B66AE
+:047C5F0080830B63B0
+:047C600080830B5FB3
+:047C610080820B5CB6
+:047C620080820B59B8
+:047C630080820B56BA
+:047C640080810B53BD
+:047C650080810B50BF
+:047C660080810B4DC1
+:047C670080810B49C4
+:047C680080800B46C7
+:047C690080800B43C9
+:047C6A0080800B40CB
+:047C6B0080800B3DCD
+:047C6C00807F0B3AD0
+:047C6D00807F0B37D2
+:047C6E00807F0B34D4
+:047C6F00807E0B30D8
+:047C7000807E0B2DDA
+:047C7100807E0B2ADC
+:047C7200807E0B27DE
+:047C7300807D0B24E1
+:047C7400807D0B21E3
+:047C7500807D0B1EE5
+:047C7600807D0B1BE7
+:047C7700807C0B17EB
+:047C7800807C0B14ED
+:047C7900807C0B11EF
+:047C7A00807B0B0EF2
+:047C7B00807B0B0BF4
+:047C7C00807B0B08F6
+:047C7D00807B0B05F8
+:047C7E00807A0B01FC
+:047C7F00807A0AFEFF
+:047C8000807A0AFB01
+:047C8100807A0AF803
+:047C820080790AF506
+:047C830080790AF208
+:047C840080790AEF0A
+:047C850080780AEC0D
+:047C860080780AE810
+:047C870080780AE512
+:047C880080780AE214
+:047C890080770ADF17
+:047C8A0080770ADC19
+:047C8B0080770AD91B
+:047C8C0080770AD61D
+:047C8D0080760AD320
+:047C8E0080760ACF23
+:047C8F0080760ACC25
+:047C900080760AC927
+:047C910080750AC62A
+:047C920080750AC32C
+:047C930080750AC02E
+:047C940080740ABD31
+:047C950080740ABA33
+:047C960080740AB636
+:047C970080740AB338
+:047C980080730AB03B
+:047C990080730AAD3D
+:047C9A0080730AAA3F
+:047C9B0080730AA741
+:047C9C0080720AA444
+:047C9D0080720AA047
+:047C9E0080720A9D49
+:047C9F0080720A9A4B
+:047CA00080710A974E
+:047CA10080710A9450
+:047CA20080710A9152
+:047CA30080710A8E54
+:047CA40080700A8B57
+:047CA50080700A875A
+:047CA60080700A845C
+:047CA70080700A815E
+:047CA800806F0A7E61
+:047CA900806F0A7B63
+:047CAA00806F0A7865
+:047CAB00806F0A7567
+:047CAC00806E0A716B
+:047CAD00806E0A6E6D
+:047CAE00806E0A6B6F
+:047CAF00806D0A6872
+:047CB000806D0A6574
+:047CB100806D0A6276
+:047CB200806D0A5F78
+:047CB300806C0A5C7B
+:047CB400806C0A587E
+:047CB500806C0A5580
+:047CB600806C0A5282
+:047CB700806B0A4F85
+:047CB800806B0A4C87
+:047CB900806B0A4989
+:047CBA00806B0A468B
+:047CBB00806A0A438E
+:047CBC00806A0A3F91
+:047CBD00806A0A3C93
+:047CBE00806A0A3995
+:047CBF0080690A3698
+:047CC00080690A339A
+:047CC10080690A309C
+:047CC20080690A2D9E
+:047CC30080680A29A2
+:047CC40080680A26A4
+:047CC50080680A23A6
+:047CC60080680A20A8
+:047CC70080670A1DAB
+:047CC80080670A1AAD
+:047CC90080670A17AF
+:047CCA0080670A14B1
+:047CCB0080660A10B5
+:047CCC0080660A0DB7
+:047CCD0080660A0AB9
+:047CCE0080660A07BB
+:047CCF0080650A04BE
+:047CD00080650A01C0
+:047CD100806509FEC3
+:047CD200806509FBC5
+:047CD300806409F7C9
+:047CD400806409F4CB
+:047CD500806409F1CD
+:047CD600806409EECF
+:047CD700806409EBD1
+:047CD800806309E8D4
+:047CD900806309E5D6
+:047CDA00806309E1D9
+:047CDB00806309DEDB
+:047CDC00806209DBDE
+:047CDD00806209D8E0
+:047CDE00806209D5E2
+:047CDF00806209D2E4
+:047CE000806109CFE7
+:047CE100806109CCE9
+:047CE200806109C8EC
+:047CE300806109C5EE
+:047CE400806009C2F1
+:047CE500806009BFF3
+:047CE600806009BCF5
+:047CE700806009B9F7
+:047CE800805F09B6FA
+:047CE900805F09B2FD
+:047CEA00805F09AFFF
+:047CEB00805F09AC01
+:047CEC00805E09A904
+:047CED00805E09A606
+:047CEE00805E09A308
+:047CEF00805E09A00A
+:047CF000805E099D0C
+:047CF100805D099910
+:047CF200805D099612
+:047CF300805D099314
+:047CF400805D099016
+:047CF500805C098D19
+:047CF600805C098A1B
+:047CF700805C09871D
+:047CF800805C098320
+:047CF900805B098023
+:047CFA00805B097D25
+:047CFB00805B097A27
+:047CFC00805B097729
+:047CFD00805A09742C
+:047CFE00805A09712E
+:047CFF00805A096E30
+:047D0000805A096A32
+:047D0100805A096734
+:047D02008059096437
+:047D03008059096139
+:047D04008059095E3B
+:047D05008059095B3D
+:047D06008058095840
+:047D07008058095443
+:047D08008058095145
+:047D09008058094E47
+:047D0A008057094B4A
+:047D0B00805709484C
+:047D0C00805709454E
+:047D0D008057094250
+:047D0E008057093F52
+:047D0F008056093B56
+:047D10008056093858
+:047D1100805609355A
+:047D1200805609325C
+:047D13008055092F5F
+:047D14008055092C61
+:047D15008055092963
+:047D16008055092566
+:047D17008055092268
+:047D18008054091F6B
+:047D19008054091C6D
+:047D1A00805409196F
+:047D1B008054091671
+:047D1C008053091374
+:047D1D008053091076
+:047D1E008053090C79
+:047D1F00805309097B
+:047D2000805309067D
+:047D21008052090380
+:047D22008052090082
+:047D2300805208FD85
+:047D2400805208FA87
+:047D2500805108F68B
+:047D2600805108F38D
+:047D2700805108F08F
+:047D2800805108ED91
+:047D2900805108EA93
+:047D2A00805008E796
+:047D2B00805008E498
+:047D2C00805008E19A
+:047D2D00805008DD9D
+:047D2E00804F08DAA0
+:047D2F00804F08D7A2
+:047D3000804F08D4A4
+:047D3100804F08D1A6
+:047D3200804F08CEA8
+:047D3300804E08CBAB
+:047D3400804E08C7AE
+:047D3500804E08C4B0
+:047D3600804E08C1B2
+:047D3700804E08BEB4
+:047D3800804D08BBB7
+:047D3900804D08B8B9
+:047D3A00804D08B5BB
+:047D3B00804D08B2BD
+:047D3C00804C08AEC1
+:047D3D00804C08ABC3
+:047D3E00804C08A8C5
+:047D3F00804C08A5C7
+:047D4000804C08A2C9
+:047D4100804B089FCC
+:047D4200804B089CCE
+:047D4300804B0898D1
+:047D4400804B0895D3
+:047D4500804B0892D5
+:047D4600804A088FD8
+:047D4700804A088CDA
+:047D4800804A0889DC
+:047D4900804A0886DE
+:047D4A00804A0883E0
+:047D4B008049087FE4
+:047D4C008049087CE6
+:047D4D0080490879E8
+:047D4E0080490876EA
+:047D4F0080480873ED
+:047D500080480870EF
+:047D51008048086DF1
+:047D520080480869F4
+:047D530080480866F6
+:047D540080470863F9
+:047D550080470860FB
+:047D56008047085DFD
+:047D57008047085AFF
+:047D58008047085701
+:047D59008046085404
+:047D5A008046085007
+:047D5B008046084D09
+:047D5C008046084A0B
+:047D5D00804608470D
+:047D5E008045084410
+:047D5F008045084112
+:047D60008045083E14
+:047D61008045083A17
+:047D62008045083719
+:047D6300804408341C
+:047D6400804408311E
+:047D65008044082E20
+:047D66008044082B22
+:047D67008044082824
+:047D68008043082428
+:047D6900804308212A
+:047D6A008043081E2C
+:047D6B008043081B2E
+:047D6C008043081830
+:047D6D008042081533
+:047D6E008042081235
+:047D6F008042080F37
+:047D70008042080B3A
+:047D7100804208083C
+:047D7200804108053F
+:047D73008041080241
+:047D7400804107FF44
+:047D7500804107FC46
+:047D7600804107F948
+:047D7700804007F54C
+:047D7800804007F24E
+:047D7900804007EF50
+:047D7A00804007EC52
+:047D7B00804007E954
+:047D7C00803F07E657
+:047D7D00803F07E359
+:047D7E00803F07E05B
+:047D7F00803F07DC5E
+:047D8000803F07D960
+:047D8100803E07D663
+:047D8200803E07D365
+:047D8300803E07D067
+:047D8400803E07CD69
+:047D8500803E07CA6B
+:047D8600803E07C66E
+:047D8700803D07C371
+:047D8800803D07C073
+:047D8900803D07BD75
+:047D8A00803D07BA77
+:047D8B00803D07B779
+:047D8C00803C07B47C
+:047D8D00803C07B07F
+:047D8E00803C07AD81
+:047D8F00803C07AA83
+:047D9000803C07A785
+:047D9100803B07A488
+:047D9200803B07A18A
+:047D9300803B079E8C
+:047D9400803B079B8E
+:047D9500803B079791
+:047D9600803A079494
+:047D9700803A079196
+:047D9800803A078E98
+:047D9900803A078B9A
+:047D9A00803A07889C
+:047D9B00803A07859E
+:047D9C0080390781A2
+:047D9D008039077EA4
+:047D9E008039077BA6
+:047D9F0080390778A8
+:047DA00080390775AA
+:047DA10080380772AD
+:047DA2008038076FAF
+:047DA3008038076BB2
+:047DA40080380768B4
+:047DA50080380765B6
+:047DA60080380762B8
+:047DA7008037075FBB
+:047DA8008037075CBD
+:047DA90080370759BF
+:047DAA0080370756C1
+:047DAB0080370752C4
+:047DAC008036074FC7
+:047DAD008036074CC9
+:047DAE0080360749CB
+:047DAF0080360746CD
+:047DB00080360743CF
+:047DB10080360740D1
+:047DB2008035073CD5
+:047DB30080350739D7
+:047DB40080350736D9
+:047DB50080350733DB
+:047DB60080350730DD
+:047DB7008035072DDF
+:047DB8008034072AE2
+:047DB90080340726E5
+:047DBA0080340723E7
+:047DBB0080340720E9
+:047DBC008034071DEB
+:047DBD008033071AEE
+:047DBE0080330717F0
+:047DBF0080330714F2
+:047DC00080330711F4
+:047DC1008033070DF7
+:047DC2008033070AF9
+:047DC30080320707FC
+:047DC40080320704FE
+:047DC5008032070100
+:047DC600803206FE03
+:047DC700803206FB05
+:047DC800803206F708
+:047DC900803106F40B
+:047DCA00803106F10D
+:047DCB00803106EE0F
+:047DCC00803106EB11
+:047DCD00803106E813
+:047DCE00803106E515
+:047DCF00803006E119
+:047DD000803006DE1B
+:047DD100803006DB1D
+:047DD200803006D81F
+:047DD300803006D521
+:047DD400803006D223
+:047DD500802F06CF26
+:047DD600802F06CC28
+:047DD700802F06C82B
+:047DD800802F06C52D
+:047DD900802F06C22F
+:047DDA00802F06BF31
+:047DDB00802E06BC34
+:047DDC00802E06B936
+:047DDD00802E06B638
+:047DDE00802E06B23B
+:047DDF00802E06AF3D
+:047DE000802E06AC3F
+:047DE100802D06A942
+:047DE200802D06A644
+:047DE300802D06A346
+:047DE400802D06A048
+:047DE500802D069C4B
+:047DE600802D06994D
+:047DE700802C069650
+:047DE800802C069352
+:047DE900802C069054
+:047DEA00802C068D56
+:047DEB00802C068A58
+:047DEC00802C06875A
+:047DED00802B06835E
+:047DEE00802B068060
+:047DEF00802B067D62
+:047DF000802B067A64
+:047DF100802B067766
+:047DF200802B067468
+:047DF300802A06716B
+:047DF400802A066D6E
+:047DF500802A066A70
+:047DF600802A066772
+:047DF700802A066474
+:047DF800802A066176
+:047DF900802A065E78
+:047DFA008029065B7B
+:047DFB00802906577E
+:047DFC008029065480
+:047DFD008029065182
+:047DFE008029064E84
+:047DFF008029064B86
+:047E00008028064888
+:047E0100802806458A
+:047E0200802806418D
+:047E03008028063E8F
+:047E04008028063B91
+:047E05008028063893
+:047E06008028063595
+:047E07008027063298
+:047E08008027062F9A
+:047E09008027062C9C
+:047E0A00802706289F
+:047E0B0080270625A1
+:047E0C0080270622A3
+:047E0D008026061FA6
+:047E0E008026061CA8
+:047E0F0080260619AA
+:047E100080260616AC
+:047E110080260612AF
+:047E12008026060FB1
+:047E13008026060CB3
+:047E140080250609B6
+:047E150080250606B8
+:047E160080250603BA
+:047E170080250600BC
+:047E1800802505FCC0
+:047E1900802505F9C2
+:047E1A00802505F6C4
+:047E1B00802405F3C7
+:047E1C00802405F0C9
+:047E1D00802405EDCB
+:047E1E00802405EACD
+:047E1F00802405E6D0
+:047E2000802405E3D2
+:047E2100802405E0D4
+:047E2200802305DDD7
+:047E2300802305DAD9
+:047E2400802305D7DB
+:047E2500802305D4DD
+:047E2600802305D1DF
+:047E2700802305CDE2
+:047E2800802305CAE4
+:047E2900802205C7E7
+:047E2A00802205C4E9
+:047E2B00802205C1EB
+:047E2C00802205BEED
+:047E2D00802205BBEF
+:047E2E00802205B7F2
+:047E2F00802205B4F4
+:047E3000802105B1F7
+:047E3100802105AEF9
+:047E3200802105ABFB
+:047E3300802105A8FD
+:047E3400802105A5FF
+:047E3500802105A102
+:047E36008021059E04
+:047E37008020059B07
+:047E38008020059809
+:047E3900802005950B
+:047E3A00802005920D
+:047E3B008020058F0F
+:047E3C008020058B12
+:047E3D008020058814
+:047E3E00801F058517
+:047E3F00801F058219
+:047E4000801F057F1B
+:047E4100801F057C1D
+:047E4200801F05791F
+:047E4300801F057522
+:047E4400801F057224
+:047E4500801F056F26
+:047E4600801E056C29
+:047E4700801E05692B
+:047E4800801E05662D
+:047E4900801E05632F
+:047E4A00801E056031
+:047E4B00801E055C34
+:047E4C00801E055936
+:047E4D00801D055639
+:047E4E00801D05533B
+:047E4F00801D05503D
+:047E5000801D054D3F
+:047E5100801D054A41
+:047E5200801D054644
+:047E5300801D054346
+:047E5400801D054048
+:047E5500801C053D4B
+:047E5600801C053A4D
+:047E5700801C05374F
+:047E5800801C053451
+:047E5900801C053054
+:047E5A00801C052D56
+:047E5B00801C052A58
+:047E5C00801C05275A
+:047E5D00801B05245D
+:047E5E00801B05215F
+:047E5F00801B051E61
+:047E6000801B051A64
+:047E6100801B051766
+:047E6200801B051468
+:047E6300801B05116A
+:047E6400801B050E6C
+:047E6500801A050B6F
+:047E6600801A050871
+:047E6700801A050573
+:047E6800801A050176
+:047E6900801A04FE79
+:047E6A00801A04FB7B
+:047E6B00801A04F87D
+:047E6C00801A04F57F
+:047E6D00801904F282
+:047E6E00801904EF84
+:047E6F00801904EB87
+:047E7000801904E889
+:047E7100801904E58B
+:047E7200801904E28D
+:047E7300801904DF8F
+:047E7400801904DC91
+:047E7500801804D994
+:047E7600801804D597
+:047E7700801804D299
+:047E7800801804CF9B
+:047E7900801804CC9D
+:047E7A00801804C99F
+:047E7B00801804C6A1
+:047E7C00801804C3A3
+:047E7D00801804BFA6
+:047E7E00801704BCA9
+:047E7F00801704B9AB
+:047E8000801704B6AD
+:047E8100801704B3AF
+:047E8200801704B0B1
+:047E8300801704ADB3
+:047E8400801704A9B6
+:047E8500801704A6B8
+:047E8600801704A3BA
+:047E8700801604A0BD
+:047E88008016049DBF
+:047E89008016049AC1
+:047E8A0080160497C3
+:047E8B0080160493C6
+:047E8C0080160490C8
+:047E8D008016048DCA
+:047E8E008016048ACC
+:047E8F0080160487CE
+:047E900080150484D1
+:047E910080150481D3
+:047E92008015047ED5
+:047E93008015047AD8
+:047E940080150477DA
+:047E950080150474DC
+:047E960080150471DE
+:047E97008015046EE0
+:047E98008015046BE2
+:047E990080140468E5
+:047E9A0080140464E8
+:047E9B0080140461EA
+:047E9C008014045EEC
+:047E9D008014045BEE
+:047E9E0080140458F0
+:047E9F0080140455F2
+:047EA00080140452F4
+:047EA1008014044EF7
+:047EA2008013044BFA
+:047EA30080130448FC
+:047EA40080130445FE
+:047EA5008013044200
+:047EA6008013043F02
+:047EA7008013043C04
+:047EA8008013043807
+:047EA9008013043509
+:047EAA00801304320B
+:047EAB008013042F0D
+:047EAC008012042C10
+:047EAD008012042912
+:047EAE008012042614
+:047EAF008012042217
+:047EB0008012041F19
+:047EB1008012041C1B
+:047EB200801204191D
+:047EB300801204161F
+:047EB4008012041321
+:047EB5008011041024
+:047EB6008011040C27
+:047EB7008011040929
+:047EB800801104062B
+:047EB900801104032D
+:047EBA00801104002F
+:047EBB00801103FD32
+:047EBC00801103FA34
+:047EBD00801103F736
+:047EBE00801103F339
+:047EBF00801103F03B
+:047EC000801003ED3E
+:047EC100801003EA40
+:047EC200801003E742
+:047EC300801003E444
+:047EC400801003E146
+:047EC500801003DD49
+:047EC600801003DA4B
+:047EC700801003D74D
+:047EC800801003D44F
+:047EC900801003D151
+:047ECA00800F03CE54
+:047ECB00800F03CB56
+:047ECC00800F03C759
+:047ECD00800F03C45B
+:047ECE00800F03C15D
+:047ECF00800F03BE5F
+:047ED000800F03BB61
+:047ED100800F03B863
+:047ED200800F03B565
+:047ED300800F03B168
+:047ED400800F03AE6A
+:047ED500800E03AB6D
+:047ED600800E03A86F
+:047ED700800E03A571
+:047ED800800E03A273
+:047ED900800E039F75
+:047EDA00800E039B78
+:047EDB00800E03987A
+:047EDC00800E03957C
+:047EDD00800E03927E
+:047EDE00800E038F80
+:047EDF00800E038C82
+:047EE000800D038985
+:047EE100800D038588
+:047EE200800D03828A
+:047EE300800D037F8C
+:047EE400800D037C8E
+:047EE500800D037990
+:047EE600800D037692
+:047EE700800D037394
+:047EE800800D036F97
+:047EE900800D036C99
+:047EEA00800D03699B
+:047EEB00800D03669D
+:047EEC00800C0363A0
+:047EED00800C0360A2
+:047EEE00800C035DA4
+:047EEF00800C035AA6
+:047EF000800C0356A9
+:047EF100800C0353AB
+:047EF200800C0350AD
+:047EF300800C034DAF
+:047EF400800C034AB1
+:047EF500800C0347B3
+:047EF600800C0344B5
+:047EF700800C0340B8
+:047EF800800B033DBB
+:047EF900800B033ABD
+:047EFA00800B0337BF
+:047EFB00800B0334C1
+:047EFC00800B0331C3
+:047EFD00800B032EC5
+:047EFE00800B032AC8
+:047EFF00800B0327CA
+:047F0000800B0324CB
+:047F0100800B0321CD
+:047F0200800B031ECF
+:047F0300800B031BD1
+:047F0400800B0318D3
+:047F0500800A0314D7
+:047F0600800A0311D9
+:047F0700800A030EDB
+:047F0800800A030BDD
+:047F0900800A0308DF
+:047F0A00800A0305E1
+:047F0B00800A0302E3
+:047F0C00800A02FEE7
+:047F0D00800A02FBE9
+:047F0E00800A02F8EB
+:047F0F00800A02F5ED
+:047F1000800A02F2EF
+:047F1100800A02EFF1
+:047F1200800A02ECF3
+:047F1300800902E8F7
+:047F1400800902E5F9
+:047F1500800902E2FB
+:047F1600800902DFFD
+:047F1700800902DCFF
+:047F1800800902D901
+:047F1900800902D603
+:047F1A00800902D206
+:047F1B00800902CF08
+:047F1C00800902CC0A
+:047F1D00800902C90C
+:047F1E00800902C60E
+:047F1F00800902C310
+:047F2000800902C012
+:047F2100800802BC16
+:047F2200800802B918
+:047F2300800802B61A
+:047F2400800802B31C
+:047F2500800802B01E
+:047F2600800802AD20
+:047F2700800802AA22
+:047F2800800802A625
+:047F2900800802A327
+:047F2A00800802A029
+:047F2B008008029D2B
+:047F2C008008029A2D
+:047F2D00800802972F
+:047F2E008008029431
+:047F2F008008029133
+:047F30008008028D36
+:047F31008007028A39
+:047F3200800702873B
+:047F3300800702843D
+:047F3400800702813F
+:047F35008007027E41
+:047F36008007027B43
+:047F37008007027746
+:047F38008007027448
+:047F3900800702714A
+:047F3A008007026E4C
+:047F3B008007026B4E
+:047F3C008007026850
+:047F3D008007026552
+:047F3E008007026155
+:047F3F008007025E57
+:047F40008007025B59
+:047F4100800602585C
+:047F4200800602555E
+:047F43008006025260
+:047F44008006024F62
+:047F45008006024B65
+:047F46008006024867
+:047F47008006024569
+:047F4800800602426B
+:047F49008006023F6D
+:047F4A008006023C6F
+:047F4B008006023971
+:047F4C008006023574
+:047F4D008006023276
+:047F4E008006022F78
+:047F4F008006022C7A
+:047F5000800602297C
+:047F5100800602267E
+:047F52008006022380
+:047F53008006021F83
+:047F54008005021C86
+:047F55008005021988
+:047F5600800502168A
+:047F5700800502138C
+:047F5800800502108E
+:047F59008005020D90
+:047F5A008005020993
+:047F5B008005020695
+:047F5C008005020397
+:047F5D008005020099
+:047F5E00800501FD9C
+:047F5F00800501FA9E
+:047F6000800501F7A0
+:047F6100800501F3A3
+:047F6200800501F0A5
+:047F6300800501EDA7
+:047F6400800501EAA9
+:047F6500800501E7AB
+:047F6600800501E4AD
+:047F6700800501E1AF
+:047F6800800401DDB3
+:047F6900800401DAB5
+:047F6A00800401D7B7
+:047F6B00800401D4B9
+:047F6C00800401D1BB
+:047F6D00800401CEBD
+:047F6E00800401CBBF
+:047F6F00800401C7C2
+:047F7000800401C4C4
+:047F7100800401C1C6
+:047F7200800401BEC8
+:047F7300800401BBCA
+:047F7400800401B8CC
+:047F7500800401B5CE
+:047F7600800401B2D0
+:047F7700800401AED3
+:047F7800800401ABD5
+:047F7900800401A8D7
+:047F7A00800401A5D9
+:047F7B00800401A2DB
+:047F7C008004019FDD
+:047F7D008004019CDF
+:047F7E0080040198E2
+:047F7F0080040195E4
+:047F800080030192E7
+:047F81008003018FE9
+:047F82008003018CEB
+:047F830080030189ED
+:047F840080030186EF
+:047F850080030182F2
+:047F86008003017FF4
+:047F87008003017CF6
+:047F880080030179F8
+:047F890080030176FA
+:047F8A0080030173FC
+:047F8B0080030170FE
+:047F8C008003016C01
+:047F8D008003016903
+:047F8E008003016605
+:047F8F008003016307
+:047F90008003016009
+:047F91008003015D0B
+:047F92008003015A0D
+:047F93008003015610
+:047F94008003015312
+:047F95008003015014
+:047F96008003014D16
+:047F97008003014A18
+:047F9800800301471A
+:047F9900800301441C
+:047F9A00800301401F
+:047F9B008003013D21
+:047F9C008003013A23
+:047F9D008002013726
+:047F9E008002013428
+:047F9F00800201312A
+:047FA0008002012E2C
+:047FA1008002012A2F
+:047FA2008002012731
+:047FA3008002012433
+:047FA4008002012135
+:047FA5008002011E37
+:047FA6008002011B39
+:047FA700800201183B
+:047FA800800201143E
+:047FA9008002011140
+:047FAA008002010E42
+:047FAB008002010B44
+:047FAC008002010846
+:047FAD008002010548
+:047FAE00800201024A
+:047FAF00800200FE4E
+:047FB000800200FB50
+:047FB100800200F852
+:047FB200800200F554
+:047FB300800200F256
+:047FB400800200EF58
+:047FB500800200EC5A
+:047FB600800200E85D
+:047FB700800200E55F
+:047FB800800200E261
+:047FB900800200DF63
+:047FBA00800200DC65
+:047FBB00800200D967
+:047FBC00800200D669
+:047FBD00800200D26C
+:047FBE00800200CF6E
+:047FBF00800200CC70
+:047FC000800200C972
+:047FC100800200C674
+:047FC200800200C376
+:047FC300800200C078
+:047FC400800200BC7B
+:047FC500800200B97D
+:047FC600800200B67F
+:047FC700800100B382
+:047FC800800100B084
+:047FC900800100AD86
+:047FCA00800100AA88
+:047FCB00800100A68B
+:047FCC00800100A38D
+:047FCD00800100A08F
+:047FCE008001009D91
+:047FCF008001009A93
+:047FD0008001009795
+:047FD1008001009497
+:047FD2008001009199
+:047FD3008001008D9C
+:047FD4008001008A9E
+:047FD50080010087A0
+:047FD60080010084A2
+:047FD70080010081A4
+:047FD8008001007EA6
+:047FD9008001007BA8
+:047FDA0080010077AB
+:047FDB0080010074AD
+:047FDC0080010071AF
+:047FDD008001006EB1
+:047FDE008001006BB3
+:047FDF0080010068B5
+:047FE00080010065B7
+:047FE10080010061BA
+:047FE2008001005EBC
+:047FE3008001005BBE
+:047FE40080010058C0
+:047FE50080010055C2
+:047FE60080010052C4
+:047FE7008001004FC6
+:047FE8008001004BC9
+:047FE90080010048CB
+:047FEA0080010045CD
+:047FEB0080010042CF
+:047FEC008001003FD1
+:047FED008001003CD3
+:047FEE0080010039D5
+:047FEF0080010035D8
+:047FF00080010032DA
+:047FF1008001002FDC
+:047FF2008001002CDE
+:047FF30080010029E0
+:047FF40080010026E2
+:047FF50080010023E4
+:047FF6008001001FE7
+:047FF7008001001CE9
+:047FF80080010019EB
+:047FF90080010016ED
+:047FFA0080010013EF
+:047FFB0080010010F1
+:047FFC008001000DF3
+:047FFD0080010009F6
+:047FFE0080010006F8
+:047FFF0080010003FA
+:0480000000007FFFFE
+:04800100FFF77FFF07
+:04800200FFED7FFF10
+:04800300FFE47FFF18
+:04800400FFDA7FFF21
+:04800500FFD17FFF29
+:04800600FFC77FFF32
+:04800700FFBE7FFF3A
+:04800800FFB57FFF42
+:04800900FFAB7FFF4B
+:04800A00FFA27FFF53
+:04800B00FF987FFF5C
+:04800C00FF8F7FFF64
+:04800D00FF857FFF6D
+:04800E00FF7C7FFF75
+:04800F00FF737FFF7D
+:04801000FF697FFF86
+:04801100FF607FFF8E
+:04801200FF567FFF97
+:04801300FF4D7FFF9F
+:04801400FF447FFEA8
+:04801500FF3A7FFEB1
+:04801600FF317FFEB9
+:04801700FF277FFEC2
+:04801800FF1E7FFECA
+:04801900FF147FFED3
+:04801A00FF0B7FFEDB
+:04801B00FF027FFEE3
+:04801C00FEF87FFEED
+:04801D00FEEF7FFEF5
+:04801E00FEE57FFEFE
+:04801F00FEDC7FFE06
+:04802000FED27FFE0F
+:04802100FEC97FFE17
+:04802200FEC07FFD20
+:04802300FEB67FFD29
+:04802400FEAD7FFD31
+:04802500FEA37FFD3A
+:04802600FE9A7FFD42
+:04802700FE907FFD4B
+:04802800FE877FFD53
+:04802900FE7E7FFD5B
+:04802A00FE747FFD64
+:04802B00FE6B7FFC6D
+:04802C00FE617FFC76
+:04802D00FE587FFC7E
+:04802E00FE4E7FFC87
+:04802F00FE457FFC8F
+:04803000FE3C7FFC97
+:04803100FE327FFCA0
+:04803200FE297FFCA8
+:04803300FE1F7FFBB2
+:04803400FE167FFBBA
+:04803500FE0D7FFBC2
+:04803600FE037FFBCB
+:04803700FDFA7FFBD4
+:04803800FDF07FFBDD
+:04803900FDE77FFBE5
+:04803A00FDDD7FFAEF
+:04803B00FDD47FFAF7
+:04803C00FDCB7FFAFF
+:04803D00FDC17FFA08
+:04803E00FDB87FFA10
+:04803F00FDAE7FFA19
+:04804000FDA57FF922
+:04804100FD9B7FF92B
+:04804200FD927FF933
+:04804300FD897FF93B
+:04804400FD7F7FF944
+:04804500FD767FF94C
+:04804600FD6C7FF856
+:04804700FD637FF85E
+:04804800FD5A7FF866
+:04804900FD507FF86F
+:04804A00FD477FF877
+:04804B00FD3D7FF781
+:04804C00FD347FF789
+:04804D00FD2A7FF792
+:04804E00FD217FF79A
+:04804F00FD187FF7A2
+:04805000FD0E7FF6AC
+:04805100FD057FF6B4
+:04805200FCFB7FF6BE
+:04805300FCF27FF6C6
+:04805400FCE87FF5D0
+:04805500FCDF7FF5D8
+:04805600FCD67FF5E0
+:04805700FCCC7FF5E9
+:04805800FCC37FF5F1
+:04805900FCB97FF4FB
+:04805A00FCB07FF403
+:04805B00FCA67FF40C
+:04805C00FC9D7FF414
+:04805D00FC947FF31D
+:04805E00FC8A7FF326
+:04805F00FC817FF32E
+:04806000FC777FF337
+:04806100FC6E7FF240
+:04806200FC657FF248
+:04806300FC5B7FF251
+:04806400FC527FF15A
+:04806500FC487FF163
+:04806600FC3F7FF16B
+:04806700FC357FF174
+:04806800FC2C7FF07D
+:04806900FC237FF085
+:04806A00FC197FF08E
+:04806B00FC107FEF97
+:04806C00FC067FEFA0
+:04806D00FBFD7FEFA9
+:04806E00FBF47FEFB1
+:04806F00FBEA7FEEBB
+:04807000FBE17FEEC3
+:04807100FBD77FEECC
+:04807200FBCE7FEDD5
+:04807300FBC47FEDDE
+:04807400FBBB7FEDE6
+:04807500FBB27FECEF
+:04807600FBA87FECF8
+:04807700FB9F7FEC00
+:04807800FB957FEB0A
+:04807900FB8C7FEB12
+:04807A00FB827FEB1B
+:04807B00FB797FEA24
+:04807C00FB707FEA2C
+:04807D00FB667FEA35
+:04807E00FB5D7FE93E
+:04807F00FB537FE947
+:04808000FB4A7FE94F
+:04808100FB417FE858
+:04808200FB377FE861
+:04808300FB2E7FE869
+:04808400FB247FE773
+:04808500FB1B7FE77B
+:04808600FB117FE784
+:04808700FB087FE68D
+:04808800FAFF7FE696
+:04808900FAF57FE69F
+:04808A00FAEC7FE5A8
+:04808B00FAE27FE5B1
+:04808C00FAD97FE4BA
+:04808D00FAD07FE4C2
+:04808E00FAC67FE4CB
+:04808F00FABD7FE3D4
+:04809000FAB37FE3DD
+:04809100FAAA7FE3E5
+:04809200FAA07FE2EF
+:04809300FA977FE2F7
+:04809400FA8E7FE100
+:04809500FA847FE109
+:04809600FA7B7FE111
+:04809700FA717FE01B
+:04809800FA687FE023
+:04809900FA5F7FDF2C
+:04809A00FA557FDF35
+:04809B00FA4C7FDE3E
+:04809C00FA427FDE47
+:04809D00FA397FDE4F
+:04809E00FA2F7FDD59
+:04809F00FA267FDD61
+:0480A000FA1D7FDC6A
+:0480A100FA137FDC73
+:0480A200FA0A7FDB7C
+:0480A300FA007FDB85
+:0480A400F9F77FDB8E
+:0480A500F9EE7FDA97
+:0480A600F9E47FDAA0
+:0480A700F9DB7FD9A9
+:0480A800F9D17FD9B2
+:0480A900F9C87FD8BB
+:0480AA00F9BF7FD8C3
+:0480AB00F9B57FD7CD
+:0480AC00F9AC7FD7D5
+:0480AD00F9A27FD6DF
+:0480AE00F9997FD6E7
+:0480AF00F98F7FD6F0
+:0480B000F9867FD5F9
+:0480B100F97D7FD501
+:0480B200F9737FD40B
+:0480B300F96A7FD413
+:0480B400F9607FD31D
+:0480B500F9577FD325
+:0480B600F94E7FD22E
+:0480B700F9447FD237
+:0480B800F93B7FD140
+:0480B900F9317FD149
+:0480BA00F9287FD052
+:0480BB00F91F7FD05A
+:0480BC00F9157FCF64
+:0480BD00F90C7FCF6C
+:0480BE00F9027FCE76
+:0480BF00F8F97FCE7F
+:0480C000F8EF7FCD89
+:0480C100F8E67FCD91
+:0480C200F8DD7FCC9A
+:0480C300F8D37FCBA4
+:0480C400F8CA7FCBAC
+:0480C500F8C07FCAB6
+:0480C600F8B77FCABE
+:0480C700F8AE7FC9C7
+:0480C800F8A47FC9D0
+:0480C900F89B7FC8D9
+:0480CA00F8917FC8E2
+:0480CB00F8887FC7EB
+:0480CC00F87F7FC7F3
+:0480CD00F8757FC6FD
+:0480CE00F86C7FC605
+:0480CF00F8627FC50F
+:0480D000F8597FC418
+:0480D100F8507FC420
+:0480D200F8467FC32A
+:0480D300F83D7FC332
+:0480D400F8337FC23C
+:0480D500F82A7FC244
+:0480D600F8207FC14E
+:0480D700F8177FC057
+:0480D800F80E7FC05F
+:0480D900F8047FBF69
+:0480DA00F7FB7FBF72
+:0480DB00F7F17FBE7C
+:0480DC00F7E87FBD85
+:0480DD00F7DF7FBD8D
+:0480DE00F7D57FBC97
+:0480DF00F7CC7FBC9F
+:0480E000F7C27FBBA9
+:0480E100F7B97FBAB2
+:0480E200F7B07FBABA
+:0480E300F7A67FB9C4
+:0480E400F79D7FB9CC
+:0480E500F7937FB8D6
+:0480E600F78A7FB7DF
+:0480E700F7817FB7E7
+:0480E800F7777FB6F1
+:0480E900F76E7FB5FA
+:0480EA00F7647FB503
+:0480EB00F75B7FB40C
+:0480EC00F7527FB414
+:0480ED00F7487FB31E
+:0480EE00F73F7FB227
+:0480EF00F7357FB230
+:0480F000F72C7FB139
+:0480F100F7237FB042
+:0480F200F7197FB04B
+:0480F300F7107FAF54
+:0480F400F7067FAE5E
+:0480F500F6FD7FAE67
+:0480F600F6F47FAD70
+:0480F700F6EA7FAC7A
+:0480F800F6E17FAC82
+:0480F900F6D77FAB8C
+:0480FA00F6CE7FAA95
+:0480FB00F6C57FAA9D
+:0480FC00F6BB7FA9A7
+:0480FD00F6B27FA8B0
+:0480FE00F6A87FA8B9
+:0480FF00F69F7FA7C2
+:04810000F6967FA6CA
+:04810100F68C7FA6D3
+:04810200F6837FA5DC
+:04810300F6797FA4E6
+:04810400F6707FA3EF
+:04810500F6677FA3F7
+:04810600F65D7FA201
+:04810700F6547FA10A
+:04810800F64A7FA113
+:04810900F6417FA01C
+:04810A00F6387F9F25
+:04810B00F62E7F9E2F
+:04810C00F6257F9E37
+:04810D00F61B7F9D41
+:04810E00F6127F9C4A
+:04810F00F6097F9C52
+:04811000F5FF7F9B5D
+:04811100F5F67F9A66
+:04811200F5EC7F9970
+:04811300F5E37F9978
+:04811400F5DA7F9881
+:04811500F5D07F978B
+:04811600F5C77F9694
+:04811700F5BD7F969D
+:04811800F5B47F95A6
+:04811900F5AB7F94AF
+:04811A00F5A17F93B9
+:04811B00F5987F93C1
+:04811C00F58F7F92CA
+:04811D00F5857F91D4
+:04811E00F57C7F90DD
+:04811F00F5727F8FE7
+:04812000F5697F8FEF
+:04812100F5607F8EF8
+:04812200F5567F8D02
+:04812300F54D7F8C0B
+:04812400F5437F8C14
+:04812500F53A7F8B1D
+:04812600F5317F8A26
+:04812700F5277F8930
+:04812800F51E7F8839
+:04812900F5147F8842
+:04812A00F50B7F874B
+:04812B00F5027F8654
+:04812C00F4F87F855F
+:04812D00F4EF7F8468
+:04812E00F4E57F8372
+:04812F00F4DC7F837A
+:04813000F4D37F8283
+:04813100F4C97F818D
+:04813200F4C07F8096
+:04813300F4B77F7F9F
+:04813400F4AD7F7FA8
+:04813500F4A47F7EB1
+:04813600F49A7F7DBB
+:04813700F4917F7CC4
+:04813800F4887F7BCD
+:04813900F47E7F7AD7
+:04813A00F4757F79E0
+:04813B00F46B7F79E9
+:04813C00F4627F78F2
+:04813D00F4597F77FB
+:04813E00F44F7F7605
+:04813F00F4467F750E
+:04814000F43D7F7417
+:04814100F4337F7321
+:04814200F42A7F7329
+:04814300F4207F7233
+:04814400F4177F713C
+:04814500F40E7F7045
+:04814600F4047F6F4F
+:04814700F3FB7F6E59
+:04814800F3F17F6D63
+:04814900F3E87F6C6C
+:04814A00F3DF7F6C74
+:04814B00F3D57F6B7E
+:04814C00F3CC7F6A87
+:04814D00F3C37F6990
+:04814E00F3B97F689A
+:04814F00F3B07F67A3
+:04815000F3A67F66AD
+:04815100F39D7F65B6
+:04815200F3947F64BF
+:04815300F38A7F63C9
+:04815400F3817F62D2
+:04815500F3777F62DB
+:04815600F36E7F61E4
+:04815700F3657F60ED
+:04815800F35B7F5FF7
+:04815900F3527F5E00
+:04815A00F3497F5D09
+:04815B00F33F7F5C13
+:04815C00F3367F5B1C
+:04815D00F32C7F5A26
+:04815E00F3237F592F
+:04815F00F31A7F5838
+:04816000F3107F5742
+:04816100F3077F564B
+:04816200F2FE7F5555
+:04816300F2F47F545F
+:04816400F2EB7F5368
+:04816500F2E17F5272
+:04816600F2D87F517B
+:04816700F2CF7F5084
+:04816800F2C57F508D
+:04816900F2BC7F4F96
+:04816A00F2B37F4E9F
+:04816B00F2A97F4DA9
+:04816C00F2A07F4CB2
+:04816D00F2967F4BBC
+:04816E00F28D7F4AC5
+:04816F00F2847F49CE
+:04817000F27A7F48D8
+:04817100F2717F47E1
+:04817200F2687F46EA
+:04817300F25E7F45F4
+:04817400F2557F44FD
+:04817500F24C7F4306
+:04817600F2427F4210
+:04817700F2397F4119
+:04817800F22F7F4023
+:04817900F2267F3F2C
+:04817A00F21D7F3E35
+:04817B00F2137F3D3F
+:04817C00F20A7F3B49
+:04817D00F2017F3A52
+:04817E00F1F77F395D
+:04817F00F1EE7F3866
+:04818000F1E47F3770
+:04818100F1DB7F3679
+:04818200F1D27F3582
+:04818300F1C87F348C
+:04818400F1BF7F3395
+:04818500F1B67F329E
+:04818600F1AC7F31A8
+:04818700F1A37F30B1
+:04818800F19A7F2FBA
+:04818900F1907F2EC4
+:04818A00F1877F2DCD
+:04818B00F17D7F2CD7
+:04818C00F1747F2BE0
+:04818D00F16B7F2AE9
+:04818E00F1617F29F3
+:04818F00F1587F27FD
+:04819000F14F7F2606
+:04819100F1457F2510
+:04819200F13C7F2419
+:04819300F1337F2322
+:04819400F1297F222C
+:04819500F1207F2135
+:04819600F1167F203F
+:04819700F10D7F1F48
+:04819800F1047F1E51
+:04819900F0FA7F1D5C
+:04819A00F0F17F1B66
+:04819B00F0E87F1A6F
+:04819C00F0DE7F1979
+:04819D00F0D57F1882
+:04819E00F0CC7F178B
+:04819F00F0C27F1695
+:0481A000F0B97F159E
+:0481A100F0B07F14A7
+:0481A200F0A67F12B2
+:0481A300F09D7F11BB
+:0481A400F0937F10C5
+:0481A500F08A7F0FCE
+:0481A600F0817F0ED7
+:0481A700F0777F0DE1
+:0481A800F06E7F0CEA
+:0481A900F0657F0BF3
+:0481AA00F05B7F09FE
+:0481AB00F0527F0807
+:0481AC00F0497F0710
+:0481AD00F03F7F061A
+:0481AE00F0367F0523
+:0481AF00F02D7F042C
+:0481B000F0237F0237
+:0481B100F01A7F0140
+:0481B200F0117F0049
+:0481B300F0077EFF54
+:0481B400EFFE7EFE5E
+:0481B500EFF47EFD68
+:0481B600EFEB7EFB72
+:0481B700EFE27EFA7B
+:0481B800EFD87EF985
+:0481B900EFCF7EF88E
+:0481BA00EFC67EF797
+:0481BB00EFBC7EF5A2
+:0481BC00EFB37EF4AB
+:0481BD00EFAA7EF3B4
+:0481BE00EFA07EF2BE
+:0481BF00EF977EF1C7
+:0481C000EF8E7EEFD1
+:0481C100EF847EEEDB
+:0481C200EF7B7EEDE4
+:0481C300EF727EECED
+:0481C400EF687EEAF8
+:0481C500EF5F7EE901
+:0481C600EF567EE80A
+:0481C700EF4C7EE714
+:0481C800EF437EE61D
+:0481C900EF3A7EE427
+:0481CA00EF307EE331
+:0481CB00EF277EE23A
+:0481CC00EF1E7EE143
+:0481CD00EF147EDF4E
+:0481CE00EF0B7EDE57
+:0481CF00EF017EDD61
+:0481D000EEF87EDC6B
+:0481D100EEEF7EDA75
+:0481D200EEE57ED97F
+:0481D300EEDC7ED888
+:0481D400EED37ED791
+:0481D500EEC97ED59C
+:0481D600EEC07ED4A5
+:0481D700EEB77ED3AE
+:0481D800EEAD7ED2B8
+:0481D900EEA47ED0C2
+:0481DA00EE9B7ECFCB
+:0481DB00EE917ECED5
+:0481DC00EE887ECCDF
+:0481DD00EE7F7ECBE8
+:0481DE00EE757ECAF2
+:0481DF00EE6C7EC9FB
+:0481E000EE637EC705
+:0481E100EE597EC60F
+:0481E200EE507EC518
+:0481E300EE477EC322
+:0481E400EE3D7EC22C
+:0481E500EE347EC135
+:0481E600EE2B7EBF3F
+:0481E700EE217EBE49
+:0481E800EE187EBD52
+:0481E900EE0F7EBB5C
+:0481EA00EE057EBA66
+:0481EB00EDFC7EB970
+:0481EC00EDF37EB77A
+:0481ED00EDE97EB684
+:0481EE00EDE07EB58D
+:0481EF00EDD77EB397
+:0481F000EDCD7EB2A1
+:0481F100EDC47EB1AA
+:0481F200EDBB7EAFB4
+:0481F300EDB17EAEBE
+:0481F400EDA87EADC7
+:0481F500ED9F7EABD1
+:0481F600ED957EAADB
+:0481F700ED8C7EA9E4
+:0481F800ED837EA7EE
+:0481F900ED797EA6F8
+:0481FA00ED707EA501
+:0481FB00ED677EA30B
+:0481FC00ED5E7EA214
+:0481FD00ED547EA01F
+:0481FE00ED4B7E9F28
+:0481FF00ED427E9E31
+:04820000ED387E9C3B
+:04820100ED2F7E9B44
+:04820200ED267E9A4D
+:04820300ED1C7E9858
+:04820400ED137E9761
+:04820500ED0A7E956B
+:04820600ED007E9475
+:04820700ECF77E937F
+:04820800ECEE7E9189
+:04820900ECE47E9093
+:04820A00ECDB7E8E9D
+:04820B00ECD27E8DA6
+:04820C00ECC87E8CB0
+:04820D00ECBF7E8ABA
+:04820E00ECB67E89C3
+:04820F00ECAC7E87CE
+:04821000ECA37E86D7
+:04821100EC9A7E84E1
+:04821200EC907E83EB
+:04821300EC877E82F4
+:04821400EC7E7E80FE
+:04821500EC757E7F07
+:04821600EC6B7E7D12
+:04821700EC627E7C1B
+:04821800EC597E7A25
+:04821900EC4F7E792F
+:04821A00EC467E7739
+:04821B00EC3D7E7642
+:04821C00EC337E754C
+:04821D00EC2A7E7356
+:04821E00EC217E725F
+:04821F00EC177E706A
+:04822000EC0E7E6F73
+:04822100EC057E6D7D
+:04822200EBFC7E6C87
+:04822300EBF27E6A92
+:04822400EBE97E699B
+:04822500EBE07E67A5
+:04822600EBD67E66AF
+:04822700EBCD7E64B9
+:04822800EBC47E63C2
+:04822900EBBA7E61CD
+:04822A00EBB17E60D6
+:04822B00EBA87E5EE0
+:04822C00EB9E7E5DEA
+:04822D00EB957E5BF4
+:04822E00EB8C7E5AFD
+:04822F00EB837E5807
+:04823000EB797E5711
+:04823100EB707E551B
+:04823200EB677E5424
+:04823300EB5D7E522F
+:04823400EB547E5138
+:04823500EB4B7E4F42
+:04823600EB417E4E4C
+:04823700EB387E4C56
+:04823800EB2F7E4B5F
+:04823900EB267E4969
+:04823A00EB1C7E4873
+:04823B00EB137E467D
+:04823C00EB0A7E4586
+:04823D00EB007E4391
+:04823E00EAF77E419C
+:04823F00EAEE7E40A5
+:04824000EAE47E3EB0
+:04824100EADB7E3DB9
+:04824200EAD27E3BC3
+:04824300EAC97E3ACC
+:04824400EABF7E38D7
+:04824500EAB67E37E0
+:04824600EAAD7E35EA
+:04824700EAA37E33F5
+:04824800EA9A7E32FE
+:04824900EA917E3008
+:04824A00EA887E2F11
+:04824B00EA7E7E2D1C
+:04824C00EA757E2C25
+:04824D00EA6C7E2A2F
+:04824E00EA627E283A
+:04824F00EA597E2743
+:04825000EA507E254D
+:04825100EA477E2456
+:04825200EA3D7E2261
+:04825300EA347E206B
+:04825400EA2B7E1F74
+:04825500EA217E1D7F
+:04825600EA187E1C88
+:04825700EA0F7E1A92
+:04825800EA067E189C
+:04825900E9FC7E17A7
+:04825A00E9F37E15B1
+:04825B00E9EA7E13BB
+:04825C00E9E07E12C5
+:04825D00E9D77E10CF
+:04825E00E9CE7E0FD8
+:04825F00E9C57E0DE2
+:04826000E9BB7E0BED
+:04826100E9B27E0AF6
+:04826200E9A97E0800
+:04826300E99F7E060B
+:04826400E9967E0514
+:04826500E98D7E031E
+:04826600E9847E0128
+:04826700E97A7E0032
+:04826800E9717DFE3D
+:04826900E9687DFC47
+:04826A00E95E7DFB51
+:04826B00E9557DF95B
+:04826C00E94C7DF765
+:04826D00E9437DF66E
+:04826E00E9397DF479
+:04826F00E9307DF283
+:04827000E9277DF18C
+:04827100E91E7DEF96
+:04827200E9147DEDA1
+:04827300E90B7DECAA
+:04827400E9027DEAB4
+:04827500E8F87DE8C0
+:04827600E8EF7DE7C9
+:04827700E8E67DE5D3
+:04827800E8DD7DE3DD
+:04827900E8D37DE1E8
+:04827A00E8CA7DE0F1
+:04827B00E8C17DDEFB
+:04827C00E8B87DDC05
+:04827D00E8AE7DDB0F
+:04827E00E8A57DD919
+:04827F00E89C7DD723
+:04828000E8937DD52D
+:04828100E8897DD437
+:04828200E8807DD241
+:04828300E8777DD04B
+:04828400E86D7DCF55
+:04828500E8647DCD5F
+:04828600E85B7DCB69
+:04828700E8527DC973
+:04828800E8487DC87D
+:04828900E83F7DC687
+:04828A00E8367DC491
+:04828B00E82D7DC29B
+:04828C00E8237DC1A5
+:04828D00E81A7DBFAF
+:04828E00E8117DBDB9
+:04828F00E8087DBBC3
+:04829000E7FE7DB9CF
+:04829100E7F57DB8D8
+:04829200E7EC7DB6E2
+:04829300E7E37DB4EC
+:04829400E7D97DB2F7
+:04829500E7D07DB100
+:04829600E7C77DAF0A
+:04829700E7BE7DAD14
+:04829800E7B47DAB1F
+:04829900E7AB7DA929
+:04829A00E7A27DA832
+:04829B00E7997DA63C
+:04829C00E78F7DA447
+:04829D00E7867DA251
+:04829E00E77D7DA05B
+:04829F00E7747D9F64
+:0482A000E76A7D9D6F
+:0482A100E7617D9B79
+:0482A200E7587D9983
+:0482A300E74F7D978D
+:0482A400E7457D9697
+:0482A500E73C7D94A1
+:0482A600E7337D92AB
+:0482A700E72A7D90B5
+:0482A800E7207D8EC0
+:0482A900E7177D8CCA
+:0482AA00E70E7D8BD3
+:0482AB00E7057D89DD
+:0482AC00E6FB7D87E9
+:0482AD00E6F27D85F3
+:0482AE00E6E97D83FD
+:0482AF00E6E07D8107
+:0482B000E6D67D8011
+:0482B100E6CD7D7E1B
+:0482B200E6C47D7C25
+:0482B300E6BB7D7A2F
+:0482B400E6B17D783A
+:0482B500E6A87D7644
+:0482B600E69F7D744E
+:0482B700E6967D7357
+:0482B800E68C7D7162
+:0482B900E6837D6F6C
+:0482BA00E67A7D6D76
+:0482BB00E6717D6B80
+:0482BC00E6687D698A
+:0482BD00E65E7D6795
+:0482BE00E6557D659F
+:0482BF00E64C7D63A9
+:0482C000E6437D62B2
+:0482C100E6397D60BD
+:0482C200E6307D5EC7
+:0482C300E6277D5CD1
+:0482C400E61E7D5ADB
+:0482C500E6147D58E6
+:0482C600E60B7D56F0
+:0482C700E6027D54FA
+:0482C800E5F97D5205
+:0482C900E5F07D500F
+:0482CA00E5E67D4E1A
+:0482CB00E5DD7D4D23
+:0482CC00E5D47D4B2D
+:0482CD00E5CB7D4937
+:0482CE00E5C17D4742
+:0482CF00E5B87D454C
+:0482D000E5AF7D4356
+:0482D100E5A67D4160
+:0482D200E59D7D3F6A
+:0482D300E5937D3D75
+:0482D400E58A7D3B7F
+:0482D500E5817D3989
+:0482D600E5787D3793
+:0482D700E56E7D359E
+:0482D800E5657D33A8
+:0482D900E55C7D31B2
+:0482DA00E5537D2FBC
+:0482DB00E54A7D2DC6
+:0482DC00E5407D2BD1
+:0482DD00E5377D2ADA
+:0482DE00E52E7D28E4
+:0482DF00E5257D26EE
+:0482E000E51B7D24F9
+:0482E100E5127D2203
+:0482E200E5097D200D
+:0482E300E5007D1E17
+:0482E400E4F77D1C22
+:0482E500E4ED7D1A2D
+:0482E600E4E47D1837
+:0482E700E4DB7D1641
+:0482E800E4D27D144B
+:0482E900E4C97D1255
+:0482EA00E4BF7D1060
+:0482EB00E4B67D0E6A
+:0482EC00E4AD7D0C74
+:0482ED00E4A47D0A7E
+:0482EE00E49B7D0888
+:0482EF00E4917D0693
+:0482F000E4887D049D
+:0482F100E47F7D02A7
+:0482F200E4767D00B1
+:0482F300E46D7CFDBD
+:0482F400E4637CFBC8
+:0482F500E45A7CF9D2
+:0482F600E4517CF7DC
+:0482F700E4487CF5E6
+:0482F800E43F7CF3F0
+:0482F900E4357CF1FB
+:0482FA00E42C7CEF05
+:0482FB00E4237CED0F
+:0482FC00E41A7CEB19
+:0482FD00E4117CE923
+:0482FE00E4077CE72E
+:0482FF00E3FE7CE539
+:04830000E3F57CE342
+:04830100E3EC7CE14C
+:04830200E3E37CDF56
+:04830300E3D97CDD61
+:04830400E3D07CDB6B
+:04830500E3C77CD876
+:04830600E3BE7CD680
+:04830700E3B57CD48A
+:04830800E3AB7CD295
+:04830900E3A27CD09F
+:04830A00E3997CCEA9
+:04830B00E3907CCCB3
+:04830C00E3877CCABD
+:04830D00E37D7CC8C8
+:04830E00E3747CC6D2
+:04830F00E36B7CC4DC
+:04831000E3627CC1E7
+:04831100E3597CBFF1
+:04831200E3507CBDFB
+:04831300E3467CBB06
+:04831400E33D7CB910
+:04831500E3347CB71A
+:04831600E32B7CB524
+:04831700E3227CB32E
+:04831800E3187CB139
+:04831900E30F7CAE44
+:04831A00E3067CAC4E
+:04831B00E2FD7CAA59
+:04831C00E2F47CA863
+:04831D00E2EB7CA66D
+:04831E00E2E17CA478
+:04831F00E2D87CA282
+:04832000E2CF7C9F8D
+:04832100E2C67C9D97
+:04832200E2BD7C9BA1
+:04832300E2B37C99AC
+:04832400E2AA7C97B6
+:04832500E2A17C95C0
+:04832600E2987C92CB
+:04832700E28F7C90D5
+:04832800E2867C8EDF
+:04832900E27C7C8CEA
+:04832A00E2737C8AF4
+:04832B00E26A7C88FE
+:04832C00E2617C8509
+:04832D00E2587C8313
+:04832E00E24F7C811D
+:04832F00E2457C7F28
+:04833000E23C7C7D32
+:04833100E2337C7B3C
+:04833200E22A7C7847
+:04833300E2217C7651
+:04833400E2187C745B
+:04833500E20E7C7266
+:04833600E2057C7070
+:04833700E1FC7C6D7C
+:04833800E1F37C6B86
+:04833900E1EA7C6990
+:04833A00E1E17C679A
+:04833B00E1D77C64A6
+:04833C00E1CE7C62B0
+:04833D00E1C57C60BA
+:04833E00E1BC7C5EC4
+:04833F00E1B37C5CCE
+:04834000E1AA7C59D9
+:04834100E1A17C57E3
+:04834200E1977C55EE
+:04834300E18E7C53F8
+:04834400E1857C5003
+:04834500E17C7C4E0D
+:04834600E1737C4C17
+:04834700E16A7C4A21
+:04834800E1607C472D
+:04834900E1577C4537
+:04834A00E14E7C4341
+:04834B00E1457C414B
+:04834C00E13C7C3E56
+:04834D00E1337C3C60
+:04834E00E12A7C3A6A
+:04834F00E1207C3776
+:04835000E1177C3580
+:04835100E10E7C338A
+:04835200E1057C3194
+:04835300E0FC7C2EA0
+:04835400E0F37C2CAA
+:04835500E0EA7C2AB4
+:04835600E0E07C28BF
+:04835700E0D77C25CA
+:04835800E0CE7C23D4
+:04835900E0C57C21DE
+:04835A00E0BC7C1EE9
+:04835B00E0B37C1CF3
+:04835C00E0AA7C1AFD
+:04835D00E0A07C1709
+:04835E00E0977C1513
+:04835F00E08E7C131D
+:04836000E0857C1028
+:04836100E07C7C0E32
+:04836200E0737C0C3C
+:04836300E06A7C0A46
+:04836400E0617C0751
+:04836500E0577C055C
+:04836600E04E7C0366
+:04836700E0457C0071
+:04836800E03C7BFE7C
+:04836900E0337BFC86
+:04836A00E02A7BF991
+:04836B00E0217BF79B
+:04836C00E0177BF4A7
+:04836D00E00E7BF2B1
+:04836E00E0057BF0BB
+:04836F00DFFC7BEDC7
+:04837000DFF37BEBD1
+:04837100DFEA7BE9DB
+:04837200DFE17BE6E6
+:04837300DFD87BE4F0
+:04837400DFCE7BE2FB
+:04837500DFC57BDF06
+:04837600DFBC7BDD10
+:04837700DFB37BDA1B
+:04837800DFAA7BD825
+:04837900DFA17BD62F
+:04837A00DF987BD33A
+:04837B00DF8F7BD144
+:04837C00DF867BCF4E
+:04837D00DF7C7BCC5A
+:04837E00DF737BCA64
+:04837F00DF6A7BC76F
+:04838000DF617BC579
+:04838100DF587BC383
+:04838200DF4F7BC08E
+:04838300DF467BBE98
+:04838400DF3D7BBBA3
+:04838500DF347BB9AD
+:04838600DF2A7BB6B9
+:04838700DF217BB4C3
+:04838800DF187BB2CD
+:04838900DF0F7BAFD8
+:04838A00DF067BADE2
+:04838B00DEFD7BAAEE
+:04838C00DEF47BA8F8
+:04838D00DEEB7BA602
+:04838E00DEE27BA30D
+:04838F00DED87BA118
+:04839000DECF7B9E23
+:04839100DEC67B9C2D
+:04839200DEBD7B9938
+:04839300DEB47B9742
+:04839400DEAB7B944D
+:04839500DEA27B9257
+:04839600DE997B8F62
+:04839700DE907B8D6C
+:04839800DE877B8B76
+:04839900DE7D7B8882
+:04839A00DE747B868C
+:04839B00DE6B7B8397
+:04839C00DE627B81A1
+:04839D00DE597B7EAC
+:04839E00DE507B7CB6
+:04839F00DE477B79C1
+:0483A000DE3E7B77CB
+:0483A100DE357B74D6
+:0483A200DE2C7B72E0
+:0483A300DE237B6FEB
+:0483A400DE197B6DF6
+:0483A500DE107B6A01
+:0483A600DE077B680B
+:0483A700DDFE7B6517
+:0483A800DDF57B6321
+:0483A900DDEC7B602C
+:0483AA00DDE37B5E36
+:0483AB00DDDA7B5B41
+:0483AC00DDD17B594B
+:0483AD00DDC87B5656
+:0483AE00DDBF7B5460
+:0483AF00DDB67B516B
+:0483B000DDAC7B4F76
+:0483B100DDA37B4C81
+:0483B200DD9A7B4A8B
+:0483B300DD917B4796
+:0483B400DD887B45A0
+:0483B500DD7F7B42AB
+:0483B600DD767B3FB6
+:0483B700DD6D7B3DC0
+:0483B800DD647B3ACB
+:0483B900DD5B7B38D5
+:0483BA00DD527B35E0
+:0483BB00DD497B33EA
+:0483BC00DD407B30F5
+:0483BD00DD367B2E00
+:0483BE00DD2D7B2B0B
+:0483BF00DD247B2816
+:0483C000DD1B7B2620
+:0483C100DD127B232B
+:0483C200DD097B2135
+:0483C300DD007B1E40
+:0483C400DCF77B1C4B
+:0483C500DCEE7B1956
+:0483C600DCE57B1661
+:0483C700DCDC7B146B
+:0483C800DCD37B1176
+:0483C900DCCA7B0F80
+:0483CA00DCC17B0C8B
+:0483CB00DCB87B0996
+:0483CC00DCAF7B07A0
+:0483CD00DCA57B04AC
+:0483CE00DC9C7B02B6
+:0483CF00DC937AFFC2
+:0483D000DC8A7AFCCD
+:0483D100DC817AFAD7
+:0483D200DC787AF7E2
+:0483D300DC6F7AF5EC
+:0483D400DC667AF2F7
+:0483D500DC5D7AEF02
+:0483D600DC547AED0C
+:0483D700DC4B7AEA17
+:0483D800DC427AE722
+:0483D900DC397AE52C
+:0483DA00DC307AE237
+:0483DB00DC277AE041
+:0483DC00DC1E7ADD4C
+:0483DD00DC157ADA57
+:0483DE00DC0C7AD861
+:0483DF00DC037AD56C
+:0483E000DBFA7AD278
+:0483E100DBF07AD083
+:0483E200DBE77ACD8E
+:0483E300DBDE7ACA99
+:0483E400DBD57AC8A3
+:0483E500DBCC7AC5AE
+:0483E600DBC37AC2B9
+:0483E700DBBA7AC0C3
+:0483E800DBB17ABDCE
+:0483E900DBA87ABAD9
+:0483EA00DB9F7AB8E3
+:0483EB00DB967AB5EE
+:0483EC00DB8D7AB2F9
+:0483ED00DB847AB003
+:0483EE00DB7B7AAD0E
+:0483EF00DB727AAA19
+:0483F000DB697AA823
+:0483F100DB607AA52E
+:0483F200DB577AA239
+:0483F300DB4E7A9F44
+:0483F400DB457A9D4E
+:0483F500DB3C7A9A59
+:0483F600DB337A9764
+:0483F700DB2A7A956E
+:0483F800DB217A9279
+:0483F900DB187A8F84
+:0483FA00DB0F7A8C8F
+:0483FB00DB067A8A99
+:0483FC00DAFD7A87A5
+:0483FD00DAF47A84B0
+:0483FE00DAEB7A82BA
+:0483FF00DAE27A7FC5
+:04840000DAD97A7CCF
+:04840100DAD07A79DA
+:04840200DAC67A77E5
+:04840300DABD7A74F0
+:04840400DAB47A71FB
+:04840500DAAB7A6E06
+:04840600DAA27A6C10
+:04840700DA997A691B
+:04840800DA907A6626
+:04840900DA877A6331
+:04840A00DA7E7A613B
+:04840B00DA757A5E46
+:04840C00DA6C7A5B51
+:04840D00DA637A585C
+:04840E00DA5A7A5666
+:04840F00DA517A5371
+:04841000DA487A507C
+:04841100DA3F7A4D87
+:04841200DA367A4A92
+:04841300DA2D7A489C
+:04841400DA247A45A7
+:04841500DA1B7A42B2
+:04841600DA127A3FBD
+:04841700DA097A3DC7
+:04841800DA007A3AD2
+:04841900D9F77A37DE
+:04841A00D9EE7A34E9
+:04841B00D9E57A31F4
+:04841C00D9DC7A2EFF
+:04841D00D9D37A2C09
+:04841E00D9CA7A2914
+:04841F00D9C17A261F
+:04842000D9B87A232A
+:04842100D9AF7A2035
+:04842200D9A67A1E3F
+:04842300D99D7A1B4A
+:04842400D9947A1855
+:04842500D98B7A1560
+:04842600D9827A126B
+:04842700D9797A0F76
+:04842800D9707A0D80
+:04842900D9677A0A8B
+:04842A00D95E7A0796
+:04842B00D9557A04A1
+:04842C00D94C7A01AC
+:04842D00D94479FEB7
+:04842E00D93B79FCC1
+:04842F00D93279F9CC
+:04843000D92979F6D7
+:04843100D92079F3E2
+:04843200D91779F0ED
+:04843300D90E79EDF8
+:04843400D90579EA03
+:04843500D8FC79E70F
+:04843600D8F379E519
+:04843700D8EA79E224
+:04843800D8E179DF2F
+:04843900D8D879DC3A
+:04843A00D8CF79D945
+:04843B00D8C679D650
+:04843C00D8BD79D35B
+:04843D00D8B479D066
+:04843E00D8AB79CE70
+:04843F00D8A279CB7B
+:04844000D89979C886
+:04844100D89079C591
+:04844200D88779C29C
+:04844300D87E79BFA7
+:04844400D87579BCB2
+:04844500D86C79B9BD
+:04844600D86379B6C8
+:04844700D85A79B3D3
+:04844800D85179B0DE
+:04844900D84879AEE8
+:04844A00D83F79ABF3
+:04844B00D83679A8FE
+:04844C00D82D79A509
+:04844D00D82579A213
+:04844E00D81C799F1E
+:04844F00D813799C29
+:04845000D80A799934
+:04845100D80179963F
+:04845200D7F879934B
+:04845300D7EF799056
+:04845400D7E6798D61
+:04845500D7DD798A6C
+:04845600D7D4798777
+:04845700D7CB798482
+:04845800D7C279818D
+:04845900D7B9797E98
+:04845A00D7B0797BA3
+:04845B00D7A77978AE
+:04845C00D79E7975B9
+:04845D00D7957973C3
+:04845E00D78C7970CE
+:04845F00D783796DD9
+:04846000D77B796AE3
+:04846100D7727967EE
+:04846200D7697964F9
+:04846300D760796104
+:04846400D757795E0F
+:04846500D74E795B1A
+:04846600D745795825
+:04846700D73C795530
+:04846800D73379523B
+:04846900D72A794F46
+:04846A00D721794C51
+:04846B00D71879495C
+:04846C00D70F794667
+:04846D00D706794372
+:04846E00D6FD79407E
+:04846F00D6F5793D88
+:04847000D6EC793994
+:04847100D6E379369F
+:04847200D6DA7933AA
+:04847300D6D17930B5
+:04847400D6C8792DC0
+:04847500D6BF792ACB
+:04847600D6B67927D6
+:04847700D6AD7924E1
+:04847800D6A47921EC
+:04847900D69B791EF7
+:04847A00D692791B02
+:04847B00D68979180D
+:04847C00D681791517
+:04847D00D678791222
+:04847E00D66F790F2D
+:04847F00D666790C38
+:04848000D65D790943
+:04848100D65479064E
+:04848200D64B790359
+:04848300D642790064
+:04848400D63978FC71
+:04848500D63078F97C
+:04848600D62778F687
+:04848700D61F78F391
+:04848800D61678F09C
+:04848900D60D78EDA7
+:04848A00D60478EAB2
+:04848B00D5FB78E7BE
+:04848C00D5F278E4C9
+:04848D00D5E978E1D4
+:04848E00D5E078DEDF
+:04848F00D5D778DAEB
+:04849000D5CE78D7F6
+:04849100D5C678D400
+:04849200D5BD78D10B
+:04849300D5B478CE16
+:04849400D5AB78CB21
+:04849500D5A278C82C
+:04849600D59978C537
+:04849700D59078C242
+:04849800D58778BE4E
+:04849900D57E78BB59
+:04849A00D57578B864
+:04849B00D56D78B56E
+:04849C00D56478B279
+:04849D00D55B78AF84
+:04849E00D55278AC8F
+:04849F00D54978A89B
+:0484A000D54078A5A6
+:0484A100D53778A2B1
+:0484A200D52E789FBC
+:0484A300D526789CC6
+:0484A400D51D7899D1
+:0484A500D5147896DC
+:0484A600D50B7892E8
+:0484A700D502788FF3
+:0484A800D4F9788CFF
+:0484A900D4F078890A
+:0484AA00D4E7788615
+:0484AB00D4DF78831F
+:0484AC00D4D6787F2B
+:0484AD00D4CD787C36
+:0484AE00D4C4787941
+:0484AF00D4BB78764C
+:0484B000D4B2787357
+:0484B100D4A9786F63
+:0484B200D4A0786C6E
+:0484B300D498786978
+:0484B400D48F786683
+:0484B500D48678638E
+:0484B600D47D785F9A
+:0484B700D474785CA5
+:0484B800D46B7859B0
+:0484B900D4627856BB
+:0484BA00D45A7853C5
+:0484BB00D451784FD1
+:0484BC00D448784CDC
+:0484BD00D43F7849E7
+:0484BE00D4367846F2
+:0484BF00D42D7843FD
+:0484C000D424783F09
+:0484C100D41C783C13
+:0484C200D41378391E
+:0484C300D40A783629
+:0484C400D401783235
+:0484C500D3F8782F41
+:0484C600D3EF782C4C
+:0484C700D3E6782957
+:0484C800D3DE782562
+:0484C900D3D578226D
+:0484CA00D3CC781F78
+:0484CB00D3C3781C83
+:0484CC00D3BA78188F
+:0484CD00D3B178159A
+:0484CE00D3A97812A4
+:0484CF00D3A0780FAF
+:0484D000D397780BBB
+:0484D100D38E7808C6
+:0484D200D3857805D1
+:0484D300D37C7802DC
+:0484D400D37377FEE9
+:0484D500D36B77FBF3
+:0484D600D36277F8FE
+:0484D700D35977F40A
+:0484D800D35077F115
+:0484D900D34777EE20
+:0484DA00D33F77EA2B
+:0484DB00D33677E736
+:0484DC00D32D77E441
+:0484DD00D32477E14C
+:0484DE00D31B77DD58
+:0484DF00D31277DA63
+:0484E000D30A77D76D
+:0484E100D30177D379
+:0484E200D2F877D085
+:0484E300D2EF77CD90
+:0484E400D2E677C99C
+:0484E500D2DD77C6A7
+:0484E600D2D577C3B1
+:0484E700D2CC77BFBD
+:0484E800D2C377BCC8
+:0484E900D2BA77B9D3
+:0484EA00D2B177B5DF
+:0484EB00D2A977B2E9
+:0484EC00D2A077AFF4
+:0484ED00D29777AB00
+:0484EE00D28E77A80B
+:0484EF00D28577A516
+:0484F000D27C77A122
+:0484F100D274779E2C
+:0484F200D26B779B37
+:0484F300D262779743
+:0484F400D25977944E
+:0484F500D250779159
+:0484F600D248778D64
+:0484F700D23F778A6F
+:0484F800D23677867B
+:0484F900D22D778386
+:0484FA00D224778091
+:0484FB00D21C777C9C
+:0484FC00D2137779A7
+:0484FD00D20A7776B2
+:0484FE00D2017772BE
+:0484FF00D1F8776FCA
+:04850000D1F0776BD4
+:04850100D1E77768DF
+:04850200D1DE7765EA
+:04850300D1D57761F6
+:04850400D1CD775E00
+:04850500D1C4775A0C
+:04850600D1BB775717
+:04850700D1B2775422
+:04850800D1A977502E
+:04850900D1A1774D38
+:04850A00D198774944
+:04850B00D18F77464F
+:04850C00D18677435A
+:04850D00D17D773F66
+:04850E00D175773C70
+:04850F00D16C77387C
+:04851000D163773587
+:04851100D15A773193
+:04851200D152772E9D
+:04851300D149772BA8
+:04851400D1407727B4
+:04851500D1377724BF
+:04851600D12E7720CB
+:04851700D126771DD5
+:04851800D11D7719E1
+:04851900D1147716EC
+:04851A00D10B7712F8
+:04851B00D103770F02
+:04851C00D0FA770B0F
+:04851D00D0F177081A
+:04851E00D0E8770525
+:04851F00D0E0770130
+:04852000D0D776FE3C
+:04852100D0CE76FA48
+:04852200D0C576F753
+:04852300D0BD76F35E
+:04852400D0B476F069
+:04852500D0AB76EC75
+:04852600D0A276E980
+:04852700D09A76E58B
+:04852800D09176E296
+:04852900D08876DEA2
+:04852A00D07F76DBAD
+:04852B00D07776D7B8
+:04852C00D06E76D4C3
+:04852D00D06576D0CF
+:04852E00D05C76CDDA
+:04852F00D05476C9E5
+:04853000D04B76C6F0
+:04853100D04276C2FC
+:04853200D03976BF07
+:04853300D03176BB12
+:04853400D02876B81D
+:04853500D01F76B429
+:04853600D01676B134
+:04853700D00E76AD3F
+:04853800D00576AA4A
+:04853900CFFC76A657
+:04853A00CFF376A263
+:04853B00CFEB769F6D
+:04853C00CFE2769B79
+:04853D00CFD9769884
+:04853E00CFD0769490
+:04853F00CFC876919A
+:04854000CFBF768DA6
+:04854100CFB6768AB1
+:04854200CFAE7686BC
+:04854300CFA57683C7
+:04854400CF9C767FD3
+:04854500CF93767BDF
+:04854600CF8B7678E9
+:04854700CF827674F5
+:04854800CF79767100
+:04854900CF70766D0C
+:04854A00CF68766A16
+:04854B00CF5F766622
+:04854C00CF5676622E
+:04854D00CF4E765F38
+:04854E00CF45765B44
+:04854F00CF3C76584F
+:04855000CF3376545B
+:04855100CF2B765066
+:04855200CF22764D71
+:04855300CF1976497D
+:04855400CF11764687
+:04855500CF08764293
+:04855600CEFF763EA0
+:04855700CEF6763BAB
+:04855800CEEE7637B6
+:04855900CEE57634C1
+:04855A00CEDC7630CD
+:04855B00CED4762CD8
+:04855C00CECB7629E3
+:04855D00CEC27625EF
+:04855E00CEBA7621FA
+:04855F00CEB1761E05
+:04856000CEA8761A11
+:04856100CE9F76171C
+:04856200CE97761327
+:04856300CE8E760F33
+:04856400CE85760C3E
+:04856500CE7D760849
+:04856600CE74760455
+:04856700CE6B760160
+:04856800CE6375FD6C
+:04856900CE5A75F978
+:04856A00CE5175F683
+:04856B00CE4975F28E
+:04856C00CE4075EE9A
+:04856D00CE3775EBA5
+:04856E00CE2F75E7B0
+:04856F00CE2675E3BC
+:04857000CE1D75E0C7
+:04857100CE1575DCD2
+:04857200CE0C75D8DE
+:04857300CE0375D5E9
+:04857400CDFA75D1F6
+:04857500CDF275CD01
+:04857600CDE975CA0C
+:04857700CDE075C618
+:04857800CDD875C223
+:04857900CDCF75BF2E
+:04857A00CDC675BB3A
+:04857B00CDBE75B745
+:04857C00CDB575B450
+:04857D00CDAC75B05C
+:04857E00CDA475AC67
+:04857F00CD9B75A873
+:04858000CD9275A57E
+:04858100CD8A75A189
+:04858200CD81759D95
+:04858300CD78759AA0
+:04858400CD707596AB
+:04858500CD677592B7
+:04858600CD5F758EC2
+:04858700CD56758BCD
+:04858800CD4D7587D9
+:04858900CD457583E4
+:04858A00CD3C757FF0
+:04858B00CD33757CFB
+:04858C00CD2B757806
+:04858D00CD22757412
+:04858E00CD1975701E
+:04858F00CD11756D28
+:04859000CD08756934
+:04859100CCFF756541
+:04859200CCF775614C
+:04859300CCEE755E57
+:04859400CCE5755A63
+:04859500CCDD75566E
+:04859600CCD475527A
+:04859700CCCC754F84
+:04859800CCC3754B90
+:04859900CCBA75479C
+:04859A00CCB27543A7
+:04859B00CCA97540B2
+:04859C00CCA0753CBE
+:04859D00CC987538C9
+:04859E00CC8F7534D5
+:04859F00CC867530E1
+:0485A000CC7E752DEB
+:0485A100CC757529F7
+:0485A200CC6D752502
+:0485A300CC6475210E
+:0485A400CC5B751D1A
+:0485A500CC53751A24
+:0485A600CC4A751630
+:0485A700CC4175123C
+:0485A800CC39750E47
+:0485A900CC30750A53
+:0485AA00CC2875075D
+:0485AB00CC1F750369
+:0485AC00CC1674FF76
+:0485AD00CC0E74FB81
+:0485AE00CC0574F78D
+:0485AF00CBFD74F399
+:0485B000CBF474F0A4
+:0485B100CBEB74ECB0
+:0485B200CBE374E8BB
+:0485B300CBDA74E4C7
+:0485B400CBD174E0D3
+:0485B500CBC974DCDE
+:0485B600CBC074D9E9
+:0485B700CBB874D5F4
+:0485B800CBAF74D100
+:0485B900CBA674CD0C
+:0485BA00CB9E74C917
+:0485BB00CB9574C523
+:0485BC00CB8D74C12E
+:0485BD00CB8474BE39
+:0485BE00CB7B74BA45
+:0485BF00CB7374B650
+:0485C000CB6A74B25C
+:0485C100CB6274AE67
+:0485C200CB5974AA73
+:0485C300CB5174A67E
+:0485C400CB4874A28A
+:0485C500CB3F749F95
+:0485C600CB37749BA0
+:0485C700CB2E7497AC
+:0485C800CB267493B7
+:0485C900CB1D748FC3
+:0485CA00CB14748BCF
+:0485CB00CB0C7487DA
+:0485CC00CB037483E6
+:0485CD00CAFB747FF2
+:0485CE00CAF2747CFD
+:0485CF00CAEA747808
+:0485D000CAE1747414
+:0485D100CAD8747020
+:0485D200CAD0746C2B
+:0485D300CAC7746837
+:0485D400CABF746442
+:0485D500CAB674604E
+:0485D600CAAE745C59
+:0485D700CAA5745865
+:0485D800CA9C745471
+:0485D900CA9474507C
+:0485DA00CA8B744C88
+:0485DB00CA83744992
+:0485DC00CA7A74459E
+:0485DD00CA727441A9
+:0485DE00CA69743DB5
+:0485DF00CA607439C1
+:0485E000CA587435CC
+:0485E100CA4F7431D8
+:0485E200CA47742DE3
+:0485E300CA3E7429EF
+:0485E400CA367425FA
+:0485E500CA2D742106
+:0485E600CA25741D11
+:0485E700CA1C74191D
+:0485E800CA14741528
+:0485E900CA0B741134
+:0485EA00CA02740D40
+:0485EB00C9FA74094C
+:0485EC00C9F1740558
+:0485ED00C9E9740163
+:0485EE00C9E073FD70
+:0485EF00C9D873F97B
+:0485F000C9CF73F587
+:0485F100C9C773F192
+:0485F200C9BE73ED9E
+:0485F300C9B673E9A9
+:0485F400C9AD73E5B5
+:0485F500C9A573E1C0
+:0485F600C99C73DDCC
+:0485F700C99373D9D8
+:0485F800C98B73D5E3
+:0485F900C98273D1EF
+:0485FA00C97A73CDFA
+:0485FB00C97173C906
+:0485FC00C96973C511
+:0485FD00C96073C11D
+:0485FE00C95873BD28
+:0485FF00C94F73B934
+:04860000C94773B53E
+:04860100C93E73B14A
+:04860200C93673AD55
+:04860300C92D73A961
+:04860400C92573A56C
+:04860500C91C73A178
+:04860600C914739D83
+:04860700C90B73998F
+:04860800C90373959A
+:04860900C8FA7391A7
+:04860A00C8F2738DB2
+:04860B00C8E97389BE
+:04860C00C8E17385C9
+:04860D00C8D87381D5
+:04860E00C8D0737CE1
+:04860F00C8C77378ED
+:04861000C8BF7374F8
+:04861100C8B6737004
+:04861200C8AE736C0F
+:04861300C8A573681B
+:04861400C89D736426
+:04861500C894736032
+:04861600C88C735C3D
+:04861700C883735849
+:04861800C87B735454
+:04861900C872735060
+:04861A00C86A734C6B
+:04861B00C861734778
+:04861C00C859734383
+:04861D00C850733F8F
+:04861E00C848733B9A
+:04861F00C83F7337A6
+:04862000C8377333B1
+:04862100C82E732FBD
+:04862200C826732BC8
+:04862300C81D7327D4
+:04862400C8157322E0
+:04862500C80C731EEC
+:04862600C804731AF7
+:04862700C7FB731604
+:04862800C7F373120F
+:04862900C7EA730E1B
+:04862A00C7E2730A26
+:04862B00C7D9730632
+:04862C00C7D173013E
+:04862D00C7C972FD4A
+:04862E00C7C072F956
+:04862F00C7B872F561
+:04863000C7AF72F16D
+:04863100C7A772ED78
+:04863200C79E72E984
+:04863300C79672E490
+:04863400C78D72E09C
+:04863500C78572DCA7
+:04863600C77C72D8B3
+:04863700C77472D4BE
+:04863800C76B72D0CA
+:04863900C76372CBD6
+:04863A00C75B72C7E1
+:04863B00C75272C3ED
+:04863C00C74A72BFF8
+:04863D00C74172BB04
+:04863E00C73972B70F
+:04863F00C73072B21C
+:04864000C72872AE27
+:04864100C71F72AA33
+:04864200C71772A63E
+:04864300C70F72A249
+:04864400C706729D56
+:04864500C6FE729962
+:04864600C6F572956E
+:04864700C6ED729179
+:04864800C6E4728D85
+:04864900C6DC728891
+:04864A00C6D472849C
+:04864B00C6CB7280A8
+:04864C00C6C3727CB3
+:04864D00C6BA7278BF
+:04864E00C6B27273CB
+:04864F00C6A9726FD7
+:04865000C6A1726BE2
+:04865100C6997267ED
+:04865200C6907262FA
+:04865300C688725E05
+:04865400C67F725A11
+:04865500C67772561C
+:04865600C66E725228
+:04865700C666724D34
+:04865800C65E72493F
+:04865900C65572454B
+:04865A00C64D724156
+:04865B00C644723C63
+:04865C00C63C72386E
+:04865D00C634723479
+:04865E00C62B723085
+:04865F00C623722B91
+:04866000C61A72279D
+:04866100C6127223A8
+:04866200C60A721FB3
+:04866300C601721AC0
+:04866400C5F97216CC
+:04866500C5F07212D8
+:04866600C5E8720DE4
+:04866700C5E07209EF
+:04866800C5D77205FB
+:04866900C5CF720106
+:04866A00C5C671FC14
+:04866B00C5BE71F81F
+:04866C00C5B671F42A
+:04866D00C5AD71EF37
+:04866E00C5A571EB42
+:04866F00C59C71E74E
+:04867000C59471E359
+:04867100C58C71DE65
+:04867200C58371DA71
+:04867300C57B71D67C
+:04867400C57271D189
+:04867500C56A71CD94
+:04867600C56271C99F
+:04867700C55971C4AC
+:04867800C55171C0B7
+:04867900C54971BCC2
+:04867A00C54071B7CF
+:04867B00C53871B3DA
+:04867C00C52F71AFE6
+:04867D00C52771AAF2
+:04867E00C51F71A6FD
+:04867F00C51671A209
+:04868000C50E719D15
+:04868100C506719920
+:04868200C4FD71952D
+:04868300C4F5719039
+:04868400C4ED718C44
+:04868500C4E4718850
+:04868600C4DC71835C
+:04868700C4D3717F68
+:04868800C4CB717B73
+:04868900C4C371767F
+:04868A00C4BA71728B
+:04868B00C4B2716E96
+:04868C00C4AA7169A2
+:04868D00C4A17165AE
+:04868E00C4997160BA
+:04868F00C491715CC5
+:04869000C4887158D1
+:04869100C4807153DD
+:04869200C478714FE8
+:04869300C46F714BF4
+:04869400C467714600
+:04869500C45F71420B
+:04869600C456713D18
+:04869700C44E713923
+:04869800C44671352E
+:04869900C43D71303B
+:04869A00C435712C46
+:04869B00C42D712752
+:04869C00C42471235E
+:04869D00C41C711F69
+:04869E00C414711A75
+:04869F00C40B711681
+:0486A000C40371118D
+:0486A100C3FB710D99
+:0486A200C3F27108A6
+:0486A300C3EA7104B1
+:0486A400C3E27100BC
+:0486A500C3D970FBCA
+:0486A600C3D170F7D5
+:0486A700C3C970F2E1
+:0486A800C3C070EEED
+:0486A900C3B870E9F9
+:0486AA00C3B070E504
+:0486AB00C3A770E110
+:0486AC00C39F70DC1C
+:0486AD00C39770D827
+:0486AE00C38F70D333
+:0486AF00C38670CF3F
+:0486B000C37E70CA4B
+:0486B100C37670C656
+:0486B200C36D70C163
+:0486B300C36570BD6E
+:0486B400C35D70B87A
+:0486B500C35470B486
+:0486B600C34C70B091
+:0486B700C34470AB9D
+:0486B800C33C70A7A8
+:0486B900C33370A2B5
+:0486BA00C32B709EC0
+:0486BB00C3237099CC
+:0486BC00C31A7095D8
+:0486BD00C3127090E4
+:0486BE00C30A708CEF
+:0486BF00C3017087FC
+:0486C000C2F9708308
+:0486C100C2F1707E14
+:0486C200C2E9707A1F
+:0486C300C2E070752C
+:0486C400C2D8707137
+:0486C500C2D0706C43
+:0486C600C2C870684E
+:0486C700C2BF70635B
+:0486C800C2B7705F66
+:0486C900C2AF705A72
+:0486CA00C2A670567E
+:0486CB00C29E70518A
+:0486CC00C296704D95
+:0486CD00C28E7048A1
+:0486CE00C2857044AD
+:0486CF00C27D703FB9
+:0486D000C275703BC4
+:0486D100C26D7036D0
+:0486D200C2647031DD
+:0486D300C25C702DE8
+:0486D400C2547028F4
+:0486D500C24C7024FF
+:0486D600C243701F0C
+:0486D700C23B701B17
+:0486D800C233701623
+:0486D900C22B70122E
+:0486DA00C222700D3B
+:0486DB00C21A700946
+:0486DC00C212700452
+:0486DD00C20A6FFF5F
+:0486DE00C2016FFB6B
+:0486DF00C1F96FF678
+:0486E000C1F16FF283
+:0486E100C1E96FED8F
+:0486E200C1E06FE99B
+:0486E300C1D86FE4A7
+:0486E400C1D06FDFB3
+:0486E500C1C86FDBBE
+:0486E600C1BF6FD6CB
+:0486E700C1B76FD2D6
+:0486E800C1AF6FCDE2
+:0486E900C1A76FC8EE
+:0486EA00C19E6FC4FA
+:0486EB00C1966FBF06
+:0486EC00C18E6FBB11
+:0486ED00C1866FB61D
+:0486EE00C17E6FB228
+:0486EF00C1756FAD35
+:0486F000C16D6FA841
+:0486F100C1656FA44C
+:0486F200C15D6F9F58
+:0486F300C1546F9A65
+:0486F400C14C6F9670
+:0486F500C1446F917C
+:0486F600C13C6F8D87
+:0486F700C1346F8893
+:0486F800C12B6F83A0
+:0486F900C1236F7FAB
+:0486FA00C11B6F7AB7
+:0486FB00C1136F75C3
+:0486FC00C10B6F71CE
+:0486FD00C1026F6CDB
+:0486FE00C0FA6F68E7
+:0486FF00C0F26F63F3
+:04870000C0EA6F5EFE
+:04870100C0E16F5A0A
+:04870200C0D96F5516
+:04870300C0D16F5022
+:04870400C0C96F4C2D
+:04870500C0C16F4739
+:04870600C0B96F4245
+:04870700C0B06F3E51
+:04870800C0A86F395D
+:04870900C0A06F3469
+:04870A00C0986F3074
+:04870B00C0906F2B80
+:04870C00C0876F268D
+:04870D00C07F6F2298
+:04870E00C0776F1DA4
+:04870F00C06F6F18B0
+:04871000C0676F14BB
+:04871100C05E6F0FC8
+:04871200C0566F0AD4
+:04871300C04E6F06DF
+:04871400C0466F01EB
+:04871500C03E6EFCF8
+:04871600C0366EF803
+:04871700C02D6EF310
+:04871800C0256EEE1C
+:04871900C01D6EE928
+:04871A00C0156EE533
+:04871B00C00D6EE03F
+:04871C00C0056EDB4B
+:04871D00BFFB6ED759
+:04871E00BFF36ED265
+:04871F00BFEB6ECD71
+:04872000BFE36EC87D
+:04872100BFDB6EC488
+:04872200BFD36EBF94
+:04872300BFCB6EBAA0
+:04872400BFC26EB6AC
+:04872500BFBA6EB1B8
+:04872600BFB26EACC4
+:04872700BFAA6EA7D0
+:04872800BFA26EA3DB
+:04872900BF9A6E9EE7
+:04872A00BF916E99F4
+:04872B00BF896E9400
+:04872C00BF816E900B
+:04872D00BF796E8B17
+:04872E00BF716E8623
+:04872F00BF696E812F
+:04873000BF616E7D3A
+:04873100BF596E7846
+:04873200BF506E7353
+:04873300BF486E6E5F
+:04873400BF406E6A6A
+:04873500BF386E6576
+:04873600BF306E6082
+:04873700BF286E5B8E
+:04873800BF206E569A
+:04873900BF176E52A6
+:04873A00BF0F6E4DB2
+:04873B00BF076E48BE
+:04873C00BEFF6E43CB
+:04873D00BEF76E3FD6
+:04873E00BEEF6E3AE2
+:04873F00BEE76E35EE
+:04874000BEDF6E30FA
+:04874100BED76E2B06
+:04874200BECE6E2712
+:04874300BEC66E221E
+:04874400BEBE6E1D2A
+:04874500BEB66E1836
+:04874600BEAE6E1342
+:04874700BEA66E0F4D
+:04874800BE9E6E0A59
+:04874900BE966E0565
+:04874A00BE8E6E0071
+:04874B00BE866DFB7E
+:04874C00BE7D6DF68B
+:04874D00BE756DF296
+:04874E00BE6D6DEDA2
+:04874F00BE656DE8AE
+:04875000BE5D6DE3BA
+:04875100BE556DDEC6
+:04875200BE4D6DD9D2
+:04875300BE456DD5DD
+:04875400BE3D6DD0E9
+:04875500BE356DCBF5
+:04875600BE2D6DC601
+:04875700BE246DC10E
+:04875800BE1C6DBC1A
+:04875900BE146DB825
+:04875A00BE0C6DB331
+:04875B00BE046DAE3D
+:04875C00BDFC6DA94A
+:04875D00BDF46DA456
+:04875E00BDEC6D9F62
+:04875F00BDE46D9A6E
+:04876000BDDC6D9679
+:04876100BDD46D9185
+:04876200BDCC6D8C91
+:04876300BDC46D879D
+:04876400BDBC6D82A9
+:04876500BDB36D7DB6
+:04876600BDAB6D78C2
+:04876700BDA36D73CE
+:04876800BD9B6D6EDA
+:04876900BD936D6AE5
+:04876A00BD8B6D65F1
+:04876B00BD836D60FD
+:04876C00BD7B6D5B09
+:04876D00BD736D5615
+:04876E00BD6B6D5121
+:04876F00BD636D4C2D
+:04877000BD5B6D4739
+:04877100BD536D4245
+:04877200BD4B6D3D51
+:04877300BD436D395C
+:04877400BD3B6D3468
+:04877500BD336D2F74
+:04877600BD2B6D2A80
+:04877700BD236D258C
+:04877800BD1B6D2098
+:04877900BD126D1BA5
+:04877A00BD0A6D16B1
+:04877B00BD026D11BD
+:04877C00BCFA6D0CCA
+:04877D00BCF26D07D6
+:04877E00BCEA6D02E2
+:04877F00BCE26CFDEF
+:04878000BCDA6CF9FA
+:04878100BCD26CF406
+:04878200BCCA6CEF12
+:04878300BCC26CEA1E
+:04878400BCBA6CE52A
+:04878500BCB26CE036
+:04878600BCAA6CDB42
+:04878700BCA26CD64E
+:04878800BC9A6CD15A
+:04878900BC926CCC66
+:04878A00BC8A6CC772
+:04878B00BC826CC27E
+:04878C00BC7A6CBD8A
+:04878D00BC726CB896
+:04878E00BC6A6CB3A2
+:04878F00BC626CAEAE
+:04879000BC5A6CA9BA
+:04879100BC526CA4C6
+:04879200BC4A6C9FD2
+:04879300BC426C9ADE
+:04879400BC3A6C95EA
+:04879500BC326C90F6
+:04879600BC2A6C8B02
+:04879700BC226C860E
+:04879800BC1A6C811A
+:04879900BC126C7C26
+:04879A00BC0A6C7732
+:04879B00BC026C723E
+:04879C00BBFA6C6D4B
+:04879D00BBF26C6857
+:04879E00BBEA6C6363
+:04879F00BBE26C5E6F
+:0487A000BBDA6C597B
+:0487A100BBD26C5487
+:0487A200BBCA6C4F93
+:0487A300BBC26C4A9F
+:0487A400BBBA6C45AB
+:0487A500BBB26C40B7
+:0487A600BBAA6C3BC3
+:0487A700BBA26C36CF
+:0487A800BB9B6C31DA
+:0487A900BB936C2CE6
+:0487AA00BB8B6C27F2
+:0487AB00BB836C22FE
+:0487AC00BB7B6C1D0A
+:0487AD00BB736C1816
+:0487AE00BB6B6C1322
+:0487AF00BB636C0E2E
+:0487B000BB5B6C093A
+:0487B100BB536C0347
+:0487B200BB4B6BFE54
+:0487B300BB436BF960
+:0487B400BB3B6BF46C
+:0487B500BB336BEF78
+:0487B600BB2B6BEA84
+:0487B700BB236BE590
+:0487B800BB1B6BE09C
+:0487B900BB136BDBA8
+:0487BA00BB0B6BD6B4
+:0487BB00BB036BD1C0
+:0487BC00BAFB6BCCCD
+:0487BD00BAF46BC7D8
+:0487BE00BAEC6BC2E4
+:0487BF00BAE46BBCF1
+:0487C000BADC6BB7FD
+:0487C100BAD46BB209
+:0487C200BACC6BAD15
+:0487C300BAC46BA821
+:0487C400BABC6BA32D
+:0487C500BAB46B9E39
+:0487C600BAAC6B9945
+:0487C700BAA46B9451
+:0487C800BA9C6B8F5D
+:0487C900BA946B896A
+:0487CA00BA8D6B8475
+:0487CB00BA856B7F81
+:0487CC00BA7D6B7A8D
+:0487CD00BA756B7599
+:0487CE00BA6D6B70A5
+:0487CF00BA656B6BB1
+:0487D000BA5D6B66BD
+:0487D100BA556B61C9
+:0487D200BA4D6B5BD6
+:0487D300BA456B56E2
+:0487D400BA3D6B51EE
+:0487D500BA366B4CF9
+:0487D600BA2E6B4705
+:0487D700BA266B4211
+:0487D800BA1E6B3D1D
+:0487D900BA166B372A
+:0487DA00BA0E6B3236
+:0487DB00BA066B2D42
+:0487DC00B9FE6B284F
+:0487DD00B9F66B235B
+:0487DE00B9EE6B1E67
+:0487DF00B9E76B1873
+:0487E000B9DF6B137F
+:0487E100B9D76B0E8B
+:0487E200B9CF6B0997
+:0487E300B9C76B04A3
+:0487E400B9BF6AFFB0
+:0487E500B9B76AF9BD
+:0487E600B9AF6AF4C9
+:0487E700B9A86AEFD4
+:0487E800B9A06AEAE0
+:0487E900B9986AE5EC
+:0487EA00B9906AE0F8
+:0487EB00B9886ADA05
+:0487EC00B9806AD511
+:0487ED00B9786AD01D
+:0487EE00B9706ACB29
+:0487EF00B9696AC634
+:0487F000B9616AC041
+:0487F100B9596ABB4D
+:0487F200B9516AB659
+:0487F300B9496AB165
+:0487F400B9416AAC71
+:0487F500B9396AA67E
+:0487F600B9326AA189
+:0487F700B92A6A9C95
+:0487F800B9226A97A1
+:0487F900B91A6A92AD
+:0487FA00B9126A8CBA
+:0487FB00B90A6A87C6
+:0487FC00B9036A82D1
+:0487FD00B8FB6A7DDE
+:0487FE00B8F36A77EB
+:0487FF00B8EB6A72F7
+:04880000B8E36A6D02
+:04880100B8DB6A680E
+:04880200B8D46A621A
+:04880300B8CC6A5D26
+:04880400B8C46A5832
+:04880500B8BC6A533E
+:04880600B8B46A4D4B
+:04880700B8AC6A4857
+:04880800B8A56A4362
+:04880900B89D6A3E6E
+:04880A00B8956A387B
+:04880B00B88D6A3387
+:04880C00B8856A2E93
+:04880D00B87D6A299F
+:04880E00B8766A23AB
+:04880F00B86E6A1EB7
+:04881000B8666A19C3
+:04881100B85E6A14CF
+:04881200B8566A0EDC
+:04881300B84F6A09E7
+:04881400B8476A04F3
+:04881500B83F69FE01
+:04881600B83769F90D
+:04881700B82F69F419
+:04881800B82869EF24
+:04881900B82069E931
+:04881A00B81869E43D
+:04881B00B81069DF49
+:04881C00B80869D956
+:04881D00B80169D461
+:04881E00B7F969CF6E
+:04881F00B7F169CA7A
+:04882000B7E969C487
+:04882100B7E169BF93
+:04882200B7DA69BA9E
+:04882300B7D269B4AB
+:04882400B7CA69AFB7
+:04882500B7C269AAC3
+:04882600B7BB69A4CF
+:04882700B7B3699FDB
+:04882800B7AB699AE7
+:04882900B7A36994F4
+:04882A00B79B698F00
+:04882B00B794698A0B
+:04882C00B78C698418
+:04882D00B784697F24
+:04882E00B77C697A30
+:04882F00B77569743C
+:04883000B76D696F48
+:04883100B765696A54
+:04883200B75D696461
+:04883300B756695F6C
+:04883400B74E695A78
+:04883500B746695485
+:04883600B73E694F91
+:04883700B737694A9C
+:04883800B72F6944A9
+:04883900B727693FB5
+:04883A00B71F6939C2
+:04883B00B7186934CD
+:04883C00B710692FD9
+:04883D00B7086929E6
+:04883E00B7006924F2
+:04883F00B6F9691FFE
+:04884000B6F169190B
+:04884100B6E9691417
+:04884200B6E1690E24
+:04884300B6DA69092F
+:04884400B6D269043B
+:04884500B6CA68FE49
+:04884600B6C268F955
+:04884700B6BB68F460
+:04884800B6B368EE6D
+:04884900B6AB68E979
+:04884A00B6A368E386
+:04884B00B69C68DE91
+:04884C00B69468D99D
+:04884D00B68C68D3AA
+:04884E00B68568CEB5
+:04884F00B67D68C8C2
+:04885000B67568C3CE
+:04885100B66D68BDDB
+:04885200B66668B8E6
+:04885300B65E68B3F2
+:04885400B65668ADFF
+:04885500B64F68A80A
+:04885600B64768A217
+:04885700B63F689D23
+:04885800B63868982E
+:04885900B63068923B
+:04885A00B628688D47
+:04885B00B620688754
+:04885C00B61968825F
+:04885D00B611687C6C
+:04885E00B609687778
+:04885F00B602687184
+:04886000B5FA686C91
+:04886100B5F268679D
+:04886200B5EB6861A9
+:04886300B5E3685CB5
+:04886400B5DB6856C2
+:04886500B5D46851CD
+:04886600B5CC684BDA
+:04886700B5C46846E6
+:04886800B5BD6840F2
+:04886900B5B5683BFE
+:04886A00B5AD68350B
+:04886B00B5A5683017
+:04886C00B59E682A23
+:04886D00B59668252F
+:04886E00B58E681F3C
+:04886F00B587681A47
+:04887000B57F681454
+:04887100B577680F60
+:04887200B570680A6B
+:04887300B568680478
+:04887400B56167FF84
+:04887500B55967F991
+:04887600B55167F49D
+:04887700B54A67EEA9
+:04887800B54267E9B5
+:04887900B53A67E3C2
+:04887A00B53367DECD
+:04887B00B52B67D8DA
+:04887C00B52367D3E6
+:04887D00B51C67CDF2
+:04887E00B51467C7FF
+:04887F00B50C67C20B
+:04888000B50567BC17
+:04888100B4FD67B724
+:04888200B4F567B131
+:04888300B4EE67AC3C
+:04888400B4E667A649
+:04888500B4DF67A154
+:04888600B4D7679B61
+:04888700B4CF67966D
+:04888800B4C8679079
+:04888900B4C0678B85
+:04888A00B4B8678592
+:04888B00B4B167809D
+:04888C00B4A9677AAA
+:04888D00B4A26774B6
+:04888E00B49A676FC2
+:04888F00B4926769CF
+:04889000B48B6764DA
+:04889100B483675EE7
+:04889200B47C6759F2
+:04889300B4746753FF
+:04889400B46C674E0B
+:04889500B465674817
+:04889600B45D674224
+:04889700B455673D30
+:04889800B44E67373C
+:04889900B446673248
+:04889A00B43F672C54
+:04889B00B437672760
+:04889C00B43067216C
+:04889D00B428671B79
+:04889E00B420671685
+:04889F00B419671091
+:0488A000B411670B9D
+:0488A100B40A6705A9
+:0488A200B40266FFB7
+:0488A300B3FA66FAC4
+:0488A400B3F366F4D0
+:0488A500B3EB66EFDC
+:0488A600B3E466E9E8
+:0488A700B3DC66E3F5
+:0488A800B3D566DE00
+:0488A900B3CD66D80D
+:0488AA00B3C566D319
+:0488AB00B3BE66CD25
+:0488AC00B3B666C732
+:0488AD00B3AF66C23D
+:0488AE00B3A766BC4A
+:0488AF00B3A066B755
+:0488B000B39866B162
+:0488B100B39066AB6F
+:0488B200B38966A67A
+:0488B300B38166A087
+:0488B400B37A669A93
+:0488B500B37266959F
+:0488B600B36B668FAB
+:0488B700B3636689B8
+:0488B800B35C6684C3
+:0488B900B354667ED0
+:0488BA00B34C6679DC
+:0488BB00B3456673E8
+:0488BC00B33D666DF5
+:0488BD00B336666800
+:0488BE00B32E66620D
+:0488BF00B327665C19
+:0488C000B31F665725
+:0488C100B318665131
+:0488C200B310664B3E
+:0488C300B309664649
+:0488C400B301664056
+:0488C500B2FA663A63
+:0488C600B2F266356F
+:0488C700B2EA662F7C
+:0488C800B2E3662988
+:0488C900B2DB662494
+:0488CA00B2D4661EA0
+:0488CB00B2CC6618AD
+:0488CC00B2C56613B8
+:0488CD00B2BD660DC5
+:0488CE00B2B66607D1
+:0488CF00B2AE6601DE
+:0488D000B2A765FCEA
+:0488D100B29F65F6F7
+:0488D200B29865F003
+:0488D300B29065EB0F
+:0488D400B28965E51B
+:0488D500B28165DF28
+:0488D600B27A65DA33
+:0488D700B27265D440
+:0488D800B26B65CE4C
+:0488D900B26365C859
+:0488DA00B25C65C364
+:0488DB00B25465BD71
+:0488DC00B24D65B77D
+:0488DD00B24565B289
+:0488DE00B23E65AC95
+:0488DF00B23665A6A2
+:0488E000B22F65A0AE
+:0488E100B227659BBA
+:0488E200B2206595C6
+:0488E300B218658FD3
+:0488E400B2116589DF
+:0488E500B20A6584EA
+:0488E600B202657EF7
+:0488E700B1FB657804
+:0488E800B1F3657211
+:0488E900B1EC656D1C
+:0488EA00B1E4656729
+:0488EB00B1DD656135
+:0488EC00B1D5655B42
+:0488ED00B1CE65564D
+:0488EE00B1C665505A
+:0488EF00B1BF654A66
+:0488F000B1B7654473
+:0488F100B1B0653F7E
+:0488F200B1A865398B
+:0488F300B1A1653397
+:0488F400B19A652DA3
+:0488F500B1926528AF
+:0488F600B18B6522BB
+:0488F700B183651CC8
+:0488F800B17C6516D4
+:0488F900B1746510E1
+:0488FA00B16D650BEC
+:0488FB00B1656505F9
+:0488FC00B15E64FF06
+:0488FD00B15764F912
+:0488FE00B14F64F41E
+:0488FF00B14864EE2A
+:04890000B14064E836
+:04890100B13964E242
+:04890200B13164DC4F
+:04890300B12A64D75A
+:04890400B12364D166
+:04890500B11B64CB73
+:04890600B11464C57F
+:04890700B10C64BF8C
+:04890800B10564BA97
+:04890900B0FE64B4A4
+:04890A00B0F664AEB1
+:04890B00B0EF64A8BD
+:04890C00B0E764A2CA
+:04890D00B0E0649CD6
+:04890E00B0D86497E2
+:04890F00B0D16491EE
+:04891000B0CA648BFA
+:04891100B0C2648507
+:04891200B0BB647F13
+:04891300B0B3647920
+:04891400B0AC64742B
+:04891500B0A5646E37
+:04891600B09D646844
+:04891700B096646250
+:04891800B08E645C5D
+:04891900B087645669
+:04891A00B080645075
+:04891B00B078644B81
+:04891C00B07164458D
+:04891D00B06A643F99
+:04891E00B0626439A6
+:04891F00B05B6433B2
+:04892000B053642DBF
+:04892100B04C6427CB
+:04892200B0456422D6
+:04892300B03D641CE3
+:04892400B0366416EF
+:04892500B02F6410FB
+:04892600B027640A08
+:04892700B020640414
+:04892800B01863FE22
+:04892900B01163F82E
+:04892A00B00A63F339
+:04892B00B00263ED46
+:04892C00AFFB63E753
+:04892D00AFF463E15F
+:04892E00AFEC63DB6C
+:04892F00AFE563D578
+:04893000AFDE63CF84
+:04893100AFD663C991
+:04893200AFCF63C39D
+:04893300AFC863BDA9
+:04893400AFC063B8B5
+:04893500AFB963B2C1
+:04893600AFB263ACCD
+:04893700AFAA63A6DA
+:04893800AFA363A0E6
+:04893900AF9C639AF2
+:04893A00AF946394FF
+:04893B00AF8D638E0B
+:04893C00AF86638817
+:04893D00AF7E638224
+:04893E00AF77637C30
+:04893F00AF7063763C
+:04894000AF68637148
+:04894100AF61636B54
+:04894200AF5A636560
+:04894300AF52635F6D
+:04894400AF4B635979
+:04894500AF44635385
+:04894600AF3C634D92
+:04894700AF3563479E
+:04894800AF2E6341AA
+:04894900AF26633BB7
+:04894A00AF1F6335C3
+:04894B00AF18632FCF
+:04894C00AF116329DB
+:04894D00AF096323E8
+:04894E00AF02631DF4
+:04894F00AEFB631701
+:04895000AEF363110E
+:04895100AEEC630B1A
+:04895200AEE5630526
+:04895300AEDD62FF34
+:04895400AED662F940
+:04895500AECF62F34C
+:04895600AEC862ED58
+:04895700AEC062E765
+:04895800AEB962E270
+:04895900AEB262DC7C
+:04895A00AEAA62D689
+:04895B00AEA362D095
+:04895C00AE9C62CAA1
+:04895D00AE9562C4AD
+:04895E00AE8D62BEBA
+:04895F00AE8662B8C6
+:04896000AE7F62B2D2
+:04896100AE7862ACDE
+:04896200AE7062A6EB
+:04896300AE6962A0F7
+:04896400AE62629A03
+:04896500AE5B62940F
+:04896600AE53628E1C
+:04896700AE4C628828
+:04896800AE45628135
+:04896900AE3E627B41
+:04896A00AE3662754E
+:04896B00AE2F626F5A
+:04896C00AE28626966
+:04896D00AE21626372
+:04896E00AE19625D7F
+:04896F00AE1262578B
+:04897000AE0B625197
+:04897100AE04624BA3
+:04897200ADFC6245B1
+:04897300ADF5623FBD
+:04897400ADEE6239C9
+:04897500ADE76233D5
+:04897600ADDF622DE2
+:04897700ADD86227EE
+:04897800ADD16221FA
+:04897900ADCA621B06
+:04897A00ADC3621512
+:04897B00ADBB620F1F
+:04897C00ADB462092B
+:04897D00ADAD620337
+:04897E00ADA661FD44
+:04897F00AD9E61F751
+:04898000AD9761F05E
+:04898100AD9061EA6A
+:04898200AD8961E476
+:04898300AD8261DE82
+:04898400AD7A61D88F
+:04898500AD7361D29B
+:04898600AD6C61CCA7
+:04898700AD6561C6B3
+:04898800AD5E61C0BF
+:04898900AD5661BACC
+:04898A00AD4F61B4D8
+:04898B00AD4861AEE4
+:04898C00AD4161A8F0
+:04898D00AD3A61A1FD
+:04898E00AD32619B0A
+:04898F00AD2B619516
+:04899000AD24618F22
+:04899100AD1D61892E
+:04899200AD1661833A
+:04899300AD0F617D46
+:04899400AD07617753
+:04899500AD0061715F
+:04899600ACF9616A6D
+:04899700ACF2616479
+:04899800ACEB615E85
+:04899900ACE3615892
+:04899A00ACDC61529E
+:04899B00ACD5614CAA
+:04899C00ACCE6146B6
+:04899D00ACC76140C2
+:04899E00ACC0613ACE
+:04899F00ACB96133DB
+:0489A000ACB1612DE8
+:0489A100ACAA6127F4
+:0489A200ACA3612100
+:0489A300AC9C611B0C
+:0489A400AC95611518
+:0489A500AC8E610F24
+:0489A600AC86610832
+:0489A700AC7F61023E
+:0489A800AC7860FC4B
+:0489A900AC7160F657
+:0489AA00AC6A60F063
+:0489AB00AC6360EA6F
+:0489AC00AC5C60E37C
+:0489AD00AC5460DD89
+:0489AE00AC4D60D795
+:0489AF00AC4660D1A1
+:0489B000AC3F60CBAD
+:0489B100AC3860C5B9
+:0489B200AC3160BFC5
+:0489B300AC2A60B8D2
+:0489B400AC2360B2DE
+:0489B500AC1B60ACEB
+:0489B600AC1460A6F7
+:0489B700AC0D60A003
+:0489B800AC06609910
+:0489B900ABFF60931D
+:0489BA00ABF8608D29
+:0489BB00ABF1608735
+:0489BC00ABEA608141
+:0489BD00ABE3607B4D
+:0489BE00ABDC60745A
+:0489BF00ABD4606E67
+:0489C000ABCD606873
+:0489C100ABC660627F
+:0489C200ABBF605C8B
+:0489C300ABB8605598
+:0489C400ABB1604FA4
+:0489C500ABAA6049B0
+:0489C600ABA36043BC
+:0489C700AB9C603CC9
+:0489C800AB956036D5
+:0489C900AB8D6030E2
+:0489CA00AB86602AEE
+:0489CB00AB7F6024FA
+:0489CC00AB78601D07
+:0489CD00AB71601713
+:0489CE00AB6A60111F
+:0489CF00AB63600B2B
+:0489D000AB5C600438
+:0489D100AB555FFE45
+:0489D200AB4E5FF851
+:0489D300AB475FF25D
+:0489D400AB405FEC69
+:0489D500AB395FE576
+:0489D600AB325FDF82
+:0489D700AB2B5FD98E
+:0489D800AB235FD39B
+:0489D900AB1C5FCCA8
+:0489DA00AB155FC6B4
+:0489DB00AB0E5FC0C0
+:0489DC00AB075FBACC
+:0489DD00AB005FB3D9
+:0489DE00AAF95FADE6
+:0489DF00AAF25FA7F2
+:0489E000AAEB5FA0FF
+:0489E100AAE45F9A0B
+:0489E200AADD5F9417
+:0489E300AAD65F8E23
+:0489E400AACF5F8730
+:0489E500AAC85F813C
+:0489E600AAC15F7B48
+:0489E700AABA5F7554
+:0489E800AAB35F6E61
+:0489E900AAAC5F686D
+:0489EA00AAA55F6279
+:0489EB00AA9E5F5B86
+:0489EC00AA975F5592
+:0489ED00AA905F4F9E
+:0489EE00AA895F49AA
+:0489EF00AA825F42B7
+:0489F000AA7B5F3CC3
+:0489F100AA745F36CF
+:0489F200AA6D5F2FDC
+:0489F300AA665F29E8
+:0489F400AA5F5F23F4
+:0489F500AA585F1D00
+:0489F600AA515F160D
+:0489F700AA4A5F1019
+:0489F800AA435F0A25
+:0489F900AA3C5F0332
+:0489FA00AA355EFD3F
+:0489FB00AA2E5EF74B
+:0489FC00AA275EF058
+:0489FD00AA205EEA64
+:0489FE00AA195EE470
+:0489FF00AA125EDD7D
+:048A0000AA0B5ED788
+:048A0100AA045ED194
+:048A0200A9FD5ECAA2
+:048A0300A9F65EC4AE
+:048A0400A9EF5EBEBA
+:048A0500A9E85EB7C7
+:048A0600A9E15EB1D3
+:048A0700A9DA5EABDF
+:048A0800A9D35EA4EC
+:048A0900A9CC5E9EF8
+:048A0A00A9C55E9804
+:048A0B00A9BE5E9111
+:048A0C00A9B75E8B1D
+:048A0D00A9B05E8529
+:048A0E00A9A95E7E36
+:048A0F00A9A25E7842
+:048A1000A99B5E714F
+:048A1100A9945E6B5B
+:048A1200A98D5E6567
+:048A1300A9865E5E74
+:048A1400A97F5E5880
+:048A1500A9785E528C
+:048A1600A9725E4B98
+:048A1700A96B5E45A4
+:048A1800A9645E3FB0
+:048A1900A95D5E38BD
+:048A1A00A9565E32C9
+:048A1B00A94F5E2BD6
+:048A1C00A9485E25E2
+:048A1D00A9415E1FEE
+:048A1E00A93A5E18FB
+:048A1F00A9335E1207
+:048A2000A92C5E0B14
+:048A2100A9255E0520
+:048A2200A91E5DFF2D
+:048A2300A9175DF83A
+:048A2400A9105DF246
+:048A2500A90A5DEB52
+:048A2600A9035DE55E
+:048A2700A8FC5DDF6B
+:048A2800A8F55DD878
+:048A2900A8EE5DD284
+:048A2A00A8E75DCB91
+:048A2B00A8E05DC59D
+:048A2C00A8D95DBFA9
+:048A2D00A8D25DB8B6
+:048A2E00A8CB5DB2C2
+:048A2F00A8C55DABCE
+:048A3000A8BE5DA5DA
+:048A3100A8B75D9EE7
+:048A3200A8B05D98F3
+:048A3300A8A95D92FF
+:048A3400A8A25D8B0C
+:048A3500A89B5D8518
+:048A3600A8945D7E25
+:048A3700A88D5D7831
+:048A3800A8875D713D
+:048A3900A8805D6B49
+:048A3A00A8795D6555
+:048A3B00A8725D5E62
+:048A3C00A86B5D586E
+:048A3D00A8645D517B
+:048A3E00A85D5D4B87
+:048A3F00A8565D4494
+:048A4000A8505D3E9F
+:048A4100A8495D37AC
+:048A4200A8425D31B8
+:048A4300A83B5D2AC5
+:048A4400A8345D24D1
+:048A4500A82D5D1EDD
+:048A4600A8265D17EA
+:048A4700A8205D11F5
+:048A4800A8195D0A02
+:048A4900A8125D040E
+:048A4A00A80B5CFD1C
+:048A4B00A8045CF728
+:048A4C00A7FD5CF036
+:048A4D00A7F65CEA42
+:048A4E00A7F05CE34E
+:048A4F00A7E95CDD5A
+:048A5000A7E25CD667
+:048A5100A7DB5CD073
+:048A5200A7D45CC980
+:048A5300A7CD5CC38C
+:048A5400A7C75CBC98
+:048A5500A7C05CB6A4
+:048A5600A7B95CAFB1
+:048A5700A7B25CA9BD
+:048A5800A7AB5CA2CA
+:048A5900A7A55C9CD5
+:048A5A00A79E5C95E2
+:048A5B00A7975C8FEE
+:048A5C00A7905C88FB
+:048A5D00A7895C8207
+:048A5E00A7825C7B14
+:048A5F00A77C5C751F
+:048A6000A7755C6E2C
+:048A6100A76E5C6838
+:048A6200A7675C6145
+:048A6300A7605C5B51
+:048A6400A75A5C545D
+:048A6500A7535C4E69
+:048A6600A74C5C4776
+:048A6700A7455C4182
+:048A6800A73E5C3A8F
+:048A6900A7385C339B
+:048A6A00A7315C2DA7
+:048A6B00A72A5C26B4
+:048A6C00A7235C20C0
+:048A6D00A71D5C19CC
+:048A6E00A7165C13D8
+:048A6F00A70F5C0CE5
+:048A7000A7085C06F1
+:048A7100A7015BFFFF
+:048A7200A6FB5BF90B
+:048A7300A6F45BF218
+:048A7400A6ED5BEB25
+:048A7500A6E65BE531
+:048A7600A6E05BDE3D
+:048A7700A6D95BD849
+:048A7800A6D25BD156
+:048A7900A6CB5BCB62
+:048A7A00A6C55BC46E
+:048A7B00A6BE5BBE7A
+:048A7C00A6B75BB787
+:048A7D00A6B05BB094
+:048A7E00A6A95BAAA0
+:048A7F00A6A35BA3AC
+:048A8000A69C5B9DB8
+:048A8100A6955B96C5
+:048A8200A68F5B8FD1
+:048A8300A6885B89DD
+:048A8400A6815B82EA
+:048A8500A67A5B7CF6
+:048A8600A6745B7502
+:048A8700A66D5B6F0E
+:048A8800A6665B681B
+:048A8900A65F5B6128
+:048A8A00A6595B5B33
+:048A8B00A6525B5440
+:048A8C00A64B5B4E4C
+:048A8D00A6445B4759
+:048A8E00A63E5B4065
+:048A8F00A6375B3A71
+:048A9000A6305B337E
+:048A9100A62A5B2C8A
+:048A9200A6235B2696
+:048A9300A61C5B1FA3
+:048A9400A6155B19AF
+:048A9500A60F5B12BB
+:048A9600A6085B0BC8
+:048A9700A6015B05D4
+:048A9800A5FB5AFEE2
+:048A9900A5F45AF7EF
+:048A9A00A5ED5AF1FB
+:048A9B00A5E75AEA07
+:048A9C00A5E05AE413
+:048A9D00A5D95ADD20
+:048A9E00A5D35AD62C
+:048A9F00A5CC5AD038
+:048AA000A5C55AC945
+:048AA100A5BE5AC252
+:048AA200A5B85ABC5D
+:048AA300A5B15AB56A
+:048AA400A5AA5AAE77
+:048AA500A5A45AA882
+:048AA600A59D5AA18F
+:048AA700A5965A9A9C
+:048AA800A5905A94A7
+:048AA900A5895A8DB4
+:048AAA00A5825A87C0
+:048AAB00A57C5A80CC
+:048AAC00A5755A79D9
+:048AAD00A56E5A73E5
+:048AAE00A5685A6CF1
+:048AAF00A5615A65FE
+:048AB000A55A5A5E0B
+:048AB100A5545A5816
+:048AB200A54D5A5123
+:048AB300A5465A4A30
+:048AB400A5405A443B
+:048AB500A5395A3D48
+:048AB600A5335A3654
+:048AB700A52C5A3060
+:048AB800A5255A296D
+:048AB900A51F5A2279
+:048ABA00A5185A1C85
+:048ABB00A5115A1592
+:048ABC00A50B5A0E9E
+:048ABD00A5045A08AA
+:048ABE00A4FD5A01B8
+:048ABF00A4F759FAC5
+:048AC000A4F059F3D2
+:048AC100A4EA59EDDD
+:048AC200A4E359E6EA
+:048AC300A4DC59DFF7
+:048AC400A4D659D902
+:048AC500A4CF59D20F
+:048AC600A4C959CB1B
+:048AC700A4C259C428
+:048AC800A4BB59BE34
+:048AC900A4B559B740
+:048ACA00A4AE59B04D
+:048ACB00A4A759AA59
+:048ACC00A4A159A365
+:048ACD00A49A599C72
+:048ACE00A49459957E
+:048ACF00A48D598F8A
+:048AD000A486598897
+:048AD100A4805981A3
+:048AD200A479597AB0
+:048AD300A4735974BB
+:048AD400A46C596DC8
+:048AD500A4665966D4
+:048AD600A45F595FE1
+:048AD700A4585959ED
+:048AD800A4525952F9
+:048AD900A44B594B06
+:048ADA00A445594412
+:048ADB00A43E593E1E
+:048ADC00A43859372A
+:048ADD00A431593037
+:048ADE00A42A592944
+:048ADF00A42459234F
+:048AE000A41D591C5C
+:048AE100A417591568
+:048AE200A410590E75
+:048AE300A40A590880
+:048AE400A40359018D
+:048AE500A3FC58FA9C
+:048AE600A3F658F3A8
+:048AE700A3EF58EDB4
+:048AE800A3E958E6C0
+:048AE900A3E258DFCD
+:048AEA00A3DC58D8D9
+:048AEB00A3D558D1E6
+:048AEC00A3CF58CBF1
+:048AED00A3C858C4FE
+:048AEE00A3C258BD0A
+:048AEF00A3BB58B617
+:048AF000A3B558AF23
+:048AF100A3AE58A92F
+:048AF200A3A758A23C
+:048AF300A3A1589B48
+:048AF400A39A589455
+:048AF500A394588D61
+:048AF600A38D58876D
+:048AF700A387588079
+:048AF800A380587986
+:048AF900A37A587292
+:048AFA00A373586B9F
+:048AFB00A36D5865AA
+:048AFC00A366585EB7
+:048AFD00A3605857C3
+:048AFE00A3595850D0
+:048AFF00A3535849DC
+:048B0000A34C5842E8
+:048B0100A346583CF3
+:048B0200A33F583500
+:048B0300A339582E0C
+:048B0400A332582719
+:048B0500A32C582025
+:048B0600A325581932
+:048B0700A31F58133D
+:048B0800A318580C4A
+:048B0900A312580556
+:048B0A00A30B57FE64
+:048B0B00A30557F770
+:048B0C00A2FE57F07E
+:048B0D00A2F857EA89
+:048B0E00A2F257E395
+:048B0F00A2EB57DCA2
+:048B1000A2E557D5AE
+:048B1100A2DE57CEBB
+:048B1200A2D857C7C7
+:048B1300A2D157C0D4
+:048B1400A2CB57BADF
+:048B1500A2C457B3EC
+:048B1600A2BE57ACF8
+:048B1700A2B757A505
+:048B1800A2B1579E11
+:048B1900A2AA57971E
+:048B1A00A2A457902A
+:048B1B00A29E578A35
+:048B1C00A297578342
+:048B1D00A291577C4E
+:048B1E00A28A57755B
+:048B1F00A284576E67
+:048B2000A27D576774
+:048B2100A277576080
+:048B2200A27157598C
+:048B2300A26A575299
+:048B2400A264574CA4
+:048B2500A25D5745B1
+:048B2600A257573EBD
+:048B2700A2505737CA
+:048B2800A24A5730D6
+:048B2900A2445729E2
+:048B2A00A23D5722EF
+:048B2B00A237571BFB
+:048B2C00A230571408
+:048B2D00A22A570D14
+:048B2E00A223570720
+:048B2F00A21D57002C
+:048B3000A21756F939
+:048B3100A21056F246
+:048B3200A20A56EB52
+:048B3300A20356E45F
+:048B3400A1FD56DD6C
+:048B3500A1F756D678
+:048B3600A1F056CF85
+:048B3700A1EA56C891
+:048B3800A1E456C19D
+:048B3900A1DD56BAAA
+:048B3A00A1D756B3B6
+:048B3B00A1D056ADC2
+:048B3C00A1CA56A6CE
+:048B3D00A1C4569FDA
+:048B3E00A1BD5698E7
+:048B3F00A1B75691F3
+:048B4000A1B0568A00
+:048B4100A1AA56830C
+:048B4200A1A4567C18
+:048B4300A19D567525
+:048B4400A197566E31
+:048B4500A19156673D
+:048B4600A18A56604A
+:048B4700A184565956
+:048B4800A17E565262
+:048B4900A177564B6F
+:048B4A00A17156447B
+:048B4B00A16B563D87
+:048B4C00A164563694
+:048B4D00A15E562FA0
+:048B4E00A1575628AD
+:048B4F00A1515621B9
+:048B5000A14B561BC4
+:048B5100A1445614D1
+:048B5200A13E560DDD
+:048B5300A1385606E9
+:048B5400A13155FFF7
+:048B5500A12B55F803
+:048B5600A12555F10F
+:048B5700A11E55EA1C
+:048B5800A11855E328
+:048B5900A11255DC34
+:048B5A00A10B55D541
+:048B5B00A10555CE4D
+:048B5C00A0FF55C75A
+:048B5D00A0F955C066
+:048B5E00A0F255B973
+:048B5F00A0EC55B27F
+:048B6000A0E655AB8B
+:048B6100A0DF55A498
+:048B6200A0D9559DA4
+:048B6300A0D35596B0
+:048B6400A0CC558FBD
+:048B6500A0C65588C9
+:048B6600A0C05581D5
+:048B6700A0B9557AE2
+:048B6800A0B35573EE
+:048B6900A0AD556CFA
+:048B6A00A0A7556506
+:048B6B00A0A0555E13
+:048B6C00A09A55571F
+:048B6D00A09455502B
+:048B6E00A08D554938
+:048B6F00A087554145
+:048B7000A081553A51
+:048B7100A07B55335D
+:048B7200A074552C6A
+:048B7300A06E552576
+:048B7400A068551E82
+:048B7500A06255178E
+:048B7600A05B55109B
+:048B7700A0555509A7
+:048B7800A04F5502B3
+:048B7900A04954FBC0
+:048B7A00A04254F4CD
+:048B7B00A03C54EDD9
+:048B7C00A03654E6E5
+:048B7D00A03054DFF1
+:048B7E00A02954D8FE
+:048B7F00A02354D10A
+:048B8000A01D54CA16
+:048B8100A01754C322
+:048B8200A01054BC2F
+:048B8300A00A54B53B
+:048B8400A00454AD48
+:048B85009FFE54A655
+:048B86009FF7549F62
+:048B87009FF154986E
+:048B88009FEB54917A
+:048B89009FE5548A86
+:048B8A009FDE548393
+:048B8B009FD8547C9F
+:048B8C009FD25475AB
+:048B8D009FCC546EB7
+:048B8E009FC65467C3
+:048B8F009FBF5460D0
+:048B90009FB95459DC
+:048B91009FB35451E9
+:048B92009FAD544AF5
+:048B93009FA7544301
+:048B94009FA0543C0E
+:048B95009F9A54351A
+:048B96009F94542E26
+:048B97009F8E542732
+:048B98009F8854203E
+:048B99009F8154194B
+:048B9A009F7B541257
+:048B9B009F75540A64
+:048B9C009F6F540370
+:048B9D009F6953FC7D
+:048B9E009F6253F58A
+:048B9F009F5C53EE96
+:048BA0009F5653E7A2
+:048BA1009F5053E0AE
+:048BA2009F4A53D9BA
+:048BA3009F4453D2C6
+:048BA4009F3D53CAD4
+:048BA5009F3753C3E0
+:048BA6009F3153BCEC
+:048BA7009F2B53B5F8
+:048BA8009F2553AE04
+:048BA9009F1F53A710
+:048BAA009F1853A01D
+:048BAB009F1253982A
+:048BAC009F0C539136
+:048BAD009F06538A42
+:048BAE009F0053834E
+:048BAF009EFA537C5B
+:048BB0009EF3537568
+:048BB1009EED536E74
+:048BB2009EE7536681
+:048BB3009EE1535F8D
+:048BB4009EDB535899
+:048BB5009ED55351A5
+:048BB6009ECF534AB1
+:048BB7009EC95343BD
+:048BB8009EC2533CCA
+:048BB9009EBC5334D7
+:048BBA009EB6532DE3
+:048BBB009EB05326EF
+:048BBC009EAA531FFB
+:048BBD009EA4531807
+:048BBE009E9E531113
+:048BBF009E98530920
+:048BC0009E9153022D
+:048BC1009E8B52FB3A
+:048BC2009E8552F446
+:048BC3009E7F52ED52
+:048BC4009E7952E65E
+:048BC5009E7352DE6B
+:048BC6009E6D52D777
+:048BC7009E6752D083
+:048BC8009E6152C98F
+:048BC9009E5B52C29B
+:048BCA009E5452BAA9
+:048BCB009E4E52B3B5
+:048BCC009E4852ACC1
+:048BCD009E4252A5CD
+:048BCE009E3C529ED9
+:048BCF009E365296E6
+:048BD0009E30528FF2
+:048BD1009E2A5288FE
+:048BD2009E2452810A
+:048BD3009E1E527A16
+:048BD4009E18527223
+:048BD5009E12526B2F
+:048BD6009E0B52643C
+:048BD7009E05525D48
+:048BD8009DFF525655
+:048BD9009DF9524E62
+:048BDA009DF352476E
+:048BDB009DED52407A
+:048BDC009DE7523986
+:048BDD009DE1523193
+:048BDE009DDB522A9F
+:048BDF009DD55223AB
+:048BE0009DCF521CB7
+:048BE1009DC95215C3
+:048BE2009DC3520DD0
+:048BE3009DBD5206DC
+:048BE4009DB751FFE9
+:048BE5009DB151F8F5
+:048BE6009DAB51F002
+:048BE7009DA551E90E
+:048BE8009D9F51E21A
+:048BE9009D9951DB26
+:048BEA009D9351D333
+:048BEB009D8D51CC3F
+:048BEC009D8751C54B
+:048BED009D8151BE57
+:048BEE009D7A51B665
+:048BEF009D7451AF71
+:048BF0009D6E51A87D
+:048BF1009D6851A189
+:048BF2009D62519996
+:048BF3009D5C5192A2
+:048BF4009D56518BAE
+:048BF5009D505184BA
+:048BF6009D4A517CC7
+:048BF7009D445175D3
+:048BF8009D3E516EDF
+:048BF9009D385166EC
+:048BFA009D32515FF8
+:048BFB009D2C515804
+:048BFC009D26515110
+:048BFD009D2051491D
+:048BFE009D1B514228
+:048BFF009D15513B34
+:048C00009D0F51343F
+:048C01009D09512C4C
+:048C02009D03512558
+:048C03009CFD511E65
+:048C04009CF7511672
+:048C05009CF1510F7E
+:048C06009CEB51088A
+:048C07009CE5510097
+:048C08009CDF50F9A4
+:048C09009CD950F2B0
+:048C0A009CD350EBBC
+:048C0B009CCD50E3C9
+:048C0C009CC750DCD5
+:048C0D009CC150D5E1
+:048C0E009CBB50CDEE
+:048C0F009CB550C6FA
+:048C10009CAF50BF06
+:048C11009CA950B713
+:048C12009CA350B01F
+:048C13009C9D50A92B
+:048C14009C9750A138
+:048C15009C91509A44
+:048C16009C8C50934F
+:048C17009C86508C5B
+:048C18009C80508468
+:048C19009C7A507D74
+:048C1A009C74507680
+:048C1B009C6E506E8D
+:048C1C009C68506799
+:048C1D009C625060A5
+:048C1E009C5C5058B2
+:048C1F009C565051BE
+:048C20009C50504ACA
+:048C21009C4A5042D7
+:048C22009C44503BE3
+:048C23009C3F5034EE
+:048C24009C39502CFB
+:048C25009C33502507
+:048C26009C2D501D14
+:048C27009C27501620
+:048C28009C21500F2C
+:048C29009C1B500739
+:048C2A009C15500045
+:048C2B009C0F4FF952
+:048C2C009C0A4FF15E
+:048C2D009C044FEA6A
+:048C2E009BFE4FE377
+:048C2F009BF84FDB84
+:048C30009BF24FD490
+:048C31009BEC4FCD9C
+:048C32009BE64FC5A9
+:048C33009BE04FBEB5
+:048C34009BDA4FB6C2
+:048C35009BD54FAFCD
+:048C36009BCF4FA8D9
+:048C37009BC94FA0E6
+:048C38009BC34F99F2
+:048C39009BBD4F92FE
+:048C3A009BB74F8A0B
+:048C3B009BB14F8317
+:048C3C009BAC4F7B23
+:048C3D009BA64F742F
+:048C3E009BA04F6D3B
+:048C3F009B9A4F6548
+:048C40009B944F5E54
+:048C41009B8E4F5661
+:048C42009B894F4F6C
+:048C43009B834F4878
+:048C44009B7D4F4085
+:048C45009B774F3991
+:048C46009B714F319E
+:048C47009B6B4F2AAA
+:048C48009B664F23B5
+:048C49009B604F1BC2
+:048C4A009B5A4F14CE
+:048C4B009B544F0CDB
+:048C4C009B4E4F05E7
+:048C4D009B484EFEF4
+:048C4E009B434EF600
+:048C4F009B3D4EEF0C
+:048C50009B374EE719
+:048C51009B314EE025
+:048C52009B2B4ED832
+:048C53009B264ED13D
+:048C54009B204ECA49
+:048C55009B1A4EC256
+:048C56009B144EBB62
+:048C57009B0E4EB36F
+:048C58009B094EAC7A
+:048C59009B034EA487
+:048C5A009AFD4E9D94
+:048C5B009AF74E96A0
+:048C5C009AF14E8EAD
+:048C5D009AEC4E87B8
+:048C5E009AE64E7FC5
+:048C5F009AE04E78D1
+:048C60009ADA4E70DE
+:048C61009AD54E69E9
+:048C62009ACF4E61F6
+:048C63009AC94E5A02
+:048C64009AC34E530E
+:048C65009ABD4E4B1B
+:048C66009AB84E4426
+:048C67009AB24E3C33
+:048C68009AAC4E353F
+:048C69009AA64E2D4C
+:048C6A009AA14E2657
+:048C6B009A9B4E1E64
+:048C6C009A954E1770
+:048C6D009A8F4E0F7D
+:048C6E009A8A4E0888
+:048C6F009A844E0095
+:048C70009A7E4DF9A2
+:048C71009A784DF1AF
+:048C72009A734DEABA
+:048C73009A6D4DE3C6
+:048C74009A674DDBD3
+:048C75009A624DD4DE
+:048C76009A5C4DCCEB
+:048C77009A564DC5F7
+:048C78009A504DBD04
+:048C79009A4B4DB60F
+:048C7A009A454DAE1C
+:048C7B009A3F4DA728
+:048C7C009A394D9F35
+:048C7D009A344D9840
+:048C7E009A2E4D904D
+:048C7F009A284D8959
+:048C80009A234D8165
+:048C81009A1D4D7A71
+:048C82009A174D727E
+:048C83009A114D6B8A
+:048C84009A0C4D6396
+:048C85009A064D5CA2
+:048C86009A004D54AF
+:048C870099FB4D4DBB
+:048C880099F54D45C8
+:048C890099EF4D3ED4
+:048C8A0099EA4D36E0
+:048C8B0099E44D2FEC
+:048C8C0099DE4D27F9
+:048C8D0099D94D2004
+:048C8E0099D34D1811
+:048C8F0099CD4D101E
+:048C900099C84D0929
+:048C910099C24D0136
+:048C920099BC4CFA43
+:048C930099B74CF24F
+:048C940099B14CEB5B
+:048C950099AB4CE368
+:048C960099A64CDC73
+:048C970099A04CD480
+:048C9800999A4CCD8C
+:048C990099954CC598
+:048C9A00998F4CBEA4
+:048C9B0099894CB6B1
+:048C9C0099844CAFBC
+:048C9D00997E4CA7C9
+:048C9E0099784C9FD6
+:048C9F0099734C98E1
+:048CA000996D4C90EE
+:048CA10099674C89FA
+:048CA20099624C8106
+:048CA300995C4C7A12
+:048CA40099574C721E
+:048CA50099514C6B2A
+:048CA600994B4C6337
+:048CA70099464C5B43
+:048CA80099404C544F
+:048CA900993A4C4C5C
+:048CAA0099354C4567
+:048CAB00992F4C3D74
+:048CAC00992A4C367F
+:048CAD0099244C2E8C
+:048CAE00991E4C2699
+:048CAF0099194C1FA4
+:048CB00099134C17B1
+:048CB100990E4C10BC
+:048CB20099084C08C9
+:048CB30099024C01D5
+:048CB40098FD4BF9E3
+:048CB50098F74BF1F0
+:048CB60098F24BEAFB
+:048CB70098EC4BE208
+:048CB80098E64BDB14
+:048CB90098E14BD320
+:048CBA0098DB4BCB2D
+:048CBB0098D64BC438
+:048CBC0098D04BBC45
+:048CBD0098CB4BB550
+:048CBE0098C54BAD5D
+:048CBF0098BF4BA56A
+:048CC00098BA4B9E75
+:048CC10098B44B9682
+:048CC20098AF4B8F8D
+:048CC30098A94B879A
+:048CC40098A44B7FA6
+:048CC500989E4B78B2
+:048CC60098984B70BF
+:048CC70098934B69CA
+:048CC800988D4B61D7
+:048CC90098884B59E3
+:048CCA0098824B52EF
+:048CCB00987D4B4AFB
+:048CCC0098774B4208
+:048CCD0098724B3B13
+:048CCE00986C4B3320
+:048CCF0098674B2C2B
+:048CD00098614B2438
+:048CD100985C4B1C44
+:048CD20098564B1550
+:048CD30098504B0D5D
+:048CD400984B4B0569
+:048CD50098454AFE76
+:048CD60098404AF682
+:048CD700983A4AEF8E
+:048CD80098354AE79A
+:048CD900982F4ADFA7
+:048CDA00982A4AD8B2
+:048CDB0098244AD0BF
+:048CDC00981F4AC8CB
+:048CDD0098194AC1D7
+:048CDE0098144AB9E3
+:048CDF00980E4AB1F0
+:048CE00098094AAAFB
+:048CE10098034AA208
+:048CE20097FE4A9A15
+:048CE30097F84A9321
+:048CE40097F34A8B2D
+:048CE50097ED4A833A
+:048CE60097E84A7C45
+:048CE70097E24A7452
+:048CE80097DD4A6C5E
+:048CE90097D74A656A
+:048CEA0097D24A5D76
+:048CEB0097CC4A5583
+:048CEC0097C74A4E8E
+:048CED0097C24A469A
+:048CEE0097BC4A3EA7
+:048CEF0097B74A37B2
+:048CF00097B14A2FBF
+:048CF10097AC4A27CB
+:048CF20097A64A20D7
+:048CF30097A14A18E3
+:048CF400979B4A10F0
+:048CF50097964A09FB
+:048CF60097904A0108
+:048CF700978B49F915
+:048CF800978549F221
+:048CF900978049EA2D
+:048CFA00977B49E239
+:048CFB00977549DA46
+:048CFC00977049D351
+:048CFD00976A49CB5E
+:048CFE00976549C36A
+:048CFF00975F49BC76
+:048D0000975A49B481
+:048D0100975549AC8D
+:048D0200974F49A599
+:048D0300974A499DA5
+:048D040097444995B2
+:048D0500973F498DBE
+:048D060097394986CA
+:048D07009734497ED6
+:048D0800972F4976E2
+:048D09009729496FEE
+:048D0A0097244967FA
+:048D0B00971E495F07
+:048D0C009719495713
+:048D0D00971449501E
+:048D0E00970E49482B
+:048D0F009709494037
+:048D10009703493844
+:048D110096FE493150
+:048D120096F949295C
+:048D130096F3492169
+:048D140096EE491A74
+:048D150096E9491280
+:048D160096E3490A8D
+:048D170096DE490299
+:048D180096D848FBA6
+:048D190096D348F3B2
+:048D1A0096CE48EBBE
+:048D1B0096C848E3CB
+:048D1C0096C348DCD6
+:048D1D0096BE48D4E2
+:048D1E0096B848CCEF
+:048D1F0096B348C4FB
+:048D200096AE48BD06
+:048D210096A848B513
+:048D220096A348AD1F
+:048D2300969D48A52C
+:048D24009698489E37
+:048D25009693489643
+:048D2600968D488E50
+:048D2700968848865C
+:048D28009683487E68
+:048D2900967D487774
+:048D2A009678486F80
+:048D2B00967348678C
+:048D2C00966D485F99
+:048D2D0096684858A4
+:048D2E0096634850B0
+:048D2F00965D4848BD
+:048D300096584840C9
+:048D310096534839D4
+:048D3200964D4831E1
+:048D330096484829ED
+:048D340096434821F9
+:048D3500963E481905
+:048D36009638481211
+:048D37009633480A1D
+:048D3800962E480229
+:048D3900962847FA37
+:048D3A00962347F243
+:048D3B00961E47EB4E
+:048D3C00961847E35B
+:048D3D00961347DB67
+:048D3E00960E47D373
+:048D3F00960947CB7F
+:048D4000960347C48B
+:048D410095FE47BC98
+:048D420095F947B4A4
+:048D430095F347ACB1
+:048D440095EE47A4BD
+:048D450095E9479DC8
+:048D460095E44795D4
+:048D470095DE478DE1
+:048D480095D94785ED
+:048D490095D4477DF9
+:048D4A0095CF477604
+:048D4B0095C9476E11
+:048D4C0095C447661D
+:048D4D0095BF475E29
+:048D4E0095BA475635
+:048D4F0095B4474E42
+:048D500095AF47474D
+:048D510095AA473F59
+:048D520095A5473765
+:048D5300959F472F72
+:048D5400959A47277E
+:048D55009595471F8A
+:048D56009590471895
+:048D5700958A4710A2
+:048D580095854708AE
+:048D590095804700BA
+:048D5A00957B46F8C7
+:048D5B00957546F0D4
+:048D5C00957046E9DF
+:048D5D00956B46E1EB
+:048D5E00956646D9F7
+:048D5F00956146D103
+:048D6000955B46C910
+:048D6100955646C11C
+:048D6200955146B928
+:048D6300954C46B233
+:048D6400954746AA3F
+:048D6500954146A24C
+:048D6600953C469A58
+:048D67009537469264
+:048D68009532468A70
+:048D6900952D46827C
+:048D6A009527467B88
+:048D6B009522467394
+:048D6C00951D466BA0
+:048D6D0095184663AC
+:048D6E009513465BB8
+:048D6F00950D4653C5
+:048D70009508464BD1
+:048D710095034643DD
+:048D720094FE463CE9
+:048D730094F94634F5
+:048D740094F4462C01
+:048D750094EE46240E
+:048D760094E9461C1A
+:048D770094E4461426
+:048D780094DF460C32
+:048D790094DA46043E
+:048D7A0094D545FC4B
+:048D7B0094CF45F557
+:048D7C0094CA45ED63
+:048D7D0094C545E56F
+:048D7E0094C045DD7B
+:048D7F0094BB45D587
+:048D800094B645CD93
+:048D810094B145C59F
+:048D820094AB45BDAC
+:048D830094A645B5B8
+:048D840094A145AEC3
+:048D8500949C45A6CF
+:048D86009497459EDB
+:048D870094924596E7
+:048D8800948D458EF3
+:048D890094884586FF
+:048D8A009482457E0C
+:048D8B00947D457618
+:048D8C009478456E24
+:048D8D009473456630
+:048D8E00946E455E3C
+:048D8F009469455648
+:048D90009464454F53
+:048D9100945F45475F
+:048D9200945A453F6B
+:048D93009454453778
+:048D9400944F452F84
+:048D9500944A452790
+:048D96009445451F9C
+:048D970094404517A8
+:048D9800943B450FB4
+:048D990094364507C0
+:048D9A00943144FFCD
+:048D9B00942C44F7D9
+:048D9C00942744EFE5
+:048D9D00942244E7F1
+:048D9E00941D44DFFD
+:048D9F00941844D808
+:048DA000941244D015
+:048DA100940D44C821
+:048DA200940844C02D
+:048DA300940344B839
+:048DA40093FE44B046
+:048DA50093F944A852
+:048DA60093F444A05E
+:048DA70093EF44986A
+:048DA80093EA449076
+:048DA90093E5448882
+:048DAA0093E044808E
+:048DAB0093DB44789A
+:048DAC0093D64470A6
+:048DAD0093D14468B2
+:048DAE0093CC4460BE
+:048DAF0093C74458CA
+:048DB00093C24450D6
+:048DB10093BD4448E2
+:048DB20093B84440EE
+:048DB30093B34438FA
+:048DB40093AE443006
+:048DB50093A9442812
+:048DB60093A444201E
+:048DB700939F44182A
+:048DB800939A441036
+:048DB9009394440843
+:048DBA00938F44004F
+:048DBB00938A43F85C
+:048DBC00938543F167
+:048DBD00938043E973
+:048DBE00937B43E17F
+:048DBF00937643D98B
+:048DC000937143D197
+:048DC100936C43C9A3
+:048DC200936843C1AE
+:048DC300936343B9BA
+:048DC400935E43B1C6
+:048DC500935943A9D2
+:048DC600935443A1DE
+:048DC700934F4399EA
+:048DC800934A4391F6
+:048DC9009345438902
+:048DCA00934043810E
+:048DCB00933B43791A
+:048DCC009336437126
+:048DCD009331436932
+:048DCE00932C43613E
+:048DCF00932743584B
+:048DD0009322435057
+:048DD100931D434863
+:048DD200931843406F
+:048DD300931343387B
+:048DD400930E433087
+:048DD5009309432893
+:048DD600930443209F
+:048DD70092FF4318AC
+:048DD80092FA4310B8
+:048DD90092F54308C4
+:048DDA0092F04300D0
+:048DDB0092EC42F8DC
+:048DDC0092E742F0E8
+:048DDD0092E242E8F4
+:048DDE0092DD42E000
+:048DDF0092D842D80C
+:048DE00092D342D018
+:048DE10092CE42C824
+:048DE20092C942C030
+:048DE30092C442B83C
+:048DE40092BF42B048
+:048DE50092BA42A854
+:048DE60092B542A060
+:048DE70092B142986B
+:048DE80092AC429077
+:048DE90092A7428883
+:048DEA0092A242808F
+:048DEB00929D42789B
+:048DEC009298426FA8
+:048DED0092934267B4
+:048DEE00928E425FC0
+:048DEF0092894257CC
+:048DF0009284424FD8
+:048DF10092804247E3
+:048DF200927B423FEF
+:048DF30092764237FB
+:048DF4009271422F07
+:048DF500926C422713
+:048DF6009267421F1F
+:048DF700926242172B
+:048DF800925D420F37
+:048DF9009259420742
+:048DFA00925441FF4F
+:048DFB00924F41F65C
+:048DFC00924A41EE68
+:048DFD00924541E674
+:048DFE00924041DE80
+:048DFF00923C41D68B
+:048E0000923741CE96
+:048E0100923241C6A2
+:048E0200922D41BEAE
+:048E0300922841B6BA
+:048E0400922341AEC6
+:048E0500921E41A6D2
+:048E0600921A419EDD
+:048E070092154195EA
+:048E08009210418DF6
+:048E0900920B418502
+:048E0A009206417D0E
+:048E0B009202417519
+:048E0C0091FD416D26
+:048E0D0091F8416532
+:048E0E0091F3415D3E
+:048E0F0091EE41554A
+:048E100091E9414D56
+:048E110091E5414462
+:048E120091E0413C6E
+:048E130091DB41347A
+:048E140091D6412C86
+:048E150091D1412492
+:048E160091CD411C9D
+:048E170091C84114A9
+:048E180091C3410CB5
+:048E190091BE4104C1
+:048E1A0091B940FBCF
+:048E1B0091B540F3DA
+:048E1C0091B040EBE6
+:048E1D0091AB40E3F2
+:048E1E0091A640DBFE
+:048E1F0091A240D309
+:048E2000919D40CB15
+:048E2100919840C321
+:048E2200919340BA2E
+:048E2300918F40B239
+:048E2400918A40AA45
+:048E2500918540A251
+:048E26009180409A5D
+:048E2700917B409269
+:048E28009177408A74
+:048E29009172408280
+:048E2A00916D40798D
+:048E2B009168407199
+:048E2C0091644069A4
+:048E2D00915F4061B0
+:048E2E00915A4059BC
+:048E2F0091564051C7
+:048E300091514048D4
+:048E3100914C4040E0
+:048E320091474038EC
+:048E330091434030F7
+:048E3400913E402803
+:048E3500913940200F
+:048E3600913440181B
+:048E37009130400F27
+:048E3800912B400733
+:048E390091263FFE41
+:048E3A0091223FF64C
+:048E3B00911D3FEE58
+:048E3C0091183FE664
+:048E3D0091133FDD71
+:048E3E00910F3FD57C
+:048E3F00910A3FCD88
+:048E400091053FC594
+:048E410091013FBD9F
+:048E420090FC3FB5AC
+:048E430090F73FACB9
+:048E440090F33FA4C4
+:048E450090EE3F9CD0
+:048E460090E93F94DC
+:048E470090E53F8CE7
+:048E480090E03F84F3
+:048E490090DB3F7B00
+:048E4A0090D73F730B
+:048E4B0090D23F6B17
+:048E4C0090CD3F6323
+:048E4D0090C93F5B2E
+:048E4E0090C43F523B
+:048E4F0090BF3F4A47
+:048E500090BB3F4252
+:048E510090B63F3A5E
+:048E520090B13F326A
+:048E530090AD3F2976
+:048E540090A83F2182
+:048E550090A33F198E
+:048E5600909F3F1199
+:048E5700909A3F09A5
+:048E580090953F00B2
+:048E590090913EF8BE
+:048E5A00908C3EF0CA
+:048E5B0090873EE8D6
+:048E5C0090833EE0E1
+:048E5D00907E3ED7EE
+:048E5E00907A3ECFF9
+:048E5F0090753EC705
+:048E600090703EBF11
+:048E6100906C3EB71C
+:048E620090673EAE29
+:048E630090623EA635
+:048E6400905E3E9E40
+:048E650090593E964C
+:048E660090553E8D58
+:048E670090503E8564
+:048E6800904B3E7D70
+:048E690090473E757B
+:048E6A0090423E6D87
+:048E6B00903E3E6493
+:048E6C0090393E5C9F
+:048E6D0090343E54AB
+:048E6E0090303E4CB6
+:048E6F00902B3E43C3
+:048E700090273E3BCE
+:048E710090223E33DA
+:048E7200901E3E2BE5
+:048E730090193E22F2
+:048E740090143E1AFE
+:048E750090103E1209
+:048E7600900B3E0A15
+:048E770090073E0121
+:048E780090023DF92E
+:048E79008FFE3DF13A
+:048E7A008FF93DE946
+:048E7B008FF43DE053
+:048E7C008FF03DD85E
+:048E7D008FEB3DD06A
+:048E7E008FE73DC875
+:048E7F008FE23DBF82
+:048E80008FDE3DB78D
+:048E81008FD93DAF99
+:048E82008FD53DA7A4
+:048E83008FD03D9EB1
+:048E84008FCC3D96BC
+:048E85008FC73D8EC8
+:048E86008FC23D86D4
+:048E87008FBE3D7DE0
+:048E88008FB93D75EC
+:048E89008FB53D6DF7
+:048E8A008FB03D6503
+:048E8B008FAC3D5C0F
+:048E8C008FA73D541B
+:048E8D008FA33D4C26
+:048E8E008F9E3D4432
+:048E8F008F9A3D3B3E
+:048E90008F953D334A
+:048E91008F913D2B55
+:048E92008F8C3D2262
+:048E93008F883D1A6D
+:048E94008F833D1279
+:048E95008F7F3D0A84
+:048E96008F7A3D0191
+:048E97008F763CF99D
+:048E98008F713CF1A9
+:048E99008F6D3CE8B5
+:048E9A008F683CE0C1
+:048E9B008F643CD8CC
+:048E9C008F5F3CD0D8
+:048E9D008F5B3CC7E4
+:048E9E008F563CBFF0
+:048E9F008F523CB7FB
+:048EA0008F4D3CAE08
+:048EA1008F493CA613
+:048EA2008F453C9E1E
+:048EA3008F403C952B
+:048EA4008F3C3C8D36
+:048EA5008F373C8542
+:048EA6008F333C7D4D
+:048EA7008F2E3C745A
+:048EA8008F2A3C6C65
+:048EA9008F253C6471
+:048EAA008F213C5B7D
+:048EAB008F1C3C5389
+:048EAC008F183C4B94
+:048EAD008F143C42A0
+:048EAE008F0F3C3AAC
+:048EAF008F0B3C32B7
+:048EB0008F063C29C4
+:048EB1008F023C21CF
+:048EB2008EFD3C19DC
+:048EB3008EF93C10E8
+:048EB4008EF53C08F3
+:048EB5008EF03C00FF
+:048EB6008EEC3BF80B
+:048EB7008EE73BEF18
+:048EB8008EE33BE723
+:048EB9008EDF3BDF2E
+:048EBA008EDA3BD63B
+:048EBB008ED63BCE46
+:048EBC008ED13BC652
+:048EBD008ECD3BBD5E
+:048EBE008EC93BB569
+:048EBF008EC43BAD75
+:048EC0008EC03BA481
+:048EC1008EBB3B9C8D
+:048EC2008EB73B9498
+:048EC3008EB33B8BA4
+:048EC4008EAE3B83B0
+:048EC5008EAA3B7ABC
+:048EC6008EA53B72C8
+:048EC7008EA13B6AD3
+:048EC8008E9D3B61DF
+:048EC9008E983B59EB
+:048ECA008E943B51F6
+:048ECB008E903B4802
+:048ECC008E8B3B400E
+:048ECD008E873B3819
+:048ECE008E823B2F26
+:048ECF008E7E3B2731
+:048ED0008E7A3B1F3C
+:048ED1008E753B1649
+:048ED2008E713B0E54
+:048ED3008E6D3B065F
+:048ED4008E683AFD6D
+:048ED5008E643AF578
+:048ED6008E603AEC84
+:048ED7008E5B3AE490
+:048ED8008E573ADC9B
+:048ED9008E533AD3A7
+:048EDA008E4E3ACBB3
+:048EDB008E4A3AC3BE
+:048EDC008E463ABACA
+:048EDD008E413AB2D6
+:048EDE008E3D3AA9E2
+:048EDF008E393AA1ED
+:048EE0008E343A99F9
+:048EE1008E303A9005
+:048EE2008E2C3A8810
+:048EE3008E283A801B
+:048EE4008E233A7728
+:048EE5008E1F3A6F33
+:048EE6008E1B3A663F
+:048EE7008E163A5E4B
+:048EE8008E123A5656
+:048EE9008E0E3A4D62
+:048EEA008E093A456E
+:048EEB008E053A3C7A
+:048EEC008E013A3485
+:048EED008DFD3A2C91
+:048EEE008DF83A239E
+:048EEF008DF43A1BA9
+:048EF0008DF03A12B5
+:048EF1008DEB3A0AC1
+:048EF2008DE73A02CC
+:048EF3008DE339F9D9
+:048EF4008DDF39F1E4
+:048EF5008DDA39E8F1
+:048EF6008DD639E0FC
+:048EF7008DD239D807
+:048EF8008DCE39CF13
+:048EF9008DC939C71F
+:048EFA008DC539BE2B
+:048EFB008DC139B636
+:048EFC008DBD39AE41
+:048EFD008DB839A54E
+:048EFE008DB4399D59
+:048EFF008DB0399465
+:048F00008DAC398C6F
+:048F01008DA739847B
+:048F02008DA3397B87
+:048F03008D9F397392
+:048F04008D9B396A9E
+:048F05008D963962AA
+:048F06008D923959B6
+:048F07008D8E3951C1
+:048F08008D8A3949CC
+:048F09008D863940D8
+:048F0A008D813938E4
+:048F0B008D7D392FF0
+:048F0C008D793927FB
+:048F0D008D75391E07
+:048F0E008D71391612
+:048F0F008D6C390E1E
+:048F10008D6839052A
+:048F11008D6438FD36
+:048F12008D6038F442
+:048F13008D5C38EC4D
+:048F14008D5738E35A
+:048F15008D5338DB65
+:048F16008D4F38D271
+:048F17008D4B38CA7C
+:048F18008D4738C287
+:048F19008D4238B994
+:048F1A008D3E38B19F
+:048F1B008D3A38A8AB
+:048F1C008D3638A0B6
+:048F1D008D323897C2
+:048F1E008D2E388FCD
+:048F1F008D293886DA
+:048F20008D25387EE5
+:048F21008D213876F0
+:048F22008D1D386DFC
+:048F23008D19386507
+:048F24008D15385C13
+:048F25008D1038541F
+:048F26008D0C384B2B
+:048F27008D08384336
+:048F28008D04383A42
+:048F29008D0038324D
+:048F2A008CFC38295A
+:048F2B008CF8382165
+:048F2C008CF4381871
+:048F2D008CEF38107D
+:048F2E008CEB380789
+:048F2F008CE737FF95
+:048F30008CE337F7A0
+:048F31008CDF37EEAC
+:048F32008CDB37E6B7
+:048F33008CD737DDC3
+:048F34008CD337D5CE
+:048F35008CCE37CCDB
+:048F36008CCA37C4E6
+:048F37008CC637BBF2
+:048F38008CC237B3FD
+:048F39008CBE37AA09
+:048F3A008CBA37A214
+:048F3B008CB6379920
+:048F3C008CB237912B
+:048F3D008CAE378837
+:048F3E008CAA378042
+:048F3F008CA537774F
+:048F40008CA1376F5A
+:048F41008C9D376666
+:048F42008C99375E71
+:048F43008C9537557D
+:048F44008C91374D88
+:048F45008C8D374494
+:048F46008C89373C9F
+:048F47008C853733AB
+:048F48008C81372BB6
+:048F49008C7D3722C2
+:048F4A008C79371ACD
+:048F4B008C753711D9
+:048F4C008C713709E4
+:048F4D008C6D3700F0
+:048F4E008C6936F8FC
+:048F4F008C6436EF09
+:048F50008C6036E714
+:048F51008C5C36DE20
+:048F52008C5836D62B
+:048F53008C5436CD37
+:048F54008C5036C542
+:048F55008C4C36BC4E
+:048F56008C4836B459
+:048F57008C4436AB65
+:048F58008C4036A370
+:048F59008C3C369A7C
+:048F5A008C38369287
+:048F5B008C34368993
+:048F5C008C3036809F
+:048F5D008C2C3678AA
+:048F5E008C28366FB6
+:048F5F008C243667C1
+:048F60008C20365ECD
+:048F61008C1C3656D8
+:048F62008C18364DE4
+:048F63008C143645EF
+:048F64008C10363CFB
+:048F65008C0C363406
+:048F66008C08362B12
+:048F67008C0436231D
+:048F68008C00361A29
+:048F69008BFC361136
+:048F6A008BF8360941
+:048F6B008BF436004D
+:048F6C008BF035F859
+:048F6D008BEC35EF65
+:048F6E008BE835E770
+:048F6F008BE435DE7C
+:048F70008BE035D687
+:048F71008BDC35CD93
+:048F72008BD835C59E
+:048F73008BD435BCAA
+:048F74008BD035B3B6
+:048F75008BCD35ABC0
+:048F76008BC935A2CC
+:048F77008BC5359AD7
+:048F78008BC13591E3
+:048F79008BBD3589EE
+:048F7A008BB93580FA
+:048F7B008BB5357805
+:048F7C008BB1356F11
+:048F7D008BAD35661D
+:048F7E008BA9355E28
+:048F7F008BA5355534
+:048F80008BA1354D3F
+:048F81008B9D35444B
+:048F82008B99353C56
+:048F83008B95353362
+:048F84008B92352A6D
+:048F85008B8E352278
+:048F86008B8A351984
+:048F87008B8635118F
+:048F88008B8235089B
+:048F89008B7E3500A6
+:048F8A008B7A34F7B3
+:048F8B008B7634EEBF
+:048F8C008B7234E6CA
+:048F8D008B6E34DDD6
+:048F8E008B6B34D5E0
+:048F8F008B6734CCEC
+:048F90008B6334C3F8
+:048F91008B5F34BB03
+:048F92008B5B34B20F
+:048F93008B5734AA1A
+:048F94008B5334A126
+:048F95008B4F349931
+:048F96008B4B34903D
+:048F97008B48348748
+:048F98008B44347F53
+:048F99008B4034765F
+:048F9A008B3C346E6A
+:048F9B008B38346576
+:048F9C008B34345C82
+:048F9D008B3034548D
+:048F9E008B2D344B98
+:048F9F008B293443A3
+:048FA0008B25343AAF
+:048FA1008B213431BB
+:048FA2008B1D3429C6
+:048FA3008B193420D2
+:048FA4008B163418DC
+:048FA5008B12340FE8
+:048FA6008B0E3406F4
+:048FA7008B0A33FE00
+:048FA8008B0633F50C
+:048FA9008B0233EC18
+:048FAA008AFF33E423
+:048FAB008AFB33DB2F
+:048FAC008AF733D33A
+:048FAD008AF333CA46
+:048FAE008AEF33C152
+:048FAF008AEB33B95D
+:048FB0008AE833B068
+:048FB1008AE433A873
+:048FB2008AE0339F7F
+:048FB3008ADC33968B
+:048FB4008AD8338E96
+:048FB5008AD53385A1
+:048FB6008AD1337CAD
+:048FB7008ACD3374B8
+:048FB8008AC9336BC4
+:048FB9008AC63363CE
+:048FBA008AC2335ADA
+:048FBB008ABE3351E6
+:048FBC008ABA3349F1
+:048FBD008AB63340FD
+:048FBE008AB3333708
+:048FBF008AAF332F13
+:048FC0008AAB33261F
+:048FC1008AA7331D2B
+:048FC2008AA4331535
+:048FC3008AA0330C41
+:048FC4008A9C33044C
+:048FC5008A9832FB59
+:048FC6008A9532F264
+:048FC7008A9132EA6F
+:048FC8008A8D32E17B
+:048FC9008A8932D887
+:048FCA008A8632D091
+:048FCB008A8232C79D
+:048FCC008A7E32BEA9
+:048FCD008A7A32B6B4
+:048FCE008A7732ADBF
+:048FCF008A7332A4CB
+:048FD0008A6F329CD6
+:048FD1008A6B3293E2
+:048FD2008A68328AED
+:048FD3008A643282F8
+:048FD4008A60327904
+:048FD5008A5D32700F
+:048FD6008A5932681A
+:048FD7008A55325F26
+:048FD8008A51325632
+:048FD9008A4E324E3C
+:048FDA008A4A324548
+:048FDB008A46323C54
+:048FDC008A4332345E
+:048FDD008A3F322B6A
+:048FDE008A3B322276
+:048FDF008A38321A80
+:048FE0008A3432118C
+:048FE1008A30320898
+:048FE2008A2C3200A3
+:048FE3008A2931F7AF
+:048FE4008A2531EEBB
+:048FE5008A2131E6C6
+:048FE6008A1E31DDD1
+:048FE7008A1A31D4DD
+:048FE8008A1631CCE8
+:048FE9008A1331C3F3
+:048FEA008A0F31BAFF
+:048FEB008A0B31B20A
+:048FEC008A0831A915
+:048FED008A0431A021
+:048FEE008A0031982C
+:048FEF0089FD318F38
+:048FF00089F9318644
+:048FF10089F6317D4F
+:048FF20089F231755A
+:048FF30089EE316C66
+:048FF40089EB316371
+:048FF50089E7315B7C
+:048FF60089E3315288
+:048FF70089E0314993
+:048FF80089DC31419E
+:048FF90089D83138AA
+:048FFA0089D5312FB5
+:048FFB0089D13127C0
+:048FFC0089CE311ECB
+:048FFD0089CA3115D7
+:048FFE0089C6310CE3
+:048FFF0089C33104ED
+:0490000089BF30FBF9
+:0490010089BC30F204
+:0490020089B830EA0F
+:0490030089B430E11B
+:0490040089B130D826
+:0490050089AD30CF32
+:0490060089AA30C73C
+:0490070089A630BE48
+:0490080089A230B554
+:04900900899F30AD5E
+:04900A00899B30A46A
+:04900B008998309B75
+:04900C008994309281
+:04900D008990308A8C
+:04900E00898D308197
+:04900F0089893078A3
+:0490100089863070AD
+:0490110089823067B9
+:04901200897F305EC4
+:04901300897B3055D0
+:049014008978304DDA
+:0490150089743044E6
+:049016008970303BF2
+:04901700896D3032FD
+:049018008969302A08
+:049019008966302113
+:04901A00896230181F
+:04901B00895F301029
+:04901C00895B300735
+:04901D0089582FFE41
+:04901E0089542FF54D
+:04901F0089512FED57
+:04902000894D2FE463
+:04902100894A2FDB6E
+:0490220089462FD27A
+:0490230089422FCA85
+:04902400893F2FC190
+:04902500893B2FB89C
+:0490260089382FAFA7
+:0490270089342FA7B2
+:0490280089312F9EBD
+:04902900892D2F95C9
+:04902A00892A2F8CD4
+:04902B0089262F84DF
+:04902C0089232F7BEA
+:04902D00891F2F72F6
+:04902E00891C2F6901
+:04902F0089182F610C
+:0490300089152F5817
+:0490310089112F4F23
+:04903200890E2F462E
+:04903300890A2F3E39
+:0490340089072F3544
+:0490350089042F2C4F
+:0490360089002F235B
+:0490370088FD2F1B66
+:0490380088F92F1272
+:0490390088F62F097D
+:04903A0088F22F0089
+:04903B0088EF2EF894
+:04903C0088EB2EEFA0
+:04903D0088E82EE6AB
+:04903E0088E42EDDB7
+:04903F0088E12ED4C2
+:0490400088DD2ECCCD
+:0490410088DA2EC3D8
+:0490420088D72EBAE3
+:0490430088D32EB1EF
+:0490440088D02EA9F9
+:0490450088CC2EA005
+:0490460088C92E9710
+:0490470088C52E8E1C
+:0490480088C22E8527
+:0490490088BF2E7D31
+:04904A0088BB2E743D
+:04904B0088B82E6B48
+:04904C0088B42E6254
+:04904D0088B12E5A5E
+:04904E0088AD2E516A
+:04904F0088AA2E4875
+:0490500088A72E3F80
+:0490510088A32E368C
+:0490520088A02E2E96
+:04905300889C2E25A2
+:0490540088992E1CAD
+:0490550088962E13B8
+:0490560088922E0AC4
+:04905700888F2E02CE
+:04905800888C2DF9DA
+:0490590088882DF0E6
+:04905A0088852DE7F1
+:04905B0088812DDEFD
+:04905C00887E2DD607
+:04905D00887B2DCD12
+:04905E0088772DC41E
+:04905F0088742DBB29
+:0490600088712DB234
+:04906100886D2DAA3F
+:04906200886A2DA14A
+:0490630088662D9856
+:0490640088632D8F61
+:0490650088602D866C
+:04906600885C2D7E77
+:0490670088592D7582
+:0490680088562D6C8D
+:0490690088522D6399
+:04906A00884F2D5AA4
+:04906B00884C2D52AE
+:04906C0088482D49BA
+:04906D0088452D40C5
+:04906E0088422D37D0
+:04906F00883E2D2EDC
+:04907000883B2D26E6
+:0490710088382D1DF1
+:0490720088342D14FD
+:0490730088312D0B08
+:04907400882E2D0213
+:04907500882A2CF920
+:0490760088272CF12A
+:0490770088242CE835
+:0490780088202CDF41
+:04907900881D2CD64C
+:04907A00881A2CCD57
+:04907B0088172CC462
+:04907C0088132CBC6D
+:04907D0088102CB378
+:04907E00880D2CAA83
+:04907F0088092CA18F
+:0490800088062C989A
+:0490810088032C8FA5
+:0490820088002C87AF
+:0490830087FC2C7EBC
+:0490840087F92C75C7
+:0490850087F62C6CD2
+:0490860087F22C63DE
+:0490870087EF2C5AE9
+:0490880087EC2C52F3
+:0490890087E92C49FE
+:04908A0087E52C400A
+:04908B0087E22C3715
+:04908C0087DF2C2E20
+:04908D0087DC2C252B
+:04908E0087D82C1D36
+:04908F0087D52C1441
+:0490900087D22C0B4C
+:0490910087CF2C0257
+:0490920087CB2BF964
+:0490930087C82BF06F
+:0490940087C52BE77A
+:0490950087C22BDF84
+:0490960087BF2BD68F
+:0490970087BB2BCD9B
+:0490980087B82BC4A6
+:0490990087B52BBBB1
+:04909A0087B22BB2BC
+:04909B0087AE2BA9C8
+:04909C0087AB2BA1D2
+:04909D0087A82B98DD
+:04909E0087A52B8FE8
+:04909F0087A22B86F3
+:0490A000879E2B7DFF
+:0490A100879B2B740A
+:0490A20087982B6B15
+:0490A30087952B631F
+:0490A40087922B5A2A
+:0490A500878E2B5136
+:0490A600878B2B4841
+:0490A70087882B3F4C
+:0490A80087852B3657
+:0490A90087822B2D62
+:0490AA00877E2B246E
+:0490AB00877B2B1C78
+:0490AC0087782B1383
+:0490AD0087752B0A8E
+:0490AE0087722B0199
+:0490AF00876F2AF8A5
+:0490B000876B2AEFB1
+:0490B10087682AE6BC
+:0490B20087652ADDC7
+:0490B30087622AD5D1
+:0490B400875F2ACCDC
+:0490B500875C2AC3E7
+:0490B60087592ABAF2
+:0490B70087552AB1FE
+:0490B80087522AA809
+:0490B900874F2A9F14
+:0490BA00874C2A961F
+:0490BB0087492A8D2A
+:0490BC0087462A8534
+:0490BD0087432A7C3F
+:0490BE00873F2A734B
+:0490BF00873C2A6A56
+:0490C00087392A6161
+:0490C10087362A586C
+:0490C20087332A4F77
+:0490C30087302A4682
+:0490C400872D2A3D8D
+:0490C500872A2A3597
+:0490C60087272A2CA2
+:0490C70087232A23AE
+:0490C80087202A1AB9
+:0490C900871D2A11C4
+:0490CA00871A2A08CF
+:0490CB00871729FFDB
+:0490CC00871429F6E6
+:0490CD00871129EDF1
+:0490CE00870E29E4FC
+:0490CF00870B29DC06
+:0490D000870829D311
+:0490D100870529CA1C
+:0490D200870129C128
+:0490D30086FE29B834
+:0490D40086FB29AF3F
+:0490D50086F829A64A
+:0490D60086F5299D55
+:0490D70086F2299460
+:0490D80086EF298B6B
+:0490D90086EC298276
+:0490DA0086E9297A80
+:0490DB0086E629718B
+:0490DC0086E3296896
+:0490DD0086E0295FA1
+:0490DE0086DD2956AC
+:0490DF0086DA294DB7
+:0490E00086D72944C2
+:0490E10086D4293BCD
+:0490E20086D12932D8
+:0490E30086CE2929E3
+:0490E40086CB2920EE
+:0490E50086C82917F9
+:0490E60086C4290E05
+:0490E70086C129060F
+:0490E80086BE28FD1B
+:0490E90086BB28F426
+:0490EA0086B828EB31
+:0490EB0086B528E23C
+:0490EC0086B228D947
+:0490ED0086AF28D052
+:0490EE0086AC28C75D
+:0490EF0086A928BE68
+:0490F00086A628B573
+:0490F10086A328AC7E
+:0490F20086A028A389
+:0490F300869D289A94
+:0490F400869A28919F
+:0490F50086972888AA
+:0490F60086942880B4
+:0490F70086912877BF
+:0490F800868E286ECA
+:0490F900868C2865D4
+:0490FA008689285CDF
+:0490FB0086862853EA
+:0490FC008683284AF5
+:0490FD008680284100
+:0490FE00867D28380B
+:0490FF00867A282F16
+:049100008677282620
+:049101008674281D2B
+:049102008671281436
+:04910300866E280B41
+:04910400866B28024C
+:04910500866827F958
+:04910600866527F063
+:04910700866227E76E
+:04910800865F27DE79
+:04910900865C27D683
+:04910A00865927CD8E
+:04910B00865627C499
+:04910C00865327BBA4
+:04910D00865127B2AE
+:04910E00864E27A9B9
+:04910F00864B27A0C4
+:0491100086482797CF
+:049111008645278EDA
+:0491120086422785E5
+:04911300863F277CF0
+:04911400863C2773FB
+:049115008639276A06
+:049116008636276111
+:04911700863327581C
+:049118008631274F26
+:04911900862E274631
+:04911A00862B273D3C
+:04911B008628273447
+:04911C008625272B52
+:04911D00862227225D
+:04911E00861F271968
+:04911F00861C271073
+:04912000861927077E
+:04912100861726FE89
+:04912200861426F594
+:04912300861126EC9F
+:04912400860E26E3AA
+:04912500860B26DAB5
+:04912600860826D1C0
+:04912700860526C8CB
+:04912800860326BFD5
+:04912900860026B6E0
+:04912A0085FD26AEEB
+:04912B0085FA26A5F6
+:04912C0085F7269C01
+:04912D0085F426930C
+:04912E0085F2268A16
+:04912F0085EF268121
+:0491300085EC26782C
+:0491310085E9266F37
+:0491320085E6266642
+:0491330085E3265D4D
+:0491340085E1265457
+:0491350085DE264B62
+:0491360085DB26426D
+:0491370085D8263978
+:0491380085D5263083
+:0491390085D226278E
+:04913A0085D0261E98
+:04913B0085CD2615A3
+:04913C0085CA260CAE
+:04913D0085C72603B9
+:04913E0085C425FAC5
+:04913F0085C225F1CF
+:0491400085BF25E8DA
+:0491410085BC25DFE5
+:0491420085B925D6F0
+:0491430085B625CDFB
+:0491440085B425C405
+:0491450085B125BB10
+:0491460085AE25B21B
+:0491470085AB25A926
+:0491480085A925A030
+:0491490085A625973B
+:04914A0085A3258E46
+:04914B0085A0258551
+:04914C00859E257C5B
+:04914D00859B257366
+:04914E008598256A71
+:04914F00859525617C
+:049150008593255886
+:049151008590254F91
+:04915200858D25469C
+:04915300858A253DA7
+:0491540085882533B2
+:049155008585252ABD
+:0491560085822521C8
+:04915700857F2518D3
+:04915800857D250FDD
+:04915900857A2506E8
+:04915A00857724FDF4
+:04915B00857424F4FF
+:04915C00857224EB09
+:04915D00856F24E214
+:04915E00856C24D91F
+:04915F00856A24D029
+:04916000856724C734
+:04916100856424BE3F
+:04916200856124B54A
+:04916300855F24AC54
+:04916400855C24A35F
+:049165008559249A6A
+:049166008557249174
+:04916700855424887F
+:049168008551247F8A
+:04916900854F247694
+:04916A00854C246D9F
+:04916B0085492464AA
+:04916C008547245BB4
+:04916D0085442452BF
+:04916E0085412449CA
+:04916F00853F2440D4
+:04917000853C2437DF
+:049171008539242EEA
+:0491720085372425F4
+:049173008534241CFF
+:04917400853124130A
+:04917500852F240915
+:04917600852C240020
+:04917700852923F72C
+:04917800852723EE36
+:04917900852423E541
+:04917A00852123DC4C
+:04917B00851F23D356
+:04917C00851C23CA61
+:04917D00851923C16C
+:04917E00851723B876
+:04917F00851423AF81
+:04918000851223A68B
+:04918100850F239D96
+:04918200850C2394A1
+:04918300850A238BAB
+:0491840085072382B6
+:0491850085042379C1
+:0491860085022370CB
+:0491870084FF2367D7
+:0491880084FD235EE1
+:0491890084FA2354ED
+:04918A0084F7234BF8
+:04918B0084F5234202
+:04918C0084F223390D
+:04918D0084F0233017
+:04918E0084ED232722
+:04918F0084EA231E2D
+:0491900084E8231537
+:0491910084E5230C42
+:0491920084E323034C
+:0491930084E022FA58
+:0491940084DE22F162
+:0491950084DB22E86D
+:0491960084D822DF78
+:0491970084D622D682
+:0491980084D322CD8D
+:0491990084D122C398
+:04919A0084CE22BAA3
+:04919B0084CC22B1AD
+:04919C0084C922A8B8
+:04919D0084C7229FC2
+:04919E0084C42296CD
+:04919F0084C1228DD8
+:0491A00084BF2284E2
+:0491A10084BC227BED
+:0491A20084BA2272F7
+:0491A30084B7226902
+:0491A40084B522600C
+:0491A50084B2225717
+:0491A60084B0224D22
+:0491A70084AD22442D
+:0491A80084AB223B37
+:0491A90084A8223242
+:0491AA0084A622294C
+:0491AB0084A3222057
+:0491AC0084A1221761
+:0491AD00849E220E6C
+:0491AE00849C220576
+:0491AF00849921FC82
+:0491B000849721F38C
+:0491B100849421EA97
+:0491B200849221E0A2
+:0491B300848F21D7AD
+:0491B400848D21CEB7
+:0491B500848A21C5C2
+:0491B600848821BCCC
+:0491B700848521B3D7
+:0491B800848321AAE1
+:0491B900848021A1EC
+:0491BA00847E2198F6
+:0491BB00847B218F01
+:0491BC00847921860B
+:0491BD008476217C17
+:0491BE008474217321
+:0491BF008471216A2C
+:0491C000846F216136
+:0491C100846C215841
+:0491C200846A214F4B
+:0491C3008468214655
+:0491C4008465213D60
+:0491C500846321346A
+:0491C6008460212B75
+:0491C700845E212180
+:0491C800845B21188B
+:0491C9008459210F95
+:0491CA0084562106A0
+:0491CB00845420FDAB
+:0491CC00845220F4B5
+:0491CD00844F20EBC0
+:0491CE00844D20E2CA
+:0491CF00844A20D9D5
+:0491D000844820D0DF
+:0491D100844520C6EB
+:0491D200844320BDF5
+:0491D300844120B4FF
+:0491D400843E20AB0A
+:0491D500843C20A214
+:0491D600843920991F
+:0491D7008437209029
+:0491D8008435208733
+:0491D9008432207E3E
+:0491DA008430207449
+:0491DB00842D206B54
+:0491DC00842B20625E
+:0491DD008429205968
+:0491DE008426205073
+:0491DF00842420477D
+:0491E0008422203E87
+:0491E100841F203592
+:0491E200841D202B9D
+:0491E300841A2022A8
+:0491E40084182019B2
+:0491E50084162010BC
+:0491E60084132007C7
+:0491E70084111FFED2
+:0491E800840F1FF5DC
+:0491E900840C1FECE7
+:0491EA00840A1FE2F2
+:0491EB0084081FD9FC
+:0491EC0084051FD007
+:0491ED0084031FC711
+:0491EE0084011FBE1B
+:0491EF0083FE1FB527
+:0491F00083FC1FAC31
+:0491F10083FA1FA33B
+:0491F20083F71F9947
+:0491F30083F51F9051
+:0491F40083F31F875B
+:0491F50083F01F7E66
+:0491F60083EE1F7570
+:0491F70083EC1F6C7A
+:0491F80083E91F6385
+:0491F90083E71F5990
+:0491FA0083E51F509A
+:0491FB0083E21F47A5
+:0491FC0083E01F3EAF
+:0491FD0083DE1F35B9
+:0491FE0083DC1F2CC3
+:0491FF0083D91F23CE
+:0492000083D71F19D8
+:0492010083D51F10E2
+:0492020083D21F07ED
+:0492030083D01EFEF8
+:0492040083CE1EF502
+:0492050083CC1EEC0C
+:0492060083C91EE317
+:0492070083C71ED922
+:0492080083C51ED02C
+:0492090083C21EC737
+:04920A0083C01EBE41
+:04920B0083BE1EB54B
+:04920C0083BC1EAC55
+:04920D0083B91EA360
+:04920E0083B71E996B
+:04920F0083B51E9075
+:0492100083B31E877F
+:0492110083B01E7E8A
+:0492120083AE1E7594
+:0492130083AC1E6C9E
+:0492140083AA1E63A8
+:0492150083A71E59B4
+:0492160083A51E50BE
+:0492170083A31E47C8
+:0492180083A11E3ED2
+:04921900839F1E35DC
+:04921A00839C1E2CE7
+:04921B00839A1E22F2
+:04921C0083981E19FC
+:04921D0083961E1006
+:04921E0083931E0711
+:04921F0083911DFE1C
+:04922000838F1DF526
+:04922100838D1DEB31
+:04922200838B1DE23B
+:0492230083881DD946
+:0492240083861DD050
+:0492250083841DC75A
+:0492260083821DBE64
+:0492270083801DB46F
+:04922800837D1DAB7A
+:04922900837B1DA284
+:04922A0083791D998E
+:04922B0083771D9098
+:04922C0083751D87A2
+:04922D0083731D7DAD
+:04922E0083701D74B8
+:04922F00836E1D6BC2
+:04923000836C1D62CC
+:04923100836A1D59D6
+:0492320083681D50E0
+:0492330083661D46EB
+:0492340083631D3DF6
+:0492350083611D3400
+:04923600835F1D2B0A
+:04923700835D1D2214
+:04923800835B1D191E
+:0492390083591D0F29
+:04923A0083571D0633
+:04923B0083541CFD3F
+:04923C0083521CF449
+:04923D0083501CEB53
+:04923E00834E1CE15E
+:04923F00834C1CD868
+:04924000834A1CCF72
+:0492410083481CC67C
+:0492420083461CBD86
+:0492430083431CB491
+:0492440083411CAA9C
+:04924500833F1CA1A6
+:04924600833D1C98B0
+:04924700833B1C8FBA
+:0492480083391C86C4
+:0492490083371C7CCF
+:04924A0083351C73D9
+:04924B0083331C6AE3
+:04924C0083311C61ED
+:04924D00832E1C58F8
+:04924E00832C1C4E03
+:04924F00832A1C450D
+:0492500083281C3C17
+:0492510083261C3321
+:0492520083241C2A2B
+:0492530083221C2135
+:0492540083201C1740
+:04925500831E1C0E4A
+:04925600831C1C0554
+:04925700831A1BFC5F
+:0492580083181BF369
+:0492590083161BE974
+:04925A0083141BE07E
+:04925B0083111BD789
+:04925C00830F1BCE93
+:04925D00830D1BC59D
+:04925E00830B1BBBA8
+:04925F0083091BB2B2
+:0492600083071BA9BC
+:0492610083051BA0C6
+:0492620083031B97D0
+:0492630083011B8DDB
+:0492640082FF1B84E6
+:0492650082FD1B7BF0
+:0492660082FB1B72FA
+:0492670082F91B6904
+:0492680082F71B5F0F
+:0492690082F51B5619
+:04926A0082F31B4D23
+:04926B0082F11B442D
+:04926C0082EF1B3A38
+:04926D0082ED1B3142
+:04926E0082EB1B284C
+:04926F0082E91B1F56
+:0492700082E71B1660
+:0492710082E51B0C6B
+:0492720082E31B0375
+:0492730082E11AFA80
+:0492740082DF1AF18A
+:0492750082DD1AE894
+:0492760082DB1ADE9F
+:0492770082D91AD5A9
+:0492780082D71ACCB3
+:0492790082D51AC3BD
+:04927A0082D31ABAC7
+:04927B0082D11AB0D2
+:04927C0082CF1AA7DC
+:04927D0082CD1A9EE6
+:04927E0082CB1A95F0
+:04927F0082C91A8BFB
+:0492800082C71A8205
+:0492810082C61A790E
+:0492820082C41A7018
+:0492830082C21A6722
+:0492840082C01A5D2D
+:0492850082BE1A5437
+:0492860082BC1A4B41
+:0492870082BA1A424B
+:0492880082B81A3856
+:0492890082B61A2F60
+:04928A0082B41A266A
+:04928B0082B21A1D74
+:04928C0082B01A147E
+:04928D0082AE1A0A89
+:04928E0082AC1A0193
+:04928F0082AA19F89E
+:0492900082A919EFA7
+:0492910082A719E5B2
+:0492920082A519DCBC
+:0492930082A319D3C6
+:0492940082A119CAD0
+:04929500829F19C0DB
+:04929600829D19B7E5
+:04929700829B19AEEF
+:04929800829919A5F9
+:049299008297199C03
+:04929A00829619920D
+:04929B008294198917
+:04929C008292198021
+:04929D00829019772B
+:04929E00828E196D36
+:04929F00828C196440
+:0492A000828A195B4A
+:0492A1008288195254
+:0492A200828719485E
+:0492A3008285193F68
+:0492A4008283193672
+:0492A5008281192D7C
+:0492A600827F192387
+:0492A700827D191A91
+:0492A800827C19119A
+:0492A900827A1908A4
+:0492AA00827818FEB0
+:0492AB00827618F5BA
+:0492AC00827418ECC4
+:0492AD00827218E3CE
+:0492AE00827018DAD8
+:0492AF00826F18D0E2
+:0492B000826D18C7EC
+:0492B100826B18BEF6
+:0492B200826918B500
+:0492B300826718AB0B
+:0492B400826618A214
+:0492B500826418991E
+:0492B6008262189028
+:0492B7008260188633
+:0492B800825E187D3D
+:0492B900825D187446
+:0492BA00825B186B50
+:0492BB00825918615B
+:0492BC008257185865
+:0492BD008255184F6F
+:0492BE008254184678
+:0492BF008252183C83
+:0492C000825018338D
+:0492C100824E182A97
+:0492C200824C1821A1
+:0492C300824B1817AB
+:0492C4008249180EB5
+:0492C50082471805BF
+:0492C600824517FBCB
+:0492C700824417F2D4
+:0492C800824217E9DE
+:0492C900824017E0E8
+:0492CA00823E17D6F3
+:0492CB00823D17CDFC
+:0492CC00823B17C406
+:0492CD00823917BB10
+:0492CE00823717B11B
+:0492CF00823617A824
+:0492D0008234179F2E
+:0492D1008232179638
+:0492D2008230178C43
+:0492D300822F17834C
+:0492D400822D177A56
+:0492D500822B177160
+:0492D600822917676B
+:0492D7008228175E74
+:0492D800822617557E
+:0492D9008224174C88
+:0492DA008223174292
+:0492DB00822117399C
+:0492DC00821F1730A6
+:0492DD00821D1726B1
+:0492DE00821C171DBA
+:0492DF00821A1714C4
+:0492E0008218170BCE
+:0492E10082171701D8
+:0492E200821516F8E3
+:0492E300821316EFED
+:0492E400821216E6F6
+:0492E500821016DC01
+:0492E600820E16D30B
+:0492E700820D16CA14
+:0492E800820B16C01F
+:0492E900820916B729
+:0492EA00820716AE33
+:0492EB00820616A53C
+:0492EC008204169B47
+:0492ED008202169251
+:0492EE00820116895A
+:0492EF0081FF168065
+:0492F00081FE16766F
+:0492F10081FC166D79
+:0492F20081FA166483
+:0492F30081F9165A8D
+:0492F40081F7165197
+:0492F50081F51648A1
+:0492F60081F4163FAA
+:0492F70081F21635B5
+:0492F80081F0162CBF
+:0492F90081EF1623C8
+:0492FA0081ED1619D3
+:0492FB0081EB1610DD
+:0492FC0081EA1607E6
+:0492FD0081E815FEF1
+:0492FE0081E715F4FB
+:0492FF0081E515EB05
+:0493000081E315E20E
+:0493010081E215D818
+:0493020081E015CF22
+:0493030081DF15C62B
+:0493040081DD15BD35
+:0493050081DB15B340
+:0493060081DA15AA49
+:0493070081D815A153
+:0493080081D715975D
+:0493090081D5158E67
+:04930A0081D3158571
+:04930B0081D2157C7A
+:04930C0081D0157285
+:04930D0081CF15698E
+:04930E0081CD156098
+:04930F0081CC1556A2
+:0493100081CA154DAC
+:0493110081C81544B6
+:0493120081C7153BBF
+:0493130081C51531CA
+:0493140081C41528D3
+:0493150081C2151FDD
+:0493160081C11515E7
+:0493170081BF150CF1
+:0493180081BD1503FB
+:0493190081BC14F906
+:04931A0081BA14F010
+:04931B0081B914E719
+:04931C0081B714DE23
+:04931D0081B614D42D
+:04931E0081B414CB37
+:04931F0081B314C240
+:0493200081B114B84B
+:0493210081B014AF54
+:0493220081AE14A65E
+:0493230081AD149C68
+:0493240081AB149372
+:0493250081AA148A7B
+:0493260081A8148185
+:0493270081A714778F
+:0493280081A5146E99
+:0493290081A41465A2
+:04932A0081A2145BAD
+:04932B0081A11452B6
+:04932C00819F1449C0
+:04932D00819E143FCA
+:04932E00819C1436D4
+:04932F00819B142DDD
+:0493300081991424E7
+:049331008198141AF1
+:0493320081961411FB
+:049333008195140804
+:04933400819313FE10
+:04933500819213F519
+:04933600819013EC23
+:04933700818F13E22D
+:04933800818D13D937
+:04933900818C13D040
+:04933A00818A13C64B
+:04933B00818913BD54
+:04933C00818813B45D
+:04933D00818613AA68
+:04933E00818513A171
+:04933F00818313987B
+:049340008182138F84
+:04934100818013858F
+:04934200817F137C98
+:04934300817D1373A2
+:04934400817C1369AC
+:04934500817B1360B5
+:0493460081791357BF
+:049347008178134DC9
+:0493480081761344D3
+:049349008175133BDC
+:04934A0081731331E7
+:04934B0081721328F0
+:04934C008171131FF9
+:04934D00816F131504
+:04934E00816E130C0D
+:04934F00816C130317
+:04935000816B12F922
+:04935100816A12F02B
+:04935200816812E735
+:04935300816712DE3E
+:04935400816512D449
+:04935500816412CB52
+:04935600816312C25B
+:04935700816112B866
+:04935800816012AF6F
+:04935900815F12A678
+:04935A00815D129C83
+:04935B00815C12938C
+:04935C00815A128A96
+:04935D0081591280A0
+:04935E0081581277A9
+:04935F008156126EB3
+:0493600081551264BD
+:049361008154125BC6
+:0493620081521252D0
+:0493630081511248DA
+:049364008150123FE3
+:04936500814E1236ED
+:04936600814D122CF7
+:04936700814C122300
+:04936800814A121A0A
+:049369008149121014
+:04936A00814812071D
+:04936B00814611FE28
+:04936C00814511F432
+:04936D00814411EB3B
+:04936E00814211E245
+:04936F00814111D84F
+:04937000814011CF58
+:04937100813E11C662
+:04937200813D11BC6C
+:04937300813C11B375
+:04937400813A11AA7F
+:04937500813911A089
+:049376008138119792
+:049377008137118E9B
+:0493780081351184A6
+:049379008134117BAF
+:04937A0081331172B8
+:04937B0081311168C3
+:04937C008130115FCC
+:04937D00812F1156D5
+:04937E00812E114CDF
+:04937F00812C1143E9
+:04938000812B113AF2
+:04938100812A1130FC
+:049382008129112705
+:049383008127111E0F
+:049384008126111419
+:049385008125110B22
+:04938600812411022B
+:04938700812210F837
+:04938800812110EF40
+:04938900812010E649
+:04938A00811F10DC53
+:04938B00811D10D35D
+:04938C00811C10CA66
+:04938D00811B10C070
+:04938E00811A10B779
+:04938F00811810AE83
+:04939000811710A48D
+:049391008116109B96
+:04939200811510929F
+:0493930081131088AA
+:049394008112107FB3
+:0493950081111075BD
+:049396008110106CC6
+:04939700810F1063CF
+:04939800810D1059DA
+:04939900810C1050E3
+:04939A00810B1047EC
+:04939B00810A103DF6
+:04939C0081091034FF
+:04939D008107102B09
+:04939E008106102113
+:04939F00810510181C
+:0493A0008104100F25
+:0493A100810310052F
+:0493A20081020FFC39
+:0493A30081000FF343
+:0493A40080FF0FE94E
+:0493A50080FE0FE057
+:0493A60080FD0FD760
+:0493A70080FC0FCD6A
+:0493A80080FB0FC473
+:0493A90080F90FBA7E
+:0493AA0080F80FB187
+:0493AB0080F70FA890
+:0493AC0080F60F9E9A
+:0493AD0080F50F95A3
+:0493AE0080F40F8CAC
+:0493AF0080F20F82B7
+:0493B00080F10F79C0
+:0493B10080F00F70C9
+:0493B20080EF0F66D3
+:0493B30080EE0F5DDC
+:0493B40080ED0F54E5
+:0493B50080EC0F4AEF
+:0493B60080EB0F41F8
+:0493B70080E90F3802
+:0493B80080E80F2E0C
+:0493B90080E70F2515
+:0493BA0080E60F1B1F
+:0493BB0080E50F1228
+:0493BC0080E40F0931
+:0493BD0080E30EFF3C
+:0493BE0080E20EF645
+:0493BF0080E10EED4E
+:0493C00080DF0EE359
+:0493C10080DE0EDA62
+:0493C20080DD0ED16B
+:0493C30080DC0EC775
+:0493C40080DB0EBE7E
+:0493C50080DA0EB488
+:0493C60080D90EAB91
+:0493C70080D80EA29A
+:0493C80080D70E98A4
+:0493C90080D60E8FAD
+:0493CA0080D50E86B6
+:0493CB0080D40E7CC0
+:0493CC0080D20E73CA
+:0493CD0080D10E6AD3
+:0493CE0080D00E60DD
+:0493CF0080CF0E57E6
+:0493D00080CE0E4DF0
+:0493D10080CD0E44F9
+:0493D20080CC0E3B02
+:0493D30080CB0E310C
+:0493D40080CA0E2815
+:0493D50080C90E1F1E
+:0493D60080C80E1528
+:0493D70080C70E0C31
+:0493D80080C60E033A
+:0493D90080C50DF945
+:0493DA0080C40DF04E
+:0493DB0080C30DE658
+:0493DC0080C20DDD61
+:0493DD0080C10DD46A
+:0493DE0080C00DCA74
+:0493DF0080BF0DC17D
+:0493E00080BE0DB886
+:0493E10080BD0DAE90
+:0493E20080BC0DA599
+:0493E30080BB0D9CA2
+:0493E40080BA0D92AC
+:0493E50080B90D89B5
+:0493E60080B80D7FBF
+:0493E70080B70D76C8
+:0493E80080B60D6DD1
+:0493E90080B50D63DB
+:0493EA0080B40D5AE4
+:0493EB0080B30D51ED
+:0493EC0080B20D47F7
+:0493ED0080B10D3E00
+:0493EE0080B00D340A
+:0493EF0080AF0D2B13
+:0493F00080AE0D221C
+:0493F10080AD0D1826
+:0493F20080AC0D0F2F
+:0493F30080AB0D0638
+:0493F40080AA0CFC43
+:0493F50080A90CF34C
+:0493F60080A80CE956
+:0493F70080A70CE05F
+:0493F80080A60CD768
+:0493F90080A50CCD72
+:0493FA0080A40CC47B
+:0493FB0080A30CBB84
+:0493FC0080A20CB18E
+:0493FD0080A20CA896
+:0493FE0080A10C9EA0
+:0493FF0080A00C95A9
+:04940000809F0C8CB1
+:04940100809E0C82BB
+:04940200809D0C79C4
+:04940300809C0C6FCE
+:04940400809B0C66D7
+:04940500809A0C5DE0
+:0494060080990C53EA
+:0494070080980C4AF3
+:0494080080970C41FC
+:0494090080970C3705
+:04940A0080960C2E0E
+:04940B0080950C2418
+:04940C0080940C1B21
+:04940D0080930C122A
+:04940E0080920C0834
+:04940F0080910BFF3E
+:0494100080900BF647
+:04941100808F0BEC51
+:04941200808F0BE359
+:04941300808E0BD963
+:04941400808D0BD06C
+:04941500808C0BC775
+:04941600808B0BBD7F
+:04941700808A0BB488
+:0494180080890BAA92
+:0494190080890BA19A
+:04941A0080880B98A3
+:04941B0080870B8EAD
+:04941C0080860B85B6
+:04941D0080850B7CBF
+:04941E0080840B72C9
+:04941F0080830B69D2
+:0494200080830B5FDB
+:0494210080820B56E4
+:0494220080810B4DED
+:0494230080800B43F7
+:04942400807F0B3A00
+:04942500807E0B300A
+:04942600807E0B2712
+:04942700807D0B1E1B
+:04942800807C0B1425
+:04942900807B0B0B2E
+:04942A00807A0B0138
+:04942B00807A0AF841
+:04942C0080790AEF4A
+:04942D0080780AE554
+:04942E0080770ADC5D
+:04942F0080760AD366
+:0494300080760AC96F
+:0494310080750AC078
+:0494320080740AB682
+:0494330080730AAD8B
+:0494340080720AA494
+:0494350080720A9A9D
+:0494360080710A91A6
+:0494370080700A87B0
+:04943800806F0A7EB9
+:04943900806F0A75C1
+:04943A00806E0A6BCB
+:04943B00806D0A62D4
+:04943C00806C0A58DE
+:04943D00806B0A4FE7
+:04943E00806B0A46EF
+:04943F00806A0A3CF9
+:0494400080690A3302
+:0494410080680A290C
+:0494420080680A2014
+:0494430080670A171D
+:0494440080660A0D27
+:0494450080650A0430
+:04944600806509FB39
+:04944700806409F143
+:04944800806309E84C
+:04944900806309DE55
+:04944A00806209D55E
+:04944B00806109CC67
+:04944C00806009C271
+:04944D00806009B979
+:04944E00805F09AF83
+:04944F00805E09A68C
+:04945000805E099D94
+:04945100805D09939E
+:04945200805C098AA7
+:04945300805B0980B1
+:04945400805B0977B9
+:04945500805A096EC2
+:0494560080590964CC
+:049457008059095BD4
+:0494580080580951DE
+:0494590080570948E7
+:04945A008057093FEF
+:04945B0080560935F9
+:04945C008055092C02
+:04945D00805509220B
+:04945E008054091914
+:04945F00805309101D
+:049460008053090626
+:04946100805208FD30
+:04946200805108F33A
+:04946300805108EA42
+:04946400805008E14B
+:04946500804F08D755
+:04946600804F08CE5D
+:04946700804E08C467
+:04946800804D08BB70
+:04946900804D08B278
+:04946A00804C08A882
+:04946B00804B089F8B
+:04946C00804B089594
+:04946D00804A088C9D
+:04946E00804A0883A5
+:04946F0080490879AF
+:0494700080480870B8
+:0494710080480866C1
+:049472008047085DCA
+:0494730080460854D3
+:049474008046084ADC
+:0494750080450841E5
+:0494760080450837EE
+:049477008044082EF7
+:049478008043082401
+:049479008043081B09
+:04947A008042081212
+:04947B00804208081B
+:04947C00804107FF25
+:04947D00804007F52F
+:04947E00804007EC37
+:04947F00803F07E340
+:04948000803F07D949
+:04948100803E07D052
+:04948200803E07C65B
+:04948300803D07BD64
+:04948400803C07B46D
+:04948500803C07AA76
+:04948600803B07A17F
+:04948700803B079788
+:04948800803A078E91
+:04948900803A078599
+:04948A008039077BA3
+:04948B0080380772AC
+:04948C0080380768B5
+:04948D008037075FBE
+:04948E0080370756C6
+:04948F008036074CD0
+:0494900080360743D8
+:0494910080350739E2
+:0494920080350730EA
+:0494930080340726F4
+:049494008034071DFC
+:049495008033071405
+:049496008033070A0E
+:049497008032070117
+:04949800803206F721
+:04949900803106EE2A
+:04949A00803106E532
+:04949B00803006DB3C
+:04949C00803006D244
+:04949D00802F06C84E
+:04949E00802F06BF56
+:04949F00802E06B65F
+:0494A000802E06AC68
+:0494A100802D06A371
+:0494A200802D06997A
+:0494A300802C069083
+:0494A400802C06878B
+:0494A500802B067D95
+:0494A600802B06749D
+:0494A700802A066AA7
+:0494A800802A0661AF
+:0494A90080290657B9
+:0494AA008029064EC1
+:0494AB0080280645CA
+:0494AC008028063BD3
+:0494AD0080270632DC
+:0494AE0080270628E5
+:0494AF008026061FEE
+:0494B00080260616F6
+:0494B1008026060CFF
+:0494B2008025060308
+:0494B300802505F912
+:0494B400802405F01B
+:0494B500802405E624
+:0494B600802305DD2D
+:0494B700802305D435
+:0494B800802305CA3E
+:0494B900802205C147
+:0494BA00802205B750
+:0494BB00802105AE59
+:0494BC00802105A561
+:0494BD008020059B6B
+:0494BE008020059273
+:0494BF00802005887C
+:0494C000801F057F85
+:0494C100801F05758E
+:0494C200801E056C97
+:0494C300801E05639F
+:0494C400801E0559A8
+:0494C500801D0550B1
+:0494C600801D0546BA
+:0494C700801C053DC3
+:0494C800801C0534CB
+:0494C900801C052AD4
+:0494CA00801B0521DD
+:0494CB00801B0517E6
+:0494CC00801B050EEE
+:0494CD00801A0505F7
+:0494CE00801A04FB01
+:0494CF00801904F20A
+:0494D000801904E813
+:0494D100801904DF1B
+:0494D200801804D525
+:0494D300801804CC2D
+:0494D400801804C335
+:0494D500801704B93F
+:0494D600801704B047
+:0494D700801704A650
+:0494D8008016049D59
+:0494D9008016049362
+:0494DA008016048A6A
+:0494DB008015048173
+:0494DC00801504777C
+:0494DD008015046E84
+:0494DE00801404648E
+:0494DF008014045B96
+:0494E000801404529E
+:0494E10080130448A8
+:0494E2008013043FB0
+:0494E30080130435B9
+:0494E4008012042CC2
+:0494E50080120422CB
+:0494E60080120419D3
+:0494E70080110410DC
+:0494E80080110406E5
+:0494E900801103FDEE
+:0494EA00801103F3F7
+:0494EB00801003EA00
+:0494EC00801003E108
+:0494ED00801003D711
+:0494EE00800F03CE1A
+:0494EF00800F03C423
+:0494F000800F03BB2B
+:0494F100800F03B134
+:0494F200800E03A83D
+:0494F300800E039F45
+:0494F400800E03954E
+:0494F500800E038C56
+:0494F600800D038260
+:0494F700800D037968
+:0494F800800D036F71
+:0494F900800D036679
+:0494FA00800C035D82
+:0494FB00800C03538B
+:0494FC00800C034A93
+:0494FD00800C03409C
+:0494FE00800B0337A5
+:0494FF00800B032EAD
+:04950000800B0324B5
+:04950100800B031BBD
+:04950200800A0311C7
+:04950300800A0308CF
+:04950400800A02FED9
+:04950500800A02F5E1
+:04950600800A02ECE9
+:04950700800902E2F3
+:04950800800902D9FB
+:04950900800902CF04
+:04950A00800902C60C
+:04950B00800802BC16
+:04950C00800802B31E
+:04950D00800802AA26
+:04950E00800802A02F
+:04950F008008029737
+:049510008008028D40
+:049511008007028449
+:049512008007027B51
+:04951300800702715A
+:049514008007026862
+:049515008007025E6B
+:049516008006025574
+:049517008006024B7D
+:049518008006024285
+:04951900800602398D
+:04951A008006022F96
+:04951B00800602269E
+:04951C008005021CA8
+:04951D0080050213B0
+:04951E0080050209B9
+:04951F0080050200C1
+:04952000800501F7CA
+:04952100800501EDD3
+:04952200800501E4DB
+:04952300800401DAE5
+:04952400800401D1ED
+:04952500800401C7F6
+:04952600800401BEFE
+:04952700800401B506
+:04952800800401AB0F
+:04952900800401A217
+:04952A008004019820
+:04952B008003018F29
+:04952C008003018631
+:04952D008003017C3A
+:04952E008003017342
+:04952F00800301694B
+:049530008003016053
+:04953100800301565C
+:049532008003014D64
+:04953300800301446C
+:049534008003013A75
+:04953500800201317E
+:049536008002012787
+:049537008002011E8F
+:049538008002011498
+:049539008002010BA0
+:04953A0080020102A8
+:04953B00800200F8B2
+:04953C00800200EFBA
+:04953D00800200E5C3
+:04953E00800200DCCB
+:04953F00800200D2D4
+:04954000800200C9DC
+:04954100800200C0E4
+:04954200800200B6ED
+:04954300800100ADF6
+:04954400800100A3FF
+:049545008001009A07
+:04954600800100910F
+:049547008001008718
+:049548008001007E20
+:049549008001007429
+:04954A008001006B31
+:04954B00800100613A
+:04954C008001005842
+:04954D008001004F4A
+:04954E008001004553
+:04954F008001003C5B
+:049550008001003264
+:04955100800100296C
+:049552008001001F75
+:04955300800100167D
+:049554008001000D85
+:04955500800100038E
+:049556008000FFFA98
+:049557008000FFF0A1
+:049558008000FFE7A9
+:049559008000FFDDB2
+:04955A008000FFD4BA
+:04955B008000FFCBC2
+:04955C008000FFC1CB
+:04955D008000FFB8D3
+:04955E008000FFAEDC
+:04955F008000FFA5E4
+:049560008000FF9BED
+:049561008000FF92F5
+:049562008000FF89FD
+:049563008000FF7F06
+:049564008000FF760E
+:049565008000FF6C17
+:049566008000FF631F
+:049567008000FF5A27
+:049568008000FF5030
+:049569008001FF4737
+:04956A008001FF3D40
+:04956B008001FF3448
+:04956C008001FF2A51
+:04956D008001FF2159
+:04956E008001FF1861
+:04956F008001FF0E6A
+:049570008001FF0572
+:049571008001FEFB7C
+:049572008001FEF284
+:049573008001FEE88D
+:049574008001FEDF95
+:049575008001FED69D
+:049576008001FECCA6
+:049577008002FEC3AD
+:049578008002FEB9B6
+:049579008002FEB0BE
+:04957A008002FEA6C7
+:04957B008002FE9DCF
+:04957C008002FE94D7
+:04957D008002FE8AE0
+:04957E008002FE81E8
+:04957F008002FE77F1
+:049580008002FE6EF9
+:049581008003FE6401
+:049582008003FE5B09
+:049583008003FE5211
+:049584008003FE481A
+:049585008003FE3F22
+:049586008003FE352B
+:049587008003FE2C33
+:049588008003FE233B
+:049589008004FE1943
+:04958A008004FE104B
+:04958B008004FE0654
+:04958C008004FDFD5D
+:04958D008004FDF366
+:04958E008004FDEA6E
+:04958F008005FDE175
+:049590008005FDD77E
+:049591008005FDCE86
+:049592008005FDC48F
+:049593008005FDBB97
+:049594008005FDB1A0
+:049595008005FDA8A8
+:049596008006FD9FAF
+:049597008006FD95B8
+:049598008006FD8CC0
+:049599008006FD82C9
+:04959A008006FD79D1
+:04959B008007FD6FD9
+:04959C008007FD66E1
+:04959D008007FD5DE9
+:04959E008007FD53F2
+:04959F008007FD4AFA
+:0495A0008008FD4002
+:0495A1008008FD370A
+:0495A2008008FD2E12
+:0495A3008008FD241B
+:0495A4008008FD1B23
+:0495A5008009FD112B
+:0495A6008009FD0833
+:0495A7008009FCFE3D
+:0495A8008009FCF545
+:0495A9008009FCEC4D
+:0495AA00800AFCE255
+:0495AB00800AFCD95D
+:0495AC00800AFCCF66
+:0495AD00800AFCC66E
+:0495AE00800BFCBC76
+:0495AF00800BFCB37E
+:0495B000800BFCAA86
+:0495B100800BFCA08F
+:0495B200800CFC9796
+:0495B300800CFC8D9F
+:0495B400800CFC84A7
+:0495B500800CFC7BAF
+:0495B600800DFC71B7
+:0495B700800DFC68BF
+:0495B800800DFC5EC8
+:0495B900800DFC55D0
+:0495BA00800EFC4BD8
+:0495BB00800EFC42E0
+:0495BC00800EFC39E8
+:0495BD00800FFC2FF0
+:0495BE00800FFC26F8
+:0495BF00800FFC1C01
+:0495C000800FFC1309
+:0495C1008010FC0911
+:0495C2008010FC0019
+:0495C3008010FBF722
+:0495C4008011FBED2A
+:0495C5008011FBE432
+:0495C6008011FBDA3B
+:0495C7008012FBD142
+:0495C8008012FBC84A
+:0495C9008012FBBE53
+:0495CA008012FBB55B
+:0495CB008013FBAB63
+:0495CC008013FBA26B
+:0495CD008013FB9874
+:0495CE008014FB8F7B
+:0495CF008014FB8683
+:0495D0008014FB7C8C
+:0495D1008015FB7393
+:0495D2008015FB699C
+:0495D3008015FB60A4
+:0495D4008016FB57AB
+:0495D5008016FB4DB4
+:0495D6008016FB44BC
+:0495D7008017FB3AC4
+:0495D8008017FB31CC
+:0495D9008017FB27D5
+:0495DA008018FB1EDC
+:0495DB008018FB15E4
+:0495DC008019FB0BEC
+:0495DD008019FB02F4
+:0495DE008019FAF8FE
+:0495DF00801AFAEF05
+:0495E000801AFAE60D
+:0495E100801AFADC16
+:0495E200801BFAD31D
+:0495E300801BFAC926
+:0495E400801CFAC02D
+:0495E500801CFAB636
+:0495E600801CFAAD3E
+:0495E700801DFAA445
+:0495E800801DFA9A4E
+:0495E900801EFA9155
+:0495EA00801EFA875E
+:0495EB00801EFA7E66
+:0495EC00801FFA756D
+:0495ED00801FFA6B76
+:0495EE008020FA627D
+:0495EF008020FA5886
+:0495F0008020FA4F8E
+:0495F1008021FA4596
+:0495F2008021FA3C9E
+:0495F3008022FA33A5
+:0495F4008022FA29AE
+:0495F5008023FA20B5
+:0495F6008023FA16BE
+:0495F7008023FA0DC6
+:0495F8008024FA04CD
+:0495F9008024F9FAD7
+:0495FA008025F9F1DE
+:0495FB008025F9E7E7
+:0495FC008026F9DEEE
+:0495FD008026F9D4F7
+:0495FE008027F9CBFE
+:0495FF008027F9C206
+:049600008027F9B80E
+:049601008028F9AF15
+:049602008028F9A51E
+:049603008029F99C25
+:049604008029F9932D
+:04960500802AF98935
+:04960600802AF9803D
+:04960700802BF97645
+:04960800802BF96D4D
+:04960900802CF96454
+:04960A00802CF95A5D
+:04960B00802DF95164
+:04960C00802DF9476D
+:04960D00802EF93E74
+:04960E00802EF9347D
+:04960F00802FF92B84
+:04961000802FF9228C
+:049611008030F91894
+:049612008030F90F9C
+:049613008031F905A4
+:049614008031F8FCAD
+:049615008032F8F3B4
+:049616008032F8E9BD
+:049617008033F8E0C4
+:049618008033F8D6CD
+:049619008034F8CDD4
+:04961A008034F8C4DC
+:04961B008035F8BAE4
+:04961C008035F8B1EC
+:04961D008036F8A7F4
+:04961E008037F89EFB
+:04961F008037F89503
+:049620008038F88B0B
+:049621008038F88213
+:049622008039F8781B
+:049623008039F86F23
+:04962400803AF8652B
+:04962500803AF85C33
+:04962600803BF8533A
+:04962700803CF84942
+:04962800803CF8404A
+:04962900803DF83652
+:04962A00803DF82D5A
+:04962B00803EF82461
+:04962C00803EF81A6A
+:04962D00803FF81171
+:04962E008040F80779
+:04962F008040F7FE82
+:049630008041F7F589
+:049631008041F7EB92
+:049632008042F7E299
+:049633008043F7D8A1
+:049634008043F7CFA9
+:049635008044F7C6B0
+:049636008044F7BCB9
+:049637008045F7B3C0
+:049638008046F7A9C8
+:049639008046F7A0D0
+:04963A008047F797D7
+:04963B008047F78DE0
+:04963C008048F784E7
+:04963D008049F77AEF
+:04963E008049F771F7
+:04963F00804AF768FE
+:04964000804BF75E06
+:04964100804BF7550E
+:04964200804CF74B16
+:04964300804DF7421D
+:04964400804DF73925
+:04964500804EF72F2D
+:04964600804EF72635
+:04964700804FF71C3D
+:049648008050F71344
+:049649008050F70A4C
+:04964A008051F70054
+:04964B008052F6F75C
+:04964C008052F6ED65
+:04964D008053F6E46C
+:04964E008054F6DB73
+:04964F008054F6D17C
+:049650008055F6C883
+:049651008056F6BE8B
+:049652008056F6B593
+:049653008057F6AC9A
+:049654008058F6A2A2
+:049655008059F699A9
+:049656008059F68FB2
+:04965700805AF686B9
+:04965800805BF67DC0
+:04965900805BF673C9
+:04965A00805CF66AD0
+:04965B00805DF660D8
+:04965C00805DF657E0
+:04965D00805EF64EE7
+:04965E00805FF644EF
+:04965F008060F63BF6
+:049660008060F631FF
+:049661008061F62806
+:049662008062F61F0D
+:049663008063F61515
+:049664008063F60C1D
+:049665008064F60225
+:049666008065F5F92D
+:049667008065F5F035
+:049668008066F5E63D
+:049669008067F5DD44
+:04966A008068F5D34C
+:04966B008068F5CA54
+:04966C008069F5C15B
+:04966D00806AF5B763
+:04966E00806BF5AE6A
+:04966F00806BF5A473
+:04967000806CF59B7A
+:04967100806DF59281
+:04967200806EF58889
+:04967300806FF57F90
+:04967400806FF57599
+:049675008070F56CA0
+:049676008071F563A7
+:049677008072F559AF
+:049678008072F550B7
+:049679008073F546BF
+:04967A008074F53DC6
+:04967B008075F534CD
+:04967C008076F52AD5
+:04967D008076F521DD
+:04967E008077F518E4
+:04967F008078F50EEC
+:049680008079F505F3
+:04968100807AF4FBFC
+:04968200807AF4F204
+:04968300807BF4E90B
+:04968400807CF4DF13
+:04968500807DF4D61A
+:04968600807EF4CC22
+:04968700807FF4C329
+:04968800807FF4BA31
+:049689008080F4B039
+:04968A008081F4A740
+:04968B008082F49D48
+:04968C008083F4944F
+:04968D008084F48B56
+:04968E008084F4815F
+:04968F008085F47866
+:049690008086F46F6D
+:049691008087F46575
+:049692008088F45C7C
+:049693008089F45284
+:04969400808AF4498B
+:04969500808AF44093
+:04969600808BF4369B
+:04969700808CF42DA2
+:04969800808DF423AA
+:04969900808EF41AB1
+:04969A00808FF411B8
+:04969B008090F407C0
+:04969C008091F3FEC8
+:04969D008091F3F5D0
+:04969E008092F3EBD8
+:04969F008093F3E2DF
+:0496A0008094F3D8E7
+:0496A1008095F3CFEE
+:0496A2008096F3C6F5
+:0496A3008097F3BCFD
+:0496A4008098F3B304
+:0496A5008099F3AA0B
+:0496A6008099F3A014
+:0496A700809AF3971B
+:0496A800809BF38D23
+:0496A900809CF3842A
+:0496AA00809DF37B31
+:0496AB00809EF37139
+:0496AC00809FF36840
+:0496AD0080A0F35E48
+:0496AE0080A1F3554F
+:0496AF0080A2F34C56
+:0496B00080A3F3425E
+:0496B10080A4F33965
+:0496B20080A5F3306C
+:0496B30080A6F32674
+:0496B40080A7F31D7B
+:0496B50080A7F31384
+:0496B60080A8F30A8B
+:0496B70080A9F30192
+:0496B80080AAF2F79B
+:0496B90080ABF2EEA2
+:0496BA0080ACF2E5A9
+:0496BB0080ADF2DBB1
+:0496BC0080AEF2D2B8
+:0496BD0080AFF2C8C0
+:0496BE0080B0F2BFC7
+:0496BF0080B1F2B6CE
+:0496C00080B2F2ACD6
+:0496C10080B3F2A3DD
+:0496C20080B4F29AE4
+:0496C30080B5F290EC
+:0496C40080B6F287F3
+:0496C50080B7F27DFB
+:0496C60080B8F27402
+:0496C70080B9F26B09
+:0496C80080BAF26111
+:0496C90080BBF25818
+:0496CA0080BCF24F1F
+:0496CB0080BDF24527
+:0496CC0080BEF23C2E
+:0496CD0080BFF23335
+:0496CE0080C0F2293D
+:0496CF0080C1F22044
+:0496D00080C2F2164C
+:0496D10080C3F20D53
+:0496D20080C4F2045A
+:0496D30080C5F1FA63
+:0496D40080C6F1F16A
+:0496D50080C7F1E871
+:0496D60080C8F1DE79
+:0496D70080C9F1D580
+:0496D80080CAF1CB88
+:0496D90080CBF1C28F
+:0496DA0080CDF1B995
+:0496DB0080CEF1AF9D
+:0496DC0080CFF1A6A4
+:0496DD0080D0F19DAB
+:0496DE0080D1F193B3
+:0496DF0080D2F18ABA
+:0496E00080D3F181C1
+:0496E10080D4F177C9
+:0496E20080D5F16ED0
+:0496E30080D6F164D8
+:0496E40080D7F15BDF
+:0496E50080D8F152E6
+:0496E60080D9F148EE
+:0496E70080DAF13FF5
+:0496E80080DCF136FB
+:0496E90080DDF12C03
+:0496EA0080DEF1230A
+:0496EB0080DFF11A11
+:0496EC0080E0F11019
+:0496ED0080E1F10720
+:0496EE0080E2F0FE28
+:0496EF0080E3F0F430
+:0496F00080E4F0EB37
+:0496F10080E5F0E13F
+:0496F20080E7F0D845
+:0496F30080E8F0CF4C
+:0496F40080E9F0C554
+:0496F50080EAF0BC5B
+:0496F60080EBF0B362
+:0496F70080ECF0A96A
+:0496F80080EDF0A071
+:0496F90080EEF09778
+:0496FA0080F0F08D7F
+:0496FB0080F1F08486
+:0496FC0080F2F07B8D
+:0496FD0080F3F07195
+:0496FE0080F4F0689C
+:0496FF0080F5F05EA4
+:0497000080F6F055AA
+:0497010080F8F04CB0
+:0497020080F9F042B8
+:0497030080FAF039BF
+:0497040080FBF030C6
+:0497050080FCF026CE
+:0497060080FDF01DD5
+:0497070080FFF014DB
+:049708008100F00AE2
+:049709008101F001E9
+:04970A008102EFF8F1
+:04970B008103EFEEF9
+:04970C008104EFE500
+:04970D008106EFDC06
+:04970E008107EFD20E
+:04970F008108EFC915
+:049710008109EFBF1D
+:04971100810AEFB624
+:04971200810CEFAD2A
+:04971300810DEFA332
+:04971400810EEF9A39
+:04971500810FEF9140
+:049716008110EF8748
+:049717008112EF7E4E
+:049718008113EF7555
+:049719008114EF6B5D
+:04971A008115EF6264
+:04971B008117EF596A
+:04971C008118EF4F72
+:04971D008119EF4679
+:04971E00811AEF3D80
+:04971F00811BEF3388
+:04972000811DEF2A8E
+:04972100811EEF2195
+:04972200811FEF179D
+:049723008120EF0EA4
+:049724008122EF05AA
+:049725008123EEFBB3
+:049726008124EEF2BA
+:049727008125EEE9C1
+:049728008127EEDFC8
+:049729008128EED6CF
+:04972A008129EECDD6
+:04972B00812BEEC3DD
+:04972C00812CEEBAE4
+:04972D00812DEEB1EB
+:04972E00812EEEA7F3
+:04972F008130EE9EF9
+:049730008131EE9500
+:049731008132EE8B08
+:049732008133EE820F
+:049733008135EE7915
+:049734008136EE6F1D
+:049735008137EE6624
+:049736008139EE5D2A
+:04973700813AEE5332
+:04973800813BEE4A39
+:04973900813DEE413F
+:04973A00813EEE3747
+:04973B00813FEE2E4E
+:04973C008140EE2555
+:04973D008142EE1B5C
+:04973E008143EE1263
+:04973F008144EE096A
+:049740008146EDFF72
+:049741008147EDF679
+:049742008148EDED80
+:04974300814AEDE387
+:04974400814BEDDA8E
+:04974500814CEDD195
+:04974600814EEDC79C
+:04974700814FEDBEA3
+:049748008150EDB5AA
+:049749008152EDABB1
+:04974A008153EDA2B8
+:04974B008154ED99BF
+:04974C008156ED8FC6
+:04974D008157ED86CD
+:04974E008159ED7DD3
+:04974F00815AED73DB
+:04975000815BED6AE2
+:04975100815DED61E8
+:04975200815EED57F0
+:04975300815FED4EF7
+:049754008161ED45FD
+:049755008162ED3B05
+:049756008164ED320B
+:049757008165ED2912
+:049758008166ED1F1A
+:049759008168ED1620
+:04975A008169ED0D27
+:04975B00816BED032E
+:04975C00816CECFA36
+:04975D00816DECF13D
+:04975E00816FECE744
+:04975F008170ECDE4B
+:049760008172ECD551
+:049761008173ECCB59
+:049762008174ECC260
+:049763008176ECB966
+:049764008177ECB06D
+:049765008179ECA674
+:04976600817AEC9D7B
+:04976700817BEC9482
+:04976800817DEC8A89
+:04976900817EEC8190
+:04976A008180EC7896
+:04976B008181EC6E9E
+:04976C008183EC65A4
+:04976D008184EC5CAB
+:04976E008186EC52B2
+:04976F008187EC49B9
+:049770008188EC40C0
+:04977100818AEC36C7
+:04977200818BEC2DCE
+:04977300818DEC24D4
+:04977400818EEC1BDB
+:049775008190EC11E2
+:049776008191EC08E9
+:049777008193EBFFF0
+:049778008194EBF5F8
+:049779008196EBECFE
+:04977A008197EBE305
+:04977B008199EBD90C
+:04977C00819AEBD013
+:04977D00819CEBC719
+:04977E00819DEBBD21
+:04977F00819FEBB427
+:0497800081A0EBAB2E
+:0497810081A2EBA234
+:0497820081A3EB983C
+:0497830081A5EB8F42
+:0497840081A6EB8649
+:0497850081A8EB7C50
+:0497860081A9EB7357
+:0497870081ABEB6A5D
+:0497880081ACEB6065
+:0497890081AEEB576B
+:04978A0081AFEB4E72
+:04978B0081B1EB4578
+:04978C0081B2EB3B80
+:04978D0081B4EB3286
+:04978E0081B5EB298D
+:04978F0081B7EB1F94
+:0497900081B8EB169B
+:0497910081BAEB0DA1
+:0497920081BBEB03A9
+:0497930081BDEAFAB0
+:0497940081BFEAF1B6
+:0497950081C0EAE8BD
+:0497960081C2EADEC4
+:0497970081C3EAD5CB
+:0497980081C5EACCD1
+:0497990081C6EAC2D9
+:04979A0081C8EAB9DF
+:04979B0081C9EAB0E6
+:04979C0081CBEAA6ED
+:04979D0081CDEA9DF3
+:04979E0081CEEA94FA
+:04979F0081D0EA8B00
+:0497A00081D1EA8108
+:0497A10081D3EA780E
+:0497A20081D5EA6F14
+:0497A30081D6EA651C
+:0497A40081D8EA5C22
+:0497A50081D9EA5329
+:0497A60081DBEA4A2F
+:0497A70081DDEA4036
+:0497A80081DEEA373D
+:0497A90081E0EA2E43
+:0497AA0081E1EA244B
+:0497AB0081E3EA1B51
+:0497AC0081E5EA1257
+:0497AD0081E6EA095E
+:0497AE0081E8E9FF66
+:0497AF0081E9E9F66D
+:0497B00081EBE9ED73
+:0497B10081EDE9E37A
+:0497B20081EEE9DA81
+:0497B30081F0E9D187
+:0497B40081F2E9C88D
+:0497B50081F3E9BE95
+:0497B60081F5E9B59B
+:0497B70081F6E9ACA2
+:0497B80081F8E9A2A9
+:0497B90081FAE999AF
+:0497BA0081FBE990B6
+:0497BB0081FDE987BC
+:0497BC0081FFE97DC3
+:0497BD008200E974C9
+:0497BE008202E96BCF
+:0497BF008204E962D5
+:0497C0008205E958DD
+:0497C1008207E94FE3
+:0497C2008209E946E9
+:0497C300820AE93CF1
+:0497C400820CE933F7
+:0497C500820EE92AFD
+:0497C600820FE92104
+:0497C7008211E9170B
+:0497C8008213E90E11
+:0497C9008214E90518
+:0497CA008216E8FC1F
+:0497CB008218E8F226
+:0497CC00821AE8E92C
+:0497CD00821BE8E033
+:0497CE00821DE8D63A
+:0497CF00821FE8CD40
+:0497D0008220E8C447
+:0497D1008222E8BB4D
+:0497D2008224E8B154
+:0497D3008226E8A85A
+:0497D4008227E89F61
+:0497D5008229E89667
+:0497D600822BE88C6E
+:0497D700822CE88375
+:0497D800822EE87A7B
+:0497D9008230E87181
+:0497DA008232E86788
+:0497DB008233E85E8F
+:0497DC008235E85595
+:0497DD008237E84B9C
+:0497DE008239E842A2
+:0497DF00823AE839A9
+:0497E000823CE830AF
+:0497E100823EE826B6
+:0497E2008240E81DBC
+:0497E3008241E814C3
+:0497E4008243E80BC9
+:0497E5008245E801D0
+:0497E6008247E7F8D7
+:0497E7008248E7EFDE
+:0497E800824AE7E6E4
+:0497E900824CE7DCEB
+:0497EA00824EE7D3F1
+:0497EB008250E7CAF7
+:0497EC008251E7C1FE
+:0497ED008253E7B705
+:0497EE008255E7AE0B
+:0497EF008257E7A511
+:0497F0008259E79C17
+:0497F100825AE7921F
+:0497F200825CE78925
+:0497F300825EE7802B
+:0497F4008260E77731
+:0497F5008262E76D38
+:0497F6008263E7643F
+:0497F7008265E75B45
+:0497F8008267E7524B
+:0497F9008269E74852
+:0497FA00826BE73F58
+:0497FB00826CE7365F
+:0497FC00826EE72D65
+:0497FD008270E7236C
+:0497FE008272E71A72
+:0497FF008274E71178
+:049800008276E7087D
+:049801008277E6FE86
+:049802008279E6F58C
+:04980300827BE6EC92
+:04980400827DE6E398
+:04980500827FE6D99F
+:049806008281E6D0A5
+:049807008283E6C7AB
+:049808008284E6BEB2
+:049809008286E6B5B8
+:04980A008288E6ABBF
+:04980B00828AE6A2C5
+:04980C00828CE699CB
+:04980D00828EE690D1
+:04980E008290E686D8
+:04980F008291E67DDF
+:049810008293E674E5
+:049811008295E66BEB
+:049812008297E661F2
+:049813008299E658F8
+:04981400829BE64FFE
+:04981500829DE64604
+:04981600829FE63C0B
+:0498170082A1E63311
+:0498180082A2E62A18
+:0498190082A4E6211E
+:04981A0082A6E61824
+:04981B0082A8E60E2B
+:04981C0082AAE60531
+:04981D0082ACE5FC38
+:04981E0082AEE5F33E
+:04981F0082B0E5E945
+:0498200082B2E5E04B
+:0498210082B4E5D751
+:0498220082B6E5CE57
+:0498230082B8E5C45E
+:0498240082B9E5BB65
+:0498250082BBE5B26B
+:0498260082BDE5A971
+:0498270082BFE5A077
+:0498280082C1E5967E
+:0498290082C3E58D84
+:04982A0082C5E5848A
+:04982B0082C7E57B90
+:04982C0082C9E57197
+:04982D0082CBE5689D
+:04982E0082CDE55FA3
+:04982F0082CFE556A9
+:0498300082D1E54DAF
+:0498310082D3E543B6
+:0498320082D5E53ABC
+:0498330082D7E531C2
+:0498340082D9E528C8
+:0498350082DBE51FCE
+:0498360082DDE515D5
+:0498370082DFE50CDB
+:0498380082E1E503E1
+:0498390082E3E4FAE8
+:04983A0082E5E4F0EF
+:04983B0082E7E4E7F5
+:04983C0082E9E4DEFB
+:04983D0082EBE4D501
+:04983E0082EDE4CC07
+:04983F0082EFE4C20E
+:0498400082F1E4B914
+:0498410082F3E4B01A
+:0498420082F5E4A720
+:0498430082F7E49E26
+:0498440082F9E4942D
+:0498450082FBE48B33
+:0498460082FDE48239
+:0498470082FFE4793F
+:049848008301E47044
+:049849008303E4664B
+:04984A008305E45D51
+:04984B008307E45457
+:04984C008309E44B5D
+:04984D00830BE44263
+:04984E00830DE4386A
+:04984F00830FE42F70
+:049850008311E42676
+:049851008313E41D7C
+:049852008315E41482
+:049853008317E40A89
+:049854008319E4018F
+:04985500831BE3F896
+:04985600831EE3EF9B
+:049857008320E3E6A1
+:049858008322E3DCA8
+:049859008324E3D3AE
+:04985A008326E3CAB4
+:04985B008328E3C1BA
+:04985C00832AE3B8C0
+:04985D00832CE3AEC7
+:04985E00832EE3A5CD
+:04985F008330E39CD3
+:049860008332E393D9
+:049861008334E38ADF
+:049862008337E381E4
+:049863008339E377EB
+:04986400833BE36EF1
+:04986500833DE365F7
+:04986600833FE35CFD
+:049867008341E35303
+:049868008343E3490A
+:049869008345E34010
+:04986A008347E33716
+:04986B00834AE32E1B
+:04986C00834CE32521
+:04986D00834EE31B28
+:04986E008350E3122E
+:04986F008352E30934
+:049870008354E3003A
+:049871008356E2F741
+:049872008358E2EE47
+:04987300835BE2E44D
+:04987400835DE2DB53
+:04987500835FE2D259
+:049876008361E2C95F
+:049877008363E2C065
+:049878008365E2B76B
+:049879008367E2AD72
+:04987A00836AE2A477
+:04987B00836CE29B7D
+:04987C00836EE29283
+:04987D008370E28989
+:04987E008372E27F90
+:04987F008374E27696
+:049880008377E26D9B
+:049881008379E264A1
+:04988200837BE25BA7
+:04988300837DE252AD
+:04988400837FE248B4
+:049885008382E23FB9
+:049886008384E236BF
+:049887008386E22DC5
+:049888008388E224CB
+:04988900838AE21BD1
+:04988A00838DE211D7
+:04988B00838FE208DD
+:04988C008391E1FFE4
+:04988D008393E1F6EA
+:04988E008395E1EDF0
+:04988F008398E1E4F5
+:04989000839AE1DBFB
+:04989100839CE1D102
+:04989200839EE1C808
+:0498930083A0E1BF0E
+:0498940083A3E1B613
+:0498950083A5E1AD19
+:0498960083A7E1A41F
+:0498970083A9E19A26
+:0498980083ACE1912B
+:0498990083AEE18831
+:04989A0083B0E17F37
+:04989B0083B2E1763D
+:04989C0083B5E16D42
+:04989D0083B7E16448
+:04989E0083B9E15A4F
+:04989F0083BBE15155
+:0498A00083BEE1485A
+:0498A10083C0E13F60
+:0498A20083C2E13666
+:0498A30083C4E12D6C
+:0498A40083C7E12372
+:0498A50083C9E11A78
+:0498A60083CBE1117E
+:0498A70083CEE10883
+:0498A80083D0E0FF8A
+:0498A90083D2E0F690
+:0498AA0083D4E0ED96
+:0498AB0083D7E0E39C
+:0498AC0083D9E0DAA2
+:0498AD0083DBE0D1A8
+:0498AE0083DEE0C8AD
+:0498AF0083E0E0BFB3
+:0498B00083E2E0B6B9
+:0498B10083E5E0ADBE
+:0498B20083E7E0A3C5
+:0498B30083E9E09ACB
+:0498B40083EBE091D1
+:0498B50083EEE088D6
+:0498B60083F0E07FDC
+:0498B70083F2E076E2
+:0498B80083F5E06DE7
+:0498B90083F7E064ED
+:0498BA0083F9E05AF4
+:0498BB0083FCE051F9
+:0498BC0083FEE048FF
+:0498BD008400E03F04
+:0498BE008403E03609
+:0498BF008405E02D0F
+:0498C0008407E02415
+:0498C100840AE01B1A
+:0498C200840CE01121
+:0498C300840EE00827
+:0498C4008411DFFF2D
+:0498C5008413DFF633
+:0498C6008416DFED38
+:0498C7008418DFE43E
+:0498C800841ADFDB44
+:0498C900841DDFD249
+:0498CA00841FDFC850
+:0498CB008421DFBF56
+:0498CC008424DFB65B
+:0498CD008426DFAD61
+:0498CE008429DFA466
+:0498CF00842BDF9B6C
+:0498D000842DDF9272
+:0498D1008430DF8977
+:0498D2008432DF7F7E
+:0498D3008434DF7684
+:0498D4008437DF6D89
+:0498D5008439DF648F
+:0498D600843CDF5B94
+:0498D700843EDF529A
+:0498D8008440DF49A0
+:0498D9008443DF40A5
+:0498DA008445DF37AB
+:0498DB008448DF2DB1
+:0498DC00844ADF24B7
+:0498DD00844DDF1BBC
+:0498DE00844FDF12C2
+:0498DF008451DF09C8
+:0498E0008454DF00CD
+:0498E1008456DEF7D4
+:0498E2008459DEEED9
+:0498E300845BDEE5DF
+:0498E400845EDEDBE5
+:0498E5008460DED2EB
+:0498E6008462DEC9F1
+:0498E7008465DEC0F6
+:0498E8008467DEB7FC
+:0498E900846ADEAE01
+:0498EA00846CDEA507
+:0498EB00846FDE9C0C
+:0498EC008471DE9312
+:0498ED008474DE8A17
+:0498EE008476DE801E
+:0498EF008479DE7723
+:0498F000847BDE6E29
+:0498F100847EDE652E
+:0498F2008480DE5C34
+:0498F3008482DE533A
+:0498F4008485DE4A3F
+:0498F5008487DE4145
+:0498F600848ADE384A
+:0498F700848CDE2F50
+:0498F800848FDE2655
+:0498F9008491DE1C5C
+:0498FA008494DE1361
+:0498FB008496DE0A67
+:0498FC008499DE016C
+:0498FD00849BDDF873
+:0498FE00849EDDEF78
+:0498FF0084A0DDE67E
+:0499000084A3DDDD82
+:0499010084A5DDD488
+:0499020084A8DDCB8D
+:0499030084AADDC293
+:0499040084ADDDB998
+:0499050084B0DDAF9E
+:0499060084B2DDA6A4
+:0499070084B5DD9DA9
+:0499080084B7DD94AF
+:0499090084BADD8BB4
+:04990A0084BCDD82BA
+:04990B0084BFDD79BF
+:04990C0084C1DD70C5
+:04990D0084C4DD67CA
+:04990E0084C6DD5ED0
+:04990F0084C9DD55D5
+:0499100084CBDD4CDB
+:0499110084CEDD43E0
+:0499120084D1DD3AE5
+:0499130084D3DD30EC
+:0499140084D6DD27F1
+:0499150084D8DD1EF7
+:0499160084DBDD15FC
+:0499170084DDDD0C02
+:0499180084E0DD0307
+:0499190084E3DCFA0D
+:04991A0084E5DCF113
+:04991B0084E8DCE818
+:04991C0084EADCDF1E
+:04991D0084EDDCD623
+:04991E0084EFDCCD29
+:04991F0084F2DCC42E
+:0499200084F5DCBB33
+:0499210084F7DCB239
+:0499220084FADCA83F
+:0499230084FCDC9F45
+:0499240084FFDC964A
+:049925008502DC8D4E
+:049926008504DC8454
+:049927008507DC7B59
+:04992800850ADC725E
+:04992900850CDC6964
+:04992A00850FDC6069
+:04992B008511DC576F
+:04992C008514DC4E74
+:04992D008517DC4579
+:04992E008519DC3C7F
+:04992F00851CDC3384
+:04993000851FDC2A89
+:049931008521DC218F
+:049932008524DC1894
+:049933008526DC0F9A
+:049934008529DC069F
+:04993500852CDBFDA5
+:04993600852EDBF3AC
+:049937008531DBEAB1
+:049938008534DBE1B6
+:049939008536DBD8BC
+:04993A008539DBCFC1
+:04993B00853CDBC6C6
+:04993C00853EDBBDCC
+:04993D008541DBB4D1
+:04993E008544DBABD6
+:04993F008546DBA2DC
+:049940008549DB99E1
+:04994100854CDB90E6
+:04994200854EDB87EC
+:049943008551DB7EF1
+:049944008554DB75F6
+:049945008557DB6CFB
+:049946008559DB6301
+:04994700855CDB5A06
+:04994800855FDB510B
+:049949008561DB4811
+:04994A008564DB3F16
+:04994B008567DB361B
+:04994C008569DB2D21
+:04994D00856CDB2426
+:04994E00856FDB1B2B
+:04994F008572DB1230
+:049950008574DB0936
+:049951008577DB003B
+:04995200857ADAF741
+:04995300857DDAEE46
+:04995400857FDAE54C
+:049955008582DADC51
+:049956008585DAD356
+:049957008587DACA5C
+:04995800858ADAC062
+:04995900858DDAB767
+:04995A008590DAAE6C
+:04995B008592DAA572
+:04995C008595DA9C77
+:04995D008598DA937C
+:04995E00859BDA8A81
+:04995F00859DDA8187
+:0499600085A0DA788C
+:0499610085A3DA6F91
+:0499620085A6DA6696
+:0499630085A9DA5D9B
+:0499640085ABDA54A1
+:0499650085AEDA4BA6
+:0499660085B1DA42AB
+:0499670085B4DA39B0
+:0499680085B6DA30B6
+:0499690085B9DA27BB
+:04996A0085BCDA1EC0
+:04996B0085BFDA15C5
+:04996C0085C2DA0CCA
+:04996D0085C4DA03D0
+:04996E0085C7D9FAD6
+:04996F0085CAD9F1DB
+:0499700085CDD9E8E0
+:0499710085D0D9DFE5
+:0499720085D2D9D6EB
+:0499730085D5D9CDF0
+:0499740085D8D9C4F5
+:0499750085DBD9BBFA
+:0499760085DED9B2FF
+:0499770085E0D9A905
+:0499780085E3D9A00A
+:0499790085E6D9970F
+:04997A0085E9D98E14
+:04997B0085ECD98519
+:04997C0085EFD97C1E
+:04997D0085F1D97324
+:04997E0085F4D96A29
+:04997F0085F7D9612E
+:0499800085FAD95833
+:0499810085FDD94F38
+:049982008600D9473B
+:049983008603D93E40
+:049984008605D93546
+:049985008608D92C4B
+:04998600860BD92350
+:04998700860ED91A55
+:049988008611D9115A
+:049989008614D9085F
+:04998A008617D8FF65
+:04998B008619D8F66B
+:04998C00861CD8ED70
+:04998D00861FD8E475
+:04998E008622D8DB7A
+:04998F008625D8D27F
+:049990008628D8C984
+:04999100862BD8C089
+:04999200862ED8B78E
+:049993008631D8AE93
+:049994008633D8A599
+:049995008636D89C9E
+:049996008639D893A3
+:04999700863CD88AA8
+:04999800863FD881AD
+:049999008642D878B2
+:04999A008645D86FB7
+:04999B008648D866BC
+:04999C00864BD85DC1
+:04999D00864ED854C6
+:04999E008651D84BCB
+:04999F008653D842D1
+:0499A0008656D839D6
+:0499A1008659D830DB
+:0499A200865CD827E0
+:0499A300865FD81FE4
+:0499A4008662D816E9
+:0499A5008665D80DEE
+:0499A6008668D804F3
+:0499A700866BD7FBF9
+:0499A800866ED7F2FE
+:0499A9008671D7E903
+:0499AA008674D7E008
+:0499AB008677D7D70D
+:0499AC00867AD7CE12
+:0499AD00867DD7C517
+:0499AE008680D7BC1C
+:0499AF008683D7B321
+:0499B0008686D7AA26
+:0499B1008689D7A12B
+:0499B200868CD79830
+:0499B300868ED78F36
+:0499B4008691D7863B
+:0499B5008694D77D40
+:0499B6008697D77544
+:0499B700869AD76C49
+:0499B800869DD7634E
+:0499B90086A0D75A53
+:0499BA0086A3D75158
+:0499BB0086A6D7485D
+:0499BC0086A9D73F62
+:0499BD0086ACD73667
+:0499BE0086AFD72D6C
+:0499BF0086B2D72471
+:0499C00086B5D71B76
+:0499C10086B8D7127B
+:0499C20086BBD70980
+:0499C30086BED70085
+:0499C40086C1D6F78B
+:0499C50086C4D6EF8F
+:0499C60086C8D6E693
+:0499C70086CBD6DD98
+:0499C80086CED6D49D
+:0499C90086D1D6CBA2
+:0499CA0086D4D6C2A7
+:0499CB0086D7D6B9AC
+:0499CC0086DAD6B0B1
+:0499CD0086DDD6A7B6
+:0499CE0086E0D69EBB
+:0499CF0086E3D695C0
+:0499D00086E6D68CC5
+:0499D10086E9D684C9
+:0499D20086ECD67BCE
+:0499D30086EFD672D3
+:0499D40086F2D669D8
+:0499D50086F5D660DD
+:0499D60086F8D657E2
+:0499D70086FBD64EE7
+:0499D80086FED645EC
+:0499D9008701D63CF0
+:0499DA008705D633F4
+:0499DB008708D62AF9
+:0499DC00870BD621FE
+:0499DD00870ED61902
+:0499DE008711D61007
+:0499DF008714D6070C
+:0499E0008717D5FE12
+:0499E100871AD5F517
+:0499E200871DD5EC1C
+:0499E3008720D5E321
+:0499E4008723D5DA26
+:0499E5008727D5D12A
+:0499E600872AD5C82F
+:0499E700872DD5C033
+:0499E8008730D5B738
+:0499E9008733D5AE3D
+:0499EA008736D5A542
+:0499EB008739D59C47
+:0499EC00873CD5934C
+:0499ED008740D58A50
+:0499EE008743D58155
+:0499EF008746D5785A
+:0499F0008749D5705E
+:0499F100874CD56763
+:0499F200874FD55E68
+:0499F3008752D5556D
+:0499F4008755D54C72
+:0499F5008759D54376
+:0499F600875CD53A7B
+:0499F700875FD53180
+:0499F8008762D52885
+:0499F9008765D52089
+:0499FA008768D5178E
+:0499FB00876CD50E92
+:0499FC00876FD50597
+:0499FD008772D4FC9D
+:0499FE008775D4F3A2
+:0499FF008778D4EAA7
+:049A0000877BD4E1AB
+:049A0100877FD4D9AE
+:049A02008782D4D0B3
+:049A03008785D4C7B8
+:049A04008788D4BEBD
+:049A0500878BD4B5C2
+:049A0600878ED4ACC7
+:049A07008792D4A3CB
+:049A08008795D49BCF
+:049A09008798D492D4
+:049A0A00879BD489D9
+:049A0B00879ED480DE
+:049A0C0087A2D477E2
+:049A0D0087A5D46EE7
+:049A0E0087A8D465EC
+:049A0F0087ABD45CF1
+:049A100087AED454F5
+:049A110087B2D44BF9
+:049A120087B5D442FE
+:049A130087B8D43903
+:049A140087BBD43008
+:049A150087BFD4270C
+:049A160087C2D41E11
+:049A170087C5D41615
+:049A180087C8D40D1A
+:049A190087CCD4041E
+:049A1A0087CFD3FB24
+:049A1B0087D2D3F229
+:049A1C0087D5D3E92E
+:049A1D0087D9D3E131
+:049A1E0087DCD3D836
+:049A1F0087DFD3CF3B
+:049A200087E2D3C640
+:049A210087E6D3BD44
+:049A220087E9D3B449
+:049A230087ECD3AB4E
+:049A240087EFD3A352
+:049A250087F3D39A56
+:049A260087F6D3915B
+:049A270087F9D38860
+:049A280087FCD37F65
+:049A29008800D37668
+:049A2A008803D36E6C
+:049A2B008806D36571
+:049A2C00880AD35C75
+:049A2D00880DD3537A
+:049A2E008810D34A7F
+:049A2F008813D34184
+:049A30008817D33987
+:049A3100881AD3308C
+:049A3200881DD32791
+:049A33008821D31E95
+:049A34008824D3159A
+:049A35008827D30C9F
+:049A3600882BD304A2
+:049A3700882ED2FBA8
+:049A38008831D2F2AD
+:049A39008834D2E9B2
+:049A3A008838D2E0B6
+:049A3B00883BD2D8BA
+:049A3C00883ED2CFBF
+:049A3D008842D2C6C3
+:049A3E008845D2BDC8
+:049A3F008848D2B4CD
+:049A4000884CD2ABD1
+:049A4100884FD2A3D5
+:049A42008852D29ADA
+:049A43008856D291DE
+:049A44008859D288E3
+:049A4500885CD27FE8
+:049A46008860D277EB
+:049A47008863D26EF0
+:049A48008867D265F4
+:049A4900886AD25CF9
+:049A4A00886DD253FE
+:049A4B008871D24B01
+:049A4C008874D24206
+:049A4D008877D2390B
+:049A4E00887BD2300F
+:049A4F00887ED22714
+:049A50008882D21F17
+:049A51008885D2161C
+:049A52008888D20D21
+:049A5300888CD20425
+:049A5400888FD1FB2B
+:049A55008892D1F32F
+:049A56008896D1EA33
+:049A57008899D1E138
+:049A5800889DD1D83C
+:049A590088A0D1CF41
+:049A5A0088A3D1C745
+:049A5B0088A7D1BE49
+:049A5C0088AAD1B54E
+:049A5D0088AED1AC52
+:049A5E0088B1D1A456
+:049A5F0088B4D19B5B
+:049A600088B8D1925F
+:049A610088BBD18964
+:049A620088BFD18068
+:049A630088C2D1786C
+:049A640088C6D16F70
+:049A650088C9D16675
+:049A660088CCD15D7A
+:049A670088D0D1547E
+:049A680088D3D14C82
+:049A690088D7D14386
+:049A6A0088DAD13A8B
+:049A6B0088DED1318F
+:049A6C0088E1D12993
+:049A6D0088E5D12097
+:049A6E0088E8D1179C
+:049A6F0088EBD10EA1
+:049A700088EFD106A4
+:049A710088F2D0FDAA
+:049A720088F6D0F4AE
+:049A730088F9D0EBB3
+:049A740088FDD0E2B7
+:049A75008900D0DABA
+:049A76008904D0D1BE
+:049A77008907D0C8C3
+:049A7800890BD0BFC7
+:049A7900890ED0B7CB
+:049A7A008912D0AECF
+:049A7B008915D0A5D4
+:049A7C008919D09CD8
+:049A7D00891CD094DC
+:049A7E008920D08BE0
+:049A7F008923D082E5
+:049A80008927D079E9
+:049A8100892AD071ED
+:049A8200892ED068F1
+:049A83008931D05FF6
+:049A84008935D056FA
+:049A85008938D04EFE
+:049A8600893CD04502
+:049A8700893FD03C07
+:049A88008943D0330B
+:049A89008946D02B0F
+:049A8A00894AD02213
+:049A8B00894DD01918
+:049A8C008951D0101C
+:049A8D008954D00820
+:049A8E008958CFFF25
+:049A8F00895BCFF62A
+:049A9000895FCFEE2D
+:049A91008962CFE532
+:049A92008966CFDC36
+:049A9300896ACFD33A
+:049A9400896DCFCB3E
+:049A95008971CFC242
+:049A96008974CFB947
+:049A97008978CFB04B
+:049A9800897BCFA84F
+:049A9900897FCF9F53
+:049A9A008982CF9658
+:049A9B008986CF8E5B
+:049A9C00898ACF855F
+:049A9D00898DCF7C64
+:049A9E008991CF7368
+:049A9F008994CF6B6C
+:049AA0008998CF6270
+:049AA100899BCF5975
+:049AA200899FCF5079
+:049AA30089A3CF487C
+:049AA40089A6CF3F81
+:049AA50089AACF3685
+:049AA60089ADCF2E89
+:049AA70089B1CF258D
+:049AA80089B5CF1C91
+:049AA90089B8CF1495
+:049AAA0089BCCF0B99
+:049AAB0089BFCF029E
+:049AAC0089C3CEF9A3
+:049AAD0089C7CEF1A6
+:049AAE0089CACEE8AB
+:049AAF0089CECEDFAF
+:049AB00089D1CED7B3
+:049AB10089D5CECEB7
+:049AB20089D9CEC5BB
+:049AB30089DCCEBCC0
+:049AB40089E0CEB4C3
+:049AB50089E4CEABC7
+:049AB60089E7CEA2CC
+:049AB70089EBCE9ACF
+:049AB80089EECE91D4
+:049AB90089F2CE88D8
+:049ABA0089F6CE80DB
+:049ABB0089F9CE77E0
+:049ABC0089FDCE6EE4
+:049ABD008A01CE66E6
+:049ABE008A04CE5DEB
+:049ABF008A08CE54EF
+:049AC0008A0CCE4BF3
+:049AC1008A0FCE43F7
+:049AC2008A13CE3AFB
+:049AC3008A17CE31FF
+:049AC4008A1ACE2903
+:049AC5008A1ECE2007
+:049AC6008A22CE170B
+:049AC7008A25CE0F0F
+:049AC8008A29CE0613
+:049AC9008A2DCDFD18
+:049ACA008A30CDF51C
+:049ACB008A34CDEC20
+:049ACC008A38CDE324
+:049ACD008A3BCDDB28
+:049ACE008A3FCDD22C
+:049ACF008A43CDC930
+:049AD0008A47CDC133
+:049AD1008A4ACDB838
+:049AD2008A4ECDAF3C
+:049AD3008A52CDA73F
+:049AD4008A55CD9E44
+:049AD5008A59CD9548
+:049AD6008A5DCD8D4B
+:049AD7008A61CD844F
+:049AD8008A64CD7B54
+:049AD9008A68CD7357
+:049ADA008A6CCD6A5B
+:049ADB008A6FCD6160
+:049ADC008A73CD5963
+:049ADD008A77CD5067
+:049ADE008A7BCD476B
+:049ADF008A7ECD3F6F
+:049AE0008A82CD3673
+:049AE1008A86CD2D77
+:049AE2008A8ACD257A
+:049AE3008A8DCD1C7F
+:049AE4008A91CD1482
+:049AE5008A95CD0B86
+:049AE6008A99CD028A
+:049AE7008A9CCCFA8F
+:049AE8008AA0CCF193
+:049AE9008AA4CCE897
+:049AEA008AA8CCE09A
+:049AEB008AABCCD79F
+:049AEC008AAFCCCEA3
+:049AED008AB3CCC6A6
+:049AEE008AB7CCBDAA
+:049AEF008ABACCB4AF
+:049AF0008ABECCACB2
+:049AF1008AC2CCA3B6
+:049AF2008AC6CC9BB9
+:049AF3008ACACC92BD
+:049AF4008ACDCC89C2
+:049AF5008AD1CC81C5
+:049AF6008AD5CC78C9
+:049AF7008AD9CC6FCD
+:049AF8008ADDCC67D0
+:049AF9008AE0CC5ED5
+:049AFA008AE4CC56D8
+:049AFB008AE8CC4DDC
+:049AFC008AECCC44E0
+:049AFD008AF0CC3CE3
+:049AFE008AF3CC33E8
+:049AFF008AF7CC2AEC
+:049B00008AFBCC22EE
+:049B01008AFFCC19F2
+:049B02008B03CC11F4
+:049B03008B06CC08F9
+:049B04008B0ACBFFFE
+:049B05008B0ECBF701
+:049B06008B12CBEE05
+:049B07008B16CBE608
+:049B08008B1ACBDD0C
+:049B09008B1DCBD411
+:049B0A008B21CBCC14
+:049B0B008B25CBC318
+:049B0C008B29CBBB1B
+:049B0D008B2DCBB21F
+:049B0E008B31CBA923
+:049B0F008B35CBA126
+:049B10008B38CB982B
+:049B11008B3CCB902E
+:049B12008B40CB8732
+:049B13008B44CB7E36
+:049B14008B48CB7639
+:049B15008B4CCB6D3D
+:049B16008B50CB6540
+:049B17008B53CB5C45
+:049B18008B57CB5349
+:049B19008B5BCB4B4C
+:049B1A008B5FCB4250
+:049B1B008B63CB3A53
+:049B1C008B67CB3157
+:049B1D008B6BCB285B
+:049B1E008B6FCB205E
+:049B1F008B73CB1762
+:049B20008B76CB0F66
+:049B21008B7ACB066A
+:049B22008B7ECAFE6E
+:049B23008B82CAF572
+:049B24008B86CAEC76
+:049B25008B8ACAE479
+:049B26008B8ECADB7D
+:049B27008B92CAD380
+:049B28008B96CACA84
+:049B29008B9ACAC287
+:049B2A008B9ECAB98B
+:049B2B008BA2CAB08F
+:049B2C008BA5CAA893
+:049B2D008BA9CA9F97
+:049B2E008BADCA979A
+:049B2F008BB1CA8E9E
+:049B30008BB5CA86A1
+:049B31008BB9CA7DA5
+:049B32008BBDCA74A9
+:049B33008BC1CA6CAC
+:049B34008BC5CA63B0
+:049B35008BC9CA5BB3
+:049B36008BCDCA52B7
+:049B37008BD1CA4ABA
+:049B38008BD5CA41BE
+:049B39008BD9CA39C1
+:049B3A008BDDCA30C5
+:049B3B008BE1CA27C9
+:049B3C008BE5CA1FCC
+:049B3D008BE9CA16D0
+:049B3E008BEDCA0ED3
+:049B3F008BF1CA05D7
+:049B40008BF4C9FDDC
+:049B41008BF8C9F4E0
+:049B42008BFCC9ECE3
+:049B43008C00C9E3E6
+:049B44008C04C9DBE9
+:049B45008C08C9D2ED
+:049B46008C0CC9C9F1
+:049B47008C10C9C1F4
+:049B48008C14C9B8F8
+:049B49008C18C9B0FB
+:049B4A008C1CC9A7FF
+:049B4B008C20C99F02
+:049B4C008C24C99606
+:049B4D008C28C98E09
+:049B4E008C2CC9850D
+:049B4F008C30C97D10
+:049B50008C34C97414
+:049B51008C38C96C17
+:049B52008C3CC9631B
+:049B53008C40C95B1E
+:049B54008C45C95221
+:049B55008C49C94A24
+:049B56008C4DC94128
+:049B57008C51C9392B
+:049B58008C55C9302F
+:049B59008C59C92733
+:049B5A008C5DC91F36
+:049B5B008C61C9163A
+:049B5C008C65C90E3D
+:049B5D008C69C90541
+:049B5E008C6DC8FD45
+:049B5F008C71C8F449
+:049B60008C75C8EC4C
+:049B61008C79C8E350
+:049B62008C7DC8DB53
+:049B63008C81C8D257
+:049B64008C85C8CA5A
+:049B65008C89C8C15E
+:049B66008C8DC8B961
+:049B67008C91C8B065
+:049B68008C96C8A867
+:049B69008C9AC89F6B
+:049B6A008C9EC8976E
+:049B6B008CA2C88E72
+:049B6C008CA6C88675
+:049B6D008CAAC87D79
+:049B6E008CAEC8757C
+:049B6F008CB2C86C80
+:049B70008CB6C86483
+:049B71008CBAC85B87
+:049B72008CBEC8538A
+:049B73008CC3C84B8C
+:049B74008CC7C84290
+:049B75008CCBC83A93
+:049B76008CCFC83197
+:049B77008CD3C8299A
+:049B78008CD7C8209E
+:049B79008CDBC818A1
+:049B7A008CDFC80FA5
+:049B7B008CE3C807A8
+:049B7C008CE8C7FEAC
+:049B7D008CECC7F6AF
+:049B7E008CF0C7EDB3
+:049B7F008CF4C7E5B6
+:049B80008CF8C7DCBA
+:049B81008CFCC7D4BD
+:049B82008D00C7CBC0
+:049B83008D04C7C3C3
+:049B84008D09C7BAC6
+:049B85008D0DC7B2C9
+:049B86008D11C7AACC
+:049B87008D15C7A1D0
+:049B88008D19C799D3
+:049B89008D1DC790D7
+:049B8A008D21C788DA
+:049B8B008D26C77FDD
+:049B8C008D2AC777E0
+:049B8D008D2EC76EE4
+:049B8E008D32C766E7
+:049B8F008D36C75DEB
+:049B90008D3AC755EE
+:049B91008D3FC74CF1
+:049B92008D43C744F4
+:049B93008D47C73CF7
+:049B94008D4BC733FB
+:049B95008D4FC72BFE
+:049B96008D54C72201
+:049B97008D58C71A04
+:049B98008D5CC71108
+:049B99008D60C7090B
+:049B9A008D64C7010E
+:049B9B008D69C6F812
+:049B9C008D6DC6F015
+:049B9D008D71C6E719
+:049B9E008D75C6DF1C
+:049B9F008D79C6D620
+:049BA0008D7EC6CE22
+:049BA1008D82C6C526
+:049BA2008D86C6BD29
+:049BA3008D8AC6B52C
+:049BA4008D8EC6AC30
+:049BA5008D93C6A432
+:049BA6008D97C69B36
+:049BA7008D9BC69339
+:049BA8008D9FC68A3D
+:049BA9008DA4C6823F
+:049BAA008DA8C67A42
+:049BAB008DACC67146
+:049BAC008DB0C66949
+:049BAD008DB5C6604C
+:049BAE008DB9C6584F
+:049BAF008DBDC65052
+:049BB0008DC1C64756
+:049BB1008DC5C63F59
+:049BB2008DCAC6365C
+:049BB3008DCEC62E5F
+:049BB4008DD2C62662
+:049BB5008DD7C61D65
+:049BB6008DDBC61568
+:049BB7008DDFC60C6C
+:049BB8008DE3C6046F
+:049BB9008DE8C5FC72
+:049BBA008DECC5F376
+:049BBB008DF0C5EB79
+:049BBC008DF4C5E27D
+:049BBD008DF9C5DA7F
+:049BBE008DFDC5D282
+:049BBF008E01C5C985
+:049BC0008E06C5C187
+:049BC1008E0AC5B88B
+:049BC2008E0EC5B08E
+:049BC3008E12C5A891
+:049BC4008E17C59F94
+:049BC5008E1BC59797
+:049BC6008E1FC58E9B
+:049BC7008E24C5869D
+:049BC8008E28C57EA0
+:049BC9008E2CC575A4
+:049BCA008E31C56DA6
+:049BCB008E35C564AA
+:049BCC008E39C55CAD
+:049BCD008E3EC554AF
+:049BCE008E42C54BB3
+:049BCF008E46C543B6
+:049BD0008E4AC53BB9
+:049BD1008E4FC532BC
+:049BD2008E53C52ABF
+:049BD3008E57C522C2
+:049BD4008E5CC519C5
+:049BD5008E60C511C8
+:049BD6008E64C508CC
+:049BD7008E69C500CE
+:049BD8008E6DC4F8D2
+:049BD9008E72C4EFD5
+:049BDA008E76C4E7D8
+:049BDB008E7AC4DFDB
+:049BDC008E7FC4D6DE
+:049BDD008E83C4CEE1
+:049BDE008E87C4C6E4
+:049BDF008E8CC4BDE7
+:049BE0008E90C4B5EA
+:049BE1008E94C4ACEE
+:049BE2008E99C4A4F0
+:049BE3008E9DC49CF3
+:049BE4008EA2C493F6
+:049BE5008EA6C48BF9
+:049BE6008EAAC483FC
+:049BE7008EAFC47AFF
+:049BE8008EB3C47202
+:049BE9008EB7C46A05
+:049BEA008EBCC46108
+:049BEB008EC0C4590B
+:049BEC008EC5C4510D
+:049BED008EC9C44811
+:049BEE008ECDC44014
+:049BEF008ED2C43816
+:049BF0008ED6C42F1A
+:049BF1008EDBC4271C
+:049BF2008EDFC41F1F
+:049BF3008EE3C41623
+:049BF4008EE8C40E25
+:049BF5008EECC40628
+:049BF6008EF1C3FD2C
+:049BF7008EF5C3F52F
+:049BF8008EFAC3ED31
+:049BF9008EFEC3E435
+:049BFA008F02C3DC37
+:049BFB008F07C3D439
+:049BFC008F0BC3CB3D
+:049BFD008F10C3C33F
+:049BFE008F14C3BB42
+:049BFF008F19C3B344
+:049C00008F1DC3AA47
+:049C01008F21C3A24A
+:049C02008F26C39A4C
+:049C03008F2AC39150
+:049C04008F2FC38952
+:049C05008F33C38155
+:049C06008F38C37858
+:049C07008F3CC3705B
+:049C08008F41C3685D
+:049C09008F45C35F61
+:049C0A008F4AC35763
+:049C0B008F4EC34F66
+:049C0C008F52C34769
+:049C0D008F57C33E6C
+:049C0E008F5BC3366F
+:049C0F008F60C32E71
+:049C10008F64C32575
+:049C11008F69C31D77
+:049C12008F6DC3157A
+:049C13008F72C30D7C
+:049C14008F76C30480
+:049C15008F7BC2FC83
+:049C16008F7FC2F486
+:049C17008F84C2EB89
+:049C18008F88C2E38C
+:049C19008F8DC2DB8E
+:049C1A008F91C2D391
+:049C1B008F96C2CA94
+:049C1C008F9AC2C297
+:049C1D008F9FC2BA99
+:049C1E008FA3C2B19D
+:049C1F008FA8C2A99F
+:049C20008FACC2A1A2
+:049C21008FB1C299A4
+:049C22008FB5C290A8
+:049C23008FBAC288AA
+:049C24008FBEC280AD
+:049C25008FC3C278AF
+:049C26008FC8C26FB2
+:049C27008FCCC267B5
+:049C28008FD1C25FB7
+:049C29008FD5C257BA
+:049C2A008FDAC24EBD
+:049C2B008FDEC246C0
+:049C2C008FE3C23EC2
+:049C2D008FE7C236C5
+:049C2E008FECC22DC8
+:049C2F008FF0C225CB
+:049C30008FF5C21DCD
+:049C31008FFAC215CF
+:049C32008FFEC20CD3
+:049C33009003C204D4
+:049C34009007C1FCD8
+:049C3500900CC1F4DA
+:049C36009010C1EBDE
+:049C37009015C1E3E0
+:049C38009019C1DBE3
+:049C3900901EC1D3E5
+:049C3A009023C1CAE8
+:049C3B009027C1C2EB
+:049C3C00902CC1BAED
+:049C3D009030C1B2F0
+:049C3E009035C1A9F3
+:049C3F00903AC1A1F5
+:049C4000903EC199F8
+:049C41009043C191FA
+:049C42009047C188FE
+:049C4300904CC18000
+:049C44009051C17802
+:049C45009055C17005
+:049C4600905AC16807
+:049C4700905EC15F0B
+:049C48009063C1570D
+:049C49009068C14F0F
+:049C4A00906CC14712
+:049C4B009071C13F14
+:049C4C009075C13618
+:049C4D00907AC12E1A
+:049C4E00907FC1261C
+:049C4F009083C11E1F
+:049C50009088C11522
+:049C5100908DC10D24
+:049C52009091C10527
+:049C53009096C0FD2A
+:049C5400909BC0F52C
+:049C5500909FC0EC30
+:049C560090A4C0E432
+:049C570090A8C0DC35
+:049C580090ADC0D437
+:049C590090B2C0CC39
+:049C5A0090B6C0C33D
+:049C5B0090BBC0BB3F
+:049C5C0090C0C0B341
+:049C5D0090C4C0AB44
+:049C5E0090C9C0A346
+:049C5F0090CEC09A49
+:049C600090D2C0924C
+:049C610090D7C08A4E
+:049C620090DCC08250
+:049C630090E0C07A53
+:049C640090E5C07255
+:049C650090EAC06958
+:049C660090EEC0615B
+:049C670090F3C0595D
+:049C680090F8C0515F
+:049C690090FDC04961
+:049C6A009101C04163
+:049C6B009106C03866
+:049C6C00910BC03068
+:049C6D00910FC0286B
+:049C6E009114C0206D
+:049C6F009119C0186F
+:049C7000911DC01072
+:049C71009122C00775
+:049C72009127BFFE79
+:049C7300912CBFF67B
+:049C74009130BFEE7E
+:049C75009135BFE680
+:049C7600913ABFDE82
+:049C7700913EBFD586
+:049C78009143BFCD88
+:049C79009148BFC58A
+:049C7A00914DBFBD8C
+:049C7B009151BFB58F
+:049C7C009156BFAD91
+:049C7D00915BBFA494
+:049C7E009160BF9C96
+:049C7F009164BF9499
+:049C80009169BF8C9B
+:049C8100916EBF849D
+:049C82009173BF7C9F
+:049C83009177BF74A2
+:049C8400917CBF6CA4
+:049C85009181BF63A7
+:049C86009186BF5BA9
+:049C8700918ABF53AC
+:049C8800918FBF4BAE
+:049C89009194BF43B0
+:049C8A009199BF3BB2
+:049C8B00919DBF33B5
+:049C8C0091A2BF2AB8
+:049C8D0091A7BF22BA
+:049C8E0091ACBF1ABC
+:049C8F0091B1BF12BE
+:049C900091B5BF0AC1
+:049C910091BABF02C3
+:049C920091BFBEFAC6
+:049C930091C4BEF2C8
+:049C940091C8BEE9CC
+:049C950091CDBEE1CE
+:049C960091D2BED9D0
+:049C970091D7BED1D2
+:049C980091DCBEC9D4
+:049C990091E0BEC1D7
+:049C9A0091E5BEB9D9
+:049C9B0091EABEB1DB
+:049C9C0091EFBEA9DD
+:049C9D0091F4BEA1DF
+:049C9E0091F8BE98E3
+:049C9F0091FDBE90E5
+:049CA0009202BE88E6
+:049CA1009207BE80E8
+:049CA200920CBE78EA
+:049CA3009211BE70EC
+:049CA4009215BE68EF
+:049CA500921ABE60F1
+:049CA600921FBE58F3
+:049CA7009224BE50F5
+:049CA8009229BE47F8
+:049CA900922EBE3FFA
+:049CAA009232BE37FD
+:049CAB009237BE2FFF
+:049CAC00923CBE2701
+:049CAD009241BE1F03
+:049CAE009246BE1705
+:049CAF00924BBE0F07
+:049CB0009250BE0709
+:049CB1009254BDFF0D
+:049CB2009259BDF70F
+:049CB300925EBDEF11
+:049CB4009263BDE713
+:049CB5009268BDDE16
+:049CB600926DBDD618
+:049CB7009272BDCE1A
+:049CB8009276BDC61D
+:049CB900927BBDBE1F
+:049CBA009280BDB621
+:049CBB009285BDAE23
+:049CBC00928ABDA625
+:049CBD00928FBD9E27
+:049CBE009294BD9629
+:049CBF009299BD8E2B
+:049CC000929EBD862D
+:049CC10092A2BD7E30
+:049CC20092A7BD7632
+:049CC30092ACBD6E34
+:049CC40092B1BD6636
+:049CC50092B6BD5E38
+:049CC60092BBBD553B
+:049CC70092C0BD4D3D
+:049CC80092C5BD453F
+:049CC90092CABD3D41
+:049CCA0092CFBD3543
+:049CCB0092D4BD2D45
+:049CCC0092D8BD2548
+:049CCD0092DDBD1D4A
+:049CCE0092E2BD154C
+:049CCF0092E7BD0D4E
+:049CD00092ECBD0550
+:049CD10092F1BCFD53
+:049CD20092F6BCF555
+:049CD30092FBBCED57
+:049CD4009300BCE558
+:049CD5009305BCDD5A
+:049CD600930ABCD55C
+:049CD700930FBCCD5E
+:049CD8009314BCC560
+:049CD9009319BCBD62
+:049CDA00931EBCB564
+:049CDB009323BCAD66
+:049CDC009328BCA568
+:049CDD00932CBC9D6B
+:049CDE009331BC956D
+:049CDF009336BC8D6F
+:049CE000933BBC8571
+:049CE1009340BC7D73
+:049CE2009345BC7575
+:049CE300934ABC6D77
+:049CE400934FBC6579
+:049CE5009354BC5D7B
+:049CE6009359BC557D
+:049CE700935EBC4D7F
+:049CE8009363BC4581
+:049CE9009368BC3D83
+:049CEA00936DBC3585
+:049CEB009372BC2D87
+:049CEC009377BC2589
+:049CED00937CBC1D8B
+:049CEE009381BC158D
+:049CEF009386BC0D8F
+:049CF000938BBC0591
+:049CF1009390BBFD94
+:049CF2009395BBF596
+:049CF300939ABBED98
+:049CF400939FBBE59A
+:049CF50093A4BBDD9C
+:049CF60093A9BBD59E
+:049CF70093AEBBCDA0
+:049CF80093B3BBC5A2
+:049CF90093B8BBBDA4
+:049CFA0093BDBBB5A6
+:049CFB0093C2BBADA8
+:049CFC0093C7BBA5AA
+:049CFD0093CCBB9DAC
+:049CFE0093D1BB95AE
+:049CFF0093D6BB8DB0
+:049D000093DCBB85B0
+:049D010093E1BB7DB2
+:049D020093E6BB75B4
+:049D030093EBBB6DB6
+:049D040093F0BB65B8
+:049D050093F5BB5DBA
+:049D060093FABB56BB
+:049D070093FFBB4EBD
+:049D08009404BB46BE
+:049D09009409BB3EC0
+:049D0A00940EBB36C2
+:049D0B009413BB2EC4
+:049D0C009418BB26C6
+:049D0D00941DBB1EC8
+:049D0E009422BB16CA
+:049D0F009427BB0ECC
+:049D1000942CBB06CE
+:049D11009432BAFED0
+:049D12009437BAF6D2
+:049D1300943CBAEED4
+:049D14009441BAE6D6
+:049D15009446BADED8
+:049D1600944BBAD6DA
+:049D17009450BACFDB
+:049D18009455BAC7DD
+:049D1900945ABABFDF
+:049D1A00945FBAB7E1
+:049D1B009464BAAFE3
+:049D1C00946ABAA7E4
+:049D1D00946FBA9FE6
+:049D1E009474BA97E8
+:049D1F009479BA8FEA
+:049D2000947EBA87EC
+:049D21009483BA7FEE
+:049D22009488BA77F0
+:049D2300948DBA6FF2
+:049D24009493BA68F2
+:049D25009498BA60F4
+:049D2600949DBA58F6
+:049D270094A2BA50F8
+:049D280094A7BA48FA
+:049D290094ACBA40FC
+:049D2A0094B1BA38FE
+:049D2B0094B6BA3000
+:049D2C0094BCBA2801
+:049D2D0094C1BA2003
+:049D2E0094C6BA1904
+:049D2F0094CBBA1106
+:049D300094D0BA0908
+:049D310094D5BA010A
+:049D320094DAB9F90D
+:049D330094E0B9F10E
+:049D340094E5B9E910
+:049D350094EAB9E112
+:049D360094EFB9D914
+:049D370094F4B9D215
+:049D380094F9B9CA17
+:049D390094FFB9C218
+:049D3A009504B9BA19
+:049D3B009509B9B21B
+:049D3C00950EB9AA1D
+:049D3D009513B9A21F
+:049D3E009519B99A20
+:049D3F00951EB99321
+:049D40009523B98B23
+:049D41009528B98325
+:049D4200952DB97B27
+:049D43009532B97329
+:049D44009538B96B2A
+:049D4500953DB9632C
+:049D46009542B95C2D
+:049D47009547B9542F
+:049D4800954CB94C31
+:049D49009552B94432
+:049D4A009557B93C34
+:049D4B00955CB93436
+:049D4C009561B92C38
+:049D4D009567B92538
+:049D4E00956CB91D3A
+:049D4F009571B9153C
+:049D50009576B90D3E
+:049D5100957BB90540
+:049D52009581B8FD42
+:049D53009586B8F544
+:049D5400958BB8EE45
+:049D55009590B8E647
+:049D56009596B8DE48
+:049D5700959BB8D64A
+:049D580095A0B8CE4C
+:049D590095A5B8C64E
+:049D5A0095ABB8BF4E
+:049D5B0095B0B8B750
+:049D5C0095B5B8AF52
+:049D5D0095BAB8A754
+:049D5E0095C0B89F55
+:049D5F0095C5B89856
+:049D600095CAB89058
+:049D610095CFB8885A
+:049D620095D5B8805B
+:049D630095DAB8785D
+:049D640095DFB8705F
+:049D650095E4B86960
+:049D660095EAB86161
+:049D670095EFB85963
+:049D680095F4B85165
+:049D690095F9B84967
+:049D6A0095FFB84267
+:049D6B009604B83A68
+:049D6C009609B8326A
+:049D6D00960FB82A6B
+:049D6E009614B8226D
+:049D6F009619B81B6E
+:049D7000961EB81370
+:049D71009624B80B71
+:049D72009629B80373
+:049D7300962EB7FB76
+:049D74009634B7F476
+:049D75009639B7EC78
+:049D7600963EB7E47A
+:049D77009644B7DC7B
+:049D78009649B7D47D
+:049D7900964EB7CD7E
+:049D7A009654B7C57F
+:049D7B009659B7BD81
+:049D7C00965EB7B583
+:049D7D009664B7AE83
+:049D7E009669B7A685
+:049D7F00966EB79E87
+:049D80009674B79688
+:049D81009679B78E8A
+:049D8200967EB7878B
+:049D83009684B77F8C
+:049D84009689B7778E
+:049D8500968EB76F90
+:049D86009694B76890
+:049D87009699B76092
+:049D8800969EB75894
+:049D890096A4B75095
+:049D8A0096A9B74996
+:049D8B0096AEB74198
+:049D8C0096B4B73999
+:049D8D0096B9B7319B
+:049D8E0096BEB72A9C
+:049D8F0096C4B7229D
+:049D900096C9B71A9F
+:049D910096CEB712A1
+:049D920096D4B70BA1
+:049D930096D9B703A3
+:049D940096DFB6FBA5
+:049D950096E4B6F3A7
+:049D960096E9B6ECA8
+:049D970096EFB6E4A9
+:049D980096F4B6DCAB
+:049D990096F9B6D4AD
+:049D9A0096FFB6CDAD
+:049D9B009704B6C5AE
+:049D9C00970AB6BDAF
+:049D9D00970FB6B6B0
+:049D9E009714B6AEB2
+:049D9F00971AB6A6B3
+:049DA000971FB69EB5
+:049DA1009725B697B5
+:049DA200972AB68FB7
+:049DA300972FB687B9
+:049DA4009735B67FBA
+:049DA500973AB678BB
+:049DA6009740B670BC
+:049DA7009745B668BE
+:049DA800974BB661BE
+:049DA9009750B659C0
+:049DAA009755B651C2
+:049DAB00975BB64AC2
+:049DAC009760B642C4
+:049DAD009766B63AC5
+:049DAE00976BB632C7
+:049DAF009771B62BC7
+:049DB0009776B623C9
+:049DB100977BB61BCB
+:049DB2009781B614CB
+:049DB3009786B60CCD
+:049DB400978CB604CE
+:049DB5009791B5FDD0
+:049DB6009797B5F5D1
+:049DB700979CB5EDD3
+:049DB80097A2B5E5D4
+:049DB90097A7B5DED5
+:049DBA0097ACB5D6D7
+:049DBB0097B2B5CED8
+:049DBC0097B7B5C7D9
+:049DBD0097BDB5BFDA
+:049DBE0097C2B5B7DC
+:049DBF0097C8B5B0DC
+:049DC00097CDB5A8DE
+:049DC10097D3B5A0DF
+:049DC20097D8B599E0
+:049DC30097DEB591E1
+:049DC40097E3B589E3
+:049DC50097E9B582E3
+:049DC60097EEB57AE5
+:049DC70097F4B572E6
+:049DC80097F9B56BE7
+:049DC90097FFB563E8
+:049DCA009804B55BE9
+:049DCB00980AB554E9
+:049DCC00980FB54CEB
+:049DCD009815B544EC
+:049DCE00981AB53DED
+:049DCF009820B535EE
+:049DD0009825B52EEF
+:049DD100982BB526F0
+:049DD2009830B51EF2
+:049DD3009836B517F2
+:049DD400983BB50FF4
+:049DD5009841B507F5
+:049DD6009846B500F6
+:049DD700984CB4F8F8
+:049DD8009851B4F0FA
+:049DD9009857B4E9FA
+:049DDA00985CB4E1FC
+:049DDB009862B4D9FD
+:049DDC009867B4D2FE
+:049DDD00986DB4CAFF
+:049DDE009872B4C300
+:049DDF009878B4BB01
+:049DE000987EB4B302
+:049DE1009883B4AC03
+:049DE2009889B4A404
+:049DE300988EB49D05
+:049DE4009894B49506
+:049DE5009899B48D08
+:049DE600989FB48608
+:049DE70098A4B47E0A
+:049DE80098AAB4760B
+:049DE90098B0B46F0B
+:049DEA0098B5B4670D
+:049DEB0098BBB4600D
+:049DEC0098C0B4580F
+:049DED0098C6B45010
+:049DEE0098CBB44911
+:049DEF0098D1B44112
+:049DF00098D7B43A12
+:049DF10098DCB43214
+:049DF20098E2B42A15
+:049DF30098E7B42316
+:049DF40098EDB41B17
+:049DF50098F2B41418
+:049DF60098F8B40C19
+:049DF70098FEB40519
+:049DF8009903B3FD1B
+:049DF9009909B3F51C
+:049DFA00990EB3EE1D
+:049DFB009914B3E61E
+:049DFC00991AB3DF1E
+:049DFD00991FB3D720
+:049DFE009925B3CF21
+:049DFF00992AB3C822
+:049E00009930B3C022
+:049E01009936B3B922
+:049E0200993BB3B124
+:049E03009941B3AA24
+:049E04009947B3A225
+:049E0500994CB39A27
+:049E06009952B39327
+:049E07009957B38B29
+:049E0800995DB38429
+:049E09009963B37C2A
+:049E0A009968B3752B
+:049E0B00996EB36D2C
+:049E0C009974B3662C
+:049E0D009979B35E2E
+:049E0E00997FB3572E
+:049E0F009985B34F2F
+:049E1000998AB34731
+:049E11009990B34031
+:049E12009996B33832
+:049E1300999BB33133
+:049E140099A1B32934
+:049E150099A6B32235
+:049E160099ACB31A36
+:049E170099B2B31336
+:049E180099B7B30B38
+:049E190099BDB30438
+:049E1A0099C3B2FC3A
+:049E1B0099C8B2F53B
+:049E1C0099CEB2ED3C
+:049E1D0099D4B2E53D
+:049E1E0099D9B2DE3E
+:049E1F0099DFB2D63F
+:049E200099E5B2CF3F
+:049E210099EBB2C740
+:049E220099F0B2C041
+:049E230099F6B2B842
+:049E240099FCB2B142
+:049E25009A01B2A943
+:049E26009A07B2A243
+:049E27009A0DB29A44
+:049E28009A12B29345
+:049E29009A18B28B46
+:049E2A009A1EB28446
+:049E2B009A24B27C47
+:049E2C009A29B27548
+:049E2D009A2FB26D49
+:049E2E009A35B26649
+:049E2F009A3AB25E4B
+:049E30009A40B2574B
+:049E31009A46B24F4C
+:049E32009A4CB2484C
+:049E33009A51B2404E
+:049E34009A57B2394E
+:049E35009A5DB2314F
+:049E36009A62B22A50
+:049E37009A68B22251
+:049E38009A6EB21B51
+:049E39009A74B21352
+:049E3A009A79B20C53
+:049E3B009A7FB20553
+:049E3C009A85B1FD55
+:049E3D009A8BB1F655
+:049E3E009A90B1EE57
+:049E3F009A96B1E757
+:049E40009A9CB1DF58
+:049E41009AA2B1D858
+:049E42009AA7B1D05A
+:049E43009AADB1C95A
+:049E44009AB3B1C15B
+:049E45009AB9B1BA5B
+:049E46009ABEB1B25D
+:049E47009AC4B1AB5D
+:049E48009ACAB1A45D
+:049E49009AD0B19C5E
+:049E4A009AD5B1955F
+:049E4B009ADBB18D60
+:049E4C009AE1B18660
+:049E4D009AE7B17E61
+:049E4E009AEDB17761
+:049E4F009AF2B16F63
+:049E50009AF8B16863
+:049E51009AFEB16163
+:049E52009B04B15963
+:049E53009B0AB15263
+:049E54009B0FB14A65
+:049E55009B15B14365
+:049E56009B1BB13B66
+:049E57009B21B13466
+:049E58009B27B12D66
+:049E59009B2CB12568
+:049E5A009B32B11E68
+:049E5B009B38B11669
+:049E5C009B3EB10F69
+:049E5D009B44B1076A
+:049E5E009B49B1006B
+:049E5F009B4FB0F96C
+:049E60009B55B0F16D
+:049E61009B5BB0EA6D
+:049E62009B61B0E26E
+:049E63009B66B0DB6F
+:049E64009B6CB0D46F
+:049E65009B72B0CC70
+:049E66009B78B0C570
+:049E67009B7EB0BD71
+:049E68009B84B0B671
+:049E69009B89B0AF72
+:049E6A009B8FB0A773
+:049E6B009B95B0A073
+:049E6C009B9BB09874
+:049E6D009BA1B09174
+:049E6E009BA7B08A74
+:049E6F009BADB08275
+:049E70009BB2B07B76
+:049E71009BB8B07377
+:049E72009BBEB06C77
+:049E73009BC4B06577
+:049E74009BCAB05D78
+:049E75009BD0B05678
+:049E76009BD6B04F78
+:049E77009BDBB0477A
+:049E78009BE1B0407A
+:049E79009BE7B0387B
+:049E7A009BEDB0317B
+:049E7B009BF3B02A7B
+:049E7C009BF9B0227C
+:049E7D009BFFB01B7C
+:049E7E009C05B0147B
+:049E7F009C0AB00C7D
+:049E80009C10B0057D
+:049E81009C16AFFD7F
+:049E82009C1CAFF67F
+:049E83009C22AFEF7F
+:049E84009C28AFE780
+:049E85009C2EAFE080
+:049E86009C34AFD980
+:049E87009C3AAFD181
+:049E88009C40AFCA81
+:049E89009C45AFC382
+:049E8A009C4BAFBB83
+:049E8B009C51AFB483
+:049E8C009C57AFAD83
+:049E8D009C5DAFA584
+:049E8E009C63AF9E84
+:049E8F009C69AF9784
+:049E90009C6FAF8F85
+:049E91009C75AF8885
+:049E92009C7BAF8185
+:049E93009C81AF7986
+:049E94009C87AF7286
+:049E95009C8CAF6B87
+:049E96009C92AF6388
+:049E97009C98AF5C88
+:049E98009C9EAF5588
+:049E99009CA4AF4D89
+:049E9A009CAAAF4689
+:049E9B009CB0AF3F89
+:049E9C009CB6AF3889
+:049E9D009CBCAF308A
+:049E9E009CC2AF298A
+:049E9F009CC8AF228A
+:049EA0009CCEAF1A8B
+:049EA1009CD4AF138B
+:049EA2009CDAAF0C8B
+:049EA3009CE0AF048C
+:049EA4009CE6AEFD8D
+:049EA5009CECAEF68D
+:049EA6009CF2AEEE8E
+:049EA7009CF8AEE78E
+:049EA8009CFEAEE08E
+:049EA9009D04AED98D
+:049EAA009D0AAED18E
+:049EAB009D10AECA8E
+:049EAC009D16AEC38E
+:049EAD009D1BAEBB90
+:049EAE009D21AEB490
+:049EAF009D27AEAD90
+:049EB0009D2DAEA690
+:049EB1009D33AE9E91
+:049EB2009D39AE9791
+:049EB3009D3FAE9091
+:049EB4009D45AE8991
+:049EB5009D4BAE8192
+:049EB6009D51AE7A92
+:049EB7009D57AE7392
+:049EB8009D5DAE6B93
+:049EB9009D63AE6493
+:049EBA009D69AE5D93
+:049EBB009D6FAE5693
+:049EBC009D75AE4E94
+:049EBD009D7BAE4794
+:049EBE009D82AE4093
+:049EBF009D88AE3993
+:049EC0009D8EAE3194
+:049EC1009D94AE2A94
+:049EC2009D9AAE2394
+:049EC3009DA0AE1C94
+:049EC4009DA6AE1495
+:049EC5009DACAE0D95
+:049EC6009DB2AE0695
+:049EC7009DB8ADFF96
+:049EC8009DBEADF896
+:049EC9009DC4ADF097
+:049ECA009DCAADE997
+:049ECB009DD0ADE297
+:049ECC009DD6ADDB97
+:049ECD009DDCADD398
+:049ECE009DE2ADCC98
+:049ECF009DE8ADC598
+:049ED0009DEEADBE98
+:049ED1009DF4ADB699
+:049ED2009DFAADAF99
+:049ED3009E00ADA898
+:049ED4009E06ADA198
+:049ED5009E0DAD9A97
+:049ED6009E13AD9298
+:049ED7009E19AD8B98
+:049ED8009E1FAD8498
+:049ED9009E25AD7D98
+:049EDA009E2BAD7698
+:049EDB009E31AD6E99
+:049EDC009E37AD6799
+:049EDD009E3DAD6099
+:049EDE009E43AD5999
+:049EDF009E49AD5299
+:049EE0009E4FAD4A9A
+:049EE1009E55AD439A
+:049EE2009E5CAD3C99
+:049EE3009E62AD3599
+:049EE4009E68AD2E99
+:049EE5009E6EAD269A
+:049EE6009E74AD1F9A
+:049EE7009E7AAD189A
+:049EE8009E80AD119A
+:049EE9009E86AD0A9A
+:049EEA009E8CAD039A
+:049EEB009E92ACFB9C
+:049EEC009E99ACF49B
+:049EED009E9FACED9B
+:049EEE009EA5ACE69B
+:049EEF009EABACDF9B
+:049EF0009EB1ACD89B
+:049EF1009EB7ACD09C
+:049EF2009EBDACC99C
+:049EF3009EC3ACC29C
+:049EF4009ECAACBB9B
+:049EF5009ED0ACB49B
+:049EF6009ED6ACAD9B
+:049EF7009EDCACA59C
+:049EF8009EE2AC9E9C
+:049EF9009EE8AC979C
+:049EFA009EEEAC909C
+:049EFB009EF5AC899B
+:049EFC009EFBAC829B
+:049EFD009F01AC7B9A
+:049EFE009F07AC739B
+:049EFF009F0DAC6C9B
+:049F00009F13AC659A
+:049F01009F19AC5E9A
+:049F02009F20AC5799
+:049F03009F26AC5099
+:049F04009F2CAC4999
+:049F05009F32AC419A
+:049F06009F38AC3A9A
+:049F07009F3EAC339A
+:049F08009F45AC2C99
+:049F09009F4BAC2599
+:049F0A009F51AC1E99
+:049F0B009F57AC1799
+:049F0C009F5DAC1099
+:049F0D009F63AC0999
+:049F0E009F6AAC0199
+:049F0F009F70ABFA9A
+:049F10009F76ABF39A
+:049F11009F7CABEC9A
+:049F12009F82ABE59A
+:049F13009F89ABDE99
+:049F14009F8FABD799
+:049F15009F95ABD099
+:049F16009F9BABC999
+:049F17009FA1ABC19A
+:049F18009FA8ABBA99
+:049F19009FAEABB399
+:049F1A009FB4ABAC99
+:049F1B009FBAABA599
+:049F1C009FC0AB9E99
+:049F1D009FC7AB9798
+:049F1E009FCDAB9098
+:049F1F009FD3AB8998
+:049F20009FD9AB8298
+:049F21009FE0AB7B97
+:049F22009FE6AB7497
+:049F23009FECAB6C98
+:049F24009FF2AB6598
+:049F25009FF8AB5E98
+:049F26009FFFAB5797
+:049F2700A005AB5096
+:049F2800A00BAB4996
+:049F2900A011AB4296
+:049F2A00A018AB3B95
+:049F2B00A01EAB3495
+:049F2C00A024AB2D95
+:049F2D00A02AAB2695
+:049F2E00A031AB1F94
+:049F2F00A037AB1894
+:049F3000A03DAB1194
+:049F3100A043AB0A94
+:049F3200A04AAB0393
+:049F3300A050AAFC94
+:049F3400A056AAF495
+:049F3500A05CAAED95
+:049F3600A063AAE694
+:049F3700A069AADF94
+:049F3800A06FAAD894
+:049F3900A075AAD194
+:049F3A00A07CAACA93
+:049F3B00A082AAC393
+:049F3C00A088AABC93
+:049F3D00A08FAAB592
+:049F3E00A095AAAE92
+:049F3F00A09BAAA792
+:049F4000A0A1AAA092
+:049F4100A0A8AA9991
+:049F4200A0AEAA9291
+:049F4300A0B4AA8B91
+:049F4400A0BBAA8490
+:049F4500A0C1AA7D90
+:049F4600A0C7AA7690
+:049F4700A0CDAA6F90
+:049F4800A0D4AA688F
+:049F4900A0DAAA618F
+:049F4A00A0E0AA5A8F
+:049F4B00A0E7AA538E
+:049F4C00A0EDAA4C8E
+:049F4D00A0F3AA458E
+:049F4E00A0FAAA3E8D
+:049F4F00A100AA378C
+:049F5000A106AA308C
+:049F5100A10DAA298B
+:049F5200A113AA228B
+:049F5300A119AA1B8B
+:049F5400A120AA148A
+:049F5500A126AA0D8A
+:049F5600A12CAA068A
+:049F5700A133A9FF8A
+:049F5800A139A9F88A
+:049F5900A13FA9F18A
+:049F5A00A146A9EA89
+:049F5B00A14CA9E389
+:049F5C00A152A9DC89
+:049F5D00A159A9D588
+:049F5E00A15FA9CE88
+:049F5F00A165A9C788
+:049F6000A16CA9C087
+:049F6100A172A9B987
+:049F6200A178A9B287
+:049F6300A17FA9AB86
+:049F6400A185A9A486
+:049F6500A18BA99E85
+:049F6600A192A99784
+:049F6700A198A99084
+:049F6800A19EA98984
+:049F6900A1A5A98283
+:049F6A00A1ABA97B83
+:049F6B00A1B2A97482
+:049F6C00A1B8A96D82
+:049F6D00A1BEA96682
+:049F6E00A1C5A95F81
+:049F6F00A1CBA95881
+:049F7000A1D1A95181
+:049F7100A1D8A94A80
+:049F7200A1DEA94380
+:049F7300A1E5A93C7F
+:049F7400A1EBA9357F
+:049F7500A1F1A92E7F
+:049F7600A1F8A9287D
+:049F7700A1FEA9217D
+:049F7800A205A91A7B
+:049F7900A20BA9137B
+:049F7A00A211A90C7B
+:049F7B00A218A9057A
+:049F7C00A21EA8FE7B
+:049F7D00A225A8F77A
+:049F7E00A22BA8F07A
+:049F7F00A231A8E97A
+:049F8000A238A8E279
+:049F8100A23EA8DC78
+:049F8200A245A8D577
+:049F8300A24BA8CE77
+:049F8400A252A8C776
+:049F8500A258A8C076
+:049F8600A25EA8B976
+:049F8700A265A8B275
+:049F8800A26BA8AB75
+:049F8900A272A8A474
+:049F8A00A278A89D74
+:049F8B00A27FA89772
+:049F8C00A285A89072
+:049F8D00A28BA88972
+:049F8E00A292A88271
+:049F8F00A298A87B71
+:049F9000A29FA87470
+:049F9100A2A5A86D70
+:049F9200A2ACA8666F
+:049F9300A2B2A8606E
+:049F9400A2B9A8596D
+:049F9500A2BFA8526D
+:049F9600A2C5A84B6D
+:049F9700A2CCA8446C
+:049F9800A2D2A83D6C
+:049F9900A2D9A8366B
+:049F9A00A2DFA8306A
+:049F9B00A2E6A82969
+:049F9C00A2ECA82269
+:049F9D00A2F3A81B68
+:049F9E00A2F9A81468
+:049F9F00A300A80D66
+:049FA000A306A80666
+:049FA100A30DA80064
+:049FA200A313A7F965
+:049FA300A31AA7F264
+:049FA400A320A7EB64
+:049FA500A327A7E463
+:049FA600A32DA7DD63
+:049FA700A334A7D761
+:049FA800A33AA7D061
+:049FA900A340A7C961
+:049FAA00A347A7C260
+:049FAB00A34DA7BB60
+:049FAC00A354A7B45F
+:049FAD00A35AA7AE5E
+:049FAE00A361A7A75D
+:049FAF00A367A7A05D
+:049FB000A36EA7995C
+:049FB100A375A7925B
+:049FB200A37BA78C5A
+:049FB300A382A78559
+:049FB400A388A77E59
+:049FB500A38FA77758
+:049FB600A395A77058
+:049FB700A39CA76957
+:049FB800A3A2A76356
+:049FB900A3A9A75C55
+:049FBA00A3AFA75555
+:049FBB00A3B6A74E54
+:049FBC00A3BCA74754
+:049FBD00A3C3A74152
+:049FBE00A3C9A73A52
+:049FBF00A3D0A73351
+:049FC000A3D6A72C51
+:049FC100A3DDA7264F
+:049FC200A3E3A71F4F
+:049FC300A3EAA7184E
+:049FC400A3F1A7114D
+:049FC500A3F7A70A4D
+:049FC600A3FEA7044B
+:049FC700A404A6FD4B
+:049FC800A40BA6F64A
+:049FC900A411A6EF4A
+:049FCA00A418A6E948
+:049FCB00A41EA6E248
+:049FCC00A425A6DB47
+:049FCD00A42CA6D446
+:049FCE00A432A6CE45
+:049FCF00A439A6C744
+:049FD000A43FA6C044
+:049FD100A446A6B943
+:049FD200A44CA6B243
+:049FD300A453A6AC41
+:049FD400A45AA6A540
+:049FD500A460A69E40
+:049FD600A467A6983E
+:049FD700A46DA6913E
+:049FD800A474A68A3D
+:049FD900A47BA6833C
+:049FDA00A481A67D3B
+:049FDB00A488A6763A
+:049FDC00A48EA66F3A
+:049FDD00A495A66839
+:049FDE00A49BA66238
+:049FDF00A4A2A65B37
+:049FE000A4A9A65436
+:049FE100A4AFA64D36
+:049FE200A4B6A64734
+:049FE300A4BCA64034
+:049FE400A4C3A63933
+:049FE500A4CAA63331
+:049FE600A4D0A62C31
+:049FE700A4D7A62530
+:049FE800A4DEA61E2F
+:049FE900A4E4A6182E
+:049FEA00A4EBA6112D
+:049FEB00A4F1A60A2D
+:049FEC00A4F8A6042B
+:049FED00A4FFA5FD2B
+:049FEE00A505A5F62A
+:049FEF00A50CA5F028
+:049FF000A513A5E927
+:049FF100A519A5E227
+:049FF200A520A5DB26
+:049FF300A526A5D525
+:049FF400A52DA5CE24
+:049FF500A534A5C723
+:049FF600A53AA5C122
+:049FF700A541A5BA21
+:049FF800A548A5B320
+:049FF900A54EA5AD1F
+:049FFA00A555A5A61E
+:049FFB00A55CA59F1D
+:049FFC00A562A5991C
+:049FFD00A569A5921B
+:049FFE00A570A58B1A
+:049FFF00A576A58519
+:04A00000A57DA57E17
+:04A00100A584A57716
+:04A00200A58AA57115
+:04A00300A591A56A14
+:04A00400A598A56313
+:04A00500A59EA55D12
+:04A00600A5A5A55611
+:04A00700A5ACA54F10
+:04A00800A5B2A5490F
+:04A00900A5B9A5420E
+:04A00A00A5C0A53B0D
+:04A00B00A5C6A5350C
+:04A00C00A5CDA52E0B
+:04A00D00A5D4A5270A
+:04A00E00A5DAA52109
+:04A00F00A5E1A51A08
+:04A01000A5E8A51406
+:04A01100A5EFA50D05
+:04A01200A5F5A50605
+:04A01300A5FCA50003
+:04A01400A603A4F902
+:04A01500A609A4F202
+:04A01600A610A4EC00
+:04A01700A617A4E5FF
+:04A01800A61DA4DFFE
+:04A01900A624A4D8FD
+:04A01A00A62BA4D1FC
+:04A01B00A632A4CBFA
+:04A01C00A638A4C4FA
+:04A01D00A63FA4BDF9
+:04A01E00A646A4B7F7
+:04A01F00A64CA4B0F7
+:04A02000A653A4AAF5
+:04A02100A65AA4A3F4
+:04A02200A661A49CF3
+:04A02300A667A496F2
+:04A02400A66EA48FF1
+:04A02500A675A489EF
+:04A02600A67CA482EE
+:04A02700A682A47CED
+:04A02800A689A475EC
+:04A02900A690A46EEB
+:04A02A00A697A468E9
+:04A02B00A69DA461E9
+:04A02C00A6A4A45BE7
+:04A02D00A6ABA454E6
+:04A02E00A6B1A44DE6
+:04A02F00A6B8A447E4
+:04A03000A6BFA440E3
+:04A03100A6C6A43AE1
+:04A03200A6CDA433E0
+:04A03300A6D3A42DDF
+:04A03400A6DAA426DE
+:04A03500A6E1A41FDD
+:04A03600A6E8A419DB
+:04A03700A6EEA412DB
+:04A03800A6F5A40CD9
+:04A03900A6FCA405D8
+:04A03A00A703A3FFD6
+:04A03B00A709A3F8D6
+:04A03C00A710A3F2D4
+:04A03D00A717A3EBD3
+:04A03E00A71EA3E4D2
+:04A03F00A725A3DED0
+:04A04000A72BA3D7D0
+:04A04100A732A3D1CE
+:04A04200A739A3CACD
+:04A04300A740A3C4CB
+:04A04400A746A3BDCB
+:04A04500A74DA3B7C9
+:04A04600A754A3B0C8
+:04A04700A75BA3AAC6
+:04A04800A762A3A3C5
+:04A04900A768A39DC4
+:04A04A00A76FA396C3
+:04A04B00A776A390C1
+:04A04C00A77DA389C0
+:04A04D00A784A383BE
+:04A04E00A78BA37CBD
+:04A04F00A791A376BC
+:04A05000A798A36FBB
+:04A05100A79FA368BA
+:04A05200A7A6A362B8
+:04A05300A7ADA35BB7
+:04A05400A7B3A355B6
+:04A05500A7BAA34EB5
+:04A05600A7C1A348B3
+:04A05700A7C8A341B2
+:04A05800A7CFA33BB0
+:04A05900A7D6A335AE
+:04A05A00A7DCA32EAE
+:04A05B00A7E3A328AC
+:04A05C00A7EAA321AB
+:04A05D00A7F1A31BA9
+:04A05E00A7F8A314A8
+:04A05F00A7FFA30EA6
+:04A06000A805A307A5
+:04A06100A80CA301A3
+:04A06200A813A2FAA3
+:04A06300A81AA2F4A1
+:04A06400A821A2EDA0
+:04A06500A828A2E79E
+:04A06600A82FA2E09D
+:04A06700A835A2DA9C
+:04A06800A83CA2D39B
+:04A06900A843A2CD99
+:04A06A00A84AA2C698
+:04A06B00A851A2C096
+:04A06C00A858A2BA94
+:04A06D00A85FA2B393
+:04A06E00A865A2AD92
+:04A06F00A86CA2A691
+:04A07000A873A2A08F
+:04A07100A87AA2998E
+:04A07200A881A2938C
+:04A07300A888A28C8B
+:04A07400A88FA28689
+:04A07500A896A28087
+:04A07600A89CA27987
+:04A07700A8A3A27385
+:04A07800A8AAA26C84
+:04A07900A8B1A26682
+:04A07A00A8B8A25F81
+:04A07B00A8BFA2597F
+:04A07C00A8C6A2537D
+:04A07D00A8CDA24C7C
+:04A07E00A8D4A2467A
+:04A07F00A8DBA23F79
+:04A08000A8E1A23978
+:04A08100A8E8A23277
+:04A08200A8EFA22C75
+:04A08300A8F6A22673
+:04A08400A8FDA21F72
+:04A08500A904A2196F
+:04A08600A90BA2126E
+:04A08700A912A20C6C
+:04A08800A919A2066A
+:04A08900A920A1FF6A
+:04A08A00A927A1F968
+:04A08B00A92DA1F268
+:04A08C00A934A1EC66
+:04A08D00A93BA1E664
+:04A08E00A942A1DF63
+:04A08F00A949A1D961
+:04A09000A950A1D260
+:04A09100A957A1CC5E
+:04A09200A95EA1C65C
+:04A09300A965A1BF5B
+:04A09400A96CA1B959
+:04A09500A973A1B357
+:04A09600A97AA1AC56
+:04A09700A981A1A654
+:04A09800A988A19F53
+:04A09900A98FA19951
+:04A09A00A996A1934F
+:04A09B00A99DA18C4E
+:04A09C00A9A3A1864D
+:04A09D00A9AAA1804B
+:04A09E00A9B1A1794A
+:04A09F00A9B8A17348
+:04A0A000A9BFA16D46
+:04A0A100A9C6A16645
+:04A0A200A9CDA16043
+:04A0A300A9D4A15A41
+:04A0A400A9DBA15340
+:04A0A500A9E2A14D3E
+:04A0A600A9E9A1473C
+:04A0A700A9F0A1403B
+:04A0A800A9F7A13A39
+:04A0A900A9FEA13437
+:04A0AA00AA05A12D35
+:04A0AB00AA0CA12733
+:04A0AC00AA13A12131
+:04A0AD00AA1AA11A30
+:04A0AE00AA21A1142E
+:04A0AF00AA28A10E2C
+:04A0B000AA2FA1072B
+:04A0B100AA36A10129
+:04A0B200AA3DA0FB28
+:04A0B300AA44A0F427
+:04A0B400AA4BA0EE25
+:04A0B500AA52A0E823
+:04A0B600AA59A0E122
+:04A0B700AA60A0DB20
+:04A0B800AA67A0D51E
+:04A0B900AA6EA0CE1D
+:04A0BA00AA75A0C81B
+:04A0BB00AA7CA0C219
+:04A0BC00AA83A0BC17
+:04A0BD00AA8AA0B516
+:04A0BE00AA91A0AF14
+:04A0BF00AA98A0A912
+:04A0C000AA9FA0A211
+:04A0C100AAA6A09C0F
+:04A0C200AAADA0960D
+:04A0C300AAB4A0900B
+:04A0C400AABBA0890A
+:04A0C500AAC2A08308
+:04A0C600AAC9A07D06
+:04A0C700AAD0A07605
+:04A0C800AAD7A07003
+:04A0C900AADEA06A01
+:04A0CA00AAE5A064FF
+:04A0CB00AAECA05DFE
+:04A0CC00AAF3A057FC
+:04A0CD00AAFBA051F9
+:04A0CE00AB02A04BF6
+:04A0CF00AB09A044F5
+:04A0D000AB10A03EF3
+:04A0D100AB17A038F1
+:04A0D200AB1EA032EF
+:04A0D300AB25A02BEE
+:04A0D400AB2CA025EC
+:04A0D500AB33A01FEA
+:04A0D600AB3AA019E8
+:04A0D700AB41A012E7
+:04A0D800AB48A00CE5
+:04A0D900AB4FA006E3
+:04A0DA00AB56A000E1
+:04A0DB00AB5D9FF9E1
+:04A0DC00AB649FF3DF
+:04A0DD00AB6B9FEDDD
+:04A0DE00AB739FE7DA
+:04A0DF00AB7A9FE1D8
+:04A0E000AB819FDAD7
+:04A0E100AB889FD4D5
+:04A0E200AB8F9FCED3
+:04A0E300AB969FC8D1
+:04A0E400AB9D9FC1D0
+:04A0E500ABA49FBBCE
+:04A0E600ABAB9FB5CC
+:04A0E700ABB29FAFCA
+:04A0E800ABB99FA9C8
+:04A0E900ABC09FA2C7
+:04A0EA00ABC89F9CC4
+:04A0EB00ABCF9F96C2
+:04A0EC00ABD69F90C0
+:04A0ED00ABDD9F8ABE
+:04A0EE00ABE49F83BD
+:04A0EF00ABEB9F7DBB
+:04A0F000ABF29F77B9
+:04A0F100ABF99F71B7
+:04A0F200AC009F6BB4
+:04A0F300AC089F64B2
+:04A0F400AC0F9F5EB0
+:04A0F500AC169F58AE
+:04A0F600AC1D9F52AC
+:04A0F700AC249F4CAA
+:04A0F800AC2B9F46A8
+:04A0F900AC329F3FA7
+:04A0FA00AC399F39A5
+:04A0FB00AC409F33A3
+:04A0FC00AC489F2DA0
+:04A0FD00AC4F9F279E
+:04A0FE00AC569F219C
+:04A0FF00AC5D9F1A9B
+:04A10000AC649F1498
+:04A10100AC6B9F0E96
+:04A10200AC729F0894
+:04A10300AC7A9F0291
+:04A10400AC819EFC90
+:04A10500AC889EF68E
+:04A10600AC8F9EEF8D
+:04A10700AC969EE98B
+:04A10800AC9D9EE389
+:04A10900ACA49EDD87
+:04A10A00ACAC9ED784
+:04A10B00ACB39ED182
+:04A10C00ACBA9ECB80
+:04A10D00ACC19EC47F
+:04A10E00ACC89EBE7D
+:04A10F00ACCF9EB87B
+:04A11000ACD79EB278
+:04A11100ACDE9EAC76
+:04A11200ACE59EA674
+:04A11300ACEC9EA072
+:04A11400ACF39E9A70
+:04A11500ACFA9E936F
+:04A11600AD029E8D6B
+:04A11700AD099E8769
+:04A11800AD109E8167
+:04A11900AD179E7B65
+:04A11A00AD1E9E7563
+:04A11B00AD259E6F61
+:04A11C00AD2D9E695E
+:04A11D00AD349E635C
+:04A11E00AD3B9E5D5A
+:04A11F00AD429E5659
+:04A12000AD499E5057
+:04A12100AD519E4A54
+:04A12200AD589E4452
+:04A12300AD5F9E3E50
+:04A12400AD669E384E
+:04A12500AD6D9E324C
+:04A12600AD759E2C49
+:04A12700AD7C9E2647
+:04A12800AD839E2045
+:04A12900AD8A9E1A43
+:04A12A00AD919E1441
+:04A12B00AD999E0E3E
+:04A12C00ADA09E073D
+:04A12D00ADA79E013B
+:04A12E00ADAE9DFB3A
+:04A12F00ADB59DF538
+:04A13000ADBD9DEF35
+:04A13100ADC49DE933
+:04A13200ADCB9DE331
+:04A13300ADD29DDD2F
+:04A13400ADDA9DD72C
+:04A13500ADE19DD12A
+:04A13600ADE89DCB28
+:04A13700ADEF9DC526
+:04A13800ADF79DBF23
+:04A13900ADFE9DB921
+:04A13A00AE059DB31E
+:04A13B00AE0C9DAD1C
+:04A13C00AE139DA71A
+:04A13D00AE1B9DA117
+:04A13E00AE229D9B15
+:04A13F00AE299D9513
+:04A14000AE309D8F11
+:04A14100AE389D890E
+:04A14200AE3F9D830C
+:04A14300AE469D7C0B
+:04A14400AE4D9D7609
+:04A14500AE559D7006
+:04A14600AE5C9D6A04
+:04A14700AE639D6402
+:04A14800AE6A9D5E00
+:04A14900AE729D58FD
+:04A14A00AE799D52FB
+:04A14B00AE809D4CF9
+:04A14C00AE889D46F6
+:04A14D00AE8F9D40F4
+:04A14E00AE969D3AF2
+:04A14F00AE9D9D34F0
+:04A15000AEA59D2EED
+:04A15100AEAC9D28EB
+:04A15200AEB39D22E9
+:04A15300AEBA9D1CE7
+:04A15400AEC29D17E3
+:04A15500AEC99D11E1
+:04A15600AED09D0BDF
+:04A15700AED89D05DC
+:04A15800AEDF9CFFDB
+:04A15900AEE69CF9D9
+:04A15A00AEED9CF3D7
+:04A15B00AEF59CEDD4
+:04A15C00AEFC9CE7D2
+:04A15D00AF039CE1CF
+:04A15E00AF0B9CDBCC
+:04A15F00AF129CD5CA
+:04A16000AF199CCFC8
+:04A16100AF219CC9C5
+:04A16200AF289CC3C3
+:04A16300AF2F9CBDC1
+:04A16400AF379CB7BE
+:04A16500AF3E9CB1BC
+:04A16600AF459CABBA
+:04A16700AF4C9CA5B8
+:04A16800AF549C9FB5
+:04A16900AF5B9C99B3
+:04A16A00AF629C93B1
+:04A16B00AF6A9C8DAE
+:04A16C00AF719C88AB
+:04A16D00AF789C82A9
+:04A16E00AF809C7CA6
+:04A16F00AF879C76A4
+:04A17000AF8E9C70A2
+:04A17100AF969C6A9F
+:04A17200AF9D9C649D
+:04A17300AFA49C5E9B
+:04A17400AFAC9C5898
+:04A17500AFB39C5296
+:04A17600AFBA9C4C94
+:04A17700AFC29C4691
+:04A17800AFC99C418E
+:04A17900AFD09C3B8C
+:04A17A00AFD89C3589
+:04A17B00AFDF9C2F87
+:04A17C00AFE69C2985
+:04A17D00AFEE9C2382
+:04A17E00AFF59C1D80
+:04A17F00AFFC9C177E
+:04A18000B0049C117A
+:04A18100B00B9C0B78
+:04A18200B0139C0674
+:04A18300B01A9C0072
+:04A18400B0219BFA71
+:04A18500B0299BF46E
+:04A18600B0309BEE6C
+:04A18700B0379BE86A
+:04A18800B03F9BE267
+:04A18900B0469BDC65
+:04A18A00B04E9BD761
+:04A18B00B0559BD15F
+:04A18C00B05C9BCB5D
+:04A18D00B0649BC55A
+:04A18E00B06B9BBF58
+:04A18F00B0729BB956
+:04A19000B07A9BB353
+:04A19100B0819BAE50
+:04A19200B0899BA84D
+:04A19300B0909BA24B
+:04A19400B0979B9C49
+:04A19500B09F9B9646
+:04A19600B0A69B9044
+:04A19700B0AE9B8A41
+:04A19800B0B59B853E
+:04A19900B0BC9B7F3C
+:04A19A00B0C49B7939
+:04A19B00B0CB9B7337
+:04A19C00B0D39B6D34
+:04A19D00B0DA9B6732
+:04A19E00B0E19B622F
+:04A19F00B0E99B5C2C
+:04A1A000B0F09B562A
+:04A1A100B0F89B5027
+:04A1A200B0FF9B4A25
+:04A1A300B1069B4521
+:04A1A400B10E9B3F1E
+:04A1A500B1159B391C
+:04A1A600B11D9B3319
+:04A1A700B1249B2D17
+:04A1A800B12C9B2813
+:04A1A900B1339B2211
+:04A1AA00B13A9B1C0F
+:04A1AB00B1429B160C
+:04A1AC00B1499B100A
+:04A1AD00B1519B0B06
+:04A1AE00B1589B0504
+:04A1AF00B1609AFF02
+:04A1B000B1679AF900
+:04A1B100B16E9AF3FE
+:04A1B200B1769AEEFA
+:04A1B300B17D9AE8F8
+:04A1B400B1859AE2F5
+:04A1B500B18C9ADCF3
+:04A1B600B1949AD6F0
+:04A1B700B19B9AD1ED
+:04A1B800B1A39ACBEA
+:04A1B900B1AA9AC5E8
+:04A1BA00B1B19ABFE6
+:04A1BB00B1B99ABAE2
+:04A1BC00B1C09AB4E0
+:04A1BD00B1C89AAEDD
+:04A1BE00B1CF9AA8DB
+:04A1BF00B1D79AA3D7
+:04A1C000B1DE9A9DD5
+:04A1C100B1E69A97D2
+:04A1C200B1ED9A91D0
+:04A1C300B1F59A8CCC
+:04A1C400B1FC9A86CA
+:04A1C500B2049A80C6
+:04A1C600B20B9A7AC4
+:04A1C700B2129A75C1
+:04A1C800B21A9A6FBE
+:04A1C900B2219A69BC
+:04A1CA00B2299A63B9
+:04A1CB00B2309A5EB6
+:04A1CC00B2389A58B3
+:04A1CD00B23F9A52B1
+:04A1CE00B2479A4DAD
+:04A1CF00B24E9A47AB
+:04A1D000B2569A41A8
+:04A1D100B25D9A3BA6
+:04A1D200B2659A36A2
+:04A1D300B26C9A30A0
+:04A1D400B2749A2A9D
+:04A1D500B27B9A259A
+:04A1D600B2839A1F97
+:04A1D700B28A9A1995
+:04A1D800B2929A1392
+:04A1D900B2999A0E8F
+:04A1DA00B2A19A088C
+:04A1DB00B2A89A028A
+:04A1DC00B2B099FD87
+:04A1DD00B2B799F785
+:04A1DE00B2BF99F182
+:04A1DF00B2C699EC7F
+:04A1E000B2CE99E67C
+:04A1E100B2D599E07A
+:04A1E200B2DD99DA77
+:04A1E300B2E499D574
+:04A1E400B2EC99CF71
+:04A1E500B2F499C96E
+:04A1E600B2FB99C46B
+:04A1E700B30399BE67
+:04A1E800B30A99B865
+:04A1E900B31299B361
+:04A1EA00B31999AD5F
+:04A1EB00B32199A75C
+:04A1EC00B32899A259
+:04A1ED00B330999C56
+:04A1EE00B337999753
+:04A1EF00B33F999150
+:04A1F000B346998B4E
+:04A1F100B34E99864A
+:04A1F200B356998047
+:04A1F300B35D997A45
+:04A1F400B365997541
+:04A1F500B36C996F3F
+:04A1F600B37499693C
+:04A1F700B37B996439
+:04A1F800B383995E36
+:04A1F900B38A995834
+:04A1FA00B392995330
+:04A1FB00B399994D2E
+:04A1FC00B3A199482A
+:04A1FD00B3A9994227
+:04A1FE00B3B0993C25
+:04A1FF00B3B8993721
+:04A20000B3BF99311E
+:04A20100B3C7992B1B
+:04A20200B3CE992618
+:04A20300B3D6992015
+:04A20400B3DE991B11
+:04A20500B3E599150F
+:04A20600B3ED990F0C
+:04A20700B3F4990A09
+:04A20800B3FC990406
+:04A20900B40498FF02
+:04A20A00B40B98F900
+:04A20B00B41398F3FD
+:04A20C00B41A98EEFA
+:04A20D00B42298E8F7
+:04A20E00B42998E3F4
+:04A20F00B43198DDF1
+:04A21000B43998D8ED
+:04A21100B44098D2EB
+:04A21200B44898CCE8
+:04A21300B44F98C7E5
+:04A21400B45798C1E2
+:04A21500B45F98BCDE
+:04A21600B46698B6DC
+:04A21700B46E98B1D8
+:04A21800B47598ABD6
+:04A21900B47D98A5D3
+:04A21A00B48598A0CF
+:04A21B00B48C989ACD
+:04A21C00B4949895C9
+:04A21D00B49C988FC6
+:04A21E00B4A3988AC3
+:04A21F00B4AB9884C0
+:04A22000B4B2987FBD
+:04A22100B4BA9879BA
+:04A22200B4C29873B7
+:04A22300B4C9986EB4
+:04A22400B4D19868B1
+:04A22500B4D89863AE
+:04A22600B4E0985DAB
+:04A22700B4E89858A7
+:04A22800B4EF9852A5
+:04A22900B4F7984DA1
+:04A22A00B4FF98479E
+:04A22B00B50698429A
+:04A22C00B50E983C97
+:04A22D00B516983793
+:04A22E00B51D983191
+:04A22F00B525982C8D
+:04A23000B52D98268A
+:04A23100B534982187
+:04A23200B53C981B84
+:04A23300B543981681
+:04A23400B54B98107E
+:04A23500B553980B7A
+:04A23600B55A980578
+:04A23700B562980074
+:04A23800B56A97FA72
+:04A23900B57197F56F
+:04A23A00B57997EF6C
+:04A23B00B58197EA68
+:04A23C00B58897E466
+:04A23D00B59097DF62
+:04A23E00B59897D95F
+:04A23F00B59F97D45C
+:04A24000B5A797CE59
+:04A24100B5AF97C955
+:04A24200B5B697C353
+:04A24300B5BE97BE4F
+:04A24400B5C697B84C
+:04A24500B5CD97B349
+:04A24600B5D597AD46
+:04A24700B5DD97A842
+:04A24800B5E497A33F
+:04A24900B5EC979D3C
+:04A24A00B5F4979838
+:04A24B00B5FC979235
+:04A24C00B603978D31
+:04A24D00B60B97872E
+:04A24E00B61397822A
+:04A24F00B61A977C28
+:04A25000B622977724
+:04A25100B62A977220
+:04A25200B631976C1E
+:04A25300B63997671A
+:04A25400B641976117
+:04A25500B649975C13
+:04A25600B650975611
+:04A25700B65897510D
+:04A25800B660974C09
+:04A25900B667974607
+:04A25A00B66F974103
+:04A25B00B677973B00
+:04A25C00B67E9736FD
+:04A25D00B6869730FA
+:04A25E00B68E972BF6
+:04A25F00B6969726F2
+:04A26000B69D9720F0
+:04A26100B6A5971BEC
+:04A26200B6AD9715E9
+:04A26300B6B59710E5
+:04A26400B6BC970BE2
+:04A26500B6C49705DF
+:04A26600B6CC9700DB
+:04A26700B6D396FADA
+:04A26800B6DB96F5D6
+:04A26900B6E396F0D2
+:04A26A00B6EB96EACF
+:04A26B00B6F296E5CC
+:04A26C00B6FA96E0C8
+:04A26D00B70296DAC4
+:04A26E00B70A96D5C0
+:04A26F00B71196CFBE
+:04A27000B71996CABA
+:04A27100B72196C5B6
+:04A27200B72996BFB3
+:04A27300B73096BAB0
+:04A27400B73896B5AC
+:04A27500B74096AFA9
+:04A27600B74896AAA5
+:04A27700B74F96A5A2
+:04A27800B757969F9F
+:04A27900B75F969A9B
+:04A27A00B767969597
+:04A27B00B76E968F95
+:04A27C00B776968A91
+:04A27D00B77E96858D
+:04A27E00B786967F8A
+:04A27F00B78D967A87
+:04A28000B795967583
+:04A28100B79D966F80
+:04A28200B7A5966A7C
+:04A28300B7AD966578
+:04A28400B7B4965F76
+:04A28500B7BC965A72
+:04A28600B7C496556E
+:04A28700B7CC964F6B
+:04A28800B7D3964A68
+:04A28900B7DB964564
+:04A28A00B7E3963F61
+:04A28B00B7EB963A5D
+:04A28C00B7F3963559
+:04A28D00B7FA962F57
+:04A28E00B802962A52
+:04A28F00B80A96254E
+:04A29000B812961F4B
+:04A29100B81A961A47
+:04A29200B821961544
+:04A29300B829961040
+:04A29400B831960A3D
+:04A29500B839960539
+:04A29600B841960035
+:04A29700B84895FA34
+:04A29800B85095F530
+:04A29900B85895F02C
+:04A29A00B86095EB28
+:04A29B00B86895E525
+:04A29C00B86F95E022
+:04A29D00B87795DB1E
+:04A29E00B87F95D61A
+:04A29F00B88795D017
+:04A2A000B88F95CB13
+:04A2A100B89795C60F
+:04A2A200B89E95C10C
+:04A2A300B8A695BB09
+:04A2A400B8AE95B605
+:04A2A500B8B695B101
+:04A2A600B8BE95ACFD
+:04A2A700B8C595A6FB
+:04A2A800B8CD95A1F7
+:04A2A900B8D5959CF3
+:04A2AA00B8DD9597EF
+:04A2AB00B8E59591EC
+:04A2AC00B8ED958CE8
+:04A2AD00B8F49587E5
+:04A2AE00B8FC9582E1
+:04A2AF00B904957CDD
+:04A2B000B90C9577D9
+:04A2B100B9149572D5
+:04A2B200B91C956DD1
+:04A2B300B9249568CD
+:04A2B400B92B9562CB
+:04A2B500B933955DC7
+:04A2B600B93B9558C3
+:04A2B700B9439553BF
+:04A2B800B94B954DBC
+:04A2B900B9539548B8
+:04A2BA00B95B9543B4
+:04A2BB00B962953EB1
+:04A2BC00B96A9539AD
+:04A2BD00B9729533AA
+:04A2BE00B97A952EA6
+:04A2BF00B9829529A2
+:04A2C000B98A95249E
+:04A2C100B992951F9A
+:04A2C200B999951A97
+:04A2C300B9A1951494
+:04A2C400B9A9950F90
+:04A2C500B9B1950A8C
+:04A2C600B9B9950588
+:04A2C700B9C1950084
+:04A2C800B9C994FA82
+:04A2C900B9D194F57E
+:04A2CA00B9D894F07B
+:04A2CB00B9E094EB77
+:04A2CC00B9E894E673
+:04A2CD00B9F094E16F
+:04A2CE00B9F894DB6C
+:04A2CF00BA0094D667
+:04A2D000BA0894D163
+:04A2D100BA1094CC5F
+:04A2D200BA1894C75B
+:04A2D300BA1F94C258
+:04A2D400BA2794BD54
+:04A2D500BA2F94B751
+:04A2D600BA3794B24D
+:04A2D700BA3F94AD49
+:04A2D800BA4794A845
+:04A2D900BA4F94A341
+:04A2DA00BA57949E3D
+:04A2DB00BA5F949939
+:04A2DC00BA67949435
+:04A2DD00BA6E948E33
+:04A2DE00BA7694892F
+:04A2DF00BA7E94842B
+:04A2E000BA86947F27
+:04A2E100BA8E947A23
+:04A2E200BA9694751F
+:04A2E300BA9E94701B
+:04A2E400BAA6946B17
+:04A2E500BAAE946514
+:04A2E600BAB6946010
+:04A2E700BABE945B0C
+:04A2E800BAC6945608
+:04A2E900BACE945104
+:04A2EA00BAD5944C01
+:04A2EB00BADD9447FD
+:04A2EC00BAE59442F9
+:04A2ED00BAED943DF5
+:04A2EE00BAF59438F1
+:04A2EF00BAFD9433ED
+:04A2F000BB05942DE9
+:04A2F100BB0D9428E5
+:04A2F200BB159423E1
+:04A2F300BB1D941EDD
+:04A2F400BB259419D9
+:04A2F500BB2D9414D5
+:04A2F600BB35940FD1
+:04A2F700BB3D940ACD
+:04A2F800BB459405C9
+:04A2F900BB4D9400C5
+:04A2FA00BB5593FBC2
+:04A2FB00BB5C93F6BF
+:04A2FC00BB6493F1BB
+:04A2FD00BB6C93ECB7
+:04A2FE00BB7493E7B3
+:04A2FF00BB7C93E2AF
+:04A30000BB8493DDAA
+:04A30100BB8C93D7A7
+:04A30200BB9493D2A3
+:04A30300BB9C93CD9F
+:04A30400BBA493C89B
+:04A30500BBAC93C397
+:04A30600BBB493BE93
+:04A30700BBBC93B98F
+:04A30800BBC493B48B
+:04A30900BBCC93AF87
+:04A30A00BBD493AA83
+:04A30B00BBDC93A57F
+:04A30C00BBE493A07B
+:04A30D00BBEC939B77
+:04A30E00BBF4939673
+:04A30F00BBFC93916F
+:04A31000BC04938C6A
+:04A31100BC0C938766
+:04A31200BC14938262
+:04A31300BC1C937D5E
+:04A31400BC2493785A
+:04A31500BC2C937356
+:04A31600BC34936E52
+:04A31700BC3C93694E
+:04A31800BC4493644A
+:04A31900BC4C935F46
+:04A31A00BC54935A42
+:04A31B00BC5C93553E
+:04A31C00BC6493503A
+:04A31D00BC6C934B36
+:04A31E00BC74934632
+:04A31F00BC7C93412E
+:04A32000BC84933C2A
+:04A32100BC8C933726
+:04A32200BC94933222
+:04A32300BC9C932D1E
+:04A32400BCA4932919
+:04A32500BCAC932415
+:04A32600BCB4931F11
+:04A32700BCBC931A0D
+:04A32800BCC4931509
+:04A32900BCCC931005
+:04A32A00BCD4930B01
+:04A32B00BCDC9306FD
+:04A32C00BCE49301F9
+:04A32D00BCEC92FCF6
+:04A32E00BCF492F7F2
+:04A32F00BCFC92F2EE
+:04A33000BD0492EDE9
+:04A33100BD0C92E8E5
+:04A33200BD1492E3E1
+:04A33300BD1C92DEDD
+:04A33400BD2492D9D9
+:04A33500BD2C92D5D4
+:04A33600BD3492D0D0
+:04A33700BD3C92CBCC
+:04A33800BD4492C6C8
+:04A33900BD4C92C1C4
+:04A33A00BD5492BCC0
+:04A33B00BD5D92B7BB
+:04A33C00BD6592B2B7
+:04A33D00BD6D92ADB3
+:04A33E00BD7592A8AF
+:04A33F00BD7D92A3AB
+:04A34000BD85929FA6
+:04A34100BD8D929AA2
+:04A34200BD9592959E
+:04A34300BD9D92909A
+:04A34400BDA5928B96
+:04A34500BDAD928692
+:04A34600BDB592818E
+:04A34700BDBD927C8A
+:04A34800BDC5927786
+:04A34900BDCD927381
+:04A34A00BDD5926E7D
+:04A34B00BDDD926979
+:04A34C00BDE6926474
+:04A34D00BDEE925F70
+:04A34E00BDF6925A6C
+:04A34F00BDFE925568
+:04A35000BE06925162
+:04A35100BE0E924C5E
+:04A35200BE1692475A
+:04A35300BE1E924256
+:04A35400BE26923D52
+:04A35500BE2E92384E
+:04A35600BE3692334A
+:04A35700BE3E922F45
+:04A35800BE46922A41
+:04A35900BE4F92253C
+:04A35A00BE57922038
+:04A35B00BE5F921B34
+:04A35C00BE67921630
+:04A35D00BE6F92122B
+:04A35E00BE77920D27
+:04A35F00BE7F920823
+:04A36000BE8792031F
+:04A36100BE8F91FE1C
+:04A36200BE9791F918
+:04A36300BEA091F512
+:04A36400BEA891F00E
+:04A36500BEB091EB0A
+:04A36600BEB891E606
+:04A36700BEC091E102
+:04A36800BEC891DDFD
+:04A36900BED091D8F9
+:04A36A00BED891D3F5
+:04A36B00BEE091CEF1
+:04A36C00BEE891C9ED
+:04A36D00BEF191C5E7
+:04A36E00BEF991C0E3
+:04A36F00BF0191BBDE
+:04A37000BF0991B6DA
+:04A37100BF1191B2D5
+:04A37200BF1991ADD1
+:04A37300BF2191A8CD
+:04A37400BF2991A3C9
+:04A37500BF32919EC4
+:04A37600BF3A919ABF
+:04A37700BF429195BB
+:04A37800BF4A9190B7
+:04A37900BF52918BB3
+:04A37A00BF5A9187AE
+:04A37B00BF629182AA
+:04A37C00BF6B917DA5
+:04A37D00BF739178A1
+:04A37E00BF7B91749C
+:04A37F00BF83916F98
+:04A38000BF8B916A94
+:04A38100BF93916590
+:04A38200BF9B91618B
+:04A38300BFA3915C87
+:04A38400BFAC915782
+:04A38500BFB491527E
+:04A38600BFBC914E79
+:04A38700BFC4914975
+:04A38800BFCC914471
+:04A38900BFD4913F6D
+:04A38A00BFDD913B67
+:04A38B00BFE5913663
+:04A38C00BFED91315F
+:04A38D00BFF5912D5A
+:04A38E00BFFD912856
+:04A38F00C006912350
+:04A39000C00F911E4B
+:04A39100C017911A46
+:04A39200C01F911542
+:04A39300C02791103E
+:04A39400C02F910C39
+:04A39500C037910735
+:04A39600C040910230
+:04A39700C04890FE2C
+:04A39800C05090F928
+:04A39900C05890F424
+:04A39A00C06090EF20
+:04A39B00C06890EB1B
+:04A39C00C07190E616
+:04A39D00C07990E112
+:04A39E00C08190DD0D
+:04A39F00C08990D809
+:04A3A000C09190D305
+:04A3A100C09990CF00
+:04A3A200C0A290CAFB
+:04A3A300C0AA90C5F7
+:04A3A400C0B290C1F2
+:04A3A500C0BA90BCEE
+:04A3A600C0C290B7EA
+:04A3A700C0CB90B3E4
+:04A3A800C0D390AEE0
+:04A3A900C0DB90A9DC
+:04A3AA00C0E390A5D7
+:04A3AB00C0EB90A0D3
+:04A3AC00C0F4909CCD
+:04A3AD00C0FC9097C9
+:04A3AE00C1049092C4
+:04A3AF00C10C908EBF
+:04A3B000C1149089BB
+:04A3B100C11D9084B6
+:04A3B200C1259080B1
+:04A3B300C12D907BAD
+:04A3B400C1359076A9
+:04A3B500C13E9072A3
+:04A3B600C146906D9F
+:04A3B700C14E90699A
+:04A3B800C156906496
+:04A3B900C15E905F92
+:04A3BA00C167905B8C
+:04A3BB00C16F905688
+:04A3BC00C177905283
+:04A3BD00C17F904D7F
+:04A3BE00C18790487B
+:04A3BF00C190904475
+:04A3C000C198903F71
+:04A3C100C1A0903B6C
+:04A3C200C1A8903668
+:04A3C300C1B1903163
+:04A3C400C1B9902D5E
+:04A3C500C1C190285A
+:04A3C600C1C9902455
+:04A3C700C1D2901F50
+:04A3C800C1DA901A4C
+:04A3C900C1E2901647
+:04A3CA00C1EA901143
+:04A3CB00C1F3900D3D
+:04A3CC00C1FB900839
+:04A3CD00C203900433
+:04A3CE00C20B8FFF30
+:04A3CF00C2148FFB2A
+:04A3D000C21C8FF626
+:04A3D100C2248FF122
+:04A3D200C22C8FED1D
+:04A3D300C2358FE818
+:04A3D400C23D8FE413
+:04A3D500C2458FDF0F
+:04A3D600C24D8FDB0A
+:04A3D700C2568FD605
+:04A3D800C25E8FD200
+:04A3D900C2668FCDFC
+:04A3DA00C26E8FC9F7
+:04A3DB00C2778FC4F2
+:04A3DC00C27F8FBFEE
+:04A3DD00C2878FBBE9
+:04A3DE00C28F8FB6E5
+:04A3DF00C2988FB2DF
+:04A3E000C2A08FADDB
+:04A3E100C2A88FA9D6
+:04A3E200C2B08FA4D2
+:04A3E300C2B98FA0CC
+:04A3E400C2C18F9BC8
+:04A3E500C2C98F97C3
+:04A3E600C2D28F92BE
+:04A3E700C2DA8F8EB9
+:04A3E800C2E28F89B5
+:04A3E900C2EA8F85B0
+:04A3EA00C2F38F80AB
+:04A3EB00C2FB8F7CA6
+:04A3EC00C3038F77A1
+:04A3ED00C30C8F739B
+:04A3EE00C3148F6E97
+:04A3EF00C31C8F6A92
+:04A3F000C3248F658E
+:04A3F100C32D8F6188
+:04A3F200C3358F5C84
+:04A3F300C33D8F587F
+:04A3F400C3468F537A
+:04A3F500C34E8F4F75
+:04A3F600C3568F4B70
+:04A3F700C35E8F466C
+:04A3F800C3678F4266
+:04A3F900C36F8F3D62
+:04A3FA00C3778F395D
+:04A3FB00C3808F3458
+:04A3FC00C3888F3053
+:04A3FD00C3908F2B4F
+:04A3FE00C3998F2749
+:04A3FF00C3A18F2245
+:04A40000C3A98F1E3F
+:04A40100C3B28F1A39
+:04A40200C3BA8F1535
+:04A40300C3C28F1130
+:04A40400C3CA8F0C2C
+:04A40500C3D38F0826
+:04A40600C3DB8F0322
+:04A40700C3E38EFF1E
+:04A40800C3EC8EFB18
+:04A40900C3F48EF614
+:04A40A00C3FC8EF20F
+:04A40B00C4058EED09
+:04A40C00C40D8EE904
+:04A40D00C4158EE400
+:04A40E00C41E8EE0FA
+:04A40F00C4268EDCF5
+:04A41000C42E8ED7F1
+:04A41100C4378ED3EB
+:04A41200C43F8ECEE7
+:04A41300C4478ECAE2
+:04A41400C4508EC6DC
+:04A41500C4588EC1D8
+:04A41600C4608EBDD3
+:04A41700C4698EB8CE
+:04A41800C4718EB4C9
+:04A41900C4798EB0C4
+:04A41A00C4828EABBF
+:04A41B00C48A8EA7BA
+:04A41C00C4928EA3B5
+:04A41D00C49B8E9EB0
+:04A41E00C4A38E9AAB
+:04A41F00C4AB8E95A7
+:04A42000C4B48E91A1
+:04A42100C4BC8E8D9C
+:04A42200C4C58E8897
+:04A42300C4CD8E8492
+:04A42400C4D58E808D
+:04A42500C4DE8E7B88
+:04A42600C4E68E7783
+:04A42700C4EE8E737E
+:04A42800C4F78E6E79
+:04A42900C4FF8E6A74
+:04A42A00C5078E656F
+:04A42B00C5108E6169
+:04A42C00C5188E5D64
+:04A42D00C5218E585F
+:04A42E00C5298E545A
+:04A42F00C5318E5055
+:04A43000C53A8E4B50
+:04A43100C5428E474B
+:04A43200C54A8E4346
+:04A43300C5538E3F40
+:04A43400C55B8E3A3C
+:04A43500C5638E3637
+:04A43600C56C8E3231
+:04A43700C5748E2D2D
+:04A43800C57D8E2927
+:04A43900C5858E2522
+:04A43A00C58D8E201E
+:04A43B00C5968E1C18
+:04A43C00C59E8E1813
+:04A43D00C5A78E130E
+:04A43E00C5AF8E0F09
+:04A43F00C5B78E0B04
+:04A44000C5C08E07FE
+:04A44100C5C88E02FA
+:04A44200C5D18DFEF5
+:04A44300C5D98DFAF0
+:04A44400C5E18DF5EC
+:04A44500C5EA8DF1E6
+:04A44600C5F28DEDE1
+:04A44700C5FB8DE9DB
+:04A44800C6038DE4D6
+:04A44900C60B8DE0D1
+:04A44A00C6148DDCCB
+:04A44B00C61C8DD8C6
+:04A44C00C6258DD3C1
+:04A44D00C62D8DCFBC
+:04A44E00C6358DCBB7
+:04A44F00C63E8DC6B2
+:04A45000C6468DC2AD
+:04A45100C64F8DBEA7
+:04A45200C6578DBAA2
+:04A45300C65F8DB69D
+:04A45400C6688DB198
+:04A45500C6708DAD93
+:04A45600C6798DA98D
+:04A45700C6818DA588
+:04A45800C6898DA084
+:04A45900C6928D9C7E
+:04A45A00C69A8D9879
+:04A45B00C6A38D9473
+:04A45C00C6AB8D8F6F
+:04A45D00C6B48D8B69
+:04A45E00C6BC8D8764
+:04A45F00C6C48D835F
+:04A46000C6CD8D7F59
+:04A46100C6D58D7A55
+:04A46200C6DE8D764F
+:04A46300C6E68D724A
+:04A46400C6EF8D6E44
+:04A46500C6F78D6A3F
+:04A46600C7008D6539
+:04A46700C7088D6134
+:04A46800C7108D5D2F
+:04A46900C7198D5929
+:04A46A00C7218D5524
+:04A46B00C72A8D501F
+:04A46C00C7328D4C1A
+:04A46D00C73B8D4814
+:04A46E00C7438D440F
+:04A46F00C74B8D400A
+:04A47000C7548D3B05
+:04A47100C75C8D3700
+:04A47200C7658D33FA
+:04A47300C76D8D2FF5
+:04A47400C7768D2BEF
+:04A47500C77E8D27EA
+:04A47600C7878D22E5
+:04A47700C78F8D1EE0
+:04A47800C7988D1ADA
+:04A47900C7A08D16D5
+:04A47A00C7A98D12CF
+:04A47B00C7B18D0ECA
+:04A47C00C7B98D0AC5
+:04A47D00C7C28D05C0
+:04A47E00C7CA8D01BB
+:04A47F00C7D38CFDB6
+:04A48000C7DB8CF9B1
+:04A48100C7E48CF5AB
+:04A48200C7EC8CF1A6
+:04A48300C7F58CEDA0
+:04A48400C7FD8CE99B
+:04A48500C8068CE495
+:04A48600C80E8CE090
+:04A48700C8178CDC8A
+:04A48800C81F8CD885
+:04A48900C8288CD47F
+:04A48A00C8308CD07A
+:04A48B00C8398CCC74
+:04A48C00C8418CC86F
+:04A48D00C84A8CC469
+:04A48E00C8528CBF65
+:04A48F00C85A8CBB60
+:04A49000C8638CB75A
+:04A49100C86B8CB355
+:04A49200C8748CAF4F
+:04A49300C87C8CAB4A
+:04A49400C8858CA744
+:04A49500C88D8CA33F
+:04A49600C8968C9F39
+:04A49700C89E8C9B34
+:04A49800C8A78C972E
+:04A49900C8AF8C922A
+:04A49A00C8B88C8E24
+:04A49B00C8C08C8A1F
+:04A49C00C8C98C8619
+:04A49D00C8D18C8214
+:04A49E00C8DA8C7E0E
+:04A49F00C8E28C7A09
+:04A4A000C8EB8C7603
+:04A4A100C8F38C72FE
+:04A4A200C8FC8C6EF8
+:04A4A300C9048C6AF2
+:04A4A400C90D8C66EC
+:04A4A500C9158C62E7
+:04A4A600C91E8C5EE1
+:04A4A700C9268C5ADC
+:04A4A800C92F8C56D6
+:04A4A900C9388C52D0
+:04A4AA00C9408C4ECB
+:04A4AB00C9498C4AC5
+:04A4AC00C9518C46C0
+:04A4AD00C95A8C41BB
+:04A4AE00C9628C3DB6
+:04A4AF00C96B8C39B0
+:04A4B000C9738C35AB
+:04A4B100C97C8C31A5
+:04A4B200C9848C2DA0
+:04A4B300C98D8C299A
+:04A4B400C9958C2595
+:04A4B500C99E8C218F
+:04A4B600C9A68C1D8A
+:04A4B700C9AF8C1984
+:04A4B800C9B78C157F
+:04A4B900C9C08C1179
+:04A4BA00C9C88C0D74
+:04A4BB00C9D18C096E
+:04A4BC00C9DA8C0568
+:04A4BD00C9E28C0163
+:04A4BE00C9EB8BFD5E
+:04A4BF00C9F38BF959
+:04A4C000C9FC8BF553
+:04A4C100CA048BF24C
+:04A4C200CA0D8BEE46
+:04A4C300CA158BEA41
+:04A4C400CA1E8BE63B
+:04A4C500CA268BE236
+:04A4C600CA2F8BDE30
+:04A4C700CA388BDA2A
+:04A4C800CA408BD625
+:04A4C900CA498BD21F
+:04A4CA00CA518BCE1A
+:04A4CB00CA5A8BCA14
+:04A4CC00CA628BC60F
+:04A4CD00CA6B8BC209
+:04A4CE00CA738BBE04
+:04A4CF00CA7C8BBAFE
+:04A4D000CA858BB6F8
+:04A4D100CA8D8BB2F3
+:04A4D200CA968BAEED
+:04A4D300CA9E8BAAE8
+:04A4D400CAA78BA6E2
+:04A4D500CAAF8BA3DC
+:04A4D600CAB88B9FD6
+:04A4D700CAC18B9BD0
+:04A4D800CAC98B97CB
+:04A4D900CAD28B93C5
+:04A4DA00CADA8B8FC0
+:04A4DB00CAE38B8BBA
+:04A4DC00CAEB8B87B5
+:04A4DD00CAF48B83AF
+:04A4DE00CAFD8B7FA9
+:04A4DF00CB058B7BA3
+:04A4E000CB0E8B779D
+:04A4E100CB168B7497
+:04A4E200CB1F8B7091
+:04A4E300CB278B6C8C
+:04A4E400CB308B6886
+:04A4E500CB398B6480
+:04A4E600CB418B607B
+:04A4E700CB4A8B5C75
+:04A4E800CB528B5870
+:04A4E900CB5B8B546A
+:04A4EA00CB648B5163
+:04A4EB00CB6C8B4D5E
+:04A4EC00CB758B4958
+:04A4ED00CB7D8B4553
+:04A4EE00CB868B414D
+:04A4EF00CB8F8B3D47
+:04A4F000CB978B3942
+:04A4F100CBA08B363B
+:04A4F200CBA88B3236
+:04A4F300CBB18B2E30
+:04A4F400CBBA8B2A2A
+:04A4F500CBC28B2625
+:04A4F600CBCB8B221F
+:04A4F700CBD38B1E1A
+:04A4F800CBDC8B1B13
+:04A4F900CBE58B170D
+:04A4FA00CBED8B1308
+:04A4FB00CBF68B0F02
+:04A4FC00CBFE8B0BFD
+:04A4FD00CC078B07F6
+:04A4FE00CC108B04EF
+:04A4FF00CC188B00EA
+:04A50000CC218AFCE4
+:04A50100CC298AF8DF
+:04A50200CC328AF4D9
+:04A50300CC3B8AF1D2
+:04A50400CC438AEDCD
+:04A50500CC4C8AE9C7
+:04A50600CC558AE5C1
+:04A50700CC5D8AE1BC
+:04A50800CC668ADEB5
+:04A50900CC6E8ADAB0
+:04A50A00CC778AD6AA
+:04A50B00CC808AD2A4
+:04A50C00CC888ACE9F
+:04A50D00CC918ACB98
+:04A50E00CC9A8AC792
+:04A50F00CCA28AC38D
+:04A51000CCAB8ABF87
+:04A51100CCB38ABB82
+:04A51200CCBC8AB87B
+:04A51300CCC58AB475
+:04A51400CCCD8AB070
+:04A51500CCD68AAC6A
+:04A51600CCDF8AA963
+:04A51700CCE78AA55E
+:04A51800CCF08AA158
+:04A51900CCF98A9D52
+:04A51A00CD018A9A4B
+:04A51B00CD0A8A9645
+:04A51C00CD138A923F
+:04A51D00CD1B8A8E3A
+:04A51E00CD248A8B33
+:04A51F00CD2C8A872E
+:04A52000CD358A8328
+:04A52100CD3E8A7F22
+:04A52200CD468A7C1C
+:04A52300CD4F8A7816
+:04A52400CD588A7410
+:04A52500CD608A700B
+:04A52600CD698A6D04
+:04A52700CD728A69FE
+:04A52800CD7A8A65F9
+:04A52900CD838A62F2
+:04A52A00CD8C8A5EEC
+:04A52B00CD948A5AE7
+:04A52C00CD9D8A56E1
+:04A52D00CDA68A53DA
+:04A52E00CDAE8A4FD5
+:04A52F00CDB78A4BCF
+:04A53000CDC08A48C8
+:04A53100CDC88A44C3
+:04A53200CDD18A40BD
+:04A53300CDDA8A3CB7
+:04A53400CDE28A39B1
+:04A53500CDEB8A35AB
+:04A53600CDF48A31A5
+:04A53700CDFC8A2E9F
+:04A53800CE058A2A98
+:04A53900CE0E8A2692
+:04A53A00CE168A238C
+:04A53B00CE1F8A1F86
+:04A53C00CE288A1B80
+:04A53D00CE308A187A
+:04A53E00CE398A1474
+:04A53F00CE428A106E
+:04A54000CE4A8A0D68
+:04A54100CE538A0962
+:04A54200CE5C8A055C
+:04A54300CE658A0255
+:04A54400CE6D89FE51
+:04A54500CE7689FA4B
+:04A54600CE7F89F744
+:04A54700CE8789F33F
+:04A54800CE9089EF39
+:04A54900CE9989EC32
+:04A54A00CEA189E82D
+:04A54B00CEAA89E526
+:04A54C00CEB389E120
+:04A54D00CEBB89DD1B
+:04A54E00CEC489DA14
+:04A54F00CECD89D60E
+:04A55000CED689D208
+:04A55100CEDE89CF02
+:04A55200CEE789CBFC
+:04A55300CEF089C8F5
+:04A55400CEF889C4F0
+:04A55500CF0189C0E9
+:04A55600CF0A89BDE2
+:04A55700CF1389B9DC
+:04A55800CF1B89B6D6
+:04A55900CF2489B2D0
+:04A55A00CF2D89AECA
+:04A55B00CF3589ABC4
+:04A55C00CF3E89A7BE
+:04A55D00CF4789A4B7
+:04A55E00CF4F89A0B2
+:04A55F00CF58899CAC
+:04A56000CF618999A5
+:04A56100CF6A89959F
+:04A56200CF72899299
+:04A56300CF7B898E93
+:04A56400CF84898B8C
+:04A56500CF8D898786
+:04A56600CF95898381
+:04A56700CF9E89807A
+:04A56800CFA7897C74
+:04A56900CFAF89796E
+:04A56A00CFB8897568
+:04A56B00CFC1897261
+:04A56C00CFCA896E5B
+:04A56D00CFD2896B55
+:04A56E00CFDB89674F
+:04A56F00CFE4896349
+:04A57000CFED896042
+:04A57100CFF5895C3D
+:04A57200CFFE895936
+:04A57300D00789552F
+:04A57400D00F895229
+:04A57500D018894E23
+:04A57600D021894B1C
+:04A57700D02A894716
+:04A57800D032894410
+:04A57900D03B89400A
+:04A57A00D044893D03
+:04A57B00D04D8939FD
+:04A57C00D0558936F7
+:04A57D00D05E8932F1
+:04A57E00D067892FEA
+:04A57F00D070892BE4
+:04A58000D0788928DE
+:04A58100D0818924D8
+:04A58200D08A8921D1
+:04A58300D093891DCB
+:04A58400D09B891AC5
+:04A58500D0A48916BF
+:04A58600D0AD8913B8
+:04A58700D0B6890FB2
+:04A58800D0BE890CAC
+:04A58900D0C78908A6
+:04A58A00D0D089059F
+:04A58B00D0D9890199
+:04A58C00D0E188FE94
+:04A58D00D0EA88FA8E
+:04A58E00D0F388F787
+:04A58F00D0FC88F381
+:04A59000D10588F079
+:04A59100D10D88EC74
+:04A59200D11688E96D
+:04A59300D11F88E666
+:04A59400D12888E260
+:04A59500D13088DF5A
+:04A59600D13988DB54
+:04A59700D14288D84D
+:04A59800D14B88D447
+:04A59900D15388D141
+:04A59A00D15C88CD3B
+:04A59B00D16588CA34
+:04A59C00D16E88C72D
+:04A59D00D17788C327
+:04A59E00D17F88C021
+:04A59F00D18888BC1B
+:04A5A000D19188B914
+:04A5A100D19A88B50E
+:04A5A200D1A388B207
+:04A5A300D1AB88AF01
+:04A5A400D1B488ABFB
+:04A5A500D1BD88A8F4
+:04A5A600D1C688A4EE
+:04A5A700D1CE88A1E8
+:04A5A800D1D7889EE1
+:04A5A900D1E0889ADB
+:04A5AA00D1E98897D4
+:04A5AB00D1F28893CE
+:04A5AC00D1FA8890C8
+:04A5AD00D203888DC0
+:04A5AE00D20C8889BA
+:04A5AF00D2158886B3
+:04A5B000D21E8883AC
+:04A5B100D226887FA7
+:04A5B200D22F887CA0
+:04A5B300D23888789A
+:04A5B400D241887593
+:04A5B500D24A88728C
+:04A5B600D252886E87
+:04A5B700D25B886B80
+:04A5B800D264886879
+:04A5B900D26D886473
+:04A5BA00D27688616C
+:04A5BB00D27E885D67
+:04A5BC00D287885A60
+:04A5BD00D290885759
+:04A5BE00D299885353
+:04A5BF00D2A288504C
+:04A5C000D2AA884D46
+:04A5C100D2B3884940
+:04A5C200D2BC884639
+:04A5C300D2C5884332
+:04A5C400D2CE883F2C
+:04A5C500D2D7883C25
+:04A5C600D2DF88391F
+:04A5C700D2E8883519
+:04A5C800D2F1883212
+:04A5C900D2FA882F0B
+:04A5CA00D303882C03
+:04A5CB00D30B8828FE
+:04A5CC00D3148825F7
+:04A5CD00D31D8822F0
+:04A5CE00D326881EEA
+:04A5CF00D32F881BE3
+:04A5D000D3388818DC
+:04A5D100D3408814D7
+:04A5D200D3498811D0
+:04A5D300D352880EC9
+:04A5D400D35B880BC2
+:04A5D500D3648807BC
+:04A5D600D36D8804B5
+:04A5D700D3758801AF
+:04A5D800D37E87FDAA
+:04A5D900D38787FAA3
+:04A5DA00D39087F79C
+:04A5DB00D39987F495
+:04A5DC00D3A287F08F
+:04A5DD00D3AA87ED89
+:04A5DE00D3B387EA82
+:04A5DF00D3BC87E77B
+:04A5E000D3C587E375
+:04A5E100D3CE87E06E
+:04A5E200D3D787DD67
+:04A5E300D3E087DA60
+:04A5E400D3E887D65B
+:04A5E500D3F187D354
+:04A5E600D3FA87D04D
+:04A5E700D40387CD45
+:04A5E800D40C87C93F
+:04A5E900D41587C638
+:04A5EA00D41D87C332
+:04A5EB00D42687C02B
+:04A5EC00D42F87BC25
+:04A5ED00D43887B91E
+:04A5EE00D44187B617
+:04A5EF00D44A87B310
+:04A5F000D45387AF0A
+:04A5F100D45B87AC04
+:04A5F200D46487A9FD
+:04A5F300D46D87A6F6
+:04A5F400D47687A3EF
+:04A5F500D47F879FE9
+:04A5F600D488879CE2
+:04A5F700D4918799DB
+:04A5F800D49A8796D4
+:04A5F900D4A28793CE
+:04A5FA00D4AB878FC8
+:04A5FB00D4B4878CC1
+:04A5FC00D4BD8789BA
+:04A5FD00D4C68786B3
+:04A5FE00D4CF8783AC
+:04A5FF00D4D88780A5
+:04A60000D4E0877C9F
+:04A60100D4E9877998
+:04A60200D4F2877691
+:04A60300D4FB87738A
+:04A60400D504877082
+:04A60500D50D876D7B
+:04A60600D516876975
+:04A60700D51F87666E
+:04A60800D527876368
+:04A60900D530876061
+:04A60A00D539875D5A
+:04A60B00D542875A53
+:04A60C00D54B87564D
+:04A60D00D554875346
+:04A60E00D55D87503F
+:04A60F00D566874D38
+:04A61000D56F874A31
+:04A61100D57787472B
+:04A61200D580874424
+:04A61300D58987411D
+:04A61400D592873D17
+:04A61500D59B873A10
+:04A61600D5A4873709
+:04A61700D5AD873402
+:04A61800D5B68731FB
+:04A61900D5BF872EF4
+:04A61A00D5C7872BEE
+:04A61B00D5D08728E7
+:04A61C00D5D98724E1
+:04A61D00D5E28721DA
+:04A61E00D5EB871ED3
+:04A61F00D5F4871BCC
+:04A62000D5FD8718C5
+:04A62100D6068715BD
+:04A62200D60F8712B6
+:04A62300D618870FAF
+:04A62400D620870CA9
+:04A62500D6298709A2
+:04A62600D63287069B
+:04A62700D63B870295
+:04A62800D64486FF8F
+:04A62900D64D86FC88
+:04A62A00D65686F981
+:04A62B00D65F86F67A
+:04A62C00D66886F373
+:04A62D00D67186F06C
+:04A62E00D67A86ED65
+:04A62F00D68386EA5E
+:04A63000D68B86E758
+:04A63100D69486E451
+:04A63200D69D86E14A
+:04A63300D6A686DE43
+:04A63400D6AF86DB3C
+:04A63500D6B886D835
+:04A63600D6C186D52E
+:04A63700D6CA86D227
+:04A63800D6D386CF20
+:04A63900D6DC86CC19
+:04A63A00D6E586C912
+:04A63B00D6EE86C50C
+:04A63C00D6F686C206
+:04A63D00D6FF86BFFF
+:04A63E00D70886BCF7
+:04A63F00D71186B9F0
+:04A64000D71A86B6E9
+:04A64100D72386B3E2
+:04A64200D72C86B0DB
+:04A64300D73586ADD4
+:04A64400D73E86AACD
+:04A64500D74786A7C6
+:04A64600D75086A4BF
+:04A64700D75986A1B8
+:04A64800D762869EB1
+:04A64900D76B869BAA
+:04A64A00D7748698A3
+:04A64B00D77C86959D
+:04A64C00D785869296
+:04A64D00D78E868F8F
+:04A64E00D797868D87
+:04A64F00D7A0868A80
+:04A65000D7A9868779
+:04A65100D7B2868472
+:04A65200D7BB86816B
+:04A65300D7C4867E64
+:04A65400D7CD867B5D
+:04A65500D7D6867856
+:04A65600D7DF86754F
+:04A65700D7E8867248
+:04A65800D7F1866F41
+:04A65900D7FA866C3A
+:04A65A00D803866932
+:04A65B00D80C86662B
+:04A65C00D815866324
+:04A65D00D81E86601D
+:04A65E00D826865D17
+:04A65F00D82F865A10
+:04A66000D838865709
+:04A66100D841865402
+:04A66200D84A8652FA
+:04A66300D853864FF3
+:04A66400D85C864CEC
+:04A66500D8658649E5
+:04A66600D86E8646DE
+:04A66700D8778643D7
+:04A66800D8808640D0
+:04A66900D889863DC9
+:04A66A00D892863AC2
+:04A66B00D89B8637BB
+:04A66C00D8A48634B4
+:04A66D00D8AD8632AC
+:04A66E00D8B6862FA5
+:04A66F00D8BF862C9E
+:04A67000D8C8862997
+:04A67100D8D1862690
+:04A67200D8DA862389
+:04A67300D8E3862082
+:04A67400D8EC861D7B
+:04A67500D8F5861A74
+:04A67600D8FE86186C
+:04A67700D907861564
+:04A67800D91086125D
+:04A67900D919860F56
+:04A67A00D922860C4F
+:04A67B00D92B860948
+:04A67C00D934860641
+:04A67D00D93D860439
+:04A67E00D946860132
+:04A67F00D94E85FE2D
+:04A68000D95785FB26
+:04A68100D96085F81F
+:04A68200D96985F518
+:04A68300D97285F211
+:04A68400D97B85F009
+:04A68500D98485ED02
+:04A68600D98D85EAFB
+:04A68700D99685E7F4
+:04A68800D99F85E4ED
+:04A68900D9A885E1E6
+:04A68A00D9B185DFDE
+:04A68B00D9BA85DCD7
+:04A68C00D9C385D9D0
+:04A68D00D9CC85D6C9
+:04A68E00D9D585D3C2
+:04A68F00D9DE85D1BA
+:04A69000D9E785CEB3
+:04A69100D9F085CBAC
+:04A69200D9F985C8A5
+:04A69300DA0285C59D
+:04A69400DA0B85C395
+:04A69500DA1485C08E
+:04A69600DA1D85BD87
+:04A69700DA2685BA80
+:04A69800DA2F85B779
+:04A69900DA3885B571
+:04A69A00DA4185B26A
+:04A69B00DA4A85AF63
+:04A69C00DA5385AC5C
+:04A69D00DA5C85AA54
+:04A69E00DA6585A74D
+:04A69F00DA6E85A446
+:04A6A000DA7785A13F
+:04A6A100DA80859E38
+:04A6A200DA89859C30
+:04A6A300DA92859929
+:04A6A400DA9B859622
+:04A6A500DAA485931B
+:04A6A600DAAD859113
+:04A6A700DAB6858E0C
+:04A6A800DABF858B05
+:04A6A900DAC98588FD
+:04A6AA00DAD28586F5
+:04A6AB00DADB8583EE
+:04A6AC00DAE48580E7
+:04A6AD00DAED857EDF
+:04A6AE00DAF6857BD8
+:04A6AF00DAFF8578D1
+:04A6B000DB088575C9
+:04A6B100DB118573C1
+:04A6B200DB1A8570BA
+:04A6B300DB23856DB3
+:04A6B400DB2C856AAC
+:04A6B500DB358568A4
+:04A6B600DB3E85659D
+:04A6B700DB47856296
+:04A6B800DB5085608E
+:04A6B900DB59855D87
+:04A6BA00DB62855A80
+:04A6BB00DB6B855878
+:04A6BC00DB74855571
+:04A6BD00DB7D85526A
+:04A6BE00DB86854F63
+:04A6BF00DB8F854D5B
+:04A6C000DB98854A54
+:04A6C100DBA185474D
+:04A6C200DBAA854545
+:04A6C300DBB385423E
+:04A6C400DBBC853F37
+:04A6C500DBC5853D2F
+:04A6C600DBCE853A28
+:04A6C700DBD7853721
+:04A6C800DBE0853519
+:04A6C900DBE9853212
+:04A6CA00DBF2852F0B
+:04A6CB00DBFC852D02
+:04A6CC00DC05852AFA
+:04A6CD00DC0E8527F3
+:04A6CE00DC178525EB
+:04A6CF00DC208522E4
+:04A6D000DC298520DC
+:04A6D100DC32851DD5
+:04A6D200DC3B851ACE
+:04A6D300DC448518C6
+:04A6D400DC4D8515BF
+:04A6D500DC568512B8
+:04A6D600DC5F8510B0
+:04A6D700DC68850DA9
+:04A6D800DC71850BA1
+:04A6D900DC7A85089A
+:04A6DA00DC83850593
+:04A6DB00DC8C85038B
+:04A6DC00DC95850084
+:04A6DD00DC9E84FD7E
+:04A6DE00DCA784FB76
+:04A6DF00DCB184F86E
+:04A6E000DCBA84F666
+:04A6E100DCC384F35F
+:04A6E200DCCC84F058
+:04A6E300DCD584EE50
+:04A6E400DCDE84EB49
+:04A6E500DCE784E941
+:04A6E600DCF084E63A
+:04A6E700DCF984E432
+:04A6E800DD0284E12A
+:04A6E900DD0B84DE23
+:04A6EA00DD1484DC1B
+:04A6EB00DD1D84D914
+:04A6EC00DD2684D70C
+:04A6ED00DD2F84D405
+:04A6EE00DD3984D2FC
+:04A6EF00DD4284CFF5
+:04A6F000DD4B84CCEE
+:04A6F100DD5484CAE6
+:04A6F200DD5D84C7DF
+:04A6F300DD6684C5D7
+:04A6F400DD6F84C2D0
+:04A6F500DD7884C0C8
+:04A6F600DD8184BDC1
+:04A6F700DD8A84BBB9
+:04A6F800DD9384B8B2
+:04A6F900DD9C84B6AA
+:04A6FA00DDA584B3A3
+:04A6FB00DDAE84B19B
+:04A6FC00DDB884AE93
+:04A6FD00DDC184AB8C
+:04A6FE00DDCA84A984
+:04A6FF00DDD384A67D
+:04A70000DDDC84A474
+:04A70100DDE584A16D
+:04A70200DDEE849F65
+:04A70300DDF7849C5E
+:04A70400DE00849A55
+:04A70500DE0984974E
+:04A70600DE12849546
+:04A70700DE1B84923F
+:04A70800DE25849036
+:04A70900DE2E848D2F
+:04A70A00DE37848B27
+:04A70B00DE40848820
+:04A70C00DE49848618
+:04A70D00DE52848311
+:04A70E00DE5B848109
+:04A70F00DE64847F01
+:04A71000DE6D847CFA
+:04A71100DE76847AF2
+:04A71200DE7F8477EB
+:04A71300DE898475E2
+:04A71400DE928472DB
+:04A71500DE9B8470D3
+:04A71600DEA4846DCC
+:04A71700DEAD846BC4
+:04A71800DEB68468BD
+:04A71900DEBF8466B5
+:04A71A00DEC88463AE
+:04A71B00DED18461A6
+:04A71C00DEDA845F9E
+:04A71D00DEE4845C96
+:04A71E00DEED845A8E
+:04A71F00DEF6845787
+:04A72000DEFF84557F
+:04A72100DF08845277
+:04A72200DF1184506F
+:04A72300DF1A844E67
+:04A72400DF23844B60
+:04A72500DF2C844958
+:04A72600DF36844650
+:04A72700DF3F844448
+:04A72800DF48844141
+:04A72900DF51843F39
+:04A72A00DF5A843D31
+:04A72B00DF63843A2A
+:04A72C00DF6C843822
+:04A72D00DF7584351B
+:04A72E00DF7E843313
+:04A72F00DF8884310A
+:04A73000DF91842E03
+:04A73100DF9A842CFB
+:04A73200DFA3842AF3
+:04A73300DFAC8427EC
+:04A73400DFB58425E4
+:04A73500DFBE8422DD
+:04A73600DFC78420D5
+:04A73700DFD1841ECC
+:04A73800DFDA841BC5
+:04A73900DFE38419BD
+:04A73A00DFEC8417B5
+:04A73B00DFF58414AE
+:04A73C00DFFE8412A6
+:04A73D00E007840F9E
+:04A73E00E010840D96
+:04A73F00E01A840B8D
+:04A74000E023840886
+:04A74100E02C84067E
+:04A74200E035840476
+:04A74300E03E84016F
+:04A74400E04783FF68
+:04A74500E05083FD60
+:04A74600E05983FA59
+:04A74700E06383F850
+:04A74800E06C83F648
+:04A74900E07583F341
+:04A74A00E07E83F139
+:04A74B00E08783EF31
+:04A74C00E09083EC2A
+:04A74D00E09983EA22
+:04A74E00E0A283E81A
+:04A74F00E0AC83E611
+:04A75000E0B583E30A
+:04A75100E0BE83E102
+:04A75200E0C783DFFA
+:04A75300E0D083DCF3
+:04A75400E0D983DAEB
+:04A75500E0E283D8E3
+:04A75600E0EC83D5DB
+:04A75700E0F583D3D3
+:04A75800E0FE83D1CB
+:04A75900E10783CFC2
+:04A75A00E11083CCBB
+:04A75B00E11983CAB3
+:04A75C00E12283C8AB
+:04A75D00E12C83C5A3
+:04A75E00E13583C39B
+:04A75F00E13E83C193
+:04A76000E14783BF8B
+:04A76100E15083BC84
+:04A76200E15983BA7C
+:04A76300E16383B873
+:04A76400E16C83B66B
+:04A76500E17583B364
+:04A76600E17E83B15C
+:04A76700E18783AF54
+:04A76800E19083AD4C
+:04A76900E19983AA45
+:04A76A00E1A383A83C
+:04A76B00E1AC83A634
+:04A76C00E1B583A42C
+:04A76D00E1BE83A125
+:04A76E00E1C7839F1D
+:04A76F00E1D0839D15
+:04A77000E1DA839B0C
+:04A77100E1E3839904
+:04A77200E1EC8396FD
+:04A77300E1F58394F5
+:04A77400E1FE8392ED
+:04A77500E2078390E4
+:04A77600E210838EDC
+:04A77700E21A838BD4
+:04A77800E2238389CC
+:04A77900E22C8387C4
+:04A77A00E2358385BC
+:04A77B00E23E8383B4
+:04A77C00E2478380AD
+:04A77D00E251837EA4
+:04A77E00E25A837C9C
+:04A77F00E263837A94
+:04A78000E26C83788C
+:04A78100E275837585
+:04A78200E27E83737D
+:04A78300E288837174
+:04A78400E291836F6C
+:04A78500E29A836D64
+:04A78600E2A3836B5C
+:04A78700E2AC836855
+:04A78800E2B683664C
+:04A78900E2BF836444
+:04A78A00E2C883623C
+:04A78B00E2D1836034
+:04A78C00E2DA835E2C
+:04A78D00E2E3835C24
+:04A78E00E2ED83591C
+:04A78F00E2F6835714
+:04A79000E2FF83550C
+:04A79100E308835303
+:04A79200E3118351FB
+:04A79300E31A834FF3
+:04A79400E324834DEA
+:04A79500E32D834BE2
+:04A79600E3368348DB
+:04A79700E33F8346D3
+:04A79800E3488344CB
+:04A79900E3528342C2
+:04A79A00E35B8340BA
+:04A79B00E364833EB2
+:04A79C00E36D833CAA
+:04A79D00E376833AA2
+:04A79E00E380833899
+:04A79F00E389833592
+:04A7A000E39283338A
+:04A7A100E39B833182
+:04A7A200E3A4832F7A
+:04A7A300E3AD832D72
+:04A7A400E3B7832B69
+:04A7A500E3C0832961
+:04A7A600E3C9832759
+:04A7A700E3D2832551
+:04A7A800E3DB832349
+:04A7A900E3E5832140
+:04A7AA00E3EE831F38
+:04A7AB00E3F7831C31
+:04A7AC00E400831A28
+:04A7AD00E409831820
+:04A7AE00E413831617
+:04A7AF00E41C83140F
+:04A7B000E425831207
+:04A7B100E42E8310FF
+:04A7B200E437830EF7
+:04A7B300E441830CEE
+:04A7B400E44A830AE6
+:04A7B500E4538308DE
+:04A7B600E45C8306D6
+:04A7B700E4658304CE
+:04A7B800E46F8302C5
+:04A7B900E4788300BD
+:04A7BA00E48182FEB6
+:04A7BB00E48A82FCAE
+:04A7BC00E49382FAA6
+:04A7BD00E49D82F89D
+:04A7BE00E4A682F695
+:04A7BF00E4AF82F48D
+:04A7C000E4B882F285
+:04A7C100E4C182F07D
+:04A7C200E4CB82EE74
+:04A7C300E4D482EC6C
+:04A7C400E4DD82EA64
+:04A7C500E4E682E85C
+:04A7C600E4EF82E654
+:04A7C700E4F982E44B
+:04A7C800E50282E242
+:04A7C900E50B82E03A
+:04A7CA00E51482DE32
+:04A7CB00E51E82DC29
+:04A7CC00E52782DA21
+:04A7CD00E53082D819
+:04A7CE00E53982D611
+:04A7CF00E54282D409
+:04A7D000E54C82D200
+:04A7D100E55582D0F8
+:04A7D200E55E82CEF0
+:04A7D300E56782CCE8
+:04A7D400E57082CAE0
+:04A7D500E57A82C8D7
+:04A7D600E58382C6CF
+:04A7D700E58C82C4C7
+:04A7D800E59582C2BF
+:04A7D900E59F82C0B6
+:04A7DA00E5A882BEAE
+:04A7DB00E5B182BCA6
+:04A7DC00E5BA82BA9E
+:04A7DD00E5C382B995
+:04A7DE00E5CD82B78C
+:04A7DF00E5D682B584
+:04A7E000E5DF82B37C
+:04A7E100E5E882B174
+:04A7E200E5F282AF6B
+:04A7E300E5FB82AD63
+:04A7E400E60482AB5A
+:04A7E500E60D82A952
+:04A7E600E61782A749
+:04A7E700E62082A541
+:04A7E800E62982A339
+:04A7E900E63282A230
+:04A7EA00E63B82A028
+:04A7EB00E645829E1F
+:04A7EC00E64E829C17
+:04A7ED00E657829A0F
+:04A7EE00E660829807
+:04A7EF00E66A8296FE
+:04A7F000E6738294F6
+:04A7F100E67C8292EE
+:04A7F200E6858291E5
+:04A7F300E68F828FDC
+:04A7F400E698828DD4
+:04A7F500E6A1828BCC
+:04A7F600E6AA8289C4
+:04A7F700E6B48287BB
+:04A7F800E6BD8285B3
+:04A7F900E6C68284AA
+:04A7FA00E6CF8282A2
+:04A7FB00E6D882809A
+:04A7FC00E6E2827E91
+:04A7FD00E6EB827C89
+:04A7FE00E6F4827A81
+:04A7FF00E6FD827879
+:04A80000E70782776D
+:04A80100E710827565
+:04A80200E71982735D
+:04A80300E722827155
+:04A80400E72C826F4C
+:04A80500E735826D44
+:04A80600E73E826C3B
+:04A80700E747826A33
+:04A80800E75182682A
+:04A80900E75A826622
+:04A80A00E76382641A
+:04A80B00E76C826311
+:04A80C00E776826108
+:04A80D00E77F825F00
+:04A80E00E788825DF8
+:04A80F00E791825BF0
+:04A81000E79B825AE6
+:04A81100E7A48258DE
+:04A81200E7AD8256D6
+:04A81300E7B68254CE
+:04A81400E7C08252C5
+:04A81500E7C98251BC
+:04A81600E7D2824FB4
+:04A81700E7DB824DAC
+:04A81800E7E5824BA3
+:04A81900E7EE82499B
+:04A81A00E7F7824892
+:04A81B00E800824689
+:04A81C00E80A824480
+:04A81D00E813824278
+:04A81E00E81C82416F
+:04A81F00E825823F67
+:04A82000E82F823D5E
+:04A82100E838823B56
+:04A82200E841823A4D
+:04A82300E84A823845
+:04A82400E85482363C
+:04A82500E85D823434
+:04A82600E86682332B
+:04A82700E870823122
+:04A82800E879822F1A
+:04A82900E882822D12
+:04A82A00E88B822C09
+:04A82B00E895822A00
+:04A82C00E89E8228F8
+:04A82D00E8A78227EF
+:04A82E00E8B08225E7
+:04A82F00E8BA8223DE
+:04A83000E8C38221D6
+:04A83100E8CC8220CD
+:04A83200E8D5821EC5
+:04A83300E8DF821CBC
+:04A83400E8E8821BB3
+:04A83500E8F18219AB
+:04A83600E8FB8217A2
+:04A83700E904821599
+:04A83800E90D821490
+:04A83900E916821288
+:04A83A00E92082107F
+:04A83B00E929820F76
+:04A83C00E932820D6E
+:04A83D00E93B820B66
+:04A83E00E945820A5C
+:04A83F00E94E820854
+:04A84000E95782064C
+:04A84100E961820542
+:04A84200E96A82033A
+:04A84300E973820132
+:04A84400E97C820029
+:04A84500E98681FE21
+:04A84600E98F81FC19
+:04A84700E99881FB10
+:04A84800E9A181F908
+:04A84900E9AB81F7FF
+:04A84A00E9B481F6F6
+:04A84B00E9BD81F4EE
+:04A84C00E9C781F3E4
+:04A84D00E9D081F1DC
+:04A84E00E9D981EFD4
+:04A84F00E9E281EECB
+:04A85000E9EC81ECC2
+:04A85100E9F581EABA
+:04A85200E9FE81E9B1
+:04A85300EA0881E7A7
+:04A85400EA1181E69E
+:04A85500EA1A81E496
+:04A85600EA2381E28E
+:04A85700EA2D81E184
+:04A85800EA3681DF7C
+:04A85900EA3F81DE73
+:04A85A00EA4981DC6A
+:04A85B00EA5281DA62
+:04A85C00EA5B81D959
+:04A85D00EA6481D751
+:04A85E00EA6E81D647
+:04A85F00EA7781D43F
+:04A86000EA8081D237
+:04A86100EA8A81D12D
+:04A86200EA9381CF25
+:04A86300EA9C81CE1C
+:04A86400EAA581CC14
+:04A86500EAAF81CA0B
+:04A86600EAB881C902
+:04A86700EAC181C7FA
+:04A86800EACB81C6F0
+:04A86900EAD481C4E8
+:04A86A00EADD81C3DF
+:04A86B00EAE781C1D6
+:04A86C00EAF081C0CD
+:04A86D00EAF981BEC5
+:04A86E00EB0281BCBC
+:04A86F00EB0C81BBB2
+:04A87000EB1581B9AA
+:04A87100EB1E81B8A1
+:04A87200EB2881B698
+:04A87300EB3181B58F
+:04A87400EB3A81B387
+:04A87500EB4481B27D
+:04A87600EB4D81B075
+:04A87700EB5681AF6C
+:04A87800EB5F81AD64
+:04A87900EB6981AC5A
+:04A87A00EB7281AA52
+:04A87B00EB7B81A949
+:04A87C00EB8581A740
+:04A87D00EB8E81A637
+:04A87E00EB9781A42F
+:04A87F00EBA181A325
+:04A88000EBAA81A11D
+:04A88100EBB381A014
+:04A88200EBBC819E0C
+:04A88300EBC6819D02
+:04A88400EBCF819BFA
+:04A88500EBD8819AF1
+:04A88600EBE28198E8
+:04A88700EBEB8197DF
+:04A88800EBF48195D7
+:04A88900EBFE8194CD
+:04A88A00EC078192C4
+:04A88B00EC108191BB
+:04A88C00EC1A818FB2
+:04A88D00EC23818EA9
+:04A88E00EC2C818CA1
+:04A88F00EC35818B98
+:04A89000EC3F81898F
+:04A89100EC48818886
+:04A89200EC5181877D
+:04A89300EC5B818574
+:04A89400EC6481846B
+:04A89500EC6D818263
+:04A89600EC77818159
+:04A89700EC80817F51
+:04A89800EC89817E48
+:04A89900EC93817C3F
+:04A89A00EC9C817B36
+:04A89B00ECA5817A2D
+:04A89C00ECAF817824
+:04A89D00ECB881771B
+:04A89E00ECC1817513
+:04A89F00ECCA81740A
+:04A8A000ECD4817300
+:04A8A100ECDD8171F8
+:04A8A200ECE68170EF
+:04A8A300ECF0816EE6
+:04A8A400ECF9816DDD
+:04A8A500ED02816CD3
+:04A8A600ED0C816ACA
+:04A8A700ED158169C1
+:04A8A800ED1E8167B9
+:04A8A900ED288166AF
+:04A8AA00ED318165A6
+:04A8AB00ED3A81639E
+:04A8AC00ED44816294
+:04A8AD00ED4D81608C
+:04A8AE00ED56815F83
+:04A8AF00ED60815E79
+:04A8B000ED69815C71
+:04A8B100ED72815B68
+:04A8B200ED7C815A5E
+:04A8B300ED85815856
+:04A8B400ED8E81574D
+:04A8B500ED98815544
+:04A8B600EDA181543B
+:04A8B700EDAA815332
+:04A8B800EDB4815129
+:04A8B900EDBD815020
+:04A8BA00EDC6814F17
+:04A8BB00EDD0814D0E
+:04A8BC00EDD9814C05
+:04A8BD00EDE2814BFC
+:04A8BE00EDEC8149F3
+:04A8BF00EDF58148EA
+:04A8C000EDFE8147E1
+:04A8C100EE088145D7
+:04A8C200EE118144CE
+:04A8C300EE1A8143C5
+:04A8C400EE248141BC
+:04A8C500EE2D8140B3
+:04A8C600EE36813FAA
+:04A8C700EE40813EA0
+:04A8C800EE49813C98
+:04A8C900EE52813B8F
+:04A8CA00EE5C813A85
+:04A8CB00EE6581387D
+:04A8CC00EE6E813774
+:04A8CD00EE7881366A
+:04A8CE00EE81813462
+:04A8CF00EE8A813359
+:04A8D000EE9481324F
+:04A8D100EE9D813146
+:04A8D200EEA6812F3E
+:04A8D300EEB0812E34
+:04A8D400EEB9812D2B
+:04A8D500EEC2812C22
+:04A8D600EECC812A19
+:04A8D700EED5812910
+:04A8D800EEDE812807
+:04A8D900EEE88126FE
+:04A8DA00EEF18125F5
+:04A8DB00EEFA8124EC
+:04A8DC00EF048123E1
+:04A8DD00EF0D8121D9
+:04A8DE00EF168120D0
+:04A8DF00EF20811FC6
+:04A8E000EF29811EBD
+:04A8E100EF32811CB5
+:04A8E200EF3C811BAB
+:04A8E300EF45811AA2
+:04A8E400EF4E811999
+:04A8E500EF5881188F
+:04A8E600EF61811687
+:04A8E700EF6A81157E
+:04A8E800EF74811474
+:04A8E900EF7D81136B
+:04A8EA00EF86811163
+:04A8EB00EF90811059
+:04A8EC00EF99810F50
+:04A8ED00EFA2810E47
+:04A8EE00EFAC810D3D
+:04A8EF00EFB5810B35
+:04A8F000EFBE810A2C
+:04A8F100EFC8810922
+:04A8F200EFD1810819
+:04A8F300EFDB81070F
+:04A8F400EFE4810507
+:04A8F500EFED8104FE
+:04A8F600EFF78103F4
+:04A8F700F0008102EA
+:04A8F800F0098101E1
+:04A8F900F0138100D7
+:04A8FA00F01C80FED0
+:04A8FB00F02580FDC7
+:04A8FC00F02F80FCBD
+:04A8FD00F03880FBB4
+:04A8FE00F04180FAAB
+:04A8FF00F04B80F9A1
+:04A90000F05480F798
+:04A90100F05D80F68F
+:04A90200F06780F585
+:04A90300F07080F47C
+:04A90400F07A80F372
+:04A90500F08380F269
+:04A90600F08C80F160
+:04A90700F09680EF57
+:04A90800F09F80EE4E
+:04A90900F0A880ED45
+:04A90A00F0B280EC3B
+:04A90B00F0BB80EB32
+:04A90C00F0C480EA29
+:04A90D00F0CE80E91F
+:04A90E00F0D780E816
+:04A90F00F0E080E60E
+:04A91000F0EA80E504
+:04A91100F0F380E4FB
+:04A91200F0FD80E3F1
+:04A91300F10680E2E7
+:04A91400F10F80E1DE
+:04A91500F11980E0D4
+:04A91600F12280DFCB
+:04A91700F12B80DEC2
+:04A91800F13580DDB8
+:04A91900F13E80DBB0
+:04A91A00F14780DAA7
+:04A91B00F15180D99D
+:04A91C00F15A80D894
+:04A91D00F16380D78B
+:04A91E00F16D80D681
+:04A91F00F17680D578
+:04A92000F18080D46E
+:04A92100F18980D365
+:04A92200F19280D25C
+:04A92300F19C80D152
+:04A92400F1A580D049
+:04A92500F1AE80CF40
+:04A92600F1B880CE36
+:04A92700F1C180CC2E
+:04A92800F1CA80CB25
+:04A92900F1D480CA1B
+:04A92A00F1DD80C912
+:04A92B00F1E780C808
+:04A92C00F1F080C7FF
+:04A92D00F1F980C6F6
+:04A92E00F20380C5EB
+:04A92F00F20C80C4E2
+:04A93000F21580C3D9
+:04A93100F21F80C2CF
+:04A93200F22880C1C6
+:04A93300F23280C0BC
+:04A93400F23B80BFB3
+:04A93500F24480BEAA
+:04A93600F24E80BDA0
+:04A93700F25780BC97
+:04A93800F26080BB8E
+:04A93900F26A80BA84
+:04A93A00F27380B97B
+:04A93B00F27C80B872
+:04A93C00F28680B768
+:04A93D00F28F80B65F
+:04A93E00F29980B555
+:04A93F00F2A280B44C
+:04A94000F2AB80B343
+:04A94100F2B580B239
+:04A94200F2BE80B130
+:04A94300F2C780B027
+:04A94400F2D180AF1D
+:04A94500F2DA80AE14
+:04A94600F2E480AD0A
+:04A94700F2ED80AC01
+:04A94800F2F680ABF8
+:04A94900F30080AAED
+:04A94A00F30980A9E4
+:04A94B00F31280A8DB
+:04A94C00F31C80A8D0
+:04A94D00F32580A7C7
+:04A94E00F32F80A6BD
+:04A94F00F33880A5B4
+:04A95000F34180A4AB
+:04A95100F34B80A3A1
+:04A95200F35480A298
+:04A95300F35D80A18F
+:04A95400F36780A085
+:04A95500F370809F7C
+:04A95600F37A809E72
+:04A95700F383809D69
+:04A95800F38C809C60
+:04A95900F396809B56
+:04A95A00F39F809A4D
+:04A95B00F3A9809A42
+:04A95C00F3B2809939
+:04A95D00F3BB809830
+:04A95E00F3C5809726
+:04A95F00F3CE80961D
+:04A96000F3D7809514
+:04A96100F3E180940A
+:04A96200F3EA809301
+:04A96300F3F48092F7
+:04A96400F3FD8092ED
+:04A96500F4068091E3
+:04A96600F4108090D9
+:04A96700F419808FD0
+:04A96800F422808EC7
+:04A96900F42C808DBD
+:04A96A00F435808CB4
+:04A96B00F43F808BAA
+:04A96C00F448808BA0
+:04A96D00F451808A97
+:04A96E00F45B80898D
+:04A96F00F464808884
+:04A97000F46E80877A
+:04A97100F477808671
+:04A97200F480808568
+:04A97300F48A80855D
+:04A97400F493808454
+:04A97500F49C80834B
+:04A97600F4A6808241
+:04A97700F4AF808138
+:04A97800F4B980802E
+:04A97900F4C2808024
+:04A97A00F4CB807F1B
+:04A97B00F4D5807E11
+:04A97C00F4DE807D08
+:04A97D00F4E8807CFE
+:04A97E00F4F1807BF5
+:04A97F00F4FA807BEB
+:04A98000F504807AE0
+:04A98100F50D8079D7
+:04A98200F5178078CD
+:04A98300F5208077C4
+:04A98400F5298077BA
+:04A98500F5338076B0
+:04A98600F53C8075A7
+:04A98700F54580749E
+:04A98800F54F807394
+:04A98900F55880738A
+:04A98A00F562807280
+:04A98B00F56B807177
+:04A98C00F57480706E
+:04A98D00F57E807063
+:04A98E00F587806F5A
+:04A98F00F591806E50
+:04A99000F59A806D47
+:04A99100F5A3806C3E
+:04A99200F5AD806C33
+:04A99300F5B6806B2A
+:04A99400F5C0806A20
+:04A99500F5C9806917
+:04A99600F5D280690D
+:04A99700F5DC806803
+:04A99800F5E58067FA
+:04A99900F5EF8066F0
+:04A99A00F5F88066E6
+:04A99B00F6018065DC
+:04A99C00F60B8064D2
+:04A99D00F6148064C8
+:04A99E00F61E8063BE
+:04A99F00F6278062B5
+:04A9A000F6308061AC
+:04A9A100F63A8061A1
+:04A9A200F643806098
+:04A9A300F64D805F8E
+:04A9A400F656805E85
+:04A9A500F65F805E7B
+:04A9A600F669805D71
+:04A9A700F672805C68
+:04A9A800F67C805C5D
+:04A9A900F685805B54
+:04A9AA00F68E805A4B
+:04A9AB00F698805A40
+:04A9AC00F6A1805937
+:04A9AD00F6AB80582D
+:04A9AE00F6B4805724
+:04A9AF00F6BD80571A
+:04A9B000F6C7805610
+:04A9B100F6D0805507
+:04A9B200F6DA8055FC
+:04A9B300F6E38054F3
+:04A9B400F6EC8053EA
+:04A9B500F6F68053DF
+:04A9B600F6FF8052D6
+:04A9B700F7098051CB
+:04A9B800F7128051C1
+:04A9B900F71B8050B8
+:04A9BA00F725804FAE
+:04A9BB00F72E804FA4
+:04A9BC00F738804E9A
+:04A9BD00F741804E90
+:04A9BE00F74A804D87
+:04A9BF00F754804C7D
+:04A9C000F75D804C73
+:04A9C100F767804B69
+:04A9C200F770804A60
+:04A9C300F779804A56
+:04A9C400F78380494C
+:04A9C500F78C804843
+:04A9C600F796804838
+:04A9C700F79F80472F
+:04A9C800F7A8804725
+:04A9C900F7B280461B
+:04A9CA00F7BB804512
+:04A9CB00F7C5804507
+:04A9CC00F7CE8044FE
+:04A9CD00F7D78044F4
+:04A9CE00F7E18043EA
+:04A9CF00F7EA8042E1
+:04A9D000F7F48042D6
+:04A9D100F7FD8041CD
+:04A9D200F8068041C2
+:04A9D300F8108040B8
+:04A9D400F819803FAF
+:04A9D500F823803FA4
+:04A9D600F82C803E9B
+:04A9D700F835803E91
+:04A9D800F83F803D87
+:04A9D900F848803D7D
+:04A9DA00F852803C73
+:04A9DB00F85B803B6A
+:04A9DC00F864803B60
+:04A9DD00F86E803A56
+:04A9DE00F877803A4C
+:04A9DF00F881803942
+:04A9E000F88A803938
+:04A9E100F89480382E
+:04A9E200F89D803824
+:04A9E300F8A680371B
+:04A9E400F8B0803611
+:04A9E500F8B9803607
+:04A9E600F8C38035FD
+:04A9E700F8CC8035F3
+:04A9E800F8D58034EA
+:04A9E900F8DF8034DF
+:04A9EA00F8E88033D6
+:04A9EB00F8F28033CB
+:04A9EC00F8FB8032C2
+:04A9ED00F9048032B7
+:04A9EE00F90E8031AD
+:04A9EF00F9178031A3
+:04A9F000F921803099
+:04A9F100F92A80308F
+:04A9F200F933802F86
+:04A9F300F93D802F7B
+:04A9F400F946802E72
+:04A9F500F950802E67
+:04A9F600F959802D5E
+:04A9F700F963802D53
+:04A9F800F96C802C4A
+:04A9F900F975802C40
+:04A9FA00F97F802B36
+:04A9FB00F988802B2C
+:04A9FC00F992802A22
+:04A9FD00F99B802A18
+:04A9FE00F9A480290F
+:04A9FF00F9AE802904
+:04AA0000F9B78028FA
+:04AA0100F9C18028EF
+:04AA0200F9CA8028E5
+:04AA0300F9D38027DC
+:04AA0400F9DD8027D1
+:04AA0500F9E68026C8
+:04AA0600F9F08026BD
+:04AA0700F9F98025B4
+:04AA0800FA038025A8
+:04AA0900FA0C80249F
+:04AA0A00FA15802495
+:04AA0B00FA1F80248A
+:04AA0C00FA28802381
+:04AA0D00FA32802376
+:04AA0E00FA3B80226D
+:04AA0F00FA44802263
+:04AA1000FA4E802159
+:04AA1100FA5780214F
+:04AA1200FA61802144
+:04AA1300FA6A80203B
+:04AA1400FA74802030
+:04AA1500FA7D801F27
+:04AA1600FA86801F1D
+:04AA1700FA90801F12
+:04AA1800FA99801E09
+:04AA1900FAA3801EFE
+:04AA1A00FAAC801DF5
+:04AA1B00FAB5801DEB
+:04AA1C00FABF801DE0
+:04AA1D00FAC8801CD7
+:04AA1E00FAD2801CCC
+:04AA1F00FADB801BC3
+:04AA2000FAE5801BB8
+:04AA2100FAEE801BAE
+:04AA2200FAF7801AA5
+:04AA2300FB01801A99
+:04AA2400FB0A801A8F
+:04AA2500FB14801985
+:04AA2600FB1D80197B
+:04AA2700FB26801872
+:04AA2800FB30801867
+:04AA2900FB3980185D
+:04AA2A00FB43801753
+:04AA2B00FB4C801749
+:04AA2C00FB5680173E
+:04AA2D00FB5F801635
+:04AA2E00FB6880162B
+:04AA2F00FB72801620
+:04AA3000FB7B801517
+:04AA3100FB8580150C
+:04AA3200FB8E801502
+:04AA3300FB978014F9
+:04AA3400FBA18014EE
+:04AA3500FBAA8014E4
+:04AA3600FBB48013DA
+:04AA3700FBBD8013D0
+:04AA3800FBC78013C5
+:04AA3900FBD08013BB
+:04AA3A00FBD98012B2
+:04AA3B00FBE38012A7
+:04AA3C00FBEC80129D
+:04AA3D00FBF6801193
+:04AA3E00FBFF801189
+:04AA3F00FC0880117E
+:04AA4000FC12801074
+:04AA4100FC1B80106A
+:04AA4200FC2580105F
+:04AA4300FC2E801055
+:04AA4400FC38800F4B
+:04AA4500FC41800F41
+:04AA4600FC4A800F37
+:04AA4700FC54800E2D
+:04AA4800FC5D800E23
+:04AA4900FC67800E18
+:04AA4A00FC70800E0E
+:04AA4B00FC7A800D04
+:04AA4C00FC83800DFA
+:04AA4D00FC8C800DF0
+:04AA4E00FC96800DE5
+:04AA4F00FC9F800CDC
+:04AA5000FCA9800CD1
+:04AA5100FCB2800CC7
+:04AA5200FCBB800CBD
+:04AA5300FCC5800BB3
+:04AA5400FCCE800BA9
+:04AA5500FCD8800B9E
+:04AA5600FCE1800B94
+:04AA5700FCEB800A8A
+:04AA5800FCF4800A80
+:04AA5900FCFD800A76
+:04AA5A00FD07800A6A
+:04AA5B00FD10800A60
+:04AA5C00FD1A800956
+:04AA5D00FD2380094C
+:04AA5E00FD2D800941
+:04AA5F00FD36800937
+:04AA6000FD3F80092D
+:04AA6100FD49800823
+:04AA6200FD52800819
+:04AA6300FD5C80080E
+:04AA6400FD65800804
+:04AA6500FD6E8008FA
+:04AA6600FD788007F0
+:04AA6700FD818007E6
+:04AA6800FD8B8007DB
+:04AA6900FD948007D1
+:04AA6A00FD9E8007C6
+:04AA6B00FDA78006BD
+:04AA6C00FDB08006B3
+:04AA6D00FDBA8006A8
+:04AA6E00FDC380069E
+:04AA6F00FDCD800693
+:04AA7000FDD6800689
+:04AA7100FDE080067E
+:04AA7200FDE9800575
+:04AA7300FDF280056B
+:04AA7400FDFC800560
+:04AA7500FE05800555
+:04AA7600FE0F80054A
+:04AA7700FE18800540
+:04AA7800FE22800436
+:04AA7900FE2B80042C
+:04AA7A00FE34800422
+:04AA7B00FE3E800417
+:04AA7C00FE4780040D
+:04AA7D00FE51800402
+:04AA7E00FE5A8004F8
+:04AA7F00FE638004EE
+:04AA8000FE6D8003E4
+:04AA8100FE768003DA
+:04AA8200FE808003CF
+:04AA8300FE898003C5
+:04AA8400FE938003BA
+:04AA8500FE9C8003B0
+:04AA8600FEA58003A6
+:04AA8700FEAF80039B
+:04AA8800FEB8800391
+:04AA8900FEC2800386
+:04AA8A00FECB80027D
+:04AA8B00FED5800272
+:04AA8C00FEDE800268
+:04AA8D00FEE780025E
+:04AA8E00FEF1800253
+:04AA8F00FEFA800249
+:04AA9000FF0480023D
+:04AA9100FF0D800233
+:04AA9200FF17800228
+:04AA9300FF2080021E
+:04AA9400FF29800214
+:04AA9500FF33800209
+:04AA9600FF3C8002FF
+:04AA9700FF468002F4
+:04AA9800FF4F8001EB
+:04AA9900FF598001E0
+:04AA9A00FF628001D6
+:04AA9B00FF6B8001CC
+:04AA9C00FF758001C1
+:04AA9D00FF7E8001B7
+:04AA9E00FF888001AC
+:04AA9F00FF918001A2
+:04AAA000FF9A800198
+:04AAA100FFA480018D
+:04AAA200FFAD800183
+:04AAA300FFB7800178
+:04AAA400FFC080016E
+:04AAA500FFCA800163
+:04AAA600FFD3800159
+:04AAA700FFDC80014F
+:04AAA800FFE6800144
+:04AAA900FFEF80013A
+:04AAAA00FFF980012F
+:04AAAB000002800124
+:04AAAC00000C800119
+:04AAAD00001580010F
+:04AAAE00001E800105
+:04AAAF0000288001FA
+:04AAB00000318001F0
+:04AAB100003B8001E5
+:04AAB20000448001DB
+:04AAB300004E8001D0
+:04AAB40000578001C6
+:04AAB50000608001BC
+:04AAB600006A8001B1
+:04AAB70000738001A7
+:04AAB800007D80019C
+:04AAB9000086800192
+:04AABA000090800187
+:04AABB00009980017D
+:04AABC0000A2800173
+:04AABD0000AC800168
+:04AABE0000B580025D
+:04AABF0000BF800252
+:04AAC00000C8800248
+:04AAC10000D180023E
+:04AAC20000DB800233
+:04AAC30000E4800229
+:04AAC40000EE80021E
+:04AAC50000F7800214
+:04AAC6000101800208
+:04AAC700010A8002FE
+:04AAC80001138002F4
+:04AAC900011D8002E9
+:04AACA0001268002DF
+:04AACB0001308002D4
+:04AACC0001398003C9
+:04AACD0001438003BE
+:04AACE00014C8003B4
+:04AACF0001558003AA
+:04AAD000015F80039F
+:04AAD1000168800395
+:04AAD200017280038A
+:04AAD300017B800380
+:04AAD4000185800375
+:04AAD500018E80036B
+:04AAD6000197800460
+:04AAD70001A1800455
+:04AAD80001AA80044B
+:04AAD90001B4800440
+:04AADA0001BD800436
+:04AADB0001C680042C
+:04AADC0001D0800421
+:04AADD0001D9800417
+:04AADE0001E380050B
+:04AADF0001EC800501
+:04AAE00001F68005F6
+:04AAE10001FF8005EC
+:04AAE20002088005E1
+:04AAE30002128005D6
+:04AAE400021B8005CC
+:04AAE50002258006C0
+:04AAE600022E8006B6
+:04AAE70002388006AB
+:04AAE80002418006A1
+:04AAE900024A800697
+:04AAEA00025480068C
+:04AAEB00025D800781
+:04AAEC000267800776
+:04AAED00027080076C
+:04AAEE00027A800761
+:04AAEF000283800757
+:04AAF000028C80084C
+:04AAF1000296800841
+:04AAF200029F800837
+:04AAF30002A980082C
+:04AAF40002B2800822
+:04AAF50002BB800818
+:04AAF60002C580090C
+:04AAF70002CE800902
+:04AAF80002D88009F7
+:04AAF90002E18009ED
+:04AAFA0002EB800AE1
+:04AAFB0002F4800AD7
+:04AAFC0002FD800ACD
+:04AAFD000307800AC1
+:04AAFE000310800AB7
+:04AAFF00031A800BAB
+:04AB00000323800BA0
+:04AB0100032D800B95
+:04AB02000336800B8B
+:04AB0300033F800C80
+:04AB04000349800C75
+:04AB05000352800C6B
+:04AB0600035C800C60
+:04AB07000365800D55
+:04AB0800036E800D4B
+:04AB09000378800D40
+:04AB0A000381800D36
+:04AB0B00038B800E2A
+:04AB0C000394800E20
+:04AB0D00039E800E15
+:04AB0E0003A7800E0B
+:04AB0F0003B0800F00
+:04AB100003BA800FF5
+:04AB110003C3800FEB
+:04AB120003CD800FE0
+:04AB130003D68010D5
+:04AB140003E08010CA
+:04AB150003E98010C0
+:04AB160003F28011B5
+:04AB170003FC8011AA
+:04AB1800040580119F
+:04AB1900040F801194
+:04AB1A000418801289
+:04AB1B00042180127F
+:04AB1C00042B801274
+:04AB1D000434801369
+:04AB1E00043E80135E
+:04AB1F000447801354
+:04AB20000451801448
+:04AB2100045A80143E
+:04AB22000463801434
+:04AB2300046D801528
+:04AB2400047680151E
+:04AB25000480801513
+:04AB26000489801608
+:04AB270004928016FE
+:04AB2800049C8016F3
+:04AB290004A58017E8
+:04AB2A0004AF8017DD
+:04AB2B0004B88017D3
+:04AB2C0004C28018C7
+:04AB2D0004CB8018BD
+:04AB2E0004D48018B3
+:04AB2F0004DE8019A7
+:04AB300004E780199D
+:04AB310004F1801992
+:04AB320004FA801A87
+:04AB33000504801A7B
+:04AB3400050D801B70
+:04AB35000516801B66
+:04AB36000520801B5B
+:04AB37000529801C50
+:04AB38000533801C45
+:04AB3900053C801C3B
+:04AB3A000545801D30
+:04AB3B00054F801D25
+:04AB3C000558801E1A
+:04AB3D000562801E0F
+:04AB3E00056B801E05
+:04AB3F000574801FFA
+:04AB4000057E801FEF
+:04AB410005878020E4
+:04AB420005918020D9
+:04AB4300059A8020CF
+:04AB440005A48021C3
+:04AB450005AD8021B9
+:04AB460005B68022AE
+:04AB470005C08022A3
+:04AB480005C9802398
+:04AB490005D380238D
+:04AB4A0005DC802383
+:04AB4B0005E5802478
+:04AB4C0005EF80246D
+:04AB4D0005F8802562
+:04AB4E000602802556
+:04AB4F00060B80264B
+:04AB50000615802640
+:04AB5100061E802636
+:04AB5200062780272B
+:04AB53000631802720
+:04AB5400063A802815
+:04AB5500064480280A
+:04AB5600064D8029FF
+:04AB570006568029F5
+:04AB58000660802AE9
+:04AB59000669802ADF
+:04AB5A000673802BD3
+:04AB5B00067C802BC9
+:04AB5C000686802CBD
+:04AB5D00068F802CB3
+:04AB5E000698802DA8
+:04AB5F0006A2802D9D
+:04AB600006AB802E92
+:04AB610006B5802E87
+:04AB620006BE802F7C
+:04AB630006C7802F72
+:04AB640006D1803066
+:04AB650006DA80305C
+:04AB660006E4803150
+:04AB670006ED803146
+:04AB680006F680323B
+:04AB6900070080322F
+:04AB6A000709803324
+:04AB6B000713803319
+:04AB6C00071C80340E
+:04AB6D000725803404
+:04AB6E00072F8035F8
+:04AB6F0007388035EE
+:04AB700007428036E2
+:04AB7100074B8036D8
+:04AB720007558037CC
+:04AB7300075E8037C2
+:04AB740007678038B7
+:04AB750007718038AC
+:04AB7600077A8039A1
+:04AB77000784803A95
+:04AB7800078D803A8B
+:04AB79000796803B80
+:04AB7A0007A0803B75
+:04AB7B0007A9803C6A
+:04AB7C0007B3803C5F
+:04AB7D0007BC803D54
+:04AB7E0007C5803E49
+:04AB7F0007CF803E3E
+:04AB800007D8803F33
+:04AB810007E2803F28
+:04AB820007EB80401D
+:04AB830007F4804013
+:04AB840007FE804107
+:04AB850008078042FB
+:04AB860008118042F0
+:04AB8700081A8043E5
+:04AB880008238043DB
+:04AB8900082D8044CF
+:04AB8A0008368045C4
+:04AB8B0008408045B9
+:04AB8C0008498046AE
+:04AB8D0008538046A3
+:04AB8E00085C804798
+:04AB8F00086580488D
+:04AB9000086F804882
+:04AB91000878804977
+:04AB92000882804A6B
+:04AB9300088B804A61
+:04AB94000894804B56
+:04AB9500089E804B4B
+:04AB960008A7804C40
+:04AB970008B1804D34
+:04AB980008BA804D2A
+:04AB990008C3804E1F
+:04AB9A0008CD804F13
+:04AB9B0008D6804F09
+:04AB9C0008E08050FD
+:04AB9D0008E98051F2
+:04AB9E0008F28051E8
+:04AB9F0008FC8052DC
+:04ABA00009058053D0
+:04ABA100090F8053C5
+:04ABA20009188054BA
+:04ABA30009218055AF
+:04ABA400092B8055A4
+:04ABA5000934805699
+:04ABA600093E80578D
+:04ABA7000947805783
+:04ABA8000950805878
+:04ABA900095A80596C
+:04ABAA000963805962
+:04ABAB00096D805A56
+:04ABAC000976805B4B
+:04ABAD00097F805B41
+:04ABAE000989805C35
+:04ABAF000992805D2A
+:04ABB000099C805E1E
+:04ABB10009A5805E14
+:04ABB20009AE805F09
+:04ABB30009B88060FD
+:04ABB40009C18060F3
+:04ABB50009CB8061E7
+:04ABB60009D48062DC
+:04ABB70009DD8063D1
+:04ABB80009E78063C6
+:04ABB90009F08064BB
+:04ABBA0009FA8065AF
+:04ABBB000A038065A4
+:04ABBC000A0C806699
+:04ABBD000A1680678D
+:04ABBE000A1F806882
+:04ABBF000A28806878
+:04ABC0000A3280696C
+:04ABC1000A3B806A61
+:04ABC2000A45806B55
+:04ABC3000A4E806B4B
+:04ABC4000A57806C40
+:04ABC5000A61806D34
+:04ABC6000A6A806E29
+:04ABC7000A74806F1D
+:04ABC8000A7D806F13
+:04ABC9000A86807008
+:04ABCA000A908071FC
+:04ABCB000A998072F1
+:04ABCC000AA38072E6
+:04ABCD000AAC8073DB
+:04ABCE000AB58074D0
+:04ABCF000ABF8075C4
+:04ABD0000AC88076B9
+:04ABD1000AD28076AE
+:04ABD2000ADB8077A3
+:04ABD3000AE4807898
+:04ABD4000AEE80798C
+:04ABD5000AF7807A81
+:04ABD6000B00807A76
+:04ABD7000B0A807B6A
+:04ABD8000B13807C5F
+:04ABD9000B1D807D53
+:04ABDA000B26807E48
+:04ABDB000B2F807E3E
+:04ABDC000B39807F32
+:04ABDD000B42808027
+:04ABDE000B4C80811B
+:04ABDF000B55808210
+:04ABE0000B5E808305
+:04ABE1000B688083FA
+:04ABE2000B718084EF
+:04ABE3000B7B8085E3
+:04ABE4000B848086D8
+:04ABE5000B8D8087CD
+:04ABE6000B978088C1
+:04ABE7000BA08089B6
+:04ABE8000BA98089AC
+:04ABE9000BB3808AA0
+:04ABEA000BBC808B95
+:04ABEB000BC6808C89
+:04ABEC000BCF808D7E
+:04ABED000BD8808E73
+:04ABEE000BE2808F67
+:04ABEF000BEB808F5D
+:04ABF0000BF5809051
+:04ABF1000BFE809146
+:04ABF2000C0780923A
+:04ABF3000C1180932E
+:04ABF4000C1A809423
+:04ABF5000C23809518
+:04ABF6000C2D80960C
+:04ABF7000C36809701
+:04ABF8000C408097F6
+:04ABF9000C498098EB
+:04ABFA000C528099E0
+:04ABFB000C5C809AD4
+:04ABFC000C65809BC9
+:04ABFD000C6E809CBE
+:04ABFE000C78809DB2
+:04ABFF000C81809EA7
+:04AC00000C8B809F9A
+:04AC01000C9480A08F
+:04AC02000C9D80A184
+:04AC03000CA780A278
+:04AC04000CB080A26E
+:04AC05000CBA80A362
+:04AC06000CC380A457
+:04AC07000CCC80A54C
+:04AC08000CD680A640
+:04AC09000CDF80A735
+:04AC0A000CE880A82A
+:04AC0B000CF280A91E
+:04AC0C000CFB80AA13
+:04AC0D000D0580AB06
+:04AC0E000D0E80ACFB
+:04AC0F000D1780ADF0
+:04AC10000D2180AEE4
+:04AC11000D2A80AFD9
+:04AC12000D3380B0CE
+:04AC13000D3D80B1C2
+:04AC14000D4680B2B7
+:04AC15000D5080B3AB
+:04AC16000D5980B4A0
+:04AC17000D6280B595
+:04AC18000D6C80B689
+:04AC19000D7580B77E
+:04AC1A000D7E80B873
+:04AC1B000D8880B967
+:04AC1C000D9180BA5C
+:04AC1D000D9B80BB50
+:04AC1E000DA480BC45
+:04AC1F000DAD80BD3A
+:04AC20000DB780BE2E
+:04AC21000DC080BF23
+:04AC22000DC980C018
+:04AC23000DD380C10C
+:04AC24000DDC80C201
+:04AC25000DE580C3F6
+:04AC26000DEF80C4EA
+:04AC27000DF880C5DF
+:04AC28000E0280C6D2
+:04AC29000E0B80C7C7
+:04AC2A000E1480C8BC
+:04AC2B000E1E80C9B0
+:04AC2C000E2780CAA5
+:04AC2D000E3080CB9A
+:04AC2E000E3A80CC8E
+:04AC2F000E4380CD83
+:04AC30000E4C80CE78
+:04AC31000E5680CF6C
+:04AC32000E5F80D061
+:04AC33000E6980D155
+:04AC34000E7280D24A
+:04AC35000E7B80D43E
+:04AC36000E8580D532
+:04AC37000E8E80D627
+:04AC38000E9780D71C
+:04AC39000EA180D810
+:04AC3A000EAA80D905
+:04AC3B000EB380DAFA
+:04AC3C000EBD80DBEE
+:04AC3D000EC680DCE3
+:04AC3E000ED080DDD7
+:04AC3F000ED980DECC
+:04AC40000EE280DFC1
+:04AC41000EEC80E1B4
+:04AC42000EF580E2A9
+:04AC43000EFE80E39E
+:04AC44000F0880E491
+:04AC45000F1180E586
+:04AC46000F1A80E67B
+:04AC47000F2480E76F
+:04AC48000F2D80E864
+:04AC49000F3780E958
+:04AC4A000F4080EB4C
+:04AC4B000F4980EC41
+:04AC4C000F5380ED35
+:04AC4D000F5C80EE2A
+:04AC4E000F6580EF1F
+:04AC4F000F6F80F013
+:04AC50000F7880F108
+:04AC51000F8180F2FD
+:04AC52000F8B80F4F0
+:04AC53000F9480F5E5
+:04AC54000F9D80F6DA
+:04AC55000FA780F7CE
+:04AC56000FB080F8C3
+:04AC57000FB980F9B8
+:04AC58000FC380FBAB
+:04AC59000FCC80FCA0
+:04AC5A000FD680FD94
+:04AC5B000FDF80FE89
+:04AC5C000FE880FF7E
+:04AC5D000FF2810071
+:04AC5E000FFB810265
+:04AC5F001004810359
+:04AC6000100E81044D
+:04AC61001017810542
+:04AC62001020810637
+:04AC6300102A81072B
+:04AC6400103381091F
+:04AC6500103C810A14
+:04AC66001046810B08
+:04AC6700104F810CFD
+:04AC68001058810DF2
+:04AC69001062810FE5
+:04AC6A00106B8110DA
+:04AC6B0010748111CF
+:04AC6C00107E8112C3
+:04AC6D0010878113B8
+:04AC6E0010918115AB
+:04AC6F00109A8116A0
+:04AC700010A3811795
+:04AC710010AD811889
+:04AC720010B6811A7D
+:04AC730010BF811B72
+:04AC740010C9811C66
+:04AC750010D2811D5B
+:04AC760010DB811F4F
+:04AC770010E5812043
+:04AC780010EE812138
+:04AC790010F781222D
+:04AC7A00110181241F
+:04AC7B00110A812514
+:04AC7C001113812609
+:04AC7D00111D8127FD
+:04AC7E0011268129F1
+:04AC7F00112F812AE6
+:04AC80001139812BDA
+:04AC81001142812CCF
+:04AC8200114B812EC3
+:04AC83001155812FB7
+:04AC8400115E8130AC
+:04AC850011678131A1
+:04AC86001171813394
+:04AC8700117A813489
+:04AC8800118381357E
+:04AC8900118D813771
+:04AC8A001196813866
+:04AC8B00119F81395B
+:04AC8C0011A9813A4F
+:04AC8D0011B2813C43
+:04AC8E0011BB813D38
+:04AC8F0011C5813E2C
+:04AC900011CE814020
+:04AC910011D7814115
+:04AC920011E1814209
+:04AC930011EA8144FD
+:04AC940011F38145F2
+:04AC950011FD8146E6
+:04AC960012068148D9
+:04AC9700120F8149CE
+:04AC98001219814AC2
+:04AC99001222814CB6
+:04AC9A00122B814DAB
+:04AC9B001235814E9F
+:04AC9C00123E815093
+:04AC9D001247815188
+:04AC9E00125181527C
+:04AC9F00125A815470
+:04ACA0001263815565
+:04ACA100126D815659
+:04ACA200127681584D
+:04ACA300127F815942
+:04ACA4001289815A36
+:04ACA5001292815C2A
+:04ACA600129B815D1F
+:04ACA70012A5815F12
+:04ACA80012AE816007
+:04ACA90012B78161FC
+:04ACAA0012C18163EF
+:04ACAB0012CA8164E4
+:04ACAC0012D38165D9
+:04ACAD0012DD8167CC
+:04ACAE0012E68168C1
+:04ACAF0012EF816AB5
+:04ACB00012F8816BAA
+:04ACB1001302816C9D
+:04ACB200130B816E91
+:04ACB3001314816F86
+:04ACB400131E817179
+:04ACB500132781726E
+:04ACB6001330817363
+:04ACB700133A817556
+:04ACB800134381764B
+:04ACB900134C81783F
+:04ACBA001356817933
+:04ACBB00135F817B27
+:04ACBC001368817C1C
+:04ACBD001372817D10
+:04ACBE00137B817F04
+:04ACBF0013848180F9
+:04ACC000138E8182EC
+:04ACC10013978183E1
+:04ACC20013A08185D5
+:04ACC30013A98186CA
+:04ACC40013B38188BD
+:04ACC50013BC8189B2
+:04ACC60013C5818AA7
+:04ACC70013CF818C9A
+:04ACC80013D8818D8F
+:04ACC90013E1818F83
+:04ACCA0013EB819077
+:04ACCB0013F481926B
+:04ACCC0013FD819360
+:04ACCD001407819552
+:04ACCE001410819647
+:04ACCF00141981983B
+:04ACD000142381992F
+:04ACD100142C819B23
+:04ACD2001435819C18
+:04ACD300143E819E0C
+:04ACD4001448819F00
+:04ACD500145181A1F4
+:04ACD600145A81A2E9
+:04ACD700146481A4DC
+:04ACD800146D81A5D1
+:04ACD900147681A7C5
+:04ACDA00148081A8B9
+:04ACDB00148981AAAD
+:04ACDC00149281ABA2
+:04ACDD00149B81AD96
+:04ACDE0014A581AE8A
+:04ACDF0014AE81B07E
+:04ACE00014B781B173
+:04ACE10014C181B366
+:04ACE20014CA81B45B
+:04ACE30014D381B64F
+:04ACE40014DD81B743
+:04ACE50014E681B937
+:04ACE60014EF81BA2C
+:04ACE70014F881BC20
+:04ACE800150281BD13
+:04ACE900150B81BF07
+:04ACEA00151481C1FB
+:04ACEB00151E81C2EF
+:04ACEC00152781C4E3
+:04ACED00153081C5D8
+:04ACEE00153A81C7CB
+:04ACEF00154381C8C0
+:04ACF000154C81CAB4
+:04ACF100155581CCA8
+:04ACF200155F81CD9C
+:04ACF300156881CF90
+:04ACF400157181D085
+:04ACF500157B81D278
+:04ACF600158481D36D
+:04ACF700158D81D561
+:04ACF800159681D755
+:04ACF90015A081D849
+:04ACFA0015A981DA3D
+:04ACFB0015B281DB32
+:04ACFC0015BC81DD25
+:04ACFD0015C581DF19
+:04ACFE0015CE81E00E
+:04ACFF0015D781E202
+:04AD000015E181E3F5
+:04AD010015EA81E5E9
+:04AD020015F381E7DD
+:04AD030015FD81E8D1
+:04AD0400160681EAC4
+:04AD0500160F81EBB9
+:04AD0600161881EDAD
+:04AD0700162281EFA0
+:04AD0800162B81F095
+:04AD0900163481F289
+:04AD0A00163E81F47C
+:04AD0B00164781F571
+:04AD0C00165081F765
+:04AD0D00165981F959
+:04AD0E00166381FA4D
+:04AD0F00166C81FC41
+:04AD1000167581FE35
+:04AD1100167F81FF29
+:04AD1200168882011C
+:04AD13001691820211
+:04AD1400169A820405
+:04AD150016A48206F8
+:04AD160016AD8207ED
+:04AD170016B68209E1
+:04AD180016BF820BD5
+:04AD190016C9820DC8
+:04AD1A0016D2820EBD
+:04AD1B0016DB8210B1
+:04AD1C0016E58212A4
+:04AD1D0016EE821399
+:04AD1E0016F782158D
+:04AD1F001700821780
+:04AD2000170A821874
+:04AD21001713821A68
+:04AD2200171C821C5C
+:04AD23001725821D51
+:04AD2400172F821F44
+:04AD25001738822138
+:04AD2600174182232C
+:04AD2700174B822420
+:04AD28001754822614
+:04AD2900175D822808
+:04AD2A0017668229FD
+:04AD2B001770822BF0
+:04AD2C001779822DE4
+:04AD2D001782822FD8
+:04AD2E00178B8230CD
+:04AD2F0017958232C0
+:04AD3000179E8234B4
+:04AD310017A78236A8
+:04AD320017B082379D
+:04AD330017BA823990
+:04AD340017C3823B84
+:04AD350017CC823D78
+:04AD360017D5823E6D
+:04AD370017DF824060
+:04AD380017E8824254
+:04AD390017F1824448
+:04AD3A0017FA82453D
+:04AD3B00180482472F
+:04AD3C00180D824923
+:04AD3D001816824B17
+:04AD3E001820824C0B
+:04AD3F001829824EFF
+:04AD400018328250F3
+:04AD4100183B8252E7
+:04AD420018458254DA
+:04AD4300184E8255CF
+:04AD440018578257C3
+:04AD450018608259B7
+:04AD4600186A825BAA
+:04AD47001873825D9E
+:04AD4800187C825E93
+:04AD49001885826087
+:04AD4A00188F82627A
+:04AD4B00189882646E
+:04AD4C0018A1826662
+:04AD4D0018AA826757
+:04AD4E0018B482694A
+:04AD4F0018BD826B3E
+:04AD500018C6826D32
+:04AD510018CF826F26
+:04AD520018D982701A
+:04AD530018E282720E
+:04AD540018EB827402
+:04AD550018F48276F6
+:04AD560018FD8278EA
+:04AD57001907827ADC
+:04AD58001910827CD0
+:04AD59001919827DC5
+:04AD5A001922827FB9
+:04AD5B00192C8281AC
+:04AD5C0019358283A0
+:04AD5D00193E828594
+:04AD5E001947828788
+:04AD5F00195182887C
+:04AD6000195A828A70
+:04AD61001963828C64
+:04AD6200196C828E58
+:04AD6300197682904B
+:04AD6400197F82923F
+:04AD65001988829433
+:04AD66001991829627
+:04AD6700199B82971B
+:04AD680019A482990F
+:04AD690019AD829B03
+:04AD6A0019B6829DF7
+:04AD6B0019BF829FEB
+:04AD6C0019C982A1DE
+:04AD6D0019D282A3D2
+:04AD6E0019DB82A5C6
+:04AD6F0019E482A7BA
+:04AD700019EE82A9AD
+:04AD710019F782AAA2
+:04AD72001A0082AC95
+:04AD73001A0982AE89
+:04AD74001A1382B07C
+:04AD75001A1C82B270
+:04AD76001A2582B464
+:04AD77001A2E82B658
+:04AD78001A3782B84C
+:04AD79001A4182BA3F
+:04AD7A001A4A82BC33
+:04AD7B001A5382BE27
+:04AD7C001A5C82C01B
+:04AD7D001A6682C20E
+:04AD7E001A6F82C402
+:04AD7F001A7882C6F6
+:04AD80001A8182C7EB
+:04AD81001A8A82C9DF
+:04AD82001A9482CBD2
+:04AD83001A9D82CDC6
+:04AD84001AA682CFBA
+:04AD85001AAF82D1AE
+:04AD86001AB982D3A1
+:04AD87001AC282D595
+:04AD88001ACB82D789
+:04AD89001AD482D97D
+:04AD8A001ADD82DB71
+:04AD8B001AE782DD64
+:04AD8C001AF082DF58
+:04AD8D001AF982E14C
+:04AD8E001B0282E33F
+:04AD8F001B0B82E533
+:04AD90001B1582E726
+:04AD91001B1E82E91A
+:04AD92001B2782EB0E
+:04AD93001B3082ED02
+:04AD94001B3982EFF6
+:04AD95001B4382F1E9
+:04AD96001B4C82F3DD
+:04AD97001B5582F5D1
+:04AD98001B5E82F7C5
+:04AD99001B6882F9B8
+:04AD9A001B7182FBAC
+:04AD9B001B7A82FDA0
+:04AD9C001B8382FF94
+:04AD9D001B8C830187
+:04AD9E001B9683037A
+:04AD9F001B9F83056E
+:04ADA0001BA8830762
+:04ADA1001BB1830956
+:04ADA2001BBA830B4A
+:04ADA3001BC4830D3D
+:04ADA4001BCD830F31
+:04ADA5001BD6831125
+:04ADA6001BDF831418
+:04ADA7001BE883160C
+:04ADA8001BF28318FF
+:04ADA9001BFB831AF3
+:04ADAA001C04831CE6
+:04ADAB001C0D831EDA
+:04ADAC001C168320CE
+:04ADAD001C208322C1
+:04ADAE001C298324B5
+:04ADAF001C328326A9
+:04ADB0001C3B83289D
+:04ADB1001C44832A91
+:04ADB2001C4D832C85
+:04ADB3001C57832E78
+:04ADB4001C6083316B
+:04ADB5001C6983335F
+:04ADB6001C72833553
+:04ADB7001C7B833747
+:04ADB8001C8583393A
+:04ADB9001C8E833B2E
+:04ADBA001C97833D22
+:04ADBB001CA0833F16
+:04ADBC001CA983410A
+:04ADBD001CB38343FD
+:04ADBE001CBC8346F0
+:04ADBF001CC58348E4
+:04ADC0001CCE834AD8
+:04ADC1001CD7834CCC
+:04ADC2001CE0834EC0
+:04ADC3001CEA8350B3
+:04ADC4001CF38352A7
+:04ADC5001CFC83549B
+:04ADC6001D0583578D
+:04ADC7001D0E835981
+:04ADC8001D18835B74
+:04ADC9001D21835D68
+:04ADCA001D2A835F5C
+:04ADCB001D33836150
+:04ADCC001D3C836344
+:04ADCD001D45836637
+:04ADCE001D4F83682A
+:04ADCF001D58836A1E
+:04ADD0001D61836C12
+:04ADD1001D6A836E06
+:04ADD2001D738370FA
+:04ADD3001D7C8373ED
+:04ADD4001D868375E0
+:04ADD5001D8F8377D4
+:04ADD6001D988379C8
+:04ADD7001DA1837BBC
+:04ADD8001DAA837DB0
+:04ADD9001DB38380A3
+:04ADDA001DBD838296
+:04ADDB001DC683848A
+:04ADDC001DCF83867E
+:04ADDD001DD8838872
+:04ADDE001DE1838B65
+:04ADDF001DEA838D59
+:04ADE0001DF4838F4C
+:04ADE1001DFD839140
+:04ADE2001E06839333
+:04ADE3001E0F839626
+:04ADE4001E1883981A
+:04ADE5001E21839A0E
+:04ADE6001E2B839C01
+:04ADE7001E34839FF4
+:04ADE8001E3D83A1E8
+:04ADE9001E4683A3DC
+:04ADEA001E4F83A5D0
+:04ADEB001E5883A7C4
+:04ADEC001E6283AAB6
+:04ADED001E6B83ACAA
+:04ADEE001E7483AE9E
+:04ADEF001E7D83B092
+:04ADF0001E8683B385
+:04ADF1001E8F83B579
+:04ADF2001E9883B76D
+:04ADF3001EA283B960
+:04ADF4001EAB83BC53
+:04ADF5001EB483BE47
+:04ADF6001EBD83C03B
+:04ADF7001EC683C22F
+:04ADF8001ECF83C522
+:04ADF9001ED883C716
+:04ADFA001EE283C909
+:04ADFB001EEB83CCFC
+:04ADFC001EF483CEF0
+:04ADFD001EFD83D0E4
+:04ADFE001F0683D2D7
+:04ADFF001F0F83D5CA
+:04AE00001F1883D7BD
+:04AE01001F2283D9B0
+:04AE02001F2B83DCA3
+:04AE03001F3483DE97
+:04AE04001F3D83E08B
+:04AE05001F4683E27F
+:04AE06001F4F83E572
+:04AE07001F5883E766
+:04AE08001F6283E959
+:04AE09001F6B83EC4C
+:04AE0A001F7483EE40
+:04AE0B001F7D83F034
+:04AE0C001F8683F327
+:04AE0D001F8F83F51B
+:04AE0E001F9883F70F
+:04AE0F001FA283FA01
+:04AE10001FAB83FCF5
+:04AE11001FB483FEE9
+:04AE12001FBD8401DB
+:04AE13001FC68403CF
+:04AE14001FCF8405C3
+:04AE15001FD88408B6
+:04AE16001FE1840AAA
+:04AE17001FEB840C9D
+:04AE18001FF4840F90
+:04AE19001FFD841184
+:04AE1A002006841377
+:04AE1B00200F84166A
+:04AE1C00201884185E
+:04AE1D002021841A52
+:04AE1E00202A841D45
+:04AE1F002034841F38
+:04AE2000203D84222B
+:04AE2100204684241F
+:04AE2200204F842613
+:04AE23002058842906
+:04AE24002061842BFA
+:04AE2500206A842DEE
+:04AE260020738430E1
+:04AE2700207D8432D4
+:04AE280020868435C7
+:04AE2900208F8437BB
+:04AE2A0020988439AF
+:04AE2B0020A1843CA2
+:04AE2C0020AA843E96
+:04AE2D0020B3844189
+:04AE2E0020BC84437D
+:04AE2F0020C5844571
+:04AE300020CF844863
+:04AE310020D8844A57
+:04AE320020E1844D4A
+:04AE330020EA844F3E
+:04AE340020F3845231
+:04AE350020FC845425
+:04AE36002105845618
+:04AE3700210E84590B
+:04AE38002117845BFF
+:04AE39002120845EF2
+:04AE3A00212A8460E5
+:04AE3B0021338463D8
+:04AE3C00213C8465CC
+:04AE3D0021458468BF
+:04AE3E00214E846AB3
+:04AE3F002157846CA7
+:04AE40002160846F9A
+:04AE4100216984718E
+:04AE42002172847481
+:04AE4300217B847675
+:04AE44002185847967
+:04AE4500218E847B5B
+:04AE46002197847E4E
+:04AE470021A0848042
+:04AE480021A9848335
+:04AE490021B2848529
+:04AE4A0021BB84881C
+:04AE4B0021C4848A10
+:04AE4C0021CD848D03
+:04AE4D0021D6848FF7
+:04AE4E0021DF8492EA
+:04AE4F0021E98494DD
+:04AE500021F28497D0
+:04AE510021FB8499C4
+:04AE52002204849CB6
+:04AE5300220D849EAA
+:04AE5400221684A19D
+:04AE5500221F84A391
+:04AE5600222884A684
+:04AE5700223184A878
+:04AE5800223A84AB6B
+:04AE5900224384AD5F
+:04AE5A00224C84B052
+:04AE5B00225684B245
+:04AE5C00225F84B538
+:04AE5D00226884B72C
+:04AE5E00227184BA1F
+:04AE5F00227A84BC13
+:04AE6000228384BF06
+:04AE6100228C84C1FA
+:04AE6200229584C4ED
+:04AE6300229E84C7E0
+:04AE640022A784C9D4
+:04AE650022B084CCC7
+:04AE660022B984CEBB
+:04AE670022C284D1AE
+:04AE680022CC84D3A1
+:04AE690022D584D694
+:04AE6A0022DE84D888
+:04AE6B0022E784DB7B
+:04AE6C0022F084DE6E
+:04AE6D0022F984E062
+:04AE6E00230284E354
+:04AE6F00230B84E548
+:04AE7000231484E83B
+:04AE7100231D84EA2F
+:04AE7200232684ED22
+:04AE7300232F84F015
+:04AE7400233884F209
+:04AE7500234184F5FC
+:04AE7600234A84F7F0
+:04AE7700235384FAE3
+:04AE7800235D84FDD5
+:04AE7900236684FFC9
+:04AE7A00236F8502BB
+:04AE7B0023788504AF
+:04AE7C0023818507A2
+:04AE7D00238A850A95
+:04AE7E002393850C89
+:04AE7F00239C850F7C
+:04AE800023A585126F
+:04AE810023AE851463
+:04AE820023B7851756
+:04AE830023C085194A
+:04AE840023C9851C3D
+:04AE850023D2851F30
+:04AE860023DB852124
+:04AE870023E4852417
+:04AE880023ED85270A
+:04AE890023F68529FE
+:04AE8A0023FF852CF1
+:04AE8B002408852FE3
+:04AE8C0024128531D6
+:04AE8D00241B8534C9
+:04AE8E0024248537BC
+:04AE8F00242D8539B0
+:04AE90002436853CA3
+:04AE9100243F853F96
+:04AE9200244885418A
+:04AE9300245185447D
+:04AE9400245A854770
+:04AE95002463854964
+:04AE9600246C854C57
+:04AE97002475854F4A
+:04AE9800247E85513E
+:04AE99002487855431
+:04AE9A002490855724
+:04AE9B002499855918
+:04AE9C0024A2855C0B
+:04AE9D0024AB855FFE
+:04AE9E0024B48561F2
+:04AE9F0024BD8564E5
+:04AEA00024C68567D8
+:04AEA10024CF856ACB
+:04AEA20024D8856CBF
+:04AEA30024E1856FB2
+:04AEA40024EA8572A5
+:04AEA50024F3857499
+:04AEA60024FC85778C
+:04AEA7002505857A7E
+:04AEA800250E857D71
+:04AEA9002517857F65
+:04AEAA002520858258
+:04AEAB00252985854B
+:04AEAC00253285883E
+:04AEAD00253C858A31
+:04AEAE002545858D24
+:04AEAF00254E859017
+:04AEB000255785930A
+:04AEB10025608595FE
+:04AEB20025698598F1
+:04AEB3002572859BE4
+:04AEB400257B859ED7
+:04AEB500258485A0CB
+:04AEB600258D85A3BE
+:04AEB700259685A6B1
+:04AEB800259F85A9A4
+:04AEB90025A885AB98
+:04AEBA0025B185AE8B
+:04AEBB0025BA85B17E
+:04AEBC0025C385B471
+:04AEBD0025CC85B665
+:04AEBE0025D585B958
+:04AEBF0025DE85BC4B
+:04AEC00025E785BF3E
+:04AEC10025F085C231
+:04AEC20025F985C425
+:04AEC300260285C717
+:04AEC400260B85CA0A
+:04AEC500261485CDFD
+:04AEC600261D85D0F0
+:04AEC700262685D2E4
+:04AEC800262F85D5D7
+:04AEC900263885D8CA
+:04AECA00264185DBBD
+:04AECB00264A85DEB0
+:04AECC00265385E1A3
+:04AECD00265C85E397
+:04AECE00266585E68A
+:04AECF00266E85E97D
+:04AED000267785EC70
+:04AED100268085EF63
+:04AED200268985F256
+:04AED300269285F44A
+:04AED400269B85F73D
+:04AED50026A485FA30
+:04AED60026AD85FD23
+:04AED70026B5860016
+:04AED80026BE860309
+:04AED90026C78605FD
+:04AEDA0026D08608F0
+:04AEDB0026D9860BE3
+:04AEDC0026E2860ED6
+:04AEDD0026EB8611C9
+:04AEDE0026F48614BC
+:04AEDF0026FD8617AF
+:04AEE00027068619A2
+:04AEE100270F861C95
+:04AEE2002718861F88
+:04AEE300272186227B
+:04AEE400272A86256E
+:04AEE5002733862861
+:04AEE600273C862B54
+:04AEE7002745862E47
+:04AEE800274E86313A
+:04AEE900275786332E
+:04AEEA002760863621
+:04AEEB002769863914
+:04AEEC002772863C07
+:04AEED00277B863FFA
+:04AEEE0027848642ED
+:04AEEF00278D8645E0
+:04AEF00027968648D3
+:04AEF100279F864BC6
+:04AEF20027A8864EB9
+:04AEF30027B18651AC
+:04AEF40027BA8653A0
+:04AEF50027C3865693
+:04AEF60027CC865986
+:04AEF70027D5865C79
+:04AEF80027DD865F6D
+:04AEF90027E6866260
+:04AEFA0027EF866553
+:04AEFB0027F8866846
+:04AEFC002801866B38
+:04AEFD00280A866E2B
+:04AEFE00281386711E
+:04AEFF00281C867411
+:04AF00002825867703
+:04AF0100282E867AF6
+:04AF02002837867DE9
+:04AF030028408680DC
+:04AF040028498683CF
+:04AF050028528686C2
+:04AF0600285B8689B5
+:04AF07002864868CA8
+:04AF0800286D868E9C
+:04AF0900287686918F
+:04AF0A00287F869482
+:04AF0B002887869776
+:04AF0C002890869A69
+:04AF0D002899869D5C
+:04AF0E0028A286A04F
+:04AF0F0028AB86A342
+:04AF100028B486A635
+:04AF110028BD86A928
+:04AF120028C686AC1B
+:04AF130028CF86AF0E
+:04AF140028D886B201
+:04AF150028E186B5F4
+:04AF160028EA86B8E7
+:04AF170028F386BBDA
+:04AF180028FC86BECD
+:04AF1900290586C1BF
+:04AF1A00290D86C4B3
+:04AF1B00291686C8A5
+:04AF1C00291F86CB98
+:04AF1D00292886CE8B
+:04AF1E00293186D17E
+:04AF1F00293A86D471
+:04AF2000294386D764
+:04AF2100294C86DA57
+:04AF2200295586DD4A
+:04AF2300295E86E03D
+:04AF2400296786E330
+:04AF2500297086E623
+:04AF2600297986E916
+:04AF2700298186EC0A
+:04AF2800298A86EFFD
+:04AF2900299386F2F0
+:04AF2A00299C86F5E3
+:04AF2B0029A586F8D6
+:04AF2C0029AE86FBC9
+:04AF2D0029B786FEBC
+:04AF2E0029C08701AE
+:04AF2F0029C98705A0
+:04AF300029D2870893
+:04AF310029DB870B86
+:04AF320029E3870E7A
+:04AF330029EC87116D
+:04AF340029F5871460
+:04AF350029FE871753
+:04AF36002A07871A45
+:04AF37002A10871D38
+:04AF38002A1987202B
+:04AF39002A2287231E
+:04AF3A002A2B872710
+:04AF3B002A34872A03
+:04AF3C002A3C872DF7
+:04AF3D002A458730EA
+:04AF3E002A4E8733DD
+:04AF3F002A578736D0
+:04AF40002A608739C3
+:04AF41002A69873CB6
+:04AF42002A72873FA9
+:04AF43002A7B87439B
+:04AF44002A8487468E
+:04AF45002A8C874982
+:04AF46002A95874C75
+:04AF47002A9E874F68
+:04AF48002AA787525B
+:04AF49002AB087554E
+:04AF4A002AB9875940
+:04AF4B002AC2875C33
+:04AF4C002ACB875F26
+:04AF4D002AD4876219
+:04AF4E002ADC87650D
+:04AF4F002AE5876800
+:04AF50002AEE876BF3
+:04AF51002AF7876FE5
+:04AF52002B008772D7
+:04AF53002B098775CA
+:04AF54002B128778BD
+:04AF55002B1B877BB0
+:04AF56002B23877EA4
+:04AF57002B2C878296
+:04AF58002B35878589
+:04AF59002B3E87887C
+:04AF5A002B47878B6F
+:04AF5B002B50878E62
+:04AF5C002B59879254
+:04AF5D002B62879547
+:04AF5E002B6A87983B
+:04AF5F002B73879B2E
+:04AF60002B7C879E21
+:04AF61002B8587A213
+:04AF62002B8E87A506
+:04AF63002B9787A8F9
+:04AF64002BA087ABEC
+:04AF65002BA887AEE0
+:04AF66002BB187B2D2
+:04AF67002BBA87B5C5
+:04AF68002BC387B8B8
+:04AF69002BCC87BBAB
+:04AF6A002BD587BF9D
+:04AF6B002BDE87C290
+:04AF6C002BE687C584
+:04AF6D002BEF87C877
+:04AF6E002BF887CB6A
+:04AF6F002C0187CF5B
+:04AF70002C0A87D24E
+:04AF71002C1387D541
+:04AF72002C1C87D834
+:04AF73002C2487DC27
+:04AF74002C2D87DF1A
+:04AF75002C3687E20D
+:04AF76002C3F87E500
+:04AF77002C4887E9F2
+:04AF78002C5187ECE5
+:04AF79002C5987EFD9
+:04AF7A002C6287F2CC
+:04AF7B002C6B87F6BE
+:04AF7C002C7487F9B1
+:04AF7D002C7D87FCA4
+:04AF7E002C86880095
+:04AF7F002C8E880389
+:04AF80002C9788067C
+:04AF81002CA088096F
+:04AF82002CA9880D61
+:04AF83002CB2881054
+:04AF84002CBB881347
+:04AF85002CC388173A
+:04AF86002CCC881A2D
+:04AF87002CD5881D20
+:04AF88002CDE882013
+:04AF89002CE7882405
+:04AF8A002CF08827F8
+:04AF8B002CF8882AEC
+:04AF8C002D01882EDD
+:04AF8D002D0A8831D0
+:04AF8E002D138834C3
+:04AF8F002D1C8838B5
+:04AF90002D25883BA8
+:04AF91002D2D883E9C
+:04AF92002D3688428E
+:04AF93002D3F884581
+:04AF94002D48884874
+:04AF95002D51884C66
+:04AF96002D59884F5A
+:04AF97002D6288524D
+:04AF98002D6B88563F
+:04AF99002D74885932
+:04AF9A002D7D885C25
+:04AF9B002D85886018
+:04AF9C002D8E88630B
+:04AF9D002D978866FE
+:04AF9E002DA0886AF0
+:04AF9F002DA9886DE3
+:04AFA0002DB18871D6
+:04AFA1002DBA8874C9
+:04AFA2002DC38877BC
+:04AFA3002DCC887BAE
+:04AFA4002DD5887EA1
+:04AFA5002DDD888195
+:04AFA6002DE6888587
+:04AFA7002DEF88887A
+:04AFA8002DF8888C6C
+:04AFA9002E01888F5E
+:04AFAA002E09889252
+:04AFAB002E12889644
+:04AFAC002E1B889937
+:04AFAD002E24889C2A
+:04AFAE002E2D88A01C
+:04AFAF002E3588A310
+:04AFB0002E3E88A702
+:04AFB1002E4788AAF5
+:04AFB2002E5088ADE8
+:04AFB3002E5988B1DA
+:04AFB4002E6188B4CE
+:04AFB5002E6A88B8C0
+:04AFB6002E7388BBB3
+:04AFB7002E7C88BFA5
+:04AFB8002E8488C299
+:04AFB9002E8D88C58C
+:04AFBA002E9688C97E
+:04AFBB002E9F88CC71
+:04AFBC002EA888D063
+:04AFBD002EB088D357
+:04AFBE002EB988D749
+:04AFBF002EC288DA3C
+:04AFC0002ECB88DD2F
+:04AFC1002ED388E122
+:04AFC2002EDC88E415
+:04AFC3002EE588E807
+:04AFC4002EEE88EBFA
+:04AFC5002EF788EFEC
+:04AFC6002EFF88F2E0
+:04AFC7002F0888F6D1
+:04AFC8002F1188F9C4
+:04AFC9002F1A88FDB6
+:04AFCA002F228900A9
+:04AFCB002F2B89049B
+:04AFCC002F3489078E
+:04AFCD002F3D890A81
+:04AFCE002F45890E74
+:04AFCF002F4E891167
+:04AFD0002F57891559
+:04AFD1002F6089184C
+:04AFD2002F68891C3F
+:04AFD3002F71891F32
+:04AFD4002F7A892324
+:04AFD5002F83892617
+:04AFD6002F8B892A0A
+:04AFD7002F94892DFD
+:04AFD8002F9D8931EF
+:04AFD9002FA68934E2
+:04AFDA002FAE8938D5
+:04AFDB002FB7893BC8
+:04AFDC002FC0893FBA
+:04AFDD002FC98942AD
+:04AFDE002FD18946A0
+:04AFDF002FDA894A92
+:04AFE0002FE3894D85
+:04AFE1002FEC895177
+:04AFE2002FF489546B
+:04AFE3002FFD89585D
+:04AFE4003006895B4F
+:04AFE500300F895F41
+:04AFE6003017896235
+:04AFE7003020896627
+:04AFE800302989691A
+:04AFE9003031896D0D
+:04AFEA00303A897000
+:04AFEB0030438974F2
+:04AFEC00304C8978E4
+:04AFED003054897BD8
+:04AFEE00305D897FCA
+:04AFEF0030668982BD
+:04AFF000306F8986AF
+:04AFF10030778989A3
+:04AFF2003080898D95
+:04AFF3003089899088
+:04AFF400309189947B
+:04AFF500309A89986D
+:04AFF60030A3899B60
+:04AFF70030AC899F52
+:04AFF80030B489A246
+:04AFF90030BD89A638
+:04AFFA0030C689AA2A
+:04AFFB0030CE89AD1E
+:04AFFC0030D789B110
+:04AFFD0030E089B403
+:04AFFE0030E989B8F5
+:04AFFF0030F189BCE8
+:04B0000030FA89BFDA
+:04B00100310389C3CB
+:04B00200310B89C6BF
+:04B00300311489CAB1
+:04B00400311D89CEA3
+:04B00500312689D196
+:04B00600312E89D589
+:04B00700313789D87C
+:04B00800314089DC6E
+:04B00900314889E061
+:04B00A00315189E354
+:04B00B00315A89E746
+:04B00C00316289EB39
+:04B00D00316B89EE2C
+:04B00E00317489F21E
+:04B00F00317C89F611
+:04B01000318589F904
+:04B01100318E89FDF6
+:04B0120031978A00E8
+:04B01300319F8A04DB
+:04B0140031A88A08CD
+:04B0150031B18A0BC0
+:04B0160031B98A0FB3
+:04B0170031C28A13A5
+:04B0180031CB8A1698
+:04B0190031D38A1A8B
+:04B01A0031DC8A1E7D
+:04B01B0031E58A2170
+:04B01C0031ED8A2563
+:04B01D0031F68A2955
+:04B01E0031FF8A2C48
+:04B01F0032078A303A
+:04B0200032108A342C
+:04B0210032198A381E
+:04B0220032218A3B12
+:04B02300322A8A3F04
+:04B0240032338A43F6
+:04B02500323B8A46EA
+:04B0260032448A4ADC
+:04B02700324D8A4ECE
+:04B0280032558A51C2
+:04B02900325E8A55B4
+:04B02A0032678A59A6
+:04B02B00326F8A5D99
+:04B02C0032788A608C
+:04B02D0032818A647E
+:04B02E0032898A6871
+:04B02F0032928A6B64
+:04B03000329B8A6F56
+:04B0310032A38A7349
+:04B0320032AC8A773B
+:04B0330032B58A7A2E
+:04B0340032BD8A7E21
+:04B0350032C68A8213
+:04B0360032CF8A8605
+:04B0370032D78A89F9
+:04B0380032E08A8DEB
+:04B0390032E98A91DD
+:04B03A0032F18A95D0
+:04B03B0032FA8A98C3
+:04B03C0033038A9CB4
+:04B03D00330B8AA0A7
+:04B03E0033148AA499
+:04B03F00331C8AA78D
+:04B0400033258AAB7F
+:04B04100332E8AAF71
+:04B0420033368AB364
+:04B04300333F8AB657
+:04B0440033488ABA49
+:04B0450033508ABE3C
+:04B0460033598AC22E
+:04B0470033628AC620
+:04B04800336A8AC914
+:04B0490033738ACD06
+:04B04A00337B8AD1F9
+:04B04B0033848AD5EB
+:04B04C00338D8AD8DE
+:04B04D0033958ADCD1
+:04B04E00339E8AE0C3
+:04B04F0033A78AE4B5
+:04B0500033AF8AE8A8
+:04B0510033B88AEB9B
+:04B0520033C08AEF8E
+:04B0530033C98AF380
+:04B0540033D28AF772
+:04B0550033DA8AFB65
+:04B0560033E38AFF57
+:04B0570033EB8B024A
+:04B0580033F48B063C
+:04B0590033FD8B0A2E
+:04B05A0034058B0E20
+:04B05B00340E8B1212
+:04B05C0034178B1604
+:04B05D00341F8B19F8
+:04B05E0034288B1DEA
+:04B05F0034308B21DD
+:04B0600034398B25CF
+:04B0610034428B29C1
+:04B06200344A8B2DB4
+:04B0630034538B30A7
+:04B06400345B8B349A
+:04B0650034648B388C
+:04B06600346D8B3C7E
+:04B0670034758B4071
+:04B06800347E8B4463
+:04B0690034868B4856
+:04B06A00348F8B4B49
+:04B06B0034988B4F3B
+:04B06C0034A08B532E
+:04B06D0034A98B5720
+:04B06E0034B18B5B13
+:04B06F0034BA8B5F05
+:04B0700034C28B63F8
+:04B0710034CB8B67EA
+:04B0720034D48B6BDC
+:04B0730034DC8B6ED0
+:04B0740034E58B72C2
+:04B0750034ED8B76B5
+:04B0760034F68B7AA7
+:04B0770034FF8B7E99
+:04B0780035078B828B
+:04B0790035108B867D
+:04B07A0035188B8A70
+:04B07B0035218B8E62
+:04B07C0035298B9255
+:04B07D0035328B9548
+:04B07E00353B8B993A
+:04B07F0035438B9D2D
+:04B08000354C8BA11F
+:04B0810035548BA512
+:04B08200355D8BA904
+:04B0830035658BADF7
+:04B08400356E8BB1E9
+:04B0850035778BB5DB
+:04B08600357F8BB9CE
+:04B0870035888BBDC0
+:04B0880035908BC1B3
+:04B0890035998BC5A5
+:04B08A0035A18BC998
+:04B08B0035AA8BCD8A
+:04B08C0035B28BD07E
+:04B08D0035BB8BD470
+:04B08E0035C48BD862
+:04B08F0035CC8BDC55
+:04B0900035D58BE047
+:04B0910035DD8BE43A
+:04B0920035E68BE82C
+:04B0930035EE8BEC1F
+:04B0940035F78BF011
+:04B0950035FF8BF404
+:04B0960036088BF8F5
+:04B0970036108BFCE8
+:04B0980036198C00D9
+:04B0990036228C04CB
+:04B09A00362A8C08BE
+:04B09B0036338C0CB0
+:04B09C00363B8C10A3
+:04B09D0036448C1495
+:04B09E00364C8C1888
+:04B09F0036558C1C7A
+:04B0A000365D8C206D
+:04B0A10036668C245F
+:04B0A200366E8C2852
+:04B0A30036778C2C44
+:04B0A400367F8C3037
+:04B0A50036888C3429
+:04B0A60036918C381B
+:04B0A70036998C3C0E
+:04B0A80036A28C4000
+:04B0A90036AA8C44F3
+:04B0AA0036B38C48E5
+:04B0AB0036BB8C4CD8
+:04B0AC0036C48C50CA
+:04B0AD0036CC8C54BD
+:04B0AE0036D58C58AF
+:04B0AF0036DD8C5CA2
+:04B0B00036E68C6094
+:04B0B10036EE8C6487
+:04B0B20036F78C6978
+:04B0B30036FF8C6D6B
+:04B0B40037088C715C
+:04B0B50037108C754F
+:04B0B60037198C7941
+:04B0B70037218C7D34
+:04B0B800372A8C8126
+:04B0B90037328C8519
+:04B0BA00373B8C890B
+:04B0BB0037438C8DFE
+:04B0BC00374C8C91F0
+:04B0BD0037548C95E3
+:04B0BE00375D8C99D5
+:04B0BF0037658C9DC8
+:04B0C000376E8CA1BA
+:04B0C10037768CA5AD
+:04B0C200377F8CAA9E
+:04B0C30037878CAE91
+:04B0C40037908CB283
+:04B0C50037988CB676
+:04B0C60037A18CBA68
+:04B0C70037A98CBE5B
+:04B0C80037B28CC24D
+:04B0C90037BA8CC640
+:04B0CA0037C38CCA32
+:04B0CB0037CB8CCE25
+:04B0CC0037D48CD316
+:04B0CD0037DC8CD709
+:04B0CE0037E58CDBFB
+:04B0CF0037ED8CDFEE
+:04B0D00037F68CE3E0
+:04B0D10037FE8CE7D3
+:04B0D20038068CEBC5
+:04B0D300380F8CEFB7
+:04B0D40038178CF4A9
+:04B0D50038208CF89B
+:04B0D60038288CFC8E
+:04B0D70038318D007F
+:04B0D80038398D0472
+:04B0D90038428D0864
+:04B0DA00384A8D0C57
+:04B0DB0038538D1049
+:04B0DC00385B8D153B
+:04B0DD0038648D192D
+:04B0DE00386C8D1D20
+:04B0DF0038758D2112
+:04B0E000387D8D2505
+:04B0E10038858D29F8
+:04B0E200388E8D2EE9
+:04B0E30038968D32DC
+:04B0E400389F8D36CE
+:04B0E50038A78D3AC1
+:04B0E60038B08D3EB3
+:04B0E70038B88D42A6
+:04B0E80038C18D4797
+:04B0E90038C98D4B8A
+:04B0EA0038D18D4F7D
+:04B0EB0038DA8D536F
+:04B0EC0038E28D5762
+:04B0ED0038EB8D5C53
+:04B0EE0038F38D6046
+:04B0EF0038FC8D6438
+:04B0F00039048D682A
+:04B0F100390D8D6C1C
+:04B0F20039158D710E
+:04B0F300391D8D7501
+:04B0F40039268D79F3
+:04B0F500392E8D7DE6
+:04B0F60039378D81D8
+:04B0F700393F8D86CA
+:04B0F80039488D8ABC
+:04B0F90039508D8EAF
+:04B0FA0039588D92A2
+:04B0FB0039618D9694
+:04B0FC0039698D9B86
+:04B0FD0039728D9F78
+:04B0FE00397A8DA36B
+:04B0FF0039838DA75D
+:04B10000398B8DAC4E
+:04B1010039938DB041
+:04B10200399C8DB433
+:04B1030039A48DB826
+:04B1040039AD8DBD17
+:04B1050039B58DC10A
+:04B1060039BD8DC5FD
+:04B1070039C68DC9EF
+:04B1080039CE8DCEE1
+:04B1090039D78DD2D3
+:04B10A0039DF8DD6C6
+:04B10B0039E78DDAB9
+:04B10C0039F08DDFAA
+:04B10D0039F88DE39D
+:04B10E003A018DE78E
+:04B10F003A098DEB81
+:04B110003A118DF073
+:04B111003A1A8DF465
+:04B112003A228DF858
+:04B113003A2B8DFD49
+:04B114003A338E013B
+:04B115003A3B8E052E
+:04B116003A448E0920
+:04B117003A4C8E0E12
+:04B118003A558E1204
+:04B119003A5D8E16F7
+:04B11A003A658E1BE9
+:04B11B003A6E8E1FDB
+:04B11C003A768E23CE
+:04B11D003A7F8E28BF
+:04B11E003A878E2CB2
+:04B11F003A8F8E30A5
+:04B120003A988E3497
+:04B121003AA08E3989
+:04B122003AA88E3D7C
+:04B123003AB18E416E
+:04B124003AB98E4660
+:04B125003AC28E4A52
+:04B126003ACA8E4E45
+:04B127003AD28E5337
+:04B128003ADB8E5729
+:04B129003AE38E5B1C
+:04B12A003AEB8E600E
+:04B12B003AF48E6400
+:04B12C003AFC8E68F3
+:04B12D003B058E6DE3
+:04B12E003B0D8E71D6
+:04B12F003B158E75C9
+:04B130003B1E8E7ABA
+:04B131003B268E7EAD
+:04B132003B2E8E82A0
+:04B133003B378E8791
+:04B134003B3F8E8B84
+:04B135003B478E9076
+:04B136003B508E9468
+:04B137003B588E985B
+:04B138003B608E9D4D
+:04B139003B698EA13F
+:04B13A003B718EA532
+:04B13B003B798EAA24
+:04B13C003B828EAE16
+:04B13D003B8A8EB308
+:04B13E003B938EB7FA
+:04B13F003B9B8EBBED
+:04B140003BA38EC0DF
+:04B141003BAC8EC4D1
+:04B142003BB48EC9C3
+:04B143003BBC8ECDB6
+:04B144003BC58ED1A8
+:04B145003BCD8ED69A
+:04B146003BD58EDA8D
+:04B147003BDE8EDF7E
+:04B148003BE68EE371
+:04B149003BEE8EE764
+:04B14A003BF78EEC55
+:04B14B003BFF8EF048
+:04B14C003C078EF539
+:04B14D003C0F8EF92C
+:04B14E003C188EFD1E
+:04B14F003C208F020F
+:04B150003C288F0602
+:04B151003C318F0BF3
+:04B152003C398F0FE6
+:04B153003C418F14D8
+:04B154003C4A8F18CA
+:04B155003C528F1CBD
+:04B156003C5A8F21AF
+:04B157003C638F25A1
+:04B158003C6B8F2A93
+:04B159003C738F2E86
+:04B15A003C7C8F3377
+:04B15B003C848F376A
+:04B15C003C8C8F3C5C
+:04B15D003C948F404F
+:04B15E003C9D8F4540
+:04B15F003CA58F4933
+:04B160003CAD8F4D26
+:04B161003CB68F5217
+:04B162003CBE8F560A
+:04B163003CC68F5BFC
+:04B164003CCF8F5FEE
+:04B165003CD78F64E0
+:04B166003CDF8F68D3
+:04B167003CE78F6DC5
+:04B168003CF08F71B7
+:04B169003CF88F76A9
+:04B16A003D008F7A9B
+:04B16B003D098F7F8C
+:04B16C003D118F837F
+:04B16D003D198F8871
+:04B16E003D218F8C64
+:04B16F003D2A8F9155
+:04B170003D328F9548
+:04B171003D3A8F9A3A
+:04B172003D438F9E2C
+:04B173003D4B8FA31E
+:04B174003D538FA711
+:04B175003D5B8FAC03
+:04B176003D648FB0F5
+:04B177003D6C8FB5E7
+:04B178003D748FB9DA
+:04B179003D7C8FBECC
+:04B17A003D858FC2BE
+:04B17B003D8D8FC7B0
+:04B17C003D958FCCA2
+:04B17D003D9D8FD095
+:04B17E003DA68FD586
+:04B17F003DAE8FD979
+:04B180003DB68FDE6B
+:04B181003DBE8FE25E
+:04B182003DC78FE74F
+:04B183003DCF8FEB42
+:04B184003DD78FF034
+:04B185003DDF8FF427
+:04B186003DE88FF918
+:04B187003DF08FFE0A
+:04B188003DF89002FC
+:04B189003E009007ED
+:04B18A003E09900BDF
+:04B18B003E119010D1
+:04B18C003E199014C4
+:04B18D003E219019B6
+:04B18E003E2A901EA7
+:04B18F003E3290229A
+:04B190003E3A90278C
+:04B191003E42902B7F
+:04B192003E4B903070
+:04B193003E53903463
+:04B194003E5B903955
+:04B195003E63903E47
+:04B196003E6C904239
+:04B197003E7490472B
+:04B198003E7C904B1E
+:04B199003E84905010
+:04B19A003E8C905502
+:04B19B003E959059F4
+:04B19C003E9D905EE6
+:04B19D003EA59062D9
+:04B19E003EAD9067CB
+:04B19F003EB6906CBC
+:04B1A0003EBE9070AF
+:04B1A1003EC69075A1
+:04B1A2003ECE907A93
+:04B1A3003ED6907E86
+:04B1A4003EDF908377
+:04B1A5003EE790876A
+:04B1A6003EEF908C5C
+:04B1A7003EF790914E
+:04B1A8003EFF909541
+:04B1A9003F08909A31
+:04B1AA003F10909F23
+:04B1AB003F1890A316
+:04B1AC003F2090A808
+:04B1AD003F2890ADFA
+:04B1AE003F3190B1EC
+:04B1AF003F3990B6DE
+:04B1B0003F4190BBD0
+:04B1B1003F4990BFC3
+:04B1B2003F5190C4B5
+:04B1B3003F5A90C9A6
+:04B1B4003F6290CD99
+:04B1B5003F6A90D28B
+:04B1B6003F7290D77D
+:04B1B7003F7A90DB70
+:04B1B8003F8390E061
+:04B1B9003F8B90E553
+:04B1BA003F9390E946
+:04B1BB003F9B90EE38
+:04B1BC003FA390F32A
+:04B1BD003FAB90F71D
+:04B1BE003FB490FC0E
+:04B1BF003FBC9101FF
+:04B1C0003FC49105F2
+:04B1C1003FCC910AE4
+:04B1C2003FD4910FD6
+:04B1C3003FDC9113C9
+:04B1C4003FE59118BA
+:04B1C5003FED911DAC
+:04B1C6003FF591229E
+:04B1C7003FFD912691
+:04B1C8004006912B81
+:04B1C900400E913073
+:04B1CA004017913465
+:04B1CB00401F913957
+:04B1CC004027913E49
+:04B1CD00402F91433B
+:04B1CE00403791472E
+:04B1CF00403F914C20
+:04B1D0004047915112
+:04B1D1004050915603
+:04B1D2004058915AF6
+:04B1D3004060915FE8
+:04B1D40040689164DA
+:04B1D50040709168CD
+:04B1D6004078916DBF
+:04B1D70040819172B0
+:04B1D80040899177A2
+:04B1D9004091917B95
+:04B1DA004099918087
+:04B1DB0040A1918579
+:04B1DC0040A9918A6B
+:04B1DD0040B1918F5D
+:04B1DE0040B9919350
+:04B1DF0040C2919841
+:04B1E00040CA919D33
+:04B1E10040D291A225
+:04B1E20040DA91A618
+:04B1E30040E291AB0A
+:04B1E40040EA91B0FC
+:04B1E50040F291B5EE
+:04B1E60040FA91B9E1
+:04B1E700410391BED1
+:04B1E800410B91C3C3
+:04B1E900411391C8B5
+:04B1EA00411B91CDA7
+:04B1EB00412391D19A
+:04B1EC00412B91D68C
+:04B1ED00413391DB7E
+:04B1EE00413B91E070
+:04B1EF00414391E562
+:04B1F000414C91E954
+:04B1F100415491EE46
+:04B1F200415C91F338
+:04B1F300416491F82A
+:04B1F400416C91FD1C
+:04B1F500417492020D
+:04B1F600417C920600
+:04B1F7004184920BF2
+:04B1F800418C9210E4
+:04B1F90041949215D6
+:04B1FA00419D921AC7
+:04B1FB0041A5921EBA
+:04B1FC0041AD9223AC
+:04B1FD0041B592289E
+:04B1FE0041BD922D90
+:04B1FF0041C5923282
+:04B2000041CD923773
+:04B2010041D5923C65
+:04B2020041DD924058
+:04B2030041E592454A
+:04B2040041ED924A3C
+:04B2050041F5924F2E
+:04B2060041FE92541F
+:04B207004206925910
+:04B20800420E925D03
+:04B2090042169262F5
+:04B20A00421E9267E7
+:04B20B004226926CD9
+:04B20C00422E9271CB
+:04B20D0042369276BD
+:04B20E00423E927BAF
+:04B20F0042469280A1
+:04B21000424E928494
+:04B211004256928986
+:04B21200425E928E78
+:04B21300426692936A
+:04B21400426E92985C
+:04B215004277929D4D
+:04B21600427F92A23F
+:04B21700428792A731
+:04B21800428F92AC23
+:04B21900429792B115
+:04B21A00429F92B508
+:04B21B0042A792BAFA
+:04B21C0042AF92BFEC
+:04B21D0042B792C4DE
+:04B21E0042BF92C9D0
+:04B21F0042C792CEC2
+:04B2200042CF92D3B4
+:04B2210042D792D8A6
+:04B2220042DF92DD98
+:04B2230042E792E28A
+:04B2240042EF92E77C
+:04B2250042F792EC6E
+:04B2260042FF92F061
+:04B22700430792F552
+:04B22800430F92FA44
+:04B22900431792FF36
+:04B22A00431F930427
+:04B22B004327930919
+:04B22C00432F930E0B
+:04B22D0043379313FD
+:04B22E00433F9318EF
+:04B22F004347931DE1
+:04B23000434F9322D3
+:04B2310043579327C5
+:04B232004360932CB6
+:04B2330043689331A8
+:04B23400437093369A
+:04B235004378933B8C
+:04B23600438093407E
+:04B237004388934570
+:04B238004390934A62
+:04B239004398934F54
+:04B23A0043A0935446
+:04B23B0043A8935938
+:04B23C0043B0935E2A
+:04B23D0043B893631C
+:04B23E0043C093680E
+:04B23F0043C8936C01
+:04B2400043D09371F3
+:04B2410043D89376E5
+:04B2420043E0937BD7
+:04B2430043E89380C9
+:04B2440043F09385BB
+:04B2450043F7938AAE
+:04B2460043FF938FA0
+:04B247004407939491
+:04B24800440F939A82
+:04B249004417939F74
+:04B24A00441F93A466
+:04B24B00442793A958
+:04B24C00442F93AE4A
+:04B24D00443793B33C
+:04B24E00443F93B82E
+:04B24F00444793BD20
+:04B25000444F93C212
+:04B25100445793C704
+:04B25200445F93CCF6
+:04B25300446793D1E8
+:04B25400446F93D6DA
+:04B25500447793DBCC
+:04B25600447F93E0BE
+:04B25700448793E5B0
+:04B25800448F93EAA2
+:04B25900449793EF94
+:04B25A00449F93F486
+:04B25B0044A793F978
+:04B25C0044AF93FE6A
+:04B25D0044B794035B
+:04B25E0044BF94084D
+:04B25F0044C7940D3F
+:04B2600044CF941231
+:04B2610044D7941822
+:04B2620044DE941D15
+:04B2630044E6942207
+:04B2640044EE9427F9
+:04B2650044F6942CEB
+:04B2660044FE9431DD
+:04B2670045069436CE
+:04B26800450E943BC0
+:04B2690045169440B2
+:04B26A00451E9445A4
+:04B26B004526944A96
+:04B26C00452E944F88
+:04B26D00453694547A
+:04B26E00453E945A6B
+:04B26F004546945F5D
+:04B27000454E94644F
+:04B271004555946942
+:04B27200455D946E34
+:04B273004565947326
+:04B27400456D947818
+:04B275004575947D0A
+:04B27600457D9482FC
+:04B2770045859488ED
+:04B27800458D948DDF
+:04B2790045959492D1
+:04B27A00459D9497C3
+:04B27B0045A5949CB5
+:04B27C0045AD94A1A7
+:04B27D0045B494A69A
+:04B27E0045BC94AB8C
+:04B27F0045C494B17D
+:04B2800045CC94B66F
+:04B2810045D494BB61
+:04B2820045DC94C053
+:04B2830045E494C545
+:04B2840045EC94CA37
+:04B2850045F494CF29
+:04B2860045FB94D51B
+:04B28700460394DA0C
+:04B28800460B94DFFE
+:04B28900461394E4F0
+:04B28A00461B94E9E2
+:04B28B00462394EED4
+:04B28C00462B94F4C5
+:04B28D00463394F9B7
+:04B28E00463B94FEA9
+:04B28F00464295039B
+:04B29000464A95088D
+:04B291004652950D7F
+:04B29200465A951370
+:04B293004662951862
+:04B29400466A951D54
+:04B295004672952246
+:04B29600467A952738
+:04B297004681952D2A
+:04B29800468995321C
+:04B29900469195370E
+:04B29A004699953C00
+:04B29B0046A19541F2
+:04B29C0046A99547E3
+:04B29D0046B1954CD5
+:04B29E0046B89551C8
+:04B29F0046C09556BA
+:04B2A00046C8955BAC
+:04B2A10046D095619D
+:04B2A20046D895668F
+:04B2A30046E0956B81
+:04B2A40046E8957073
+:04B2A50046EF957566
+:04B2A60046F7957B57
+:04B2A70046FF958049
+:04B2A800470795853A
+:04B2A900470F958A2C
+:04B2AA00471795901D
+:04B2AB00471E959510
+:04B2AC004726959A02
+:04B2AD00472E959FF4
+:04B2AE00473695A5E5
+:04B2AF00473E95AAD7
+:04B2B000474695AFC9
+:04B2B100474D95B4BC
+:04B2B200475595BAAD
+:04B2B300475D95BF9F
+:04B2B400476595C491
+:04B2B500476D95C983
+:04B2B600477595CF74
+:04B2B700477C95D467
+:04B2B800478495D959
+:04B2B900478C95DE4B
+:04B2BA00479495E43C
+:04B2BB00479C95E92E
+:04B2BC0047A395EE21
+:04B2BD0047AB95F313
+:04B2BE0047B395F904
+:04B2BF0047BB95FEF6
+:04B2C00047C39603E7
+:04B2C10047CA9609D9
+:04B2C20047D2960ECB
+:04B2C30047DA9613BD
+:04B2C40047E29618AF
+:04B2C50047EA961EA0
+:04B2C60047F1962393
+:04B2C70047F9962885
+:04B2C8004801962E75
+:04B2C9004809963367
+:04B2CA004811963859
+:04B2CB004818963E4B
+:04B2CC00482096433D
+:04B2CD00482896482F
+:04B2CE004830964D21
+:04B2CF004838965312
+:04B2D000483F965805
+:04B2D1004847965DF7
+:04B2D200484F9663E8
+:04B2D30048579668DA
+:04B2D400485E966DCD
+:04B2D50048669673BE
+:04B2D600486E9678B0
+:04B2D7004876967DA2
+:04B2D800487D968394
+:04B2D9004885968886
+:04B2DA00488D968D78
+:04B2DB004895969369
+:04B2DC00489D96985B
+:04B2DD0048A4969D4E
+:04B2DE0048AC96A33F
+:04B2DF0048B496A831
+:04B2E00048BC96AE22
+:04B2E10048C396B315
+:04B2E20048CB96B807
+:04B2E30048D396BEF8
+:04B2E40048DB96C3EA
+:04B2E50048E296C8DD
+:04B2E60048EA96CECE
+:04B2E70048F296D3C0
+:04B2E80048FA96D8B2
+:04B2E900490196DEA3
+:04B2EA00490996E395
+:04B2EB00491196E986
+:04B2EC00491996EE78
+:04B2ED00492096F36B
+:04B2EE00492896F95C
+:04B2EF00493096FE4E
+:04B2F0004937970340
+:04B2F100493F970931
+:04B2F2004947970E23
+:04B2F300494F971414
+:04B2F4004956971907
+:04B2F500495E971EF9
+:04B2F60049669724EA
+:04B2F700496E9729DC
+:04B2F8004975972FCE
+:04B2F900497D9734C0
+:04B2FA0049859739B2
+:04B2FB00498C973FA4
+:04B2FC004994974496
+:04B2FD00499C974A87
+:04B2FE0049A4974F79
+:04B2FF0049AB97556B
+:04B3000049B3975A5C
+:04B3010049BB975F4E
+:04B3020049C2976540
+:04B3030049CA976A32
+:04B3040049D2977023
+:04B3050049D9977516
+:04B3060049E1977B07
+:04B3070049E99780F9
+:04B3080049F19785EB
+:04B3090049F8978BDD
+:04B30A004A009790CE
+:04B30B004A089796BF
+:04B30C004A0F979BB2
+:04B30D004A1797A1A3
+:04B30E004A1F97A695
+:04B30F004A2697AC87
+:04B310004A2E97B179
+:04B311004A3697B76A
+:04B312004A3D97BC5D
+:04B313004A4597C24E
+:04B314004A4D97C740
+:04B315004A5497CC33
+:04B316004A5C97D224
+:04B317004A6497D716
+:04B318004A6B97DD08
+:04B319004A7397E2FA
+:04B31A004A7B97E8EB
+:04B31B004A8297EDDE
+:04B31C004A8A97F3CF
+:04B31D004A9297F8C1
+:04B31E004A9997FEB3
+:04B31F004AA19803A4
+:04B320004AA9980995
+:04B321004AB0980E88
+:04B322004AB8981479
+:04B323004AC098196B
+:04B324004AC7981F5D
+:04B325004ACF98244F
+:04B326004AD7982A40
+:04B327004ADE982F33
+:04B328004AE6983524
+:04B329004AEE983A16
+:04B32A004AF5984008
+:04B32B004AFD9845FA
+:04B32C004B04984BEB
+:04B32D004B0C9850DD
+:04B32E004B149856CE
+:04B32F004B1B985CC0
+:04B330004B239861B2
+:04B331004B2B9867A3
+:04B332004B32986C96
+:04B333004B3A987287
+:04B334004B4198777A
+:04B335004B49987D6B
+:04B336004B5198825D
+:04B337004B5898884F
+:04B338004B60988D41
+:04B339004B68989332
+:04B33A004B6F989825
+:04B33B004B77989E16
+:04B33C004B7E98A408
+:04B33D004B8698A9FA
+:04B33E004B8E98AFEB
+:04B33F004B9598B4DE
+:04B340004B9D98BACF
+:04B341004BA498BFC2
+:04B342004BAC98C5B3
+:04B343004BB498CBA4
+:04B344004BBB98D097
+:04B345004BC398D688
+:04B346004BCA98DB7B
+:04B347004BD298E16C
+:04B348004BDA98E65E
+:04B349004BE198EC50
+:04B34A004BE998F241
+:04B34B004BF098F734
+:04B34C004BF898FD25
+:04B34D004C00990215
+:04B34E004C07990807
+:04B34F004C0F990EF8
+:04B350004C169913EB
+:04B351004C1E9919DC
+:04B352004C25991ECF
+:04B353004C2D9924C0
+:04B354004C35992AB1
+:04B355004C3C992FA4
+:04B356004C44993595
+:04B357004C4B993A88
+:04B358004C53994079
+:04B359004C5A99466B
+:04B35A004C62994B5D
+:04B35B004C6A99514E
+:04B35C004C71995740
+:04B35D004C79995C32
+:04B35E004C80996224
+:04B35F004C88996716
+:04B360004C8F996D08
+:04B361004C979973F9
+:04B362004C9E9978EC
+:04B363004CA6997EDD
+:04B364004CAE9984CE
+:04B365004CB59989C1
+:04B366004CBD998FB2
+:04B367004CC49995A4
+:04B368004CCC999A96
+:04B369004CD399A088
+:04B36A004CDB99A679
+:04B36B004CE299AB6C
+:04B36C004CEA99B15D
+:04B36D004CF199B74F
+:04B36E004CF999BC41
+:04B36F004D0099C232
+:04B370004D0899C823
+:04B371004D0F99CD16
+:04B372004D1799D307
+:04B373004D1F99D9F8
+:04B374004D2699DEEB
+:04B375004D2E99E4DC
+:04B376004D3599EACE
+:04B377004D3D99EFC0
+:04B378004D4499F5B2
+:04B379004D4C99FBA3
+:04B37A004D539A0095
+:04B37B004D5B9A0686
+:04B37C004D629A0C78
+:04B37D004D6A9A116A
+:04B37E004D719A175C
+:04B37F004D799A1D4D
+:04B380004D809A233F
+:04B381004D889A2831
+:04B382004D8F9A2E23
+:04B383004D979A3414
+:04B384004D9E9A3907
+:04B385004DA69A3FF8
+:04B386004DAD9A45EA
+:04B387004DB59A4BDB
+:04B388004DBC9A50CE
+:04B389004DC49A56BF
+:04B38A004DCB9A5CB1
+:04B38B004DD39A62A2
+:04B38C004DDA9A6795
+:04B38D004DE29A6D86
+:04B38E004DE99A7378
+:04B38F004DF09A786B
+:04B390004DF89A7E5C
+:04B391004DFF9A844E
+:04B392004E079A8A3E
+:04B393004E0E9A8F31
+:04B394004E169A9522
+:04B395004E1D9A9B14
+:04B396004E259AA105
+:04B397004E2C9AA6F8
+:04B398004E349AACE9
+:04B399004E3B9AB2DB
+:04B39A004E439AB8CC
+:04B39B004E4A9ABDBF
+:04B39C004E529AC3B0
+:04B39D004E599AC9A2
+:04B39E004E609ACF94
+:04B39F004E689AD585
+:04B3A0004E6F9ADA78
+:04B3A1004E779AE069
+:04B3A2004E7E9AE65B
+:04B3A3004E869AEC4C
+:04B3A4004E8D9AF13F
+:04B3A5004E959AF730
+:04B3A6004E9C9AFD22
+:04B3A7004EA39B0313
+:04B3A8004EAB9B0904
+:04B3A9004EB29B0EF7
+:04B3AA004EBA9B14E8
+:04B3AB004EC19B1ADA
+:04B3AC004EC99B20CB
+:04B3AD004ED09B26BD
+:04B3AE004ED79B2BB0
+:04B3AF004EDF9B31A1
+:04B3B0004EE69B3793
+:04B3B1004EEE9B3D84
+:04B3B2004EF59B4376
+:04B3B3004EFD9B4868
+:04B3B4004F049B4E59
+:04B3B5004F0B9B544B
+:04B3B6004F139B5A3C
+:04B3B7004F1A9B602E
+:04B3B8004F229B661F
+:04B3B9004F299B6B12
+:04B3BA004F309B7104
+:04B3BB004F389B77F5
+:04B3BC004F3F9B7DE7
+:04B3BD004F479B83D8
+:04B3BE004F4E9B89CA
+:04B3BF004F559B8EBD
+:04B3C0004F5D9B94AE
+:04B3C1004F649B9AA0
+:04B3C2004F6C9BA091
+:04B3C3004F739BA683
+:04B3C4004F7A9BAC75
+:04B3C5004F829BB167
+:04B3C6004F899BB759
+:04B3C7004F919BBD4A
+:04B3C8004F989BC33C
+:04B3C9004F9F9BC92E
+:04B3CA004FA79BCF1F
+:04B3CB004FAE9BD511
+:04B3CC004FB59BDA04
+:04B3CD004FBD9BE0F5
+:04B3CE004FC49BE6E7
+:04B3CF004FCC9BECD8
+:04B3D0004FD39BF2CA
+:04B3D1004FDA9BF8BC
+:04B3D2004FE29BFEAD
+:04B3D3004FE99C049E
+:04B3D4004FF09C0A90
+:04B3D5004FF89C0F82
+:04B3D6004FFF9C1574
+:04B3D70050069C1B65
+:04B3D800500E9C2156
+:04B3D90050159C2748
+:04B3DA00501C9C2D3A
+:04B3DB0050249C332B
+:04B3DC00502B9C391D
+:04B3DD0050339C3F0E
+:04B3DE00503A9C4401
+:04B3DF0050419C4AF3
+:04B3E00050499C50E4
+:04B3E10050509C56D6
+:04B3E20050579C5CC8
+:04B3E300505F9C62B9
+:04B3E40050669C68AB
+:04B3E500506D9C6E9D
+:04B3E60050759C748E
+:04B3E700507C9C7A80
+:04B3E80050839C8072
+:04B3E900508B9C8663
+:04B3EA0050929C8C55
+:04B3EB0050999C9148
+:04B3EC0050A09C973A
+:04B3ED0050A89C9D2B
+:04B3EE0050AF9CA31D
+:04B3EF0050B69CA90F
+:04B3F00050BE9CAF00
+:04B3F10050C59CB5F2
+:04B3F20050CC9CBBE4
+:04B3F30050D49CC1D5
+:04B3F40050DB9CC7C7
+:04B3F50050E29CCDB9
+:04B3F60050EA9CD3AA
+:04B3F70050F19CD99C
+:04B3F80050F89CDF8E
+:04B3F90050FF9CE580
+:04B3FA0051079CEB70
+:04B3FB00510E9CF162
+:04B3FC0051159CF754
+:04B3FD00511D9CFD45
+:04B3FE0051249D0336
+:04B3FF00512B9D0928
+:04B4000051339D0F18
+:04B40100513A9D150A
+:04B4020051419D1BFC
+:04B4030051489D20EF
+:04B4040051509D26E0
+:04B4050051579D2CD2
+:04B40600515E9D32C4
+:04B4070051659D38B6
+:04B40800516D9D3EA7
+:04B4090051749D4499
+:04B40A00517B9D4A8B
+:04B40B0051839D507C
+:04B40C00518A9D566E
+:04B40D0051919D5C60
+:04B40E0051989D6252
+:04B40F0051A09D6843
+:04B4100051A79D6E35
+:04B4110051AE9D7427
+:04B4120051B59D7A19
+:04B4130051BD9D8109
+:04B4140051C49D87FB
+:04B4150051CB9D8DED
+:04B4160051D29D93DF
+:04B4170051DA9D99D0
+:04B4180051E19D9FC2
+:04B4190051E89DA5B4
+:04B41A0051EF9DABA6
+:04B41B0051F79DB197
+:04B41C0051FE9DB789
+:04B41D0052059DBD7A
+:04B41E00520C9DC36C
+:04B41F0052149DC95D
+:04B42000521B9DCF4F
+:04B4210052229DD541
+:04B4220052299DDB33
+:04B4230052309DE125
+:04B4240052389DE716
+:04B42500523F9DED08
+:04B4260052469DF3FA
+:04B42700524D9DF9EC
+:04B4280052559DFFDD
+:04B42900525C9E05CE
+:04B42A0052639E0BC0
+:04B42B00526A9E12B1
+:04B42C0052719E18A3
+:04B42D0052799E1E94
+:04B42E0052809E2486
+:04B42F0052879E2A78
+:04B43000528E9E306A
+:04B4310052959E365C
+:04B43200529D9E3C4D
+:04B4330052A49E423F
+:04B4340052AB9E4831
+:04B4350052B29E4E23
+:04B4360052B99E5415
+:04B4370052C19E5B05
+:04B4380052C89E61F7
+:04B4390052CF9E67E9
+:04B43A0052D69E6DDB
+:04B43B0052DD9E73CD
+:04B43C0052E59E79BE
+:04B43D0052EC9E7FB0
+:04B43E0052F39E85A2
+:04B43F0052FA9E8B94
+:04B4400053019E9185
+:04B4410053089E9876
+:04B4420053109E9E67
+:04B4430053179EA459
+:04B44400531E9EAA4B
+:04B4450053259EB03D
+:04B44600532C9EB62F
+:04B4470053339EBC21
+:04B44800533B9EC212
+:04B4490053429EC903
+:04B44A0053499ECFF5
+:04B44B0053509ED5E7
+:04B44C0053579EDBD9
+:04B44D00535E9EE1CB
+:04B44E0053659EE7BD
+:04B44F00536D9EEDAE
+:04B4500053749EF3A0
+:04B45100537B9EFA91
+:04B4520053829F0082
+:04B4530053899F0674
+:04B4540053909F0C66
+:04B4550053979F1258
+:04B45600539F9F1849
+:04B4570053A69F1F3A
+:04B4580053AD9F252C
+:04B4590053B49F2B1E
+:04B45A0053BB9F3110
+:04B45B0053C29F3702
+:04B45C0053C99F3DF4
+:04B45D0053D19F44E4
+:04B45E0053D89F4AD6
+:04B45F0053DF9F50C8
+:04B4600053E69F56BA
+:04B4610053ED9F5CAC
+:04B4620053F49F629E
+:04B4630053FB9F698F
+:04B4640054029F6F80
+:04B4650054099F7572
+:04B4660054119F7B63
+:04B4670054189F8155
+:04B46800541F9F8846
+:04B4690054269F8E38
+:04B46A00542D9F942A
+:04B46B0054349F9A1C
+:04B46C00543B9FA00E
+:04B46D0054429FA7FF
+:04B46E0054499FADF1
+:04B46F0054509FB3E3
+:04B4700054589FB9D4
+:04B47100545F9FBFC6
+:04B4720054669FC6B7
+:04B47300546D9FCCA9
+:04B4740054749FD29B
+:04B47500547B9FD88D
+:04B4760054829FDE7F
+:04B4770054899FE570
+:04B4780054909FEB62
+:04B4790054979FF154
+:04B47A00549E9FF746
+:04B47B0054A59FFE37
+:04B47C0054ACA00428
+:04B47D0054B4A00A19
+:04B47E0054BBA0100B
+:04B47F0054C2A017FC
+:04B4800054C9A01DEE
+:04B4810054D0A023E0
+:04B4820054D7A029D2
+:04B4830054DEA030C3
+:04B4840054E5A036B5
+:04B4850054ECA03CA7
+:04B4860054F3A04299
+:04B4870054FAA0498A
+:04B488005501A04F7B
+:04B489005508A0556D
+:04B48A00550FA05B5F
+:04B48B005516A06250
+:04B48C00551DA06842
+:04B48D005524A06E34
+:04B48E00552BA07426
+:04B48F005532A07B17
+:04B490005539A08109
+:04B491005540A087FB
+:04B492005548A08DEC
+:04B49300554FA094DD
+:04B494005556A09ACF
+:04B49500555DA0A0C1
+:04B496005564A0A7B2
+:04B49700556BA0ADA4
+:04B498005572A0B396
+:04B499005579A0B988
+:04B49A005580A0C079
+:04B49B005587A0C66B
+:04B49C00558EA0CC5D
+:04B49D005595A0D34E
+:04B49E00559CA0D940
+:04B49F0055A3A0DF32
+:04B4A00055AAA0E623
+:04B4A10055B1A0EC15
+:04B4A20055B8A0F207
+:04B4A30055BFA0F9F8
+:04B4A40055C6A0FFEA
+:04B4A50055CDA105DB
+:04B4A60055D4A10BCD
+:04B4A70055DBA112BE
+:04B4A80055E2A118B0
+:04B4A90055E9A11EA2
+:04B4AA0055F0A12593
+:04B4AB0055F7A12B85
+:04B4AC0055FEA13177
+:04B4AD005605A13867
+:04B4AE00560CA13E59
+:04B4AF005613A1444B
+:04B4B000561AA14B3C
+:04B4B1005620A1512F
+:04B4B2005627A15721
+:04B4B300562EA15E12
+:04B4B4005635A16404
+:04B4B500563CA16BF5
+:04B4B6005643A171E7
+:04B4B700564AA177D9
+:04B4B8005651A17ECA
+:04B4B9005658A184BC
+:04B4BA00565FA18AAE
+:04B4BB005666A1919F
+:04B4BC00566DA19791
+:04B4BD005674A19D83
+:04B4BE00567BA1A474
+:04B4BF005682A1AA66
+:04B4C0005689A1B058
+:04B4C1005690A1B749
+:04B4C2005697A1BD3B
+:04B4C300569EA1C42C
+:04B4C40056A5A1CA1E
+:04B4C50056ACA1D010
+:04B4C60056B2A1D702
+:04B4C70056B9A1DDF4
+:04B4C80056C0A1E4E5
+:04B4C90056C7A1EAD7
+:04B4CA0056CEA1F0C9
+:04B4CB0056D5A1F7BA
+:04B4CC0056DCA1FDAC
+:04B4CD0056E3A2039D
+:04B4CE0056EAA20A8E
+:04B4CF0056F1A21080
+:04B4D00056F8A21771
+:04B4D10056FFA21D63
+:04B4D2005706A22354
+:04B4D300570CA22A46
+:04B4D4005713A23038
+:04B4D500571AA23729
+:04B4D6005721A23D1B
+:04B4D7005728A2440C
+:04B4D800572FA24AFE
+:04B4D9005736A250F0
+:04B4DA00573DA257E1
+:04B4DB005744A25DD3
+:04B4DC00574BA264C4
+:04B4DD005751A26AB7
+:04B4DE005758A271A8
+:04B4DF00575FA2779A
+:04B4E0005766A27D8C
+:04B4E100576DA2847D
+:04B4E2005774A28A6F
+:04B4E300577BA29160
+:04B4E4005782A29752
+:04B4E5005789A29E43
+:04B4E600578FA2A436
+:04B4E7005796A2AA28
+:04B4E800579DA2B119
+:04B4E90057A4A2B70B
+:04B4EA0057ABA2BEFC
+:04B4EB0057B2A2C4EE
+:04B4EC0057B9A2CBDF
+:04B4ED0057BFA2D1D2
+:04B4EE0057C6A2D8C3
+:04B4EF0057CDA2DEB5
+:04B4F00057D4A2E5A6
+:04B4F10057DBA2EB98
+:04B4F20057E2A2F289
+:04B4F30057E9A2F87B
+:04B4F40057EFA2FE6E
+:04B4F50057F6A3055E
+:04B4F60057FDA30B50
+:04B4F7005804A31240
+:04B4F800580BA31832
+:04B4F9005812A31F23
+:04B4FA005818A32516
+:04B4FB00581FA32C07
+:04B4FC005826A332F9
+:04B4FD00582DA339EA
+:04B4FE005834A33FDC
+:04B4FF00583BA346CD
+:04B500005841A34CBF
+:04B501005848A353B0
+:04B50200584FA359A2
+:04B503005856A36093
+:04B50400585DA36685
+:04B505005864A36D76
+:04B50600586AA37369
+:04B507005871A37A5A
+:04B508005878A3804C
+:04B50900587FA3873D
+:04B50A005886A38D2F
+:04B50B00588CA39421
+:04B50C005893A39A13
+:04B50D00589AA3A104
+:04B50E0058A1A3A7F6
+:04B50F0058A8A3AEE7
+:04B5100058AEA3B5D9
+:04B5110058B5A3BBCB
+:04B5120058BCA3C2BC
+:04B5130058C3A3C8AE
+:04B5140058CAA3CF9F
+:04B5150058D0A3D592
+:04B5160058D7A3DC83
+:04B5170058DEA3E275
+:04B5180058E5A3E966
+:04B5190058ECA3EF58
+:04B51A0058F2A3F64A
+:04B51B0058F9A3FC3C
+:04B51C005900A4032B
+:04B51D005907A40A1C
+:04B51E00590DA4100F
+:04B51F005914A41700
+:04B52000591BA41DF2
+:04B521005922A424E3
+:04B522005928A42AD6
+:04B52300592FA431C7
+:04B524005936A438B8
+:04B52500593DA43EAA
+:04B526005943A4459C
+:04B52700594AA44B8E
+:04B528005951A4527F
+:04B529005958A45871
+:04B52A00595EA45F63
+:04B52B005965A46654
+:04B52C00596CA46C46
+:04B52D005973A47337
+:04B52E005979A4792A
+:04B52F005980A4801B
+:04B530005987A4860D
+:04B53100598EA48DFE
+:04B532005994A494F0
+:04B53300599BA49AE2
+:04B5340059A2A4A1D3
+:04B5350059A9A4A7C5
+:04B5360059AFA4AEB7
+:04B5370059B6A4B5A8
+:04B5380059BDA4BB9A
+:04B5390059C3A4C28C
+:04B53A0059CAA4C97D
+:04B53B0059D1A4CF6F
+:04B53C0059D8A4D660
+:04B53D0059DEA4DC53
+:04B53E0059E5A4E344
+:04B53F0059ECA4EA35
+:04B5400059F2A4F028
+:04B5410059F9A4F719
+:04B542005A00A4FD0A
+:04B543005A07A504FA
+:04B544005A0DA50BEC
+:04B545005A14A511DE
+:04B546005A1BA518CF
+:04B547005A21A51FC1
+:04B548005A28A525B3
+:04B549005A2FA52CA4
+:04B54A005A35A53396
+:04B54B005A3CA53988
+:04B54C005A43A54079
+:04B54D005A49A5466C
+:04B54E005A50A54D5D
+:04B54F005A57A5544E
+:04B550005A5DA55A41
+:04B551005A64A56132
+:04B552005A6BA56823
+:04B553005A72A56E15
+:04B554005A78A57507
+:04B555005A7FA57CF8
+:04B556005A86A582EA
+:04B557005A8CA589DC
+:04B558005A93A590CD
+:04B559005A99A596C0
+:04B55A005AA0A59DB1
+:04B55B005AA7A5A4A2
+:04B55C005AADA5AA95
+:04B55D005AB4A5B186
+:04B55E005ABBA5B877
+:04B55F005AC1A5BE6A
+:04B560005AC8A5C55B
+:04B561005ACFA5CC4C
+:04B562005AD5A5D33E
+:04B563005ADCA5D930
+:04B564005AE3A5E021
+:04B565005AE9A5E713
+:04B566005AF0A5ED05
+:04B567005AF6A5F4F7
+:04B568005AFDA5FBE8
+:04B569005B04A601D8
+:04B56A005B0AA608CA
+:04B56B005B11A60FBB
+:04B56C005B18A615AD
+:04B56D005B1EA61C9F
+:04B56E005B25A62390
+:04B56F005B2BA62A82
+:04B570005B32A63074
+:04B571005B39A63765
+:04B572005B3FA63E57
+:04B573005B46A64449
+:04B574005B4DA64B3A
+:04B575005B53A6522C
+:04B576005B5AA6591D
+:04B577005B60A65F10
+:04B578005B67A66601
+:04B579005B6EA66DF2
+:04B57A005B74A674E4
+:04B57B005B7BA67AD6
+:04B57C005B81A681C8
+:04B57D005B88A688B9
+:04B57E005B8EA68FAB
+:04B57F005B95A6959D
+:04B580005B9CA69C8E
+:04B581005BA2A6A380
+:04B582005BA9A6A972
+:04B583005BAFA6B064
+:04B584005BB6A6B755
+:04B585005BBDA6BE46
+:04B586005BC3A6C538
+:04B587005BCAA6CB2A
+:04B588005BD0A6D21C
+:04B589005BD7A6D90D
+:04B58A005BDDA6E0FF
+:04B58B005BE4A6E6F1
+:04B58C005BEAA6EDE3
+:04B58D005BF1A6F4D4
+:04B58E005BF8A6FBC5
+:04B58F005BFEA701B7
+:04B590005C05A708A7
+:04B591005C0BA70F99
+:04B592005C12A7168A
+:04B593005C18A71D7C
+:04B594005C1FA7236E
+:04B595005C25A72A60
+:04B596005C2CA73151
+:04B597005C32A73843
+:04B598005C39A73E35
+:04B599005C40A74526
+:04B59A005C46A74C18
+:04B59B005C4DA75309
+:04B59C005C53A75AFB
+:04B59D005C5AA760ED
+:04B59E005C60A767DF
+:04B59F005C67A76ED0
+:04B5A0005C6DA775C2
+:04B5A1005C74A77CB3
+:04B5A2005C7AA782A6
+:04B5A3005C81A78997
+:04B5A4005C87A79089
+:04B5A5005C8EA7977A
+:04B5A6005C94A79E6C
+:04B5A7005C9BA7A55D
+:04B5A8005CA1A7AB50
+:04B5A9005CA8A7B241
+:04B5AA005CAEA7B933
+:04B5AB005CB5A7C024
+:04B5AC005CBBA7C716
+:04B5AD005CC2A7CD08
+:04B5AE005CC8A7D4FA
+:04B5AF005CCFA7DBEB
+:04B5B0005CD5A7E2DD
+:04B5B1005CDCA7E9CE
+:04B5B2005CE2A7F0C0
+:04B5B3005CE9A7F6B2
+:04B5B4005CEFA7FDA4
+:04B5B5005CF6A80494
+:04B5B6005CFCA80B86
+:04B5B7005D03A81276
+:04B5B8005D09A81968
+:04B5B9005D10A82059
+:04B5BA005D16A8264C
+:04B5BB005D1DA82D3D
+:04B5BC005D23A8342F
+:04B5BD005D29A83B21
+:04B5BE005D30A84212
+:04B5BF005D36A84904
+:04B5C0005D3DA850F5
+:04B5C1005D43A856E8
+:04B5C2005D4AA85DD9
+:04B5C3005D50A864CB
+:04B5C4005D57A86BBC
+:04B5C5005D5DA872AE
+:04B5C6005D64A8799F
+:04B5C7005D6AA88091
+:04B5C8005D70A88783
+:04B5C9005D77A88D75
+:04B5CA005D7DA89467
+:04B5CB005D84A89B58
+:04B5CC005D8AA8A24A
+:04B5CD005D91A8A93B
+:04B5CE005D97A8B02D
+:04B5CF005D9DA8B71F
+:04B5D0005DA4A8BE10
+:04B5D1005DAAA8C502
+:04B5D2005DB1A8CBF4
+:04B5D3005DB7A8D2E6
+:04B5D4005DBEA8D9D7
+:04B5D5005DC4A8E0C9
+:04B5D6005DCAA8E7BB
+:04B5D7005DD1A8EEAC
+:04B5D8005DD7A8F59E
+:04B5D9005DDEA8FC8F
+:04B5DA005DE4A90380
+:04B5DB005DEAA90A72
+:04B5DC005DF1A91064
+:04B5DD005DF7A91756
+:04B5DE005DFEA91E47
+:04B5DF005E04A92538
+:04B5E0005E0AA92C2A
+:04B5E1005E11A9331B
+:04B5E2005E17A93A0D
+:04B5E3005E1EA941FE
+:04B5E4005E24A948F0
+:04B5E5005E2AA94FE2
+:04B5E6005E31A956D3
+:04B5E7005E37A95DC5
+:04B5E8005E3EA964B6
+:04B5E9005E44A96BA8
+:04B5EA005E4AA9729A
+:04B5EB005E51A9788C
+:04B5EC005E57A97F7E
+:04B5ED005E5DA98670
+:04B5EE005E64A98D61
+:04B5EF005E6AA99453
+:04B5F0005E70A99B45
+:04B5F1005E77A9A236
+:04B5F2005E7DA9A928
+:04B5F3005E84A9B019
+:04B5F4005E8AA9B70B
+:04B5F5005E90A9BEFD
+:04B5F6005E97A9C5EE
+:04B5F7005E9DA9CCE0
+:04B5F8005EA3A9D3D2
+:04B5F9005EAAA9DAC3
+:04B5FA005EB0A9E1B5
+:04B5FB005EB6A9E8A7
+:04B5FC005EBDA9EF98
+:04B5FD005EC3A9F68A
+:04B5FE005EC9A9FD7C
+:04B5FF005ED0AA046C
+:04B600005ED6AA0B5D
+:04B601005EDCAA124F
+:04B602005EE3AA1940
+:04B603005EE9AA2032
+:04B604005EEFAA2724
+:04B605005EF6AA2E15
+:04B606005EFCAA3507
+:04B607005F02AA3CF8
+:04B608005F09AA43E9
+:04B609005F0FAA4ADB
+:04B60A005F15AA51CD
+:04B60B005F1CAA58BE
+:04B60C005F22AA5FB0
+:04B60D005F28AA66A2
+:04B60E005F2EAA6D94
+:04B60F005F35AA7485
+:04B610005F3BAA7B77
+:04B611005F41AA8269
+:04B612005F48AA895A
+:04B613005F4EAA904C
+:04B614005F54AA973E
+:04B615005F5AAA9E30
+:04B616005F61AAA521
+:04B617005F67AAAC13
+:04B618005F6DAAB305
+:04B619005F74AABAF6
+:04B61A005F7AAAC1E8
+:04B61B005F80AAC8DA
+:04B61C005F86AACFCC
+:04B61D005F8DAAD6BD
+:04B61E005F93AADDAF
+:04B61F005F99AAE4A1
+:04B620005F9FAAEB93
+:04B621005FA6AAF284
+:04B622005FACAAF976
+:04B623005FB2AB0067
+:04B624005FB9AB0758
+:04B625005FBFAB0E4A
+:04B626005FC5AB153C
+:04B627005FCBAB1C2E
+:04B628005FD2AB231F
+:04B629005FD8AB2B10
+:04B62A005FDEAB3202
+:04B62B005FE4AB39F4
+:04B62C005FEBAB40E5
+:04B62D005FF1AB47D7
+:04B62E005FF7AB4EC9
+:04B62F005FFDAB55BB
+:04B630006003AB5CAC
+:04B63100600AAB639D
+:04B632006010AB6A8F
+:04B633006016AB7181
+:04B63400601CAB7873
+:04B635006023AB7F64
+:04B636006029AB8656
+:04B63700602FAB8D48
+:04B638006035AB9539
+:04B63900603BAB9C2B
+:04B63A006042ABA31C
+:04B63B006048ABAA0E
+:04B63C00604EABB100
+:04B63D006054ABB8F2
+:04B63E00605BABBFE3
+:04B63F006061ABC6D5
+:04B640006067ABCDC7
+:04B64100606DABD4B9
+:04B642006073ABDCAA
+:04B64300607AABE39B
+:04B644006080ABEA8D
+:04B645006086ABF17F
+:04B64600608CABF871
+:04B647006092ABFF63
+:04B648006098AC0654
+:04B64900609FAC0D45
+:04B64A0060A5AC1437
+:04B64B0060ABAC1B29
+:04B64C0060B1AC231A
+:04B64D0060B7AC2A0C
+:04B64E0060BEAC31FD
+:04B64F0060C4AC38EF
+:04B6500060CAAC3FE1
+:04B6510060D0AC46D3
+:04B6520060D6AC4DC5
+:04B6530060DCAC54B7
+:04B6540060E2AC5CA8
+:04B6550060E9AC6399
+:04B6560060EFAC6A8B
+:04B6570060F5AC717D
+:04B6580060FBAC786F
+:04B659006101AC7F60
+:04B65A006107AC8652
+:04B65B00610EAC8E42
+:04B65C006114AC9534
+:04B65D00611AAC9C26
+:04B65E006120ACA318
+:04B65F006126ACAA0A
+:04B66000612CACB1FC
+:04B661006132ACB9ED
+:04B662006139ACC0DE
+:04B66300613FACC7D0
+:04B664006145ACCEC2
+:04B66500614BACD5B4
+:04B666006151ACDCA6
+:04B667006157ACE398
+:04B66800615DACEB89
+:04B669006163ACF27B
+:04B66A006169ACF96D
+:04B66B006170AD005D
+:04B66C006176AD074F
+:04B66D00617CAD0F40
+:04B66E006182AD1632
+:04B66F006188AD1D24
+:04B67000618EAD2416
+:04B671006194AD2B08
+:04B67200619AAD32FA
+:04B6730061A0AD3AEB
+:04B6740061A7AD41DC
+:04B6750061ADAD48CE
+:04B6760061B3AD4FC0
+:04B6770061B9AD56B2
+:04B6780061BFAD5EA3
+:04B6790061C5AD6595
+:04B67A0061CBAD6C87
+:04B67B0061D1AD7379
+:04B67C0061D7AD7A6B
+:04B67D0061DDAD825C
+:04B67E0061E3AD894E
+:04B67F0061E9AD9040
+:04B6800061EFAD9732
+:04B6810061F6AD9E23
+:04B6820061FCADA614
+:04B683006202ADAD05
+:04B684006208ADB4F7
+:04B68500620EADBBE9
+:04B686006214ADC3DA
+:04B68700621AADCACC
+:04B688006220ADD1BE
+:04B689006226ADD8B0
+:04B68A00622CADDFA2
+:04B68B006232ADE793
+:04B68C006238ADEE85
+:04B68D00623EADF577
+:04B68E006244ADFC69
+:04B68F00624AAE0459
+:04B690006250AE0B4B
+:04B691006256AE123D
+:04B69200625CAE192F
+:04B693006262AE2120
+:04B694006268AE2812
+:04B69500626EAE2F04
+:04B696006274AE36F6
+:04B69700627AAE3EE7
+:04B698006280AE45D9
+:04B699006287AE4CCA
+:04B69A00628DAE53BC
+:04B69B006293AE5BAD
+:04B69C006299AE629F
+:04B69D00629FAE6991
+:04B69E0062A5AE7083
+:04B69F0062ABAE7874
+:04B6A00062B1AE7F66
+:04B6A10062B7AE8658
+:04B6A20062BDAE8D4A
+:04B6A30062C3AE953B
+:04B6A40062C9AE9C2D
+:04B6A50062CFAEA31F
+:04B6A60062D5AEAA11
+:04B6A70062DBAEB202
+:04B6A80062E1AEB9F4
+:04B6A90062E6AEC0E7
+:04B6AA0062ECAEC8D8
+:04B6AB0062F2AECFCA
+:04B6AC0062F8AED6BC
+:04B6AD0062FEAEDDAE
+:04B6AE006304AEE59E
+:04B6AF00630AAEEC90
+:04B6B0006310AEF382
+:04B6B1006316AEFB73
+:04B6B200631CAF0264
+:04B6B3006322AF0956
+:04B6B4006328AF1147
+:04B6B500632EAF1839
+:04B6B6006334AF1F2B
+:04B6B700633AAF261D
+:04B6B8006340AF2E0E
+:04B6B9006346AF3500
+:04B6BA00634CAF3CF2
+:04B6BB006352AF44E3
+:04B6BC006358AF4BD5
+:04B6BD00635EAF52C7
+:04B6BE006364AF5AB8
+:04B6BF00636AAF61AA
+:04B6C0006370AF689C
+:04B6C1006375AF708E
+:04B6C200637BAF7780
+:04B6C3006381AF7E72
+:04B6C4006387AF8663
+:04B6C500638DAF8D55
+:04B6C6006393AF9447
+:04B6C7006399AF9C38
+:04B6C800639FAFA32A
+:04B6C90063A5AFAA1C
+:04B6CA0063ABAFB20D
+:04B6CB0063B1AFB9FF
+:04B6CC0063B7AFC0F1
+:04B6CD0063BCAFC8E3
+:04B6CE0063C2AFCFD5
+:04B6CF0063C8AFD6C7
+:04B6D00063CEAFDEB8
+:04B6D10063D4AFE5AA
+:04B6D20063DAAFEC9C
+:04B6D30063E0AFF48D
+:04B6D40063E6AFFB7F
+:04B6D50063ECB00270
+:04B6D60063F2B00A61
+:04B6D70063F7B01154
+:04B6D80063FDB01846
+:04B6D9006403B02036
+:04B6DA006409B02728
+:04B6DB00640FB02F19
+:04B6DC006415B0360B
+:04B6DD00641BB03DFD
+:04B6DE006421B045EE
+:04B6DF006426B04CE1
+:04B6E000642CB053D3
+:04B6E1006432B05BC4
+:04B6E2006438B062B6
+:04B6E300643EB06AA7
+:04B6E4006444B07199
+:04B6E500644AB0788B
+:04B6E600644FB0807D
+:04B6E7006455B0876F
+:04B6E800645BB08E61
+:04B6E9006461B09652
+:04B6EA006467B09D44
+:04B6EB00646DB0A535
+:04B6EC006473B0AC27
+:04B6ED006478B0B31A
+:04B6EE00647EB0BB0B
+:04B6EF006484B0C2FD
+:04B6F000648AB0CAEE
+:04B6F1006490B0D1E0
+:04B6F2006496B0D8D2
+:04B6F300649BB0E0C4
+:04B6F40064A1B0E7B6
+:04B6F50064A7B0EFA7
+:04B6F60064ADB0F699
+:04B6F70064B3B0FE8A
+:04B6F80064B9B1057B
+:04B6F90064BEB10C6E
+:04B6FA0064C4B1145F
+:04B6FB0064CAB11B51
+:04B6FC0064D0B12342
+:04B6FD0064D6B12A34
+:04B6FE0064DBB13127
+:04B6FF0064E1B13918
+:04B7000064E7B14009
+:04B7010064EDB148FA
+:04B7020064F3B14FEC
+:04B7030064F8B157DE
+:04B7040064FEB15ED0
+:04B705006504B165C1
+:04B70600650AB16DB2
+:04B70700650FB174A5
+:04B708006515B17C96
+:04B70900651BB18388
+:04B70A006521B18B79
+:04B70B006527B1926B
+:04B70C00652CB19A5D
+:04B70D006532B1A14F
+:04B70E006538B1A841
+:04B70F00653EB1B032
+:04B710006543B1B725
+:04B711006549B1BF16
+:04B71200654FB1C608
+:04B713006555B1CEF9
+:04B71400655AB1D5EC
+:04B715006560B1DDDD
+:04B716006566B1E4CF
+:04B71700656CB1ECC0
+:04B718006571B1F3B3
+:04B719006577B1FBA4
+:04B71A00657DB20295
+:04B71B006583B20A86
+:04B71C006588B21179
+:04B71D00658EB2186B
+:04B71E006594B2205C
+:04B71F00659AB2274E
+:04B72000659FB22F40
+:04B7210065A5B23632
+:04B7220065ABB23E23
+:04B7230065B1B24515
+:04B7240065B6B24D07
+:04B7250065BCB254F9
+:04B7260065C2B25CEA
+:04B7270065C7B263DD
+:04B7280065CDB26BCE
+:04B7290065D3B272C0
+:04B72A0065D9B27AB1
+:04B72B0065DEB281A4
+:04B72C0065E4B28995
+:04B72D0065EAB29087
+:04B72E0065EFB29879
+:04B72F0065F5B29F6B
+:04B7300065FBB2A75C
+:04B731006600B2AE4E
+:04B732006606B2B63F
+:04B73300660CB2BD31
+:04B734006612B2C522
+:04B735006617B2CC15
+:04B73600661DB2D406
+:04B737006623B2DBF8
+:04B738006628B2E3EA
+:04B73900662EB2EADC
+:04B73A006634B2F2CD
+:04B73B006639B2FABF
+:04B73C00663FB301B0
+:04B73D006645B309A1
+:04B73E00664AB31094
+:04B73F006650B31885
+:04B740006656B31F77
+:04B74100665BB32769
+:04B742006661B32E5B
+:04B743006667B3364C
+:04B74400666CB33D3F
+:04B745006672B34530
+:04B746006678B34C22
+:04B74700667DB35414
+:04B748006683B35C05
+:04B749006688B363F8
+:04B74A00668EB36BE9
+:04B74B006694B372DB
+:04B74C006699B37ACD
+:04B74D00669FB381BF
+:04B74E0066A5B389B0
+:04B74F0066AAB390A3
+:04B7500066B0B39894
+:04B7510066B6B3A085
+:04B7520066BBB3A778
+:04B7530066C1B3AF69
+:04B7540066C6B3B65C
+:04B7550066CCB3BE4D
+:04B7560066D2B3C53F
+:04B7570066D7B3CD31
+:04B7580066DDB3D522
+:04B7590066E2B3DC15
+:04B75A0066E8B3E406
+:04B75B0066EEB3EBF8
+:04B75C0066F3B3F3EA
+:04B75D0066F9B3FADC
+:04B75E0066FEB402CD
+:04B75F006704B40ABD
+:04B76000670AB411AF
+:04B76100670FB419A1
+:04B762006715B42093
+:04B76300671AB42885
+:04B764006720B43076
+:04B765006726B43768
+:04B76600672BB43F5A
+:04B767006731B4464C
+:04B768006736B44E3E
+:04B76900673CB45530
+:04B76A006741B45D22
+:04B76B006747B46513
+:04B76C00674DB46C05
+:04B76D006752B474F7
+:04B76E006758B47CE8
+:04B76F00675DB483DB
+:04B770006763B48BCC
+:04B771006768B492BF
+:04B77200676EB49AB0
+:04B773006773B4A2A2
+:04B774006779B4A994
+:04B77500677FB4B185
+:04B776006784B4B878
+:04B77700678AB4C069
+:04B77800678FB4C85B
+:04B779006795B4CF4D
+:04B77A00679AB4D73F
+:04B77B0067A0B4DF30
+:04B77C0067A5B4E623
+:04B77D0067ABB4EE14
+:04B77E0067B0B4F507
+:04B77F0067B6B4FDF8
+:04B7800067BBB505E9
+:04B7810067C1B50CDB
+:04B7820067C6B514CD
+:04B7830067CCB51CBE
+:04B7840067D2B523B0
+:04B7850067D7B52BA2
+:04B7860067DDB53393
+:04B7870067E2B53A86
+:04B7880067E8B54277
+:04B7890067EDB54A69
+:04B78A0067F3B5515B
+:04B78B0067F8B5594D
+:04B78C0067FEB5613E
+:04B78D006803B56830
+:04B78E006809B57021
+:04B78F00680EB57714
+:04B790006813B57F06
+:04B791006819B587F7
+:04B79200681EB58EEA
+:04B793006824B596DB
+:04B794006829B59ECD
+:04B79500682FB5A5BF
+:04B796006834B5ADB1
+:04B79700683AB5B5A2
+:04B79800683FB5BD94
+:04B799006845B5C486
+:04B79A00684AB5CC78
+:04B79B006850B5D469
+:04B79C006855B5DB5C
+:04B79D00685BB5E34D
+:04B79E006860B5EB3F
+:04B79F006866B5F231
+:04B7A000686BB5FA23
+:04B7A1006870B60214
+:04B7A2006876B60906
+:04B7A300687BB611F8
+:04B7A4006881B619E9
+:04B7A5006886B620DC
+:04B7A600688CB628CD
+:04B7A7006891B630BF
+:04B7A8006897B638B0
+:04B7A900689CB63FA3
+:04B7AA0068A1B64795
+:04B7AB0068A7B64F86
+:04B7AC0068ACB65679
+:04B7AD0068B2B65E6A
+:04B7AE0068B7B6665C
+:04B7AF0068BCB66D4F
+:04B7B00068C2B67540
+:04B7B10068C7B67D32
+:04B7B20068CDB68523
+:04B7B30068D2B68C16
+:04B7B40068D8B69407
+:04B7B50068DDB69CF9
+:04B7B60068E2B6A3EC
+:04B7B70068E8B6ABDD
+:04B7B80068EDB6B3CF
+:04B7B90068F3B6BBC0
+:04B7BA0068F8B6C2B3
+:04B7BB0068FDB6CAA5
+:04B7BC006903B6D295
+:04B7BD006908B6DA87
+:04B7BE00690DB6E17A
+:04B7BF006913B6E96B
+:04B7C0006918B6F15D
+:04B7C100691EB6F94E
+:04B7C2006923B70040
+:04B7C3006928B70832
+:04B7C400692EB71023
+:04B7C5006933B71815
+:04B7C6006938B71F08
+:04B7C700693EB727F9
+:04B7C8006943B72FEB
+:04B7C9006949B737DC
+:04B7CA00694EB73ECF
+:04B7CB006953B746C1
+:04B7CC006959B74EB2
+:04B7CD00695EB756A4
+:04B7CE006963B75D97
+:04B7CF006969B76588
+:04B7D000696EB76D7A
+:04B7D1006973B7756C
+:04B7D2006979B77C5E
+:04B7D300697EB78450
+:04B7D4006983B78C42
+:04B7D5006989B79433
+:04B7D600698EB79B26
+:04B7D7006993B7A318
+:04B7D8006999B7AB09
+:04B7D900699EB7B3FB
+:04B7DA0069A3B7BBED
+:04B7DB0069A9B7C2DF
+:04B7DC0069AEB7CAD1
+:04B7DD0069B3B7D2C3
+:04B7DE0069B9B7DAB4
+:04B7DF0069BEB7E1A7
+:04B7E00069C3B7E999
+:04B7E10069C9B7F18A
+:04B7E20069CEB7F97C
+:04B7E30069D3B8016D
+:04B7E40069D8B80860
+:04B7E50069DEB81051
+:04B7E60069E3B81843
+:04B7E70069E8B82035
+:04B7E80069EEB82826
+:04B7E90069F3B82F19
+:04B7EA0069F8B8370B
+:04B7EB0069FDB83FFD
+:04B7EC006A03B847ED
+:04B7ED006A08B84FDF
+:04B7EE006A0DB856D2
+:04B7EF006A13B85EC3
+:04B7F0006A18B866B5
+:04B7F1006A1DB86EA7
+:04B7F2006A22B87699
+:04B7F3006A28B87D8B
+:04B7F4006A2DB8857D
+:04B7F5006A32B88D6F
+:04B7F6006A37B89561
+:04B7F7006A3DB89D52
+:04B7F8006A42B8A544
+:04B7F9006A47B8AC37
+:04B7FA006A4CB8B429
+:04B7FB006A52B8BC1A
+:04B7FC006A57B8C40C
+:04B7FD006A5CB8CCFE
+:04B7FE006A61B8D4F0
+:04B7FF006A67B8DBE2
+:04B800006A6CB8E3D3
+:04B801006A71B8EBC5
+:04B802006A76B8F3B7
+:04B803006A7CB8FBA8
+:04B804006A81B90399
+:04B805006A86B90A8C
+:04B806006A8BB9127E
+:04B807006A91B91A6F
+:04B808006A96B92261
+:04B809006A9BB92A53
+:04B80A006AA0B93245
+:04B80B006AA5B93938
+:04B80C006AABB94129
+:04B80D006AB0B9491B
+:04B80E006AB5B9510D
+:04B80F006ABAB959FF
+:04B810006ABFB961F1
+:04B811006AC5B969E2
+:04B812006ACAB970D5
+:04B813006ACFB978C7
+:04B814006AD4B980B9
+:04B815006AD9B988AB
+:04B816006ADFB9909C
+:04B817006AE4B9988E
+:04B818006AE9B9A080
+:04B819006AEEB9A872
+:04B81A006AF3B9AF65
+:04B81B006AF8B9B757
+:04B81C006AFEB9BF48
+:04B81D006B03B9C739
+:04B81E006B08B9CF2B
+:04B81F006B0DB9D71D
+:04B820006B12B9DF0F
+:04B821006B17B9E701
+:04B822006B1DB9EEF3
+:04B823006B22B9F6E5
+:04B824006B27B9FED7
+:04B825006B2CBA06C8
+:04B826006B31BA0EBA
+:04B827006B36BA16AC
+:04B828006B3CBA1E9D
+:04B829006B41BA268F
+:04B82A006B46BA2E81
+:04B82B006B4BBA3673
+:04B82C006B50BA3D66
+:04B82D006B55BA4558
+:04B82E006B5ABA4D4A
+:04B82F006B60BA553B
+:04B830006B65BA5D2D
+:04B831006B6ABA651F
+:04B832006B6FBA6D11
+:04B833006B74BA7503
+:04B834006B79BA7DF5
+:04B835006B7EBA85E7
+:04B836006B83BA8DD9
+:04B837006B88BA94CC
+:04B838006B8EBA9CBD
+:04B839006B93BAA4AF
+:04B83A006B98BAACA1
+:04B83B006B9DBAB493
+:04B83C006BA2BABC85
+:04B83D006BA7BAC477
+:04B83E006BACBACC69
+:04B83F006BB1BAD45B
+:04B840006BB6BADC4D
+:04B841006BBBBAE43F
+:04B842006BC1BAEC30
+:04B843006BC6BAF422
+:04B844006BCBBAFB15
+:04B845006BD0BB0306
+:04B846006BD5BB0BF8
+:04B847006BDABB13EA
+:04B848006BDFBB1BDC
+:04B849006BE4BB23CE
+:04B84A006BE9BB2BC0
+:04B84B006BEEBB33B2
+:04B84C006BF3BB3BA4
+:04B84D006BF8BB4396
+:04B84E006BFDBB4B88
+:04B84F006C02BB5379
+:04B850006C08BB5B6A
+:04B851006C0DBB635C
+:04B852006C12BB6B4E
+:04B853006C17BB7340
+:04B854006C1CBB7B32
+:04B855006C21BB8324
+:04B856006C26BB8B16
+:04B857006C2BBB9308
+:04B858006C30BB9BFA
+:04B859006C35BBA2ED
+:04B85A006C3ABBAADF
+:04B85B006C3FBBB2D1
+:04B85C006C44BBBAC3
+:04B85D006C49BBC2B5
+:04B85E006C4EBBCAA7
+:04B85F006C53BBD299
+:04B860006C58BBDA8B
+:04B861006C5DBBE27D
+:04B862006C62BBEA6F
+:04B863006C67BBF261
+:04B864006C6CBBFA53
+:04B865006C71BC0244
+:04B866006C76BC0A36
+:04B867006C7BBC1228
+:04B868006C80BC1A1A
+:04B869006C85BC220C
+:04B86A006C8ABC2AFE
+:04B86B006C8FBC32F0
+:04B86C006C94BC3AE2
+:04B86D006C99BC42D4
+:04B86E006C9EBC4AC6
+:04B86F006CA3BC52B8
+:04B870006CA8BC5AAA
+:04B871006CADBC629C
+:04B872006CB2BC6A8E
+:04B873006CB7BC7280
+:04B874006CBCBC7A72
+:04B875006CC1BC8264
+:04B876006CC6BC8A56
+:04B877006CCBBC9248
+:04B878006CD0BC9A3A
+:04B879006CD5BCA22C
+:04B87A006CDABCAA1E
+:04B87B006CDFBCB210
+:04B87C006CE4BCBA02
+:04B87D006CE9BCC2F4
+:04B87E006CEEBCCAE6
+:04B87F006CF3BCD2D8
+:04B880006CF8BCDACA
+:04B881006CFCBCE2BD
+:04B882006D01BCEAAE
+:04B883006D06BCF2A0
+:04B884006D0BBCFA92
+:04B885006D10BD0283
+:04B886006D15BD0A75
+:04B887006D1ABD1267
+:04B888006D1FBD1B58
+:04B889006D24BD234A
+:04B88A006D29BD2B3C
+:04B88B006D2EBD332E
+:04B88C006D33BD3B20
+:04B88D006D38BD4312
+:04B88E006D3CBD4B05
+:04B88F006D41BD53F7
+:04B890006D46BD5BE9
+:04B891006D4BBD63DB
+:04B892006D50BD6BCD
+:04B893006D55BD73BF
+:04B894006D5ABD7BB1
+:04B895006D5FBD83A3
+:04B896006D64BD8B95
+:04B897006D69BD9387
+:04B898006D6DBD9B7A
+:04B899006D72BDA36C
+:04B89A006D77BDAB5E
+:04B89B006D7CBDB350
+:04B89C006D81BDBC41
+:04B89D006D86BDC433
+:04B89E006D8BBDCC25
+:04B89F006D90BDD417
+:04B8A0006D95BDDC09
+:04B8A1006D99BDE4FC
+:04B8A2006D9EBDECEE
+:04B8A3006DA3BDF4E0
+:04B8A4006DA8BDFCD2
+:04B8A5006DADBE04C3
+:04B8A6006DB2BE0CB5
+:04B8A7006DB7BE14A7
+:04B8A8006DBBBE1C9A
+:04B8A9006DC0BE248C
+:04B8AA006DC5BE2D7D
+:04B8AB006DCABE356F
+:04B8AC006DCFBE3D61
+:04B8AD006DD4BE4553
+:04B8AE006DD8BE4D46
+:04B8AF006DDDBE5538
+:04B8B0006DE2BE5D2A
+:04B8B1006DE7BE651C
+:04B8B2006DECBE6D0E
+:04B8B3006DF1BE7500
+:04B8B4006DF5BE7DF3
+:04B8B5006DFABE86E4
+:04B8B6006DFFBE8ED6
+:04B8B7006E04BE96C7
+:04B8B8006E09BE9EB9
+:04B8B9006E0EBEA6AB
+:04B8BA006E12BEAE9E
+:04B8BB006E17BEB690
+:04B8BC006E1CBEBE82
+:04B8BD006E21BEC674
+:04B8BE006E26BECE66
+:04B8BF006E2ABED758
+:04B8C0006E2FBEDF4A
+:04B8C1006E34BEE73C
+:04B8C2006E39BEEF2E
+:04B8C3006E3EBEF720
+:04B8C4006E42BEFF13
+:04B8C5006E47BF0704
+:04B8C6006E4CBF0FF6
+:04B8C7006E51BF17E8
+:04B8C8006E55BF20DA
+:04B8C9006E5ABF28CC
+:04B8CA006E5FBF30BE
+:04B8CB006E64BF38B0
+:04B8CC006E69BF40A2
+:04B8CD006E6DBF4895
+:04B8CE006E72BF5087
+:04B8CF006E77BF5978
+:04B8D0006E7CBF616A
+:04B8D1006E80BF695D
+:04B8D2006E85BF714F
+:04B8D3006E8ABF7941
+:04B8D4006E8FBF8133
+:04B8D5006E93BF8926
+:04B8D6006E98BF9118
+:04B8D7006E9DBF9A09
+:04B8D8006EA2BFA2FB
+:04B8D9006EA6BFAAEE
+:04B8DA006EABBFB2E0
+:04B8DB006EB0BFBAD2
+:04B8DC006EB5BFC2C4
+:04B8DD006EB9BFCBB6
+:04B8DE006EBEBFD3A8
+:04B8DF006EC3BFDB9A
+:04B8E0006EC7BFE38D
+:04B8E1006ECCBFEB7F
+:04B8E2006ED1BFF371
+:04B8E3006ED6BFFB63
+:04B8E4006EDAC00553
+:04B8E5006EDFC00D45
+:04B8E6006EE4C01537
+:04B8E7006EE8C01D2A
+:04B8E8006EEDC0251C
+:04B8E9006EF2C02D0E
+:04B8EA006EF7C036FF
+:04B8EB006EFBC03EF2
+:04B8EC006F00C046E3
+:04B8ED006F05C04ED5
+:04B8EE006F09C056C8
+:04B8EF006F0EC05EBA
+:04B8F0006F13C067AB
+:04B8F1006F17C06F9E
+:04B8F2006F1CC07790
+:04B8F3006F21C07F82
+:04B8F4006F25C08775
+:04B8F5006F2AC09066
+:04B8F6006F2FC09858
+:04B8F7006F33C0A04B
+:04B8F8006F38C0A83D
+:04B8F9006F3DC0B02F
+:04B8FA006F41C0B921
+:04B8FB006F46C0C113
+:04B8FC006F4BC0C905
+:04B8FD006F4FC0D1F8
+:04B8FE006F54C0D9EA
+:04B8FF006F59C0E1DC
+:04B900006F5DC0EACD
+:04B901006F62C0F2BF
+:04B902006F67C0FAB1
+:04B903006F6BC102A3
+:04B904006F70C10B94
+:04B905006F74C11387
+:04B906006F79C11B79
+:04B907006F7EC1236B
+:04B908006F82C12B5E
+:04B909006F87C1344F
+:04B90A006F8CC13C41
+:04B90B006F90C14434
+:04B90C006F95C14C26
+:04B90D006F99C15419
+:04B90E006F9EC15D0A
+:04B90F006FA3C165FC
+:04B910006FA7C16DEF
+:04B911006FACC175E1
+:04B912006FB1C17ED2
+:04B913006FB5C186C5
+:04B914006FBAC18EB7
+:04B915006FBEC196AA
+:04B916006FC3C19E9C
+:04B917006FC7C1A78E
+:04B918006FCCC1AF80
+:04B919006FD1C1B772
+:04B91A006FD5C1BF65
+:04B91B006FDAC1C856
+:04B91C006FDEC1D049
+:04B91D006FE3C1D83B
+:04B91E006FE8C1E02D
+:04B91F006FECC1E91F
+:04B920006FF1C1F111
+:04B921006FF5C1F904
+:04B922006FFAC201F5
+:04B923006FFEC20AE7
+:04B924007003C212D8
+:04B925007008C21ACA
+:04B92600700CC222BD
+:04B927007011C22BAE
+:04B928007015C233A1
+:04B92900701AC23B93
+:04B92A00701EC24386
+:04B92B007023C24C77
+:04B92C007027C2546A
+:04B92D00702CC25C5C
+:04B92E007030C2644F
+:04B92F007035C26D40
+:04B93000703AC27532
+:04B93100703EC27D25
+:04B932007043C28517
+:04B933007047C28E09
+:04B93400704CC296FB
+:04B935007050C29EEE
+:04B936007055C2A6E0
+:04B937007059C2AFD2
+:04B93800705EC2B7C4
+:04B939007062C2BFB7
+:04B93A007067C2C8A8
+:04B93B00706BC2D09B
+:04B93C007070C2D88D
+:04B93D007074C2E080
+:04B93E007079C2E971
+:04B93F00707DC2F164
+:04B940007082C2F956
+:04B941007086C30148
+:04B94200708BC30A39
+:04B94300708FC3122C
+:04B944007094C31A1E
+:04B945007098C32310
+:04B94600709DC32B02
+:04B9470070A1C333F5
+:04B9480070A6C33CE6
+:04B9490070AAC344D9
+:04B94A0070AFC34CCB
+:04B94B0070B3C354BE
+:04B94C0070B7C35DB0
+:04B94D0070BCC365A2
+:04B94E0070C0C36D95
+:04B94F0070C5C37686
+:04B9500070C9C37E79
+:04B9510070CEC3866B
+:04B9520070D2C38F5D
+:04B9530070D7C3974F
+:04B9540070DBC39F42
+:04B9550070E0C3A734
+:04B9560070E4C3B026
+:04B9570070E8C3B819
+:04B9580070EDC3C00B
+:04B9590070F1C3C9FD
+:04B95A0070F6C3D1EF
+:04B95B0070FAC3D9E2
+:04B95C0070FFC3E2D3
+:04B95D007103C3EAC5
+:04B95E007107C3F2B8
+:04B95F00710CC3FBA9
+:04B960007110C4039B
+:04B961007115C40B8D
+:04B962007119C4147F
+:04B96300711EC41C71
+:04B964007122C42464
+:04B965007126C42D56
+:04B96600712BC43548
+:04B96700712FC43D3B
+:04B968007134C4462C
+:04B969007138C44E1F
+:04B96A00713CC45612
+:04B96B007141C45F03
+:04B96C007145C467F6
+:04B96D00714AC46FE8
+:04B96E00714EC478DA
+:04B96F007152C480CD
+:04B970007157C488BF
+:04B97100715BC491B1
+:04B97200715FC499A4
+:04B973007164C4A196
+:04B974007168C4AA88
+:04B97500716DC4B27A
+:04B976007171C4BA6D
+:04B977007175C4C35F
+:04B97800717AC4CB51
+:04B97900717EC4D344
+:04B97A007182C4DC36
+:04B97B007187C4E428
+:04B97C00718BC4ED1A
+:04B97D00718FC4F50D
+:04B97E007194C4FDFF
+:04B97F007198C506F0
+:04B98000719CC50EE3
+:04B9810071A1C516D5
+:04B9820071A5C51FC7
+:04B9830071A9C527BA
+:04B9840071AEC52FAC
+:04B9850071B2C5389E
+:04B9860071B6C54091
+:04B9870071BBC54982
+:04B9880071BFC55175
+:04B9890071C3C55968
+:04B98A0071C8C56259
+:04B98B0071CCC56A4C
+:04B98C0071D0C5723F
+:04B98D0071D5C57B30
+:04B98E0071D9C58323
+:04B98F0071DDC58C15
+:04B9900071E2C59407
+:04B9910071E6C59CFA
+:04B9920071EAC5A5EC
+:04B9930071EEC5ADDF
+:04B9940071F3C5B6D0
+:04B9950071F7C5BEC3
+:04B9960071FBC5C6B6
+:04B997007200C5CFA6
+:04B998007204C5D799
+:04B999007208C5E08B
+:04B99A00720CC5E87E
+:04B99B007211C5F070
+:04B99C007215C5F962
+:04B99D007219C60154
+:04B99E00721EC60A45
+:04B99F007222C61238
+:04B9A0007226C61A2B
+:04B9A100722AC6231D
+:04B9A200722FC62B0F
+:04B9A3007233C63401
+:04B9A4007237C63CF4
+:04B9A500723BC644E7
+:04B9A6007240C64DD8
+:04B9A7007244C655CB
+:04B9A8007248C65EBD
+:04B9A900724CC666B0
+:04B9AA007251C66EA2
+:04B9AB007255C67794
+:04B9AC007259C67F87
+:04B9AD00725DC68879
+:04B9AE007261C6906C
+:04B9AF007266C6995D
+:04B9B000726AC6A150
+:04B9B100726EC6A943
+:04B9B2007272C6B235
+:04B9B3007277C6BA27
+:04B9B400727BC6C319
+:04B9B500727FC6CB0C
+:04B9B6007283C6D4FE
+:04B9B7007287C6DCF1
+:04B9B800728CC6E4E3
+:04B9B9007290C6EDD5
+:04B9BA007294C6F5C8
+:04B9BB007298C6FEBA
+:04B9BC00729CC706AC
+:04B9BD0072A1C70F9D
+:04B9BE0072A5C71790
+:04B9BF0072A9C71F83
+:04B9C00072ADC72875
+:04B9C10072B1C73068
+:04B9C20072B6C73959
+:04B9C30072BAC7414C
+:04B9C40072BEC74A3E
+:04B9C50072C2C75231
+:04B9C60072C6C75B23
+:04B9C70072CAC76316
+:04B9C80072CFC76B08
+:04B9C90072D3C774FA
+:04B9CA0072D7C77CED
+:04B9CB0072DBC785DF
+:04B9CC0072DFC78DD2
+:04B9CD0072E3C796C4
+:04B9CE0072E8C79EB6
+:04B9CF0072ECC7A7A8
+:04B9D00072F0C7AF9B
+:04B9D10072F4C7B88D
+:04B9D20072F8C7C080
+:04B9D30072FCC7C972
+:04B9D4007300C7D164
+:04B9D5007305C7D956
+:04B9D6007309C7E248
+:04B9D700730DC7EA3B
+:04B9D8007311C7F32D
+:04B9D9007315C7FB20
+:04B9DA007319C80411
+:04B9DB00731DC80C04
+:04B9DC007321C815F6
+:04B9DD007326C81DE8
+:04B9DE00732AC826DA
+:04B9DF00732EC82ECD
+:04B9E0007332C837BF
+:04B9E1007336C83FB2
+:04B9E200733AC848A4
+:04B9E300733EC85097
+:04B9E4007342C85989
+:04B9E5007346C8617C
+:04B9E600734BC86A6D
+:04B9E700734FC87260
+:04B9E8007353C87B52
+:04B9E9007357C88345
+:04B9EA00735BC88C37
+:04B9EB00735FC8942A
+:04B9EC007363C89D1C
+:04B9ED007367C8A50F
+:04B9EE00736BC8AE01
+:04B9EF00736FC8B6F4
+:04B9F0007373C8BFE6
+:04B9F1007377C8C7D9
+:04B9F200737BC8D0CB
+:04B9F3007380C8D8BD
+:04B9F4007384C8E1AF
+:04B9F5007388C8E9A2
+:04B9F600738CC8F294
+:04B9F7007390C8FA87
+:04B9F8007394C90378
+:04B9F9007398C90B6B
+:04B9FA00739CC9145D
+:04B9FB0073A0C91C50
+:04B9FC0073A4C92542
+:04B9FD0073A8C92D35
+:04B9FE0073ACC93627
+:04B9FF0073B0C93E1A
+:04BA000073B4C9470B
+:04BA010073B8C94FFE
+:04BA020073BCC958F0
+:04BA030073C0C960E3
+:04BA040073C4C969D5
+:04BA050073C8C971C8
+:04BA060073CCC97ABA
+:04BA070073D0C982AD
+:04BA080073D4C98B9F
+:04BA090073D8C99392
+:04BA0A0073DCC99C84
+:04BA0B0073E0C9A576
+:04BA0C0073E4C9AD69
+:04BA0D0073E8C9B65B
+:04BA0E0073ECC9BE4E
+:04BA0F0073F0C9C740
+:04BA100073F4C9CF33
+:04BA110073F8C9D825
+:04BA120073FCC9E018
+:04BA13007400C9E909
+:04BA14007404C9F1FC
+:04BA15007408C9FAEE
+:04BA1600740CCA02E0
+:04BA17007410CA0BD2
+:04BA18007414CA14C4
+:04BA19007418CA1CB7
+:04BA1A00741CCA25A9
+:04BA1B007420CA2D9C
+:04BA1C007424CA368E
+:04BA1D007428CA3E81
+:04BA1E00742CCA4773
+:04BA1F007430CA4F66
+:04BA20007434CA5858
+:04BA21007438CA604B
+:04BA2200743CCA693D
+:04BA23007440CA722F
+:04BA24007444CA7A22
+:04BA25007448CA8314
+:04BA2600744BCA8B08
+:04BA2700744FCA94FA
+:04BA28007453CA9CED
+:04BA29007457CAA5DF
+:04BA2A00745BCAAED1
+:04BA2B00745FCAB6C4
+:04BA2C007463CABFB6
+:04BA2D007467CAC7A9
+:04BA2E00746BCAD09B
+:04BA2F00746FCAD88E
+:04BA30007473CAE180
+:04BA31007477CAEA72
+:04BA3200747BCAF265
+:04BA3300747ECAFB58
+:04BA34007482CB034A
+:04BA35007486CB0C3C
+:04BA3600748ACB142F
+:04BA3700748ECB1D21
+:04BA38007492CB2613
+:04BA39007496CB2E06
+:04BA3A00749ACB37F8
+:04BA3B00749ECB3FEB
+:04BA3C0074A1CB48DE
+:04BA3D0074A5CB51D0
+:04BA3E0074A9CB59C3
+:04BA3F0074ADCB62B5
+:04BA400074B1CB6AA8
+:04BA410074B5CB739A
+:04BA420074B9CB7B8D
+:04BA430074BDCB847F
+:04BA440074C0CB8D72
+:04BA450074C4CB9565
+:04BA460074C8CB9E57
+:04BA470074CCCBA64A
+:04BA480074D0CBAF3C
+:04BA490074D4CBB82E
+:04BA4A0074D8CBC021
+:04BA4B0074DBCBC914
+:04BA4C0074DFCBD107
+:04BA4D0074E3CBDAF9
+:04BA4E0074E7CBE3EB
+:04BA4F0074EBCBEBDE
+:04BA500074EFCBF4D0
+:04BA510074F2CBFDC3
+:04BA520074F6CC05B5
+:04BA530074FACC0EA7
+:04BA540074FECC169A
+:04BA55007502CC1F8B
+:04BA56007506CC287D
+:04BA57007509CC3071
+:04BA5800750DCC3963
+:04BA59007511CC4156
+:04BA5A007515CC4A48
+:04BA5B007519CC533A
+:04BA5C00751CCC5B2E
+:04BA5D007520CC6420
+:04BA5E007524CC6D12
+:04BA5F007528CC7505
+:04BA6000752CCC7EF7
+:04BA6100752FCC86EB
+:04BA62007533CC8FDD
+:04BA63007537CC98CF
+:04BA6400753BCCA0C2
+:04BA6500753FCCA9B4
+:04BA66007542CCB2A7
+:04BA67007546CCBA9A
+:04BA6800754ACCC38C
+:04BA6900754ECCCC7E
+:04BA6A007551CCD472
+:04BA6B007555CCDD64
+:04BA6C007559CCE557
+:04BA6D00755DCCEE49
+:04BA6E007560CCF73C
+:04BA6F007564CCFF2F
+:04BA70007568CD0820
+:04BA7100756CCD1112
+:04BA7200756FCD1906
+:04BA73007573CD22F8
+:04BA74007577CD2BEA
+:04BA7500757BCD33DD
+:04BA7600757ECD3CD0
+:04BA77007582CD45C2
+:04BA78007586CD4DB5
+:04BA7900758ACD56A7
+:04BA7A00758DCD5F9A
+:04BA7B007591CD678D
+:04BA7C007595CD707F
+:04BA7D007599CD7872
+:04BA7E00759CCD8165
+:04BA7F0075A0CD8A57
+:04BA800075A4CD924A
+:04BA810075A7CD9B3D
+:04BA820075ABCDA42F
+:04BA830075AFCDAC22
+:04BA840075B3CDB514
+:04BA850075B6CDBE07
+:04BA860075BACDC6FA
+:04BA870075BECDCFEC
+:04BA880075C1CDD8DF
+:04BA890075C5CDE0D2
+:04BA8A0075C9CDE9C4
+:04BA8B0075CCCDF2B7
+:04BA8C0075D0CDFAAA
+:04BA8D0075D4CE039B
+:04BA8E0075D7CE0C8E
+:04BA8F0075DBCE1580
+:04BA900075DFCE1D73
+:04BA910075E2CE2666
+:04BA920075E6CE2F58
+:04BA930075EACE374B
+:04BA940075EDCE403E
+:04BA950075F1CE4930
+:04BA960075F5CE5123
+:04BA970075F8CE5A16
+:04BA980075FCCE6308
+:04BA99007600CE6BFA
+:04BA9A007603CE74ED
+:04BA9B007607CE7DDF
+:04BA9C00760BCE85D2
+:04BA9D00760ECE8EC5
+:04BA9E007612CE97B7
+:04BA9F007616CE9FAA
+:04BAA0007619CEA89D
+:04BAA100761DCEB18F
+:04BAA2007620CEBA82
+:04BAA3007624CEC275
+:04BAA4007628CECB67
+:04BAA500762BCED45A
+:04BAA600762FCEDC4D
+:04BAA7007633CEE53F
+:04BAA8007636CEEE32
+:04BAA900763ACEF625
+:04BAAA00763DCEFF18
+:04BAAB007641CF0809
+:04BAAC007645CF11FB
+:04BAAD007648CF19EF
+:04BAAE00764CCF22E1
+:04BAAF00764FCF2BD4
+:04BAB0007653CF33C7
+:04BAB1007657CF3CB9
+:04BAB200765ACF45AC
+:04BAB300765ECF4E9E
+:04BAB4007661CF5692
+:04BAB5007665CF5F84
+:04BAB6007669CF6876
+:04BAB700766CCF706A
+:04BAB8007670CF795C
+:04BAB9007673CF824F
+:04BABA007677CF8B41
+:04BABB00767ACF9335
+:04BABC00767ECF9C27
+:04BABD007682CFA519
+:04BABE007685CFAE0C
+:04BABF007689CFB6FF
+:04BAC000768CCFBFF2
+:04BAC1007690CFC8E4
+:04BAC2007693CFD0D8
+:04BAC3007697CFD9CA
+:04BAC400769ACFE2BD
+:04BAC500769ECFEBAF
+:04BAC60076A1CFF3A3
+:04BAC70076A5CFFC95
+:04BAC80076A9D00586
+:04BAC90076ACD00E79
+:04BACA0076B0D0166C
+:04BACB0076B3D01F5F
+:04BACC0076B7D02851
+:04BACD0076BAD03144
+:04BACE0076BED03937
+:04BACF0076C1D0422A
+:04BAD00076C5D04B1C
+:04BAD10076C8D0540F
+:04BAD20076CCD05C02
+:04BAD30076CFD065F5
+:04BAD40076D3D06EE7
+:04BAD50076D6D077DA
+:04BAD60076DAD07FCD
+:04BAD70076DDD088C0
+:04BAD80076E1D091B2
+:04BAD90076E4D09AA5
+:04BADA0076E8D0A298
+:04BADB0076EBD0AB8B
+:04BADC0076EFD0B47D
+:04BADD0076F2D0BD70
+:04BADE0076F6D0C563
+:04BADF0076F9D0CE56
+:04BAE00076FDD0D748
+:04BAE1007700D0E03A
+:04BAE2007704D0E82D
+:04BAE3007707D0F120
+:04BAE400770AD0FA13
+:04BAE500770ED10304
+:04BAE6007711D10BF8
+:04BAE7007715D114EA
+:04BAE8007718D11DDD
+:04BAE900771CD126CF
+:04BAEA00771FD12EC3
+:04BAEB007723D137B5
+:04BAEC007726D140A8
+:04BAED00772AD1499A
+:04BAEE00772DD1528D
+:04BAEF007730D15A81
+:04BAF0007734D16373
+:04BAF1007737D16C66
+:04BAF200773BD17558
+:04BAF300773ED17D4C
+:04BAF4007742D1863E
+:04BAF5007745D18F31
+:04BAF6007748D19824
+:04BAF700774CD1A116
+:04BAF800774FD1A90A
+:04BAF9007753D1B2FC
+:04BAFA007756D1BBEF
+:04BAFB007759D1C4E2
+:04BAFC00775DD1CDD4
+:04BAFD007760D1D5C8
+:04BAFE007764D1DEBA
+:04BAFF007767D1E7AD
+:04BB0000776AD1F09F
+:04BB0100776ED1F892
+:04BB02007771D20184
+:04BB03007775D20A76
+:04BB04007778D21369
+:04BB0500777BD21C5C
+:04BB0600777FD2244F
+:04BB07007782D22D42
+:04BB08007785D23635
+:04BB09007789D23F27
+:04BB0A00778CD2481A
+:04BB0B007790D2500D
+:04BB0C007793D25900
+:04BB0D007796D262F3
+:04BB0E00779AD26BE5
+:04BB0F00779DD274D8
+:04BB100077A0D27CCC
+:04BB110077A4D285BE
+:04BB120077A7D28EB1
+:04BB130077AAD297A4
+:04BB140077AED2A096
+:04BB150077B1D2A989
+:04BB160077B4D2B17D
+:04BB170077B8D2BA6F
+:04BB180077BBD2C362
+:04BB190077BED2CC55
+:04BB1A0077C2D2D547
+:04BB1B0077C5D2DD3B
+:04BB1C0077C8D2E62E
+:04BB1D0077CCD2EF20
+:04BB1E0077CFD2F813
+:04BB1F0077D2D30105
+:04BB200077D6D30AF7
+:04BB210077D9D312EB
+:04BB220077DCD31BDE
+:04BB230077E0D324D0
+:04BB240077E3D32DC3
+:04BB250077E6D336B6
+:04BB260077E9D33FA9
+:04BB270077EDD3479C
+:04BB280077F0D3508F
+:04BB290077F3D35982
+:04BB2A0077F7D36274
+:04BB2B0077FAD36B67
+:04BB2C0077FDD3735B
+:04BB2D007801D37C4C
+:04BB2E007804D3853F
+:04BB2F007807D38E32
+:04BB3000780AD39725
+:04BB3100780ED3A017
+:04BB32007811D3A90A
+:04BB33007814D3B1FE
+:04BB34007817D3BAF1
+:04BB3500781BD3C3E3
+:04BB3600781ED3CCD6
+:04BB37007821D3D5C9
+:04BB38007824D3DEBC
+:04BB39007828D3E6AF
+:04BB3A00782BD3EFA2
+:04BB3B00782ED3F895
+:04BB3C007831D40187
+:04BB3D007835D40A79
+:04BB3E007838D4136C
+:04BB3F00783BD41C5F
+:04BB4000783ED42453
+:04BB41007842D42D45
+:04BB42007845D43638
+:04BB43007848D43F2B
+:04BB4400784BD4481E
+:04BB4500784ED45111
+:04BB46007852D45A03
+:04BB47007855D462F7
+:04BB48007858D46BEA
+:04BB4900785BD474DD
+:04BB4A00785ED47DD0
+:04BB4B007862D486C2
+:04BB4C007865D48FB5
+:04BB4D007868D498A8
+:04BB4E00786BD4A09C
+:04BB4F00786ED4A98F
+:04BB50007872D4B281
+:04BB51007875D4BB74
+:04BB52007878D4C467
+:04BB5300787BD4CD5A
+:04BB5400787ED4D64D
+:04BB55007882D4DF3F
+:04BB56007885D4E733
+:04BB57007888D4F026
+:04BB5800788BD4F919
+:04BB5900788ED5020B
+:04BB5A007891D50BFE
+:04BB5B007895D514F0
+:04BB5C007898D51DE3
+:04BB5D00789BD526D6
+:04BB5E00789ED52ECA
+:04BB5F0078A1D537BD
+:04BB600078A4D540B0
+:04BB610078A7D549A3
+:04BB620078ABD55295
+:04BB630078AED55B88
+:04BB640078B1D5647B
+:04BB650078B4D56D6E
+:04BB660078B7D57562
+:04BB670078BAD57E55
+:04BB680078BDD58748
+:04BB690078C1D5903A
+:04BB6A0078C4D5992D
+:04BB6B0078C7D5A220
+:04BB6C0078CAD5AB13
+:04BB6D0078CDD5B406
+:04BB6E0078D0D5BDF9
+:04BB6F0078D3D5C6EC
+:04BB700078D6D5CEE0
+:04BB710078D9D5D7D3
+:04BB720078DDD5E0C5
+:04BB730078E0D5E9B8
+:04BB740078E3D5F2AB
+:04BB750078E6D5FB9E
+:04BB760078E9D60490
+:04BB770078ECD60D83
+:04BB780078EFD61676
+:04BB790078F2D61F69
+:04BB7A0078F5D6275D
+:04BB7B0078F8D63050
+:04BB7C0078FBD63943
+:04BB7D0078FFD64235
+:04BB7E007902D64B27
+:04BB7F007905D6541A
+:04BB80007908D65D0D
+:04BB8100790BD66600
+:04BB8200790ED66FF3
+:04BB83007911D678E6
+:04BB84007914D681D9
+:04BB85007917D689CD
+:04BB8600791AD692C0
+:04BB8700791DD69BB3
+:04BB88007920D6A4A6
+:04BB89007923D6AD99
+:04BB8A007926D6B68C
+:04BB8B007929D6BF7F
+:04BB8C00792CD6C872
+:04BB8D00792FD6D165
+:04BB8E007932D6DA58
+:04BB8F007935D6E34B
+:04BB90007938D6EC3E
+:04BB9100793CD6F530
+:04BB9200793FD6FD24
+:04BB93007942D70616
+:04BB94007945D70F09
+:04BB95007948D718FC
+:04BB9600794BD721EF
+:04BB9700794ED72AE2
+:04BB98007951D733D5
+:04BB99007954D73CC8
+:04BB9A007957D745BB
+:04BB9B00795AD74EAE
+:04BB9C00795DD757A1
+:04BB9D007960D76094
+:04BB9E007963D76987
+:04BB9F007966D7727A
+:04BBA0007969D77B6D
+:04BBA100796CD78361
+:04BBA200796FD78C54
+:04BBA3007972D79547
+:04BBA4007974D79E3B
+:04BBA5007977D7A72E
+:04BBA600797AD7B021
+:04BBA700797DD7B914
+:04BBA8007980D7C207
+:04BBA9007983D7CBFA
+:04BBAA007986D7D4ED
+:04BBAB007989D7DDE0
+:04BBAC00798CD7E6D3
+:04BBAD00798FD7EFC6
+:04BBAE007992D7F8B9
+:04BBAF007995D801AB
+:04BBB0007998D80A9E
+:04BBB100799BD81391
+:04BBB200799ED81C84
+:04BBB30079A1D82577
+:04BBB40079A4D82D6B
+:04BBB50079A7D8365E
+:04BBB60079AAD83F51
+:04BBB70079ADD84844
+:04BBB80079AFD85138
+:04BBB90079B2D85A2B
+:04BBBA0079B5D8631E
+:04BBBB0079B8D86C11
+:04BBBC0079BBD87504
+:04BBBD0079BED87EF7
+:04BBBE0079C1D887EA
+:04BBBF0079C4D890DD
+:04BBC00079C7D899D0
+:04BBC10079CAD8A2C3
+:04BBC20079CDD8ABB6
+:04BBC30079CFD8B4AA
+:04BBC40079D2D8BD9D
+:04BBC50079D5D8C690
+:04BBC60079D8D8CF83
+:04BBC70079DBD8D876
+:04BBC80079DED8E169
+:04BBC90079E1D8EA5C
+:04BBCA0079E4D8F34F
+:04BBCB0079E6D8FC43
+:04BBCC0079E9D90535
+:04BBCD0079ECD90E28
+:04BBCE0079EFD9171B
+:04BBCF0079F2D9200E
+:04BBD00079F5D92901
+:04BBD10079F8D932F4
+:04BBD20079FBD93BE7
+:04BBD30079FDD944DB
+:04BBD4007A00D94CCE
+:04BBD5007A03D955C1
+:04BBD6007A06D95EB4
+:04BBD7007A09D967A7
+:04BBD8007A0CD9709A
+:04BBD9007A0ED9798E
+:04BBDA007A11D98281
+:04BBDB007A14D98B74
+:04BBDC007A17D99467
+:04BBDD007A1AD99D5A
+:04BBDE007A1DD9A64D
+:04BBDF007A1FD9AF41
+:04BBE0007A22D9B834
+:04BBE1007A25D9C127
+:04BBE2007A28D9CA1A
+:04BBE3007A2BD9D30D
+:04BBE4007A2DD9DC01
+:04BBE5007A30D9E5F4
+:04BBE6007A33D9EEE7
+:04BBE7007A36D9F7DA
+:04BBE8007A39DA00CC
+:04BBE9007A3CDA09BF
+:04BBEA007A3EDA12B3
+:04BBEB007A41DA1BA6
+:04BBEC007A44DA2499
+:04BBED007A47DA2D8C
+:04BBEE007A49DA3680
+:04BBEF007A4CDA3F73
+:04BBF0007A4FDA4866
+:04BBF1007A52DA5159
+:04BBF2007A55DA5A4C
+:04BBF3007A57DA6340
+:04BBF4007A5ADA6C33
+:04BBF5007A5DDA7526
+:04BBF6007A60DA7E19
+:04BBF7007A62DA870D
+:04BBF8007A65DA9000
+:04BBF9007A68DA99F3
+:04BBFA007A6BDAA2E6
+:04BBFB007A6DDAABDA
+:04BBFC007A70DAB4CD
+:04BBFD007A73DABDC0
+:04BBFE007A76DAC6B3
+:04BBFF007A78DAD0A6
+:04BC00007A7BDAD998
+:04BC01007A7EDAE28B
+:04BC02007A81DAEB7E
+:04BC03007A83DAF472
+:04BC04007A86DAFD65
+:04BC05007A89DB0657
+:04BC06007A8BDB0F4B
+:04BC07007A8EDB183E
+:04BC08007A91DB2131
+:04BC09007A94DB2A24
+:04BC0A007A96DB3318
+:04BC0B007A99DB3C0B
+:04BC0C007A9CDB45FE
+:04BC0D007A9EDB4EF2
+:04BC0E007AA1DB57E5
+:04BC0F007AA4DB60D8
+:04BC10007AA7DB69CB
+:04BC11007AA9DB72BF
+:04BC12007AACDB7BB2
+:04BC13007AAFDB84A5
+:04BC14007AB1DB8D99
+:04BC15007AB4DB968C
+:04BC16007AB7DB9F7F
+:04BC17007AB9DBA873
+:04BC18007ABCDBB166
+:04BC19007ABFDBBA59
+:04BC1A007AC1DBC34D
+:04BC1B007AC4DBCC40
+:04BC1C007AC7DBD533
+:04BC1D007AC9DBDE27
+:04BC1E007ACCDBE71A
+:04BC1F007ACFDBF00D
+:04BC20007AD1DBFA00
+:04BC21007AD4DC03F2
+:04BC22007AD7DC0CE5
+:04BC23007AD9DC15D9
+:04BC24007ADCDC1ECC
+:04BC25007ADFDC27BF
+:04BC26007AE1DC30B3
+:04BC27007AE4DC39A6
+:04BC28007AE6DC429A
+:04BC29007AE9DC4B8D
+:04BC2A007AECDC5480
+:04BC2B007AEEDC5D74
+:04BC2C007AF1DC6667
+:04BC2D007AF4DC6F5A
+:04BC2E007AF6DC784E
+:04BC2F007AF9DC8141
+:04BC30007AFBDC8A35
+:04BC31007AFEDC9328
+:04BC32007B01DC9C1A
+:04BC33007B03DCA50E
+:04BC34007B06DCAF00
+:04BC35007B08DCB8F4
+:04BC36007B0BDCC1E7
+:04BC37007B0EDCCADA
+:04BC38007B10DCD3CE
+:04BC39007B13DCDCC1
+:04BC3A007B15DCE5B5
+:04BC3B007B18DCEEA8
+:04BC3C007B1BDCF79B
+:04BC3D007B1DDD008E
+:04BC3E007B20DD0981
+:04BC3F007B22DD1275
+:04BC40007B25DD1B68
+:04BC41007B27DD245C
+:04BC42007B2ADD2D4F
+:04BC43007B2DDD3642
+:04BC44007B2FDD4035
+:04BC45007B32DD4928
+:04BC46007B34DD521C
+:04BC47007B37DD5B0F
+:04BC48007B39DD6403
+:04BC49007B3CDD6DF6
+:04BC4A007B3EDD76EA
+:04BC4B007B41DD7FDD
+:04BC4C007B44DD88D0
+:04BC4D007B46DD91C4
+:04BC4E007B49DD9AB7
+:04BC4F007B4BDDA3AB
+:04BC50007B4EDDAC9E
+:04BC51007B50DDB691
+:04BC52007B53DDBF84
+:04BC53007B55DDC878
+:04BC54007B58DDD16B
+:04BC55007B5ADDDA5F
+:04BC56007B5DDDE352
+:04BC57007B5FDDEC46
+:04BC58007B62DDF539
+:04BC59007B64DDFE2D
+:04BC5A007B67DE071F
+:04BC5B007B69DE1013
+:04BC5C007B6CDE1906
+:04BC5D007B6EDE23F9
+:04BC5E007B71DE2CEC
+:04BC5F007B73DE35E0
+:04BC60007B76DE3ED3
+:04BC61007B78DE47C7
+:04BC62007B7BDE50BA
+:04BC63007B7DDE59AE
+:04BC64007B80DE62A1
+:04BC65007B82DE6B95
+:04BC66007B85DE7488
+:04BC67007B87DE7D7C
+:04BC68007B8ADE876E
+:04BC69007B8CDE9062
+:04BC6A007B8EDE9956
+:04BC6B007B91DEA249
+:04BC6C007B93DEAB3D
+:04BC6D007B96DEB430
+:04BC6E007B98DEBD24
+:04BC6F007B9BDEC617
+:04BC70007B9DDECF0B
+:04BC71007BA0DED8FE
+:04BC72007BA2DEE2F1
+:04BC73007BA5DEEBE4
+:04BC74007BA7DEF4D8
+:04BC75007BA9DEFDCC
+:04BC76007BACDF06BE
+:04BC77007BAEDF0FB2
+:04BC78007BB1DF18A5
+:04BC79007BB3DF2199
+:04BC7A007BB5DF2A8D
+:04BC7B007BB8DF347F
+:04BC7C007BBADF3D73
+:04BC7D007BBDDF4666
+:04BC7E007BBFDF4F5A
+:04BC7F007BC2DF584D
+:04BC80007BC4DF6141
+:04BC81007BC6DF6A35
+:04BC82007BC9DF7328
+:04BC83007BCBDF7C1C
+:04BC84007BCEDF860E
+:04BC85007BD0DF8F02
+:04BC86007BD2DF98F6
+:04BC87007BD5DFA1E9
+:04BC88007BD7DFAADD
+:04BC89007BD9DFB3D1
+:04BC8A007BDCDFBCC4
+:04BC8B007BDEDFC5B8
+:04BC8C007BE1DFCEAB
+:04BC8D007BE3DFD89E
+:04BC8E007BE5DFE192
+:04BC8F007BE8DFEA85
+:04BC90007BEADFF379
+:04BC91007BECDFFC6D
+:04BC92007BEFE0055F
+:04BC93007BF1E00E53
+:04BC94007BF3E01747
+:04BC95007BF6E02139
+:04BC96007BF8E02A2D
+:04BC97007BFBE03320
+:04BC98007BFDE03C14
+:04BC99007BFFE04508
+:04BC9A007C02E04EFA
+:04BC9B007C04E057EE
+:04BC9C007C06E061E1
+:04BC9D007C09E06AD4
+:04BC9E007C0BE073C8
+:04BC9F007C0DE07CBC
+:04BCA0007C0FE085B0
+:04BCA1007C12E08EA3
+:04BCA2007C14E09797
+:04BCA3007C16E0A08B
+:04BCA4007C19E0AA7D
+:04BCA5007C1BE0B371
+:04BCA6007C1DE0BC65
+:04BCA7007C20E0C558
+:04BCA8007C22E0CE4C
+:04BCA9007C24E0D740
+:04BCAA007C27E0E033
+:04BCAB007C29E0EA26
+:04BCAC007C2BE0F31A
+:04BCAD007C2DE0FC0E
+:04BCAE007C30E10500
+:04BCAF007C32E10EF4
+:04BCB0007C34E117E8
+:04BCB1007C36E120DC
+:04BCB2007C39E12ACE
+:04BCB3007C3BE133C2
+:04BCB4007C3DE13CB6
+:04BCB5007C40E145A9
+:04BCB6007C42E14E9D
+:04BCB7007C44E15791
+:04BCB8007C46E16085
+:04BCB9007C49E16A77
+:04BCBA007C4BE1736B
+:04BCBB007C4DE17C5F
+:04BCBC007C4FE18553
+:04BCBD007C52E18E46
+:04BCBE007C54E1973A
+:04BCBF007C56E1A12D
+:04BCC0007C58E1AA21
+:04BCC1007C5BE1B314
+:04BCC2007C5DE1BC08
+:04BCC3007C5FE1C5FC
+:04BCC4007C61E1CEF0
+:04BCC5007C63E1D7E4
+:04BCC6007C66E1E1D6
+:04BCC7007C68E1EACA
+:04BCC8007C6AE1F3BE
+:04BCC9007C6CE1FCB2
+:04BCCA007C6FE205A4
+:04BCCB007C71E20E98
+:04BCCC007C73E2188B
+:04BCCD007C75E2217F
+:04BCCE007C77E22A73
+:04BCCF007C7AE23366
+:04BCD0007C7CE23C5A
+:04BCD1007C7EE2454E
+:04BCD2007C80E24F41
+:04BCD3007C82E25835
+:04BCD4007C84E26129
+:04BCD5007C87E26A1C
+:04BCD6007C89E27310
+:04BCD7007C8BE27C04
+:04BCD8007C8DE286F7
+:04BCD9007C8FE28FEB
+:04BCDA007C91E298DF
+:04BCDB007C94E2A1D2
+:04BCDC007C96E2AAC6
+:04BCDD007C98E2B3BA
+:04BCDE007C9AE2BDAD
+:04BCDF007C9CE2C6A1
+:04BCE0007C9EE2CF95
+:04BCE1007CA1E2D888
+:04BCE2007CA3E2E17C
+:04BCE3007CA5E2EB6F
+:04BCE4007CA7E2F463
+:04BCE5007CA9E2FD57
+:04BCE6007CABE3064A
+:04BCE7007CADE30F3E
+:04BCE8007CB0E31831
+:04BCE9007CB2E32224
+:04BCEA007CB4E32B18
+:04BCEB007CB6E3340C
+:04BCEC007CB8E33D00
+:04BCED007CBAE346F4
+:04BCEE007CBCE350E7
+:04BCEF007CBEE359DB
+:04BCF0007CC0E362CF
+:04BCF1007CC3E36BC2
+:04BCF2007CC5E374B6
+:04BCF3007CC7E37DAA
+:04BCF4007CC9E3879D
+:04BCF5007CCBE39091
+:04BCF6007CCDE39985
+:04BCF7007CCFE3A279
+:04BCF8007CD1E3AB6D
+:04BCF9007CD3E3B560
+:04BCFA007CD5E3BE54
+:04BCFB007CD7E3C748
+:04BCFC007CDAE3D03B
+:04BCFD007CDCE3D92F
+:04BCFE007CDEE3E322
+:04BCFF007CE0E3EC16
+:04BD00007CE2E3F509
+:04BD01007CE4E3FEFD
+:04BD02007CE6E407F0
+:04BD03007CE8E411E3
+:04BD04007CEAE41AD7
+:04BD05007CECE423CB
+:04BD06007CEEE42CBF
+:04BD07007CF0E435B3
+:04BD08007CF2E43FA6
+:04BD09007CF4E4489A
+:04BD0A007CF6E4518E
+:04BD0B007CF8E45A82
+:04BD0C007CFAE46376
+:04BD0D007CFCE46D69
+:04BD0E007CFFE4765C
+:04BD0F007D01E47F4F
+:04BD10007D03E48843
+:04BD11007D05E49137
+:04BD12007D07E49B2A
+:04BD13007D09E4A41E
+:04BD14007D0BE4AD12
+:04BD15007D0DE4B606
+:04BD16007D0FE4BFFA
+:04BD17007D11E4C9ED
+:04BD18007D13E4D2E1
+:04BD19007D15E4DBD5
+:04BD1A007D17E4E4C9
+:04BD1B007D19E4EDBD
+:04BD1C007D1BE4F7B0
+:04BD1D007D1DE500A3
+:04BD1E007D1FE50997
+:04BD1F007D21E5128B
+:04BD20007D23E51B7F
+:04BD21007D25E52572
+:04BD22007D27E52E66
+:04BD23007D29E5375A
+:04BD24007D2AE5404F
+:04BD25007D2CE54A42
+:04BD26007D2EE55336
+:04BD27007D30E55C2A
+:04BD28007D32E5651E
+:04BD29007D34E56E12
+:04BD2A007D36E57805
+:04BD2B007D38E581F9
+:04BD2C007D3AE58AED
+:04BD2D007D3CE593E1
+:04BD2E007D3EE59DD4
+:04BD2F007D40E5A6C8
+:04BD30007D42E5AFBC
+:04BD31007D44E5B8B0
+:04BD32007D46E5C1A4
+:04BD33007D48E5CB97
+:04BD34007D4AE5D48B
+:04BD35007D4CE5DD7F
+:04BD36007D4DE5E674
+:04BD37007D4FE5F067
+:04BD38007D51E5F95B
+:04BD39007D53E6024E
+:04BD3A007D55E60B42
+:04BD3B007D57E61436
+:04BD3C007D59E61E29
+:04BD3D007D5BE6271D
+:04BD3E007D5DE63011
+:04BD3F007D5FE63905
+:04BD40007D61E643F8
+:04BD41007D62E64CED
+:04BD42007D64E655E1
+:04BD43007D66E65ED5
+:04BD44007D68E668C8
+:04BD45007D6AE671BC
+:04BD46007D6CE67AB0
+:04BD47007D6EE683A4
+:04BD48007D70E68C98
+:04BD49007D72E6968B
+:04BD4A007D73E69F80
+:04BD4B007D75E6A874
+:04BD4C007D77E6B168
+:04BD4D007D79E6BB5B
+:04BD4E007D7BE6C44F
+:04BD4F007D7DE6CD43
+:04BD50007D7FE6D637
+:04BD51007D80E6E02B
+:04BD52007D82E6E91F
+:04BD53007D84E6F213
+:04BD54007D86E6FB07
+:04BD55007D88E705F9
+:04BD56007D8AE70EED
+:04BD57007D8BE717E2
+:04BD58007D8DE720D6
+:04BD59007D8FE72AC9
+:04BD5A007D91E733BD
+:04BD5B007D93E73CB1
+:04BD5C007D95E745A5
+:04BD5D007D96E74F99
+:04BD5E007D98E7588D
+:04BD5F007D9AE76181
+:04BD60007D9CE76A75
+:04BD61007D9EE77468
+:04BD62007D9FE77D5D
+:04BD63007DA1E78651
+:04BD64007DA3E78F45
+:04BD65007DA5E79938
+:04BD66007DA7E7A22C
+:04BD67007DA8E7AB21
+:04BD68007DAAE7B415
+:04BD69007DACE7BE08
+:04BD6A007DAEE7C7FC
+:04BD6B007DB0E7D0F0
+:04BD6C007DB1E7D9E5
+:04BD6D007DB3E7E3D8
+:04BD6E007DB5E7ECCC
+:04BD6F007DB7E7F5C0
+:04BD70007DB8E7FEB5
+:04BD71007DBAE808A7
+:04BD72007DBCE8119B
+:04BD73007DBEE81A8F
+:04BD74007DC0E82383
+:04BD75007DC1E82D77
+:04BD76007DC3E8366B
+:04BD77007DC5E83F5F
+:04BD78007DC7E84853
+:04BD79007DC8E85247
+:04BD7A007DCAE85B3B
+:04BD7B007DCCE8642F
+:04BD7C007DCEE86D23
+:04BD7D007DCFE87717
+:04BD7E007DD1E8800B
+:04BD7F007DD3E889FF
+:04BD80007DD4E893F3
+:04BD81007DD6E89CE7
+:04BD82007DD8E8A5DB
+:04BD83007DDAE8AECF
+:04BD84007DDBE8B8C3
+:04BD85007DDDE8C1B7
+:04BD86007DDFE8CAAB
+:04BD87007DE0E8D3A0
+:04BD88007DE2E8DD93
+:04BD89007DE4E8E687
+:04BD8A007DE6E8EF7B
+:04BD8B007DE7E8F870
+:04BD8C007DE9E90262
+:04BD8D007DEBE90B56
+:04BD8E007DECE9144B
+:04BD8F007DEEE91E3E
+:04BD90007DF0E92732
+:04BD91007DF1E93027
+:04BD92007DF3E9391B
+:04BD93007DF5E9430E
+:04BD94007DF6E94C03
+:04BD95007DF8E955F7
+:04BD96007DFAE95EEB
+:04BD97007DFBE968DF
+:04BD98007DFDE971D3
+:04BD99007DFFE97AC7
+:04BD9A007E00E984BA
+:04BD9B007E02E98DAE
+:04BD9C007E04E996A2
+:04BD9D007E05E99F97
+:04BD9E007E07E9A98A
+:04BD9F007E09E9B27E
+:04BDA0007E0AE9BB73
+:04BDA1007E0CE9C566
+:04BDA2007E0EE9CE5A
+:04BDA3007E0FE9D74F
+:04BDA4007E11E9E043
+:04BDA5007E12E9EA37
+:04BDA6007E14E9F32B
+:04BDA7007E16E9FC1F
+:04BDA8007E17EA0612
+:04BDA9007E19EA0F06
+:04BDAA007E1BEA18FA
+:04BDAB007E1CEA21EF
+:04BDAC007E1EEA2BE2
+:04BDAD007E1FEA34D7
+:04BDAE007E21EA3DCB
+:04BDAF007E23EA47BE
+:04BDB0007E24EA50B3
+:04BDB1007E26EA59A7
+:04BDB2007E27EA629C
+:04BDB3007E29EA6C8F
+:04BDB4007E2BEA7583
+:04BDB5007E2CEA7E78
+:04BDB6007E2EEA886B
+:04BDB7007E2FEA9160
+:04BDB8007E31EA9A54
+:04BDB9007E32EAA349
+:04BDBA007E34EAAD3C
+:04BDBB007E36EAB630
+:04BDBC007E37EABF25
+:04BDBD007E39EAC918
+:04BDBE007E3AEAD20D
+:04BDBF007E3CEADB01
+:04BDC0007E3DEAE4F6
+:04BDC1007E3FEAEEE9
+:04BDC2007E40EAF7DE
+:04BDC3007E42EB00D1
+:04BDC4007E44EB0AC4
+:04BDC5007E45EB13B9
+:04BDC6007E47EB1CAD
+:04BDC7007E48EB26A1
+:04BDC8007E4AEB2F95
+:04BDC9007E4BEB388A
+:04BDCA007E4DEB417E
+:04BDCB007E4EEB4B72
+:04BDCC007E50EB5466
+:04BDCD007E51EB5D5B
+:04BDCE007E53EB674E
+:04BDCF007E54EB7043
+:04BDD0007E56EB7937
+:04BDD1007E57EB832B
+:04BDD2007E59EB8C1F
+:04BDD3007E5AEB9514
+:04BDD4007E5CEB9E08
+:04BDD5007E5DEBA8FC
+:04BDD6007E5FEBB1F0
+:04BDD7007E60EBBAE5
+:04BDD8007E62EBC4D8
+:04BDD9007E63EBCDCD
+:04BDDA007E65EBD6C1
+:04BDDB007E66EBE0B5
+:04BDDC007E68EBE9A9
+:04BDDD007E69EBF29E
+:04BDDE007E6BEBFC91
+:04BDDF007E6CEC0585
+:04BDE0007E6EEC0E79
+:04BDE1007E6FEC176E
+:04BDE2007E71EC2161
+:04BDE3007E72EC2A56
+:04BDE4007E74EC334A
+:04BDE5007E75EC3D3E
+:04BDE6007E76EC4633
+:04BDE7007E78EC4F27
+:04BDE8007E79EC591B
+:04BDE9007E7BEC620F
+:04BDEA007E7CEC6B04
+:04BDEB007E7EEC75F7
+:04BDEC007E7FEC7EEC
+:04BDED007E81EC87E0
+:04BDEE007E82EC90D5
+:04BDEF007E83EC9AC9
+:04BDF0007E85ECA3BD
+:04BDF1007E86ECACB2
+:04BDF2007E88ECB6A5
+:04BDF3007E89ECBF9A
+:04BDF4007E8BECC88E
+:04BDF5007E8CECD282
+:04BDF6007E8DECDB77
+:04BDF7007E8FECE46B
+:04BDF8007E90ECEE5F
+:04BDF9007E92ECF753
+:04BDFA007E93ED0047
+:04BDFB007E94ED0A3B
+:04BDFC007E96ED132F
+:04BDFD007E97ED1C24
+:04BDFE007E99ED2617
+:04BDFF007E9AED2F0C
+:04BE00007E9BED3800
+:04BE01007E9DED42F3
+:04BE02007E9EED4BE8
+:04BE03007E9FED54DD
+:04BE04007EA1ED5ED0
+:04BE05007EA2ED67C5
+:04BE06007EA4ED70B9
+:04BE07007EA5ED79AE
+:04BE08007EA6ED83A2
+:04BE09007EA8ED8C96
+:04BE0A007EA9ED958B
+:04BE0B007EAAED9F7F
+:04BE0C007EACEDA873
+:04BE0D007EADEDB168
+:04BE0E007EAEEDBB5C
+:04BE0F007EB0EDC450
+:04BE10007EB1EDCD45
+:04BE11007EB2EDD739
+:04BE12007EB4EDE02D
+:04BE13007EB5EDE922
+:04BE14007EB6EDF316
+:04BE15007EB8EDFC0A
+:04BE16007EB9EE05FE
+:04BE17007EBAEE0FF2
+:04BE18007EBCEE18E6
+:04BE19007EBDEE21DB
+:04BE1A007EBEEE2BCF
+:04BE1B007EC0EE34C3
+:04BE1C007EC1EE3DB8
+:04BE1D007EC2EE47AC
+:04BE1E007EC4EE50A0
+:04BE1F007EC5EE5995
+:04BE20007EC6EE6389
+:04BE21007EC8EE6C7D
+:04BE22007EC9EE7572
+:04BE23007ECAEE7F66
+:04BE24007ECBEE885B
+:04BE25007ECDEE914F
+:04BE26007ECEEE9B43
+:04BE27007ECFEEA438
+:04BE28007ED1EEAD2C
+:04BE29007ED2EEB720
+:04BE2A007ED3EEC015
+:04BE2B007ED4EEC90A
+:04BE2C007ED6EED3FD
+:04BE2D007ED7EEDCF2
+:04BE2E007ED8EEE5E7
+:04BE2F007ED9EEEFDB
+:04BE30007EDBEEF8CF
+:04BE31007EDCEF01C3
+:04BE32007EDDEF0BB7
+:04BE33007EDEEF14AC
+:04BE34007EE0EF1E9F
+:04BE35007EE1EF2794
+:04BE36007EE2EF3089
+:04BE37007EE3EF3A7D
+:04BE38007EE5EF4371
+:04BE39007EE6EF4C66
+:04BE3A007EE7EF565A
+:04BE3B007EE8EF5F4F
+:04BE3C007EE9EF6844
+:04BE3D007EEBEF7237
+:04BE3E007EECEF7B2C
+:04BE3F007EEDEF8421
+:04BE40007EEEEF8E15
+:04BE41007EF0EF9709
+:04BE42007EF1EFA0FE
+:04BE43007EF2EFAAF2
+:04BE44007EF3EFB3E7
+:04BE45007EF4EFBCDC
+:04BE46007EF6EFC6CF
+:04BE47007EF7EFCFC4
+:04BE48007EF8EFD8B9
+:04BE49007EF9EFE2AD
+:04BE4A007EFAEFEBA2
+:04BE4B007EFCEFF496
+:04BE4C007EFDEFFE8A
+:04BE4D007EFEF0077E
+:04BE4E007EFFF01172
+:04BE4F007F00F01A66
+:04BE50007F01F0235B
+:04BE51007F03F02D4E
+:04BE52007F04F03643
+:04BE53007F05F03F38
+:04BE54007F06F0492C
+:04BE55007F07F05221
+:04BE56007F08F05B16
+:04BE57007F0AF06509
+:04BE58007F0BF06EFE
+:04BE59007F0CF077F3
+:04BE5A007F0DF081E7
+:04BE5B007F0EF08ADC
+:04BE5C007F0FF093D1
+:04BE5D007F10F09DC5
+:04BE5E007F11F0A6BA
+:04BE5F007F13F0B0AD
+:04BE60007F14F0B9A2
+:04BE61007F15F0C297
+:04BE62007F16F0CC8B
+:04BE63007F17F0D580
+:04BE64007F18F0DE75
+:04BE65007F19F0E869
+:04BE66007F1AF0F15E
+:04BE67007F1CF0FA52
+:04BE68007F1DF10445
+:04BE69007F1EF10D3A
+:04BE6A007F1FF1162F
+:04BE6B007F20F12023
+:04BE6C007F21F12918
+:04BE6D007F22F1330C
+:04BE6E007F23F13C01
+:04BE6F007F24F145F6
+:04BE70007F25F14FEA
+:04BE71007F26F158DF
+:04BE72007F28F161D3
+:04BE73007F29F16BC7
+:04BE74007F2AF174BC
+:04BE75007F2BF17DB1
+:04BE76007F2CF187A5
+:04BE77007F2DF1909A
+:04BE78007F2EF19A8E
+:04BE79007F2FF1A383
+:04BE7A007F30F1AC78
+:04BE7B007F31F1B66C
+:04BE7C007F32F1BF61
+:04BE7D007F33F1C856
+:04BE7E007F34F1D24A
+:04BE7F007F35F1DB3F
+:04BE80007F36F1E434
+:04BE81007F37F1EE28
+:04BE82007F38F1F71D
+:04BE83007F39F20110
+:04BE84007F3AF20A05
+:04BE85007F3CF213F9
+:04BE86007F3DF21DED
+:04BE87007F3EF226E2
+:04BE88007F3FF22FD7
+:04BE89007F40F239CB
+:04BE8A007F41F242C0
+:04BE8B007F42F24CB4
+:04BE8C007F43F255A9
+:04BE8D007F44F25E9E
+:04BE8E007F45F26892
+:04BE8F007F46F27187
+:04BE90007F47F27A7C
+:04BE91007F48F28470
+:04BE92007F49F28D65
+:04BE93007F4AF2965A
+:04BE94007F4BF2A04E
+:04BE95007F4CF2A943
+:04BE96007F4DF2B337
+:04BE97007F4EF2BC2C
+:04BE98007F4FF2C521
+:04BE99007F4FF2CF16
+:04BE9A007F50F2D80B
+:04BE9B007F51F2E100
+:04BE9C007F52F2EBF4
+:04BE9D007F53F2F4E9
+:04BE9E007F54F2FEDD
+:04BE9F007F55F307D1
+:04BEA0007F56F310C6
+:04BEA1007F57F31ABA
+:04BEA2007F58F323AF
+:04BEA3007F59F32CA4
+:04BEA4007F5AF33698
+:04BEA5007F5BF33F8D
+:04BEA6007F5CF34981
+:04BEA7007F5DF35276
+:04BEA8007F5EF35B6B
+:04BEA9007F5FF3655F
+:04BEAA007F60F36E54
+:04BEAB007F61F37749
+:04BEAC007F61F3813E
+:04BEAD007F62F38A33
+:04BEAE007F63F39427
+:04BEAF007F64F39D1C
+:04BEB0007F65F3A611
+:04BEB1007F66F3B005
+:04BEB2007F67F3B9FA
+:04BEB3007F68F3C3EE
+:04BEB4007F69F3CCE3
+:04BEB5007F6AF3D5D8
+:04BEB6007F6BF3DFCC
+:04BEB7007F6BF3E8C2
+:04BEB8007F6CF3F1B7
+:04BEB9007F6DF3FBAB
+:04BEBA007F6EF4049F
+:04BEBB007F6FF40E93
+:04BEBC007F70F41788
+:04BEBD007F71F4207D
+:04BEBE007F72F42A71
+:04BEBF007F72F43367
+:04BEC0007F73F43D5B
+:04BEC1007F74F44650
+:04BEC2007F75F44F45
+:04BEC3007F76F45939
+:04BEC4007F77F4622E
+:04BEC5007F78F46B23
+:04BEC6007F78F47518
+:04BEC7007F79F47E0D
+:04BEC8007F7AF48801
+:04BEC9007F7BF491F6
+:04BECA007F7CF49AEB
+:04BECB007F7DF4A4DF
+:04BECC007F7EF4ADD4
+:04BECD007F7EF4B7C9
+:04BECE007F7FF4C0BE
+:04BECF007F80F4C9B3
+:04BED0007F81F4D3A7
+:04BED1007F82F4DC9C
+:04BED2007F82F4E592
+:04BED3007F83F4EF86
+:04BED4007F84F4F87B
+:04BED5007F85F5026E
+:04BED6007F86F50B63
+:04BED7007F87F51458
+:04BED8007F87F51E4D
+:04BED9007F88F52742
+:04BEDA007F89F53136
+:04BEDB007F8AF53A2B
+:04BEDC007F8BF54320
+:04BEDD007F8BF54D15
+:04BEDE007F8CF5560A
+:04BEDF007F8DF560FE
+:04BEE0007F8EF569F3
+:04BEE1007F8EF572E9
+:04BEE2007F8FF57CDD
+:04BEE3007F90F585D2
+:04BEE4007F91F58FC6
+:04BEE5007F92F598BB
+:04BEE6007F92F5A1B1
+:04BEE7007F93F5ABA5
+:04BEE8007F94F5B49A
+:04BEE9007F95F5BD8F
+:04BEEA007F95F5C784
+:04BEEB007F96F5D079
+:04BEEC007F97F5DA6D
+:04BEED007F98F5E362
+:04BEEE007F98F5EC58
+:04BEEF007F99F5F64C
+:04BEF0007F9AF5FF41
+:04BEF1007F9BF60934
+:04BEF2007F9BF6122A
+:04BEF3007F9CF61B1F
+:04BEF4007F9DF62513
+:04BEF5007F9DF62E09
+:04BEF6007F9EF638FD
+:04BEF7007F9FF641F2
+:04BEF8007FA0F64AE7
+:04BEF9007FA0F654DC
+:04BEFA007FA1F65DD1
+:04BEFB007FA2F667C5
+:04BEFC007FA2F670BB
+:04BEFD007FA3F679B0
+:04BEFE007FA4F683A4
+:04BEFF007FA5F68C99
+:04BF00007FA5F6968D
+:04BF01007FA6F69F82
+:04BF02007FA7F6A877
+:04BF03007FA7F6B26C
+:04BF04007FA8F6BB61
+:04BF05007FA9F6C555
+:04BF06007FA9F6CE4B
+:04BF07007FAAF6D740
+:04BF08007FABF6E134
+:04BF09007FABF6EA2A
+:04BF0A007FACF6F41E
+:04BF0B007FADF6FD13
+:04BF0C007FADF70608
+:04BF0D007FAEF710FC
+:04BF0E007FAFF719F1
+:04BF0F007FAFF723E6
+:04BF10007FB0F72CDB
+:04BF11007FB1F735D0
+:04BF12007FB1F73FC5
+:04BF13007FB2F748BA
+:04BF14007FB3F752AE
+:04BF15007FB3F75BA4
+:04BF16007FB4F76499
+:04BF17007FB4F76E8E
+:04BF18007FB5F77783
+:04BF19007FB6F78177
+:04BF1A007FB6F78A6D
+:04BF1B007FB7F79362
+:04BF1C007FB8F79D56
+:04BF1D007FB8F7A64C
+:04BF1E007FB9F7B040
+:04BF1F007FB9F7B936
+:04BF20007FBAF7C22B
+:04BF21007FBBF7CC1F
+:04BF22007FBBF7D515
+:04BF23007FBCF7DF09
+:04BF24007FBCF7E8FF
+:04BF25007FBDF7F1F4
+:04BF26007FBEF7FBE8
+:04BF27007FBEF804DD
+:04BF28007FBFF80ED1
+:04BF29007FBFF817C7
+:04BF2A007FC0F820BC
+:04BF2B007FC1F82AB0
+:04BF2C007FC1F833A6
+:04BF2D007FC2F83D9A
+:04BF2E007FC2F84690
+:04BF2F007FC3F85084
+:04BF30007FC3F8597A
+:04BF31007FC4F8626F
+:04BF32007FC5F86C63
+:04BF33007FC5F87559
+:04BF34007FC6F87F4D
+:04BF35007FC6F88843
+:04BF36007FC7F89138
+:04BF37007FC7F89B2D
+:04BF38007FC8F8A422
+:04BF39007FC8F8AE17
+:04BF3A007FC9F8B70C
+:04BF3B007FC9F8C002
+:04BF3C007FCAF8CAF6
+:04BF3D007FCAF8D3EC
+:04BF3E007FCBF8DDE0
+:04BF3F007FCCF8E6D5
+:04BF40007FCCF8EFCB
+:04BF41007FCDF8F9BF
+:04BF42007FCDF902B4
+:04BF43007FCEF90CA8
+:04BF44007FCEF9159E
+:04BF45007FCFF91F92
+:04BF46007FCFF92888
+:04BF47007FD0F9317D
+:04BF48007FD0F93B72
+:04BF49007FD1F94467
+:04BF4A007FD1F94E5C
+:04BF4B007FD2F95751
+:04BF4C007FD2F96047
+:04BF4D007FD3F96A3B
+:04BF4E007FD3F97331
+:04BF4F007FD4F97D25
+:04BF50007FD4F9861B
+:04BF51007FD5F98F10
+:04BF52007FD5F99905
+:04BF53007FD5F9A2FB
+:04BF54007FD6F9ACEF
+:04BF55007FD6F9B5E5
+:04BF56007FD7F9BFD9
+:04BF57007FD7F9C8CF
+:04BF58007FD8F9D1C4
+:04BF59007FD8F9DBB9
+:04BF5A007FD9F9E4AE
+:04BF5B007FD9F9EEA3
+:04BF5C007FDAF9F798
+:04BF5D007FDAFA008D
+:04BF5E007FDAFA0A82
+:04BF5F007FDBFA1377
+:04BF60007FDBFA1D6C
+:04BF61007FDCFA2661
+:04BF62007FDCFA2F57
+:04BF63007FDDFA394B
+:04BF64007FDDFA4241
+:04BF65007FDDFA4C36
+:04BF66007FDEFA552B
+:04BF67007FDEFA5F20
+:04BF68007FDFFA6815
+:04BF69007FDFFA710B
+:04BF6A007FE0FA7BFF
+:04BF6B007FE0FA84F5
+:04BF6C007FE0FA8EEA
+:04BF6D007FE1FA97DF
+:04BF6E007FE1FAA0D5
+:04BF6F007FE2FAAAC9
+:04BF70007FE2FAB3BF
+:04BF71007FE2FABDB4
+:04BF72007FE3FAC6A9
+:04BF73007FE3FAD09E
+:04BF74007FE3FAD994
+:04BF75007FE4FAE289
+:04BF76007FE4FAEC7E
+:04BF77007FE5FAF573
+:04BF78007FE5FAFF68
+:04BF79007FE5FB085D
+:04BF7A007FE6FB1152
+:04BF7B007FE6FB1B47
+:04BF7C007FE6FB243D
+:04BF7D007FE7FB2E31
+:04BF7E007FE7FB3727
+:04BF7F007FE7FB411C
+:04BF80007FE8FB4A11
+:04BF81007FE8FB5307
+:04BF82007FE8FB5DFC
+:04BF83007FE9FB66F1
+:04BF84007FE9FB70E6
+:04BF85007FE9FB79DC
+:04BF86007FEAFB82D1
+:04BF87007FEAFB8CC6
+:04BF88007FEAFB95BC
+:04BF89007FEBFB9FB0
+:04BF8A007FEBFBA8A6
+:04BF8B007FEBFBB29B
+:04BF8C007FECFBBB90
+:04BF8D007FECFBC486
+:04BF8E007FECFBCE7B
+:04BF8F007FEDFBD770
+:04BF90007FEDFBE165
+:04BF91007FEDFBEA5B
+:04BF92007FEEFBF44F
+:04BF93007FEEFBFD45
+:04BF94007FEEFC063A
+:04BF95007FEEFC102F
+:04BF96007FEFFC1924
+:04BF97007FEFFC2319
+:04BF98007FEFFC2C0F
+:04BF99007FF0FC3504
+:04BF9A007FF0FC3FF9
+:04BF9B007FF0FC48EF
+:04BF9C007FF0FC52E4
+:04BF9D007FF1FC5BD9
+:04BF9E007FF1FC65CE
+:04BF9F007FF1FC6EC4
+:04BFA0007FF2FC77B9
+:04BFA1007FF2FC81AE
+:04BFA2007FF2FC8AA4
+:04BFA3007FF2FC9499
+:04BFA4007FF3FC9D8E
+:04BFA5007FF3FCA684
+:04BFA6007FF3FCB079
+:04BFA7007FF3FCB96F
+:04BFA8007FF4FCC363
+:04BFA9007FF4FCCC59
+:04BFAA007FF4FCD64E
+:04BFAB007FF4FCDF44
+:04BFAC007FF4FCE83A
+:04BFAD007FF5FCF22E
+:04BFAE007FF5FCFB24
+:04BFAF007FF5FD0518
+:04BFB0007FF5FD0E0E
+:04BFB1007FF6FD1802
+:04BFB2007FF6FD21F8
+:04BFB3007FF6FD2AEE
+:04BFB4007FF6FD34E3
+:04BFB5007FF6FD3DD9
+:04BFB6007FF7FD47CD
+:04BFB7007FF7FD50C3
+:04BFB8007FF7FD5AB8
+:04BFB9007FF7FD63AE
+:04BFBA007FF7FD6CA4
+:04BFBB007FF8FD7698
+:04BFBC007FF8FD7F8E
+:04BFBD007FF8FD8983
+:04BFBE007FF8FD9279
+:04BFBF007FF8FD9B6F
+:04BFC0007FF8FDA564
+:04BFC1007FF9FDAE59
+:04BFC2007FF9FDB84E
+:04BFC3007FF9FDC144
+:04BFC4007FF9FDCB39
+:04BFC5007FF9FDD42F
+:04BFC6007FF9FDDD25
+:04BFC7007FFAFDE719
+:04BFC8007FFAFDF00F
+:04BFC9007FFAFDFA04
+:04BFCA007FFAFE03F9
+:04BFCB007FFAFE0DEE
+:04BFCC007FFAFE16E4
+:04BFCD007FFAFE1FDA
+:04BFCE007FFBFE29CE
+:04BFCF007FFBFE32C4
+:04BFD0007FFBFE3CB9
+:04BFD1007FFBFE45AF
+:04BFD2007FFBFE4EA5
+:04BFD3007FFBFE589A
+:04BFD4007FFBFE6190
+:04BFD5007FFBFE6B85
+:04BFD6007FFCFE747A
+:04BFD7007FFCFE7E6F
+:04BFD8007FFCFE8765
+:04BFD9007FFCFE905B
+:04BFDA007FFCFE9A50
+:04BFDB007FFCFEA346
+:04BFDC007FFCFEAD3B
+:04BFDD007FFCFEB631
+:04BFDE007FFCFEC026
+:04BFDF007FFDFEC91B
+:04BFE0007FFDFED211
+:04BFE1007FFDFEDC06
+:04BFE2007FFDFEE5FC
+:04BFE3007FFDFEEFF1
+:04BFE4007FFDFEF8E7
+:04BFE5007FFDFF02DB
+:04BFE6007FFDFF0BD1
+:04BFE7007FFDFF14C7
+:04BFE8007FFDFF1EBC
+:04BFE9007FFDFF27B2
+:04BFEA007FFDFF31A7
+:04BFEB007FFDFF3A9D
+:04BFEC007FFDFF4492
+:04BFED007FFEFF4D87
+:04BFEE007FFEFF567D
+:04BFEF007FFEFF6072
+:04BFF0007FFEFF6968
+:04BFF1007FFEFF735D
+:04BFF2007FFEFF7C53
+:04BFF3007FFEFF8549
+:04BFF4007FFEFF8F3E
+:04BFF5007FFEFF9834
+:04BFF6007FFEFFA229
+:04BFF7007FFEFFAB1F
+:04BFF8007FFEFFB514
+:04BFF9007FFEFFBE0A
+:04BFFA007FFEFFC700
+:04BFFB007FFEFFD1F5
+:04BFFC007FFEFFDAEB
+:04BFFD007FFEFFE4E0
+:04BFFE007FFEFFEDD6
+:04BFFF007FFEFFF7CB
+:00000001FF
diff --git a/applications/lofar1/pft2/src/data/twiddle_16_7.txt b/applications/lofar1/pft2/src/data/twiddle_16_7.txt
new file mode 100644
index 0000000000000000000000000000000000000000..24e85026ea2bbda60bec0bd0614bfe3d7a230307
--- /dev/null
+++ b/applications/lofar1/pft2/src/data/twiddle_16_7.txt
@@ -0,0 +1,49152 @@
+ 32767	     0
+ 32767	    -6
+ 32767	   -13
+ 32767	   -19
+ 32767	   -25
+ 32767	   -31
+ 32767	   -38
+ 32767	   -44
+ 32767	   -50
+ 32767	   -57
+ 32767	   -63
+ 32767	   -69
+ 32767	   -75
+ 32767	   -82
+ 32767	   -88
+ 32767	   -94
+ 32767	  -101
+ 32767	  -107
+ 32767	  -113
+ 32767	  -119
+ 32767	  -126
+ 32767	  -132
+ 32767	  -138
+ 32767	  -145
+ 32767	  -151
+ 32767	  -157
+ 32767	  -163
+ 32767	  -170
+ 32767	  -176
+ 32766	  -182
+ 32766	  -188
+ 32766	  -195
+ 32766	  -201
+ 32766	  -207
+ 32766	  -214
+ 32766	  -220
+ 32766	  -226
+ 32766	  -232
+ 32766	  -239
+ 32766	  -245
+ 32766	  -251
+ 32766	  -258
+ 32766	  -264
+ 32766	  -270
+ 32766	  -276
+ 32766	  -283
+ 32766	  -289
+ 32766	  -295
+ 32766	  -302
+ 32766	  -308
+ 32765	  -314
+ 32765	  -320
+ 32765	  -327
+ 32765	  -333
+ 32765	  -339
+ 32765	  -346
+ 32765	  -352
+ 32765	  -358
+ 32765	  -364
+ 32765	  -371
+ 32765	  -377
+ 32765	  -383
+ 32765	  -390
+ 32765	  -396
+ 32765	  -402
+ 32764	  -408
+ 32764	  -415
+ 32764	  -421
+ 32764	  -427
+ 32764	  -434
+ 32764	  -440
+ 32764	  -446
+ 32764	  -452
+ 32764	  -459
+ 32764	  -465
+ 32764	  -471
+ 32764	  -477
+ 32763	  -484
+ 32763	  -490
+ 32763	  -496
+ 32763	  -503
+ 32763	  -509
+ 32763	  -515
+ 32763	  -521
+ 32763	  -528
+ 32763	  -534
+ 32763	  -540
+ 32762	  -547
+ 32762	  -553
+ 32762	  -559
+ 32762	  -565
+ 32762	  -572
+ 32762	  -578
+ 32762	  -584
+ 32762	  -591
+ 32762	  -597
+ 32761	  -603
+ 32761	  -609
+ 32761	  -616
+ 32761	  -622
+ 32761	  -628
+ 32761	  -635
+ 32761	  -641
+ 32761	  -647
+ 32760	  -653
+ 32760	  -660
+ 32760	  -666
+ 32760	  -672
+ 32760	  -678
+ 32760	  -685
+ 32760	  -691
+ 32760	  -697
+ 32759	  -704
+ 32759	  -710
+ 32759	  -716
+ 32759	  -722
+ 32759	  -729
+ 32759	  -735
+ 32759	  -741
+ 32758	  -748
+ 32758	  -754
+ 32758	  -760
+ 32758	  -766
+ 32758	  -773
+ 32758	  -779
+ 32758	  -785
+ 32757	  -792
+ 32757	  -798
+ 32757	  -804
+ 32757	  -810
+ 32757	  -817
+ 32757	  -823
+ 32757	  -829
+ 32756	  -836
+ 32756	  -842
+ 32756	  -848
+ 32756	  -854
+ 32756	  -861
+ 32756	  -867
+ 32755	  -873
+ 32755	  -879
+ 32755	  -886
+ 32755	  -892
+ 32755	  -898
+ 32755	  -905
+ 32754	  -911
+ 32754	  -917
+ 32754	  -923
+ 32754	  -930
+ 32754	  -936
+ 32753	  -942
+ 32753	  -949
+ 32753	  -955
+ 32753	  -961
+ 32753	  -967
+ 32753	  -974
+ 32752	  -980
+ 32752	  -986
+ 32752	  -993
+ 32752	  -999
+ 32752	 -1005
+ 32751	 -1011
+ 32751	 -1018
+ 32751	 -1024
+ 32751	 -1030
+ 32751	 -1036
+ 32750	 -1043
+ 32750	 -1049
+ 32750	 -1055
+ 32750	 -1062
+ 32750	 -1068
+ 32749	 -1074
+ 32749	 -1080
+ 32749	 -1087
+ 32749	 -1093
+ 32749	 -1099
+ 32748	 -1106
+ 32748	 -1112
+ 32748	 -1118
+ 32748	 -1124
+ 32747	 -1131
+ 32747	 -1137
+ 32747	 -1143
+ 32747	 -1150
+ 32747	 -1156
+ 32746	 -1162
+ 32746	 -1168
+ 32746	 -1175
+ 32746	 -1181
+ 32745	 -1187
+ 32745	 -1193
+ 32745	 -1200
+ 32745	 -1206
+ 32745	 -1212
+ 32744	 -1219
+ 32744	 -1225
+ 32744	 -1231
+ 32744	 -1237
+ 32743	 -1244
+ 32743	 -1250
+ 32743	 -1256
+ 32743	 -1263
+ 32742	 -1269
+ 32742	 -1275
+ 32742	 -1281
+ 32742	 -1288
+ 32741	 -1294
+ 32741	 -1300
+ 32741	 -1306
+ 32741	 -1313
+ 32740	 -1319
+ 32740	 -1325
+ 32740	 -1332
+ 32740	 -1338
+ 32739	 -1344
+ 32739	 -1350
+ 32739	 -1357
+ 32739	 -1363
+ 32738	 -1369
+ 32738	 -1376
+ 32738	 -1382
+ 32738	 -1388
+ 32737	 -1394
+ 32737	 -1401
+ 32737	 -1407
+ 32737	 -1413
+ 32736	 -1419
+ 32736	 -1426
+ 32736	 -1432
+ 32735	 -1438
+ 32735	 -1445
+ 32735	 -1451
+ 32735	 -1457
+ 32734	 -1463
+ 32734	 -1470
+ 32734	 -1476
+ 32733	 -1482
+ 32733	 -1489
+ 32733	 -1495
+ 32733	 -1501
+ 32732	 -1507
+ 32732	 -1514
+ 32732	 -1520
+ 32731	 -1526
+ 32731	 -1532
+ 32731	 -1539
+ 32731	 -1545
+ 32730	 -1551
+ 32730	 -1558
+ 32730	 -1564
+ 32729	 -1570
+ 32729	 -1576
+ 32729	 -1583
+ 32728	 -1589
+ 32728	 -1595
+ 32728	 -1601
+ 32728	 -1608
+ 32727	 -1614
+ 32727	 -1620
+ 32727	 -1627
+ 32726	 -1633
+ 32726	 -1639
+ 32726	 -1645
+ 32725	 -1652
+ 32725	 -1658
+ 32725	 -1664
+ 32724	 -1671
+ 32724	 -1677
+ 32724	 -1683
+ 32723	 -1689
+ 32723	 -1696
+ 32723	 -1702
+ 32722	 -1708
+ 32722	 -1714
+ 32722	 -1721
+ 32721	 -1727
+ 32721	 -1733
+ 32721	 -1740
+ 32720	 -1746
+ 32720	 -1752
+ 32720	 -1758
+ 32719	 -1765
+ 32719	 -1771
+ 32719	 -1777
+ 32718	 -1783
+ 32718	 -1790
+ 32718	 -1796
+ 32717	 -1802
+ 32717	 -1809
+ 32717	 -1815
+ 32716	 -1821
+ 32716	 -1827
+ 32716	 -1834
+ 32715	 -1840
+ 32715	 -1846
+ 32715	 -1852
+ 32714	 -1859
+ 32714	 -1865
+ 32714	 -1871
+ 32713	 -1878
+ 32713	 -1884
+ 32712	 -1890
+ 32712	 -1896
+ 32712	 -1903
+ 32711	 -1909
+ 32711	 -1915
+ 32711	 -1921
+ 32710	 -1928
+ 32710	 -1934
+ 32710	 -1940
+ 32709	 -1947
+ 32709	 -1953
+ 32708	 -1959
+ 32708	 -1965
+ 32708	 -1972
+ 32707	 -1978
+ 32707	 -1984
+ 32706	 -1990
+ 32706	 -1997
+ 32706	 -2003
+ 32705	 -2009
+ 32705	 -2016
+ 32705	 -2022
+ 32704	 -2028
+ 32704	 -2034
+ 32703	 -2041
+ 32703	 -2047
+ 32703	 -2053
+ 32702	 -2059
+ 32702	 -2066
+ 32701	 -2072
+ 32701	 -2078
+ 32701	 -2084
+ 32700	 -2091
+ 32700	 -2097
+ 32699	 -2103
+ 32699	 -2110
+ 32699	 -2116
+ 32698	 -2122
+ 32698	 -2128
+ 32697	 -2135
+ 32697	 -2141
+ 32697	 -2147
+ 32696	 -2153
+ 32696	 -2160
+ 32695	 -2166
+ 32695	 -2172
+ 32694	 -2179
+ 32694	 -2185
+ 32694	 -2191
+ 32693	 -2197
+ 32693	 -2204
+ 32692	 -2210
+ 32692	 -2216
+ 32692	 -2222
+ 32691	 -2229
+ 32691	 -2235
+ 32690	 -2241
+ 32690	 -2247
+ 32689	 -2254
+ 32689	 -2260
+ 32689	 -2266
+ 32688	 -2273
+ 32688	 -2279
+ 32687	 -2285
+ 32687	 -2291
+ 32686	 -2298
+ 32686	 -2304
+ 32685	 -2310
+ 32685	 -2316
+ 32685	 -2323
+ 32684	 -2329
+ 32684	 -2335
+ 32683	 -2341
+ 32683	 -2348
+ 32682	 -2354
+ 32682	 -2360
+ 32681	 -2367
+ 32681	 -2373
+ 32681	 -2379
+ 32680	 -2385
+ 32680	 -2392
+ 32679	 -2398
+ 32679	 -2404
+ 32678	 -2410
+ 32678	 -2417
+ 32677	 -2423
+ 32677	 -2429
+ 32676	 -2435
+ 32676	 -2442
+ 32675	 -2448
+ 32675	 -2454
+ 32674	 -2461
+ 32674	 -2467
+ 32674	 -2473
+ 32673	 -2479
+ 32673	 -2486
+ 32672	 -2492
+ 32672	 -2498
+ 32671	 -2504
+ 32671	 -2511
+ 32670	 -2517
+ 32670	 -2523
+ 32669	 -2529
+ 32669	 -2536
+ 32668	 -2542
+ 32668	 -2548
+ 32667	 -2555
+ 32667	 -2561
+ 32666	 -2567
+ 32666	 -2573
+ 32665	 -2580
+ 32665	 -2586
+ 32664	 -2592
+ 32664	 -2598
+ 32663	 -2605
+ 32663	 -2611
+ 32662	 -2617
+ 32662	 -2623
+ 32661	 -2630
+ 32661	 -2636
+ 32660	 -2642
+ 32660	 -2648
+ 32659	 -2655
+ 32659	 -2661
+ 32658	 -2667
+ 32658	 -2673
+ 32657	 -2680
+ 32657	 -2686
+ 32656	 -2692
+ 32656	 -2699
+ 32655	 -2705
+ 32655	 -2711
+ 32654	 -2717
+ 32654	 -2724
+ 32653	 -2730
+ 32653	 -2736
+ 32652	 -2742
+ 32652	 -2749
+ 32651	 -2755
+ 32650	 -2761
+ 32650	 -2767
+ 32649	 -2774
+ 32649	 -2780
+ 32648	 -2786
+ 32648	 -2792
+ 32647	 -2799
+ 32647	 -2805
+ 32646	 -2811
+ 32646	 -2817
+ 32645	 -2824
+ 32645	 -2830
+ 32644	 -2836
+ 32643	 -2843
+ 32643	 -2849
+ 32642	 -2855
+ 32642	 -2861
+ 32641	 -2868
+ 32641	 -2874
+ 32640	 -2880
+ 32640	 -2886
+ 32639	 -2893
+ 32639	 -2899
+ 32638	 -2905
+ 32637	 -2911
+ 32637	 -2918
+ 32636	 -2924
+ 32636	 -2930
+ 32635	 -2936
+ 32635	 -2943
+ 32634	 -2949
+ 32633	 -2955
+ 32633	 -2961
+ 32632	 -2968
+ 32632	 -2974
+ 32631	 -2980
+ 32631	 -2986
+ 32630	 -2993
+ 32629	 -2999
+ 32629	 -3005
+ 32628	 -3011
+ 32628	 -3018
+ 32627	 -3024
+ 32627	 -3030
+ 32626	 -3037
+ 32625	 -3043
+ 32625	 -3049
+ 32624	 -3055
+ 32624	 -3062
+ 32623	 -3068
+ 32622	 -3074
+ 32622	 -3080
+ 32621	 -3087
+ 32621	 -3093
+ 32620	 -3099
+ 32620	 -3105
+ 32619	 -3112
+ 32618	 -3118
+ 32618	 -3124
+ 32617	 -3130
+ 32617	 -3137
+ 32616	 -3143
+ 32615	 -3149
+ 32615	 -3155
+ 32614	 -3162
+ 32614	 -3168
+ 32613	 -3174
+ 32612	 -3180
+ 32612	 -3187
+ 32611	 -3193
+ 32610	 -3199
+ 32610	 -3205
+ 32609	 -3212
+ 32609	 -3218
+ 32608	 -3224
+ 32607	 -3230
+ 32607	 -3237
+ 32606	 -3243
+ 32606	 -3249
+ 32605	 -3255
+ 32604	 -3262
+ 32604	 -3268
+ 32603	 -3274
+ 32602	 -3280
+ 32602	 -3287
+ 32601	 -3293
+ 32600	 -3299
+ 32600	 -3305
+ 32599	 -3312
+ 32599	 -3318
+ 32598	 -3324
+ 32597	 -3330
+ 32597	 -3337
+ 32596	 -3343
+ 32595	 -3349
+ 32595	 -3355
+ 32594	 -3362
+ 32593	 -3368
+ 32593	 -3374
+ 32592	 -3380
+ 32592	 -3387
+ 32591	 -3393
+ 32590	 -3399
+ 32590	 -3405
+ 32589	 -3412
+ 32588	 -3418
+ 32588	 -3424
+ 32587	 -3430
+ 32586	 -3437
+ 32586	 -3443
+ 32585	 -3449
+ 32584	 -3455
+ 32584	 -3462
+ 32583	 -3468
+ 32582	 -3474
+ 32582	 -3480
+ 32581	 -3487
+ 32580	 -3493
+ 32580	 -3499
+ 32579	 -3505
+ 32578	 -3512
+ 32578	 -3518
+ 32577	 -3524
+ 32576	 -3530
+ 32576	 -3537
+ 32575	 -3543
+ 32574	 -3549
+ 32574	 -3555
+ 32573	 -3562
+ 32572	 -3568
+ 32571	 -3574
+ 32571	 -3580
+ 32570	 -3587
+ 32569	 -3593
+ 32569	 -3599
+ 32568	 -3605
+ 32567	 -3612
+ 32567	 -3618
+ 32566	 -3624
+ 32565	 -3630
+ 32565	 -3637
+ 32564	 -3643
+ 32563	 -3649
+ 32562	 -3655
+ 32562	 -3661
+ 32561	 -3668
+ 32560	 -3674
+ 32560	 -3680
+ 32559	 -3686
+ 32558	 -3693
+ 32558	 -3699
+ 32557	 -3705
+ 32556	 -3711
+ 32555	 -3718
+ 32555	 -3724
+ 32554	 -3730
+ 32553	 -3736
+ 32553	 -3743
+ 32552	 -3749
+ 32551	 -3755
+ 32550	 -3761
+ 32550	 -3768
+ 32549	 -3774
+ 32548	 -3780
+ 32547	 -3786
+ 32547	 -3793
+ 32546	 -3799
+ 32545	 -3805
+ 32545	 -3811
+ 32544	 -3818
+ 32543	 -3824
+ 32542	 -3830
+ 32542	 -3836
+ 32541	 -3842
+ 32540	 -3849
+ 32539	 -3855
+ 32539	 -3861
+ 32538	 -3867
+ 32537	 -3874
+ 32536	 -3880
+ 32536	 -3886
+ 32535	 -3892
+ 32534	 -3899
+ 32533	 -3905
+ 32533	 -3911
+ 32532	 -3917
+ 32531	 -3924
+ 32530	 -3930
+ 32530	 -3936
+ 32529	 -3942
+ 32528	 -3949
+ 32527	 -3955
+ 32527	 -3961
+ 32526	 -3967
+ 32525	 -3973
+ 32524	 -3980
+ 32524	 -3986
+ 32523	 -3992
+ 32522	 -3998
+ 32521	 -4005
+ 32521	 -4011
+ 32520	 -4017
+ 32519	 -4023
+ 32518	 -4030
+ 32517	 -4036
+ 32517	 -4042
+ 32516	 -4048
+ 32515	 -4055
+ 32514	 -4061
+ 32514	 -4067
+ 32513	 -4073
+ 32512	 -4079
+ 32511	 -4086
+ 32510	 -4092
+ 32510	 -4098
+ 32509	 -4104
+ 32508	 -4111
+ 32507	 -4117
+ 32507	 -4123
+ 32506	 -4129
+ 32505	 -4136
+ 32504	 -4142
+ 32503	 -4148
+ 32503	 -4154
+ 32502	 -4161
+ 32501	 -4167
+ 32500	 -4173
+ 32499	 -4179
+ 32499	 -4185
+ 32498	 -4192
+ 32497	 -4198
+ 32496	 -4204
+ 32495	 -4210
+ 32495	 -4217
+ 32494	 -4223
+ 32493	 -4229
+ 32492	 -4235
+ 32491	 -4242
+ 32490	 -4248
+ 32490	 -4254
+ 32489	 -4260
+ 32488	 -4266
+ 32487	 -4273
+ 32486	 -4279
+ 32486	 -4285
+ 32485	 -4291
+ 32484	 -4298
+ 32483	 -4304
+ 32482	 -4310
+ 32481	 -4316
+ 32481	 -4322
+ 32480	 -4329
+ 32479	 -4335
+ 32478	 -4341
+ 32477	 -4347
+ 32476	 -4354
+ 32476	 -4360
+ 32475	 -4366
+ 32474	 -4372
+ 32473	 -4379
+ 32472	 -4385
+ 32471	 -4391
+ 32471	 -4397
+ 32470	 -4403
+ 32469	 -4410
+ 32468	 -4416
+ 32467	 -4422
+ 32466	 -4428
+ 32466	 -4435
+ 32465	 -4441
+ 32464	 -4447
+ 32463	 -4453
+ 32462	 -4459
+ 32461	 -4466
+ 32460	 -4472
+ 32460	 -4478
+ 32459	 -4484
+ 32458	 -4491
+ 32457	 -4497
+ 32456	 -4503
+ 32455	 -4509
+ 32454	 -4515
+ 32454	 -4522
+ 32453	 -4528
+ 32452	 -4534
+ 32451	 -4540
+ 32450	 -4547
+ 32449	 -4553
+ 32448	 -4559
+ 32447	 -4565
+ 32447	 -4571
+ 32446	 -4578
+ 32445	 -4584
+ 32444	 -4590
+ 32443	 -4596
+ 32442	 -4603
+ 32441	 -4609
+ 32440	 -4615
+ 32439	 -4621
+ 32439	 -4627
+ 32438	 -4634
+ 32437	 -4640
+ 32436	 -4646
+ 32435	 -4652
+ 32434	 -4659
+ 32433	 -4665
+ 32432	 -4671
+ 32431	 -4677
+ 32431	 -4683
+ 32430	 -4690
+ 32429	 -4696
+ 32428	 -4702
+ 32427	 -4708
+ 32426	 -4715
+ 32425	 -4721
+ 32424	 -4727
+ 32423	 -4733
+ 32422	 -4739
+ 32422	 -4746
+ 32421	 -4752
+ 32420	 -4758
+ 32419	 -4764
+ 32418	 -4770
+ 32417	 -4777
+ 32416	 -4783
+ 32415	 -4789
+ 32414	 -4795
+ 32413	 -4802
+ 32412	 -4808
+ 32411	 -4814
+ 32411	 -4820
+ 32410	 -4826
+ 32409	 -4833
+ 32408	 -4839
+ 32407	 -4845
+ 32406	 -4851
+ 32405	 -4857
+ 32404	 -4864
+ 32403	 -4870
+ 32402	 -4876
+ 32401	 -4882
+ 32400	 -4889
+ 32399	 -4895
+ 32398	 -4901
+ 32397	 -4907
+ 32397	 -4913
+ 32396	 -4920
+ 32395	 -4926
+ 32394	 -4932
+ 32393	 -4938
+ 32392	 -4944
+ 32391	 -4951
+ 32390	 -4957
+ 32389	 -4963
+ 32388	 -4969
+ 32387	 -4976
+ 32386	 -4982
+ 32385	 -4988
+ 32384	 -4994
+ 32383	 -5000
+ 32382	 -5007
+ 32381	 -5013
+ 32380	 -5019
+ 32379	 -5025
+ 32378	 -5031
+ 32377	 -5038
+ 32376	 -5044
+ 32375	 -5050
+ 32375	 -5056
+ 32374	 -5062
+ 32373	 -5069
+ 32372	 -5075
+ 32371	 -5081
+ 32370	 -5087
+ 32369	 -5093
+ 32368	 -5100
+ 32367	 -5106
+ 32366	 -5112
+ 32365	 -5118
+ 32364	 -5124
+ 32363	 -5131
+ 32362	 -5137
+ 32361	 -5143
+ 32360	 -5149
+ 32359	 -5156
+ 32358	 -5162
+ 32357	 -5168
+ 32356	 -5174
+ 32355	 -5180
+ 32354	 -5187
+ 32353	 -5193
+ 32352	 -5199
+ 32351	 -5205
+ 32350	 -5211
+ 32349	 -5218
+ 32348	 -5224
+ 32347	 -5230
+ 32346	 -5236
+ 32345	 -5242
+ 32344	 -5249
+ 32343	 -5255
+ 32342	 -5261
+ 32341	 -5267
+ 32340	 -5273
+ 32339	 -5280
+ 32338	 -5286
+ 32337	 -5292
+ 32336	 -5298
+ 32335	 -5304
+ 32334	 -5311
+ 32333	 -5317
+ 32332	 -5323
+ 32331	 -5329
+ 32330	 -5335
+ 32329	 -5342
+ 32328	 -5348
+ 32327	 -5354
+ 32326	 -5360
+ 32325	 -5366
+ 32324	 -5373
+ 32323	 -5379
+ 32321	 -5385
+ 32320	 -5391
+ 32319	 -5397
+ 32318	 -5404
+ 32317	 -5410
+ 32316	 -5416
+ 32315	 -5422
+ 32314	 -5428
+ 32313	 -5435
+ 32312	 -5441
+ 32311	 -5447
+ 32310	 -5453
+ 32309	 -5459
+ 32308	 -5466
+ 32307	 -5472
+ 32306	 -5478
+ 32305	 -5484
+ 32304	 -5490
+ 32303	 -5496
+ 32302	 -5503
+ 32301	 -5509
+ 32300	 -5515
+ 32298	 -5521
+ 32297	 -5527
+ 32296	 -5534
+ 32295	 -5540
+ 32294	 -5546
+ 32293	 -5552
+ 32292	 -5558
+ 32291	 -5565
+ 32290	 -5571
+ 32289	 -5577
+ 32288	 -5583
+ 32287	 -5589
+ 32286	 -5596
+ 32285	 -5602
+ 32284	 -5608
+ 32282	 -5614
+ 32281	 -5620
+ 32280	 -5626
+ 32279	 -5633
+ 32278	 -5639
+ 32277	 -5645
+ 32276	 -5651
+ 32275	 -5657
+ 32274	 -5664
+ 32273	 -5670
+ 32272	 -5676
+ 32271	 -5682
+ 32269	 -5688
+ 32268	 -5695
+ 32267	 -5701
+ 32266	 -5707
+ 32265	 -5713
+ 32264	 -5719
+ 32263	 -5726
+ 32262	 -5732
+ 32261	 -5738
+ 32260	 -5744
+ 32258	 -5750
+ 32257	 -5756
+ 32256	 -5763
+ 32255	 -5769
+ 32254	 -5775
+ 32253	 -5781
+ 32252	 -5787
+ 32251	 -5794
+ 32250	 -5800
+ 32249	 -5806
+ 32247	 -5812
+ 32246	 -5818
+ 32245	 -5824
+ 32244	 -5831
+ 32243	 -5837
+ 32242	 -5843
+ 32241	 -5849
+ 32240	 -5855
+ 32238	 -5862
+ 32237	 -5868
+ 32236	 -5874
+ 32235	 -5880
+ 32234	 -5886
+ 32233	 -5892
+ 32232	 -5899
+ 32231	 -5905
+ 32229	 -5911
+ 32228	 -5917
+ 32227	 -5923
+ 32226	 -5930
+ 32225	 -5936
+ 32224	 -5942
+ 32223	 -5948
+ 32221	 -5954
+ 32220	 -5960
+ 32219	 -5967
+ 32218	 -5973
+ 32217	 -5979
+ 32216	 -5985
+ 32215	 -5991
+ 32213	 -5997
+ 32212	 -6004
+ 32211	 -6010
+ 32210	 -6016
+ 32209	 -6022
+ 32208	 -6028
+ 32207	 -6035
+ 32205	 -6041
+ 32204	 -6047
+ 32203	 -6053
+ 32202	 -6059
+ 32201	 -6065
+ 32200	 -6072
+ 32198	 -6078
+ 32197	 -6084
+ 32196	 -6090
+ 32195	 -6096
+ 32194	 -6102
+ 32193	 -6109
+ 32191	 -6115
+ 32190	 -6121
+ 32189	 -6127
+ 32188	 -6133
+ 32187	 -6139
+ 32185	 -6146
+ 32184	 -6152
+ 32183	 -6158
+ 32182	 -6164
+ 32181	 -6170
+ 32180	 -6177
+ 32178	 -6183
+ 32177	 -6189
+ 32176	 -6195
+ 32175	 -6201
+ 32174	 -6207
+ 32172	 -6214
+ 32171	 -6220
+ 32170	 -6226
+ 32169	 -6232
+ 32168	 -6238
+ 32166	 -6244
+ 32165	 -6251
+ 32164	 -6257
+ 32163	 -6263
+ 32162	 -6269
+ 32160	 -6275
+ 32159	 -6281
+ 32158	 -6288
+ 32157	 -6294
+ 32156	 -6300
+ 32154	 -6306
+ 32153	 -6312
+ 32152	 -6318
+ 32151	 -6325
+ 32150	 -6331
+ 32148	 -6337
+ 32147	 -6343
+ 32146	 -6349
+ 32145	 -6355
+ 32144	 -6362
+ 32142	 -6368
+ 32141	 -6374
+ 32140	 -6380
+ 32139	 -6386
+ 32137	 -6392
+ 32136	 -6398
+ 32135	 -6405
+ 32134	 -6411
+ 32132	 -6417
+ 32131	 -6423
+ 32130	 -6429
+ 32129	 -6435
+ 32128	 -6442
+ 32126	 -6448
+ 32125	 -6454
+ 32124	 -6460
+ 32123	 -6466
+ 32121	 -6472
+ 32120	 -6479
+ 32119	 -6485
+ 32118	 -6491
+ 32116	 -6497
+ 32115	 -6503
+ 32114	 -6509
+ 32113	 -6516
+ 32111	 -6522
+ 32110	 -6528
+ 32109	 -6534
+ 32108	 -6540
+ 32106	 -6546
+ 32105	 -6552
+ 32104	 -6559
+ 32103	 -6565
+ 32101	 -6571
+ 32100	 -6577
+ 32099	 -6583
+ 32098	 -6589
+ 32096	 -6596
+ 32095	 -6602
+ 32094	 -6608
+ 32093	 -6614
+ 32091	 -6620
+ 32090	 -6626
+ 32089	 -6632
+ 32087	 -6639
+ 32086	 -6645
+ 32085	 -6651
+ 32084	 -6657
+ 32082	 -6663
+ 32081	 -6669
+ 32080	 -6676
+ 32078	 -6682
+ 32077	 -6688
+ 32076	 -6694
+ 32075	 -6700
+ 32073	 -6706
+ 32072	 -6712
+ 32071	 -6719
+ 32070	 -6725
+ 32068	 -6731
+ 32067	 -6737
+ 32066	 -6743
+ 32064	 -6749
+ 32063	 -6755
+ 32062	 -6762
+ 32060	 -6768
+ 32059	 -6774
+ 32058	 -6780
+ 32057	 -6786
+ 32055	 -6792
+ 32054	 -6799
+ 32053	 -6805
+ 32051	 -6811
+ 32050	 -6817
+ 32049	 -6823
+ 32047	 -6829
+ 32046	 -6835
+ 32045	 -6842
+ 32043	 -6848
+ 32042	 -6854
+ 32041	 -6860
+ 32040	 -6866
+ 32038	 -6872
+ 32037	 -6878
+ 32036	 -6885
+ 32034	 -6891
+ 32033	 -6897
+ 32032	 -6903
+ 32030	 -6909
+ 32029	 -6915
+ 32028	 -6921
+ 32026	 -6928
+ 32025	 -6934
+ 32024	 -6940
+ 32022	 -6946
+ 32021	 -6952
+ 32020	 -6958
+ 32018	 -6964
+ 32017	 -6970
+ 32016	 -6977
+ 32014	 -6983
+ 32013	 -6989
+ 32012	 -6995
+ 32010	 -7001
+ 32009	 -7007
+ 32008	 -7013
+ 32006	 -7020
+ 32005	 -7026
+ 32004	 -7032
+ 32002	 -7038
+ 32001	 -7044
+ 32000	 -7050
+ 31998	 -7056
+ 31997	 -7063
+ 31995	 -7069
+ 31994	 -7075
+ 31993	 -7081
+ 31991	 -7087
+ 31990	 -7093
+ 31989	 -7099
+ 31987	 -7105
+ 31986	 -7112
+ 31985	 -7118
+ 31983	 -7124
+ 31982	 -7130
+ 31980	 -7136
+ 31979	 -7142
+ 31978	 -7148
+ 31976	 -7155
+ 31975	 -7161
+ 31974	 -7167
+ 31972	 -7173
+ 31971	 -7179
+ 31969	 -7185
+ 31968	 -7191
+ 31967	 -7197
+ 31965	 -7204
+ 31964	 -7210
+ 31963	 -7216
+ 31961	 -7222
+ 31960	 -7228
+ 31958	 -7234
+ 31957	 -7240
+ 31956	 -7246
+ 31954	 -7253
+ 31953	 -7259
+ 31951	 -7265
+ 31950	 -7271
+ 31949	 -7277
+ 31947	 -7283
+ 31946	 -7289
+ 31944	 -7295
+ 31943	 -7302
+ 31942	 -7308
+ 31940	 -7314
+ 31939	 -7320
+ 31937	 -7326
+ 31936	 -7332
+ 31935	 -7338
+ 31933	 -7344
+ 31932	 -7351
+ 31930	 -7357
+ 31929	 -7363
+ 31928	 -7369
+ 31926	 -7375
+ 31925	 -7381
+ 31923	 -7387
+ 31922	 -7393
+ 31921	 -7400
+ 31919	 -7406
+ 31918	 -7412
+ 31916	 -7418
+ 31915	 -7424
+ 31913	 -7430
+ 31912	 -7436
+ 31911	 -7442
+ 31909	 -7449
+ 31908	 -7455
+ 31906	 -7461
+ 31905	 -7467
+ 31903	 -7473
+ 31902	 -7479
+ 31901	 -7485
+ 31899	 -7491
+ 31898	 -7497
+ 31896	 -7504
+ 31895	 -7510
+ 31893	 -7516
+ 31892	 -7522
+ 31890	 -7528
+ 31889	 -7534
+ 31888	 -7540
+ 31886	 -7546
+ 31885	 -7553
+ 31883	 -7559
+ 31882	 -7565
+ 31880	 -7571
+ 31879	 -7577
+ 31877	 -7583
+ 31876	 -7589
+ 31875	 -7595
+ 31873	 -7601
+ 31872	 -7608
+ 31870	 -7614
+ 31869	 -7620
+ 31867	 -7626
+ 31866	 -7632
+ 31864	 -7638
+ 31863	 -7644
+ 31861	 -7650
+ 31860	 -7656
+ 31858	 -7663
+ 31857	 -7669
+ 31856	 -7675
+ 31854	 -7681
+ 31853	 -7687
+ 31851	 -7693
+ 31850	 -7699
+ 31848	 -7705
+ 31847	 -7711
+ 31845	 -7717
+ 31844	 -7724
+ 31842	 -7730
+ 31841	 -7736
+ 31839	 -7742
+ 31838	 -7748
+ 31836	 -7754
+ 31835	 -7760
+ 31833	 -7766
+ 31832	 -7772
+ 31830	 -7779
+ 31829	 -7785
+ 31827	 -7791
+ 31826	 -7797
+ 31824	 -7803
+ 31823	 -7809
+ 31821	 -7815
+ 31820	 -7821
+ 31818	 -7827
+ 31817	 -7833
+ 31815	 -7840
+ 31814	 -7846
+ 31812	 -7852
+ 31811	 -7858
+ 31809	 -7864
+ 31808	 -7870
+ 31806	 -7876
+ 31805	 -7882
+ 31803	 -7888
+ 31802	 -7894
+ 31800	 -7901
+ 31799	 -7907
+ 31797	 -7913
+ 31796	 -7919
+ 31794	 -7925
+ 31793	 -7931
+ 31791	 -7937
+ 31790	 -7943
+ 31788	 -7949
+ 31787	 -7955
+ 31785	 -7961
+ 31784	 -7968
+ 31782	 -7974
+ 31780	 -7980
+ 31779	 -7986
+ 31777	 -7992
+ 31776	 -7998
+ 31774	 -8004
+ 31773	 -8010
+ 31771	 -8016
+ 31770	 -8022
+ 31768	 -8029
+ 31767	 -8035
+ 31765	 -8041
+ 31764	 -8047
+ 31762	 -8053
+ 31760	 -8059
+ 31759	 -8065
+ 31757	 -8071
+ 31756	 -8077
+ 31754	 -8083
+ 31753	 -8089
+ 31751	 -8095
+ 31750	 -8102
+ 31748	 -8108
+ 31747	 -8114
+ 31745	 -8120
+ 31743	 -8126
+ 31742	 -8132
+ 31740	 -8138
+ 31739	 -8144
+ 31737	 -8150
+ 31736	 -8156
+ 31734	 -8162
+ 31732	 -8169
+ 31731	 -8175
+ 31729	 -8181
+ 31728	 -8187
+ 31726	 -8193
+ 31725	 -8199
+ 31723	 -8205
+ 31721	 -8211
+ 31720	 -8217
+ 31718	 -8223
+ 31717	 -8229
+ 31715	 -8235
+ 31714	 -8242
+ 31712	 -8248
+ 31710	 -8254
+ 31709	 -8260
+ 31707	 -8266
+ 31706	 -8272
+ 31704	 -8278
+ 31702	 -8284
+ 31701	 -8290
+ 31699	 -8296
+ 31698	 -8302
+ 31696	 -8308
+ 31695	 -8314
+ 31693	 -8321
+ 31691	 -8327
+ 31690	 -8333
+ 31688	 -8339
+ 31687	 -8345
+ 31685	 -8351
+ 31683	 -8357
+ 31682	 -8363
+ 31680	 -8369
+ 31679	 -8375
+ 31677	 -8381
+ 31675	 -8387
+ 31674	 -8393
+ 31672	 -8400
+ 31670	 -8406
+ 31669	 -8412
+ 31667	 -8418
+ 31666	 -8424
+ 31664	 -8430
+ 31662	 -8436
+ 31661	 -8442
+ 31659	 -8448
+ 31658	 -8454
+ 31656	 -8460
+ 31654	 -8466
+ 31653	 -8472
+ 31651	 -8478
+ 31649	 -8485
+ 31648	 -8491
+ 31646	 -8497
+ 31645	 -8503
+ 31643	 -8509
+ 31641	 -8515
+ 31640	 -8521
+ 31638	 -8527
+ 31636	 -8533
+ 31635	 -8539
+ 31633	 -8545
+ 31631	 -8551
+ 31630	 -8557
+ 31628	 -8563
+ 31627	 -8569
+ 31625	 -8576
+ 31623	 -8582
+ 31622	 -8588
+ 31620	 -8594
+ 31618	 -8600
+ 31617	 -8606
+ 31615	 -8612
+ 31613	 -8618
+ 31612	 -8624
+ 31610	 -8630
+ 31608	 -8636
+ 31607	 -8642
+ 31605	 -8648
+ 31603	 -8654
+ 31602	 -8660
+ 31600	 -8666
+ 31598	 -8672
+ 31597	 -8679
+ 31595	 -8685
+ 31593	 -8691
+ 31592	 -8697
+ 31590	 -8703
+ 31588	 -8709
+ 31587	 -8715
+ 31585	 -8721
+ 31583	 -8727
+ 31582	 -8733
+ 31580	 -8739
+ 31578	 -8745
+ 31577	 -8751
+ 31575	 -8757
+ 31573	 -8763
+ 31572	 -8769
+ 31570	 -8775
+ 31568	 -8781
+ 31567	 -8788
+ 31565	 -8794
+ 31563	 -8800
+ 31562	 -8806
+ 31560	 -8812
+ 31558	 -8818
+ 31557	 -8824
+ 31555	 -8830
+ 31553	 -8836
+ 31551	 -8842
+ 31550	 -8848
+ 31548	 -8854
+ 31546	 -8860
+ 31545	 -8866
+ 31543	 -8872
+ 31541	 -8878
+ 31540	 -8884
+ 31538	 -8890
+ 31536	 -8896
+ 31534	 -8902
+ 31533	 -8909
+ 31531	 -8915
+ 31529	 -8921
+ 31528	 -8927
+ 31526	 -8933
+ 31524	 -8939
+ 31522	 -8945
+ 31521	 -8951
+ 31519	 -8957
+ 31517	 -8963
+ 31516	 -8969
+ 31514	 -8975
+ 31512	 -8981
+ 31510	 -8987
+ 31509	 -8993
+ 31507	 -8999
+ 31505	 -9005
+ 31504	 -9011
+ 31502	 -9017
+ 31500	 -9023
+ 31498	 -9029
+ 31497	 -9035
+ 31495	 -9041
+ 31493	 -9048
+ 31491	 -9054
+ 31490	 -9060
+ 31488	 -9066
+ 31486	 -9072
+ 31484	 -9078
+ 31483	 -9084
+ 31481	 -9090
+ 31479	 -9096
+ 31477	 -9102
+ 31476	 -9108
+ 31474	 -9114
+ 31472	 -9120
+ 31470	 -9126
+ 31469	 -9132
+ 31467	 -9138
+ 31465	 -9144
+ 31463	 -9150
+ 31462	 -9156
+ 31460	 -9162
+ 31458	 -9168
+ 31456	 -9174
+ 31455	 -9180
+ 31453	 -9186
+ 31451	 -9192
+ 31449	 -9198
+ 31448	 -9204
+ 31446	 -9210
+ 31444	 -9216
+ 31442	 -9222
+ 31441	 -9229
+ 31439	 -9235
+ 31437	 -9241
+ 31435	 -9247
+ 31433	 -9253
+ 31432	 -9259
+ 31430	 -9265
+ 31428	 -9271
+ 31426	 -9277
+ 31425	 -9283
+ 31423	 -9289
+ 31421	 -9295
+ 31419	 -9301
+ 31417	 -9307
+ 31416	 -9313
+ 31414	 -9319
+ 31412	 -9325
+ 31410	 -9331
+ 31409	 -9337
+ 31407	 -9343
+ 31405	 -9349
+ 31403	 -9355
+ 31401	 -9361
+ 31400	 -9367
+ 31398	 -9373
+ 31396	 -9379
+ 31394	 -9385
+ 31392	 -9391
+ 31391	 -9397
+ 31389	 -9403
+ 31387	 -9409
+ 31385	 -9415
+ 31383	 -9421
+ 31382	 -9427
+ 31380	 -9433
+ 31378	 -9439
+ 31376	 -9445
+ 31374	 -9451
+ 31372	 -9457
+ 31371	 -9463
+ 31369	 -9469
+ 31367	 -9475
+ 31365	 -9481
+ 31363	 -9487
+ 31362	 -9493
+ 31360	 -9499
+ 31358	 -9505
+ 31356	 -9511
+ 31354	 -9517
+ 31352	 -9523
+ 31351	 -9530
+ 31349	 -9536
+ 31347	 -9542
+ 31345	 -9548
+ 31343	 -9554
+ 31341	 -9560
+ 31340	 -9566
+ 31338	 -9572
+ 31336	 -9578
+ 31334	 -9584
+ 31332	 -9590
+ 31330	 -9596
+ 31329	 -9602
+ 31327	 -9608
+ 31325	 -9614
+ 31323	 -9620
+ 31321	 -9626
+ 31319	 -9632
+ 31318	 -9638
+ 31316	 -9644
+ 31314	 -9650
+ 31312	 -9656
+ 31310	 -9662
+ 31308	 -9668
+ 31306	 -9674
+ 31305	 -9680
+ 31303	 -9686
+ 31301	 -9692
+ 31299	 -9698
+ 31297	 -9704
+ 31295	 -9710
+ 31293	 -9716
+ 31292	 -9722
+ 31290	 -9728
+ 31288	 -9734
+ 31286	 -9740
+ 31284	 -9746
+ 31282	 -9752
+ 31280	 -9758
+ 31278	 -9764
+ 31277	 -9770
+ 31275	 -9776
+ 31273	 -9782
+ 31271	 -9788
+ 31269	 -9794
+ 31267	 -9800
+ 31265	 -9806
+ 31263	 -9812
+ 31262	 -9818
+ 31260	 -9824
+ 31258	 -9830
+ 31256	 -9836
+ 31254	 -9842
+ 31252	 -9848
+ 31250	 -9854
+ 31248	 -9860
+ 31246	 -9866
+ 31245	 -9872
+ 31243	 -9878
+ 31241	 -9884
+ 31239	 -9890
+ 31237	 -9896
+ 31235	 -9902
+ 31233	 -9908
+ 31231	 -9913
+ 31229	 -9919
+ 31228	 -9925
+ 31226	 -9931
+ 31224	 -9937
+ 31222	 -9943
+ 31220	 -9949
+ 31218	 -9955
+ 31216	 -9961
+ 31214	 -9967
+ 31212	 -9973
+ 31210	 -9979
+ 31208	 -9985
+ 31207	 -9991
+ 31205	 -9997
+ 31203	-10003
+ 31201	-10009
+ 31199	-10015
+ 31197	-10021
+ 31195	-10027
+ 31193	-10033
+ 31191	-10039
+ 31189	-10045
+ 31187	-10051
+ 31185	-10057
+ 31183	-10063
+ 31182	-10069
+ 31180	-10075
+ 31178	-10081
+ 31176	-10087
+ 31174	-10093
+ 31172	-10099
+ 31170	-10105
+ 31168	-10111
+ 31166	-10117
+ 31164	-10123
+ 31162	-10129
+ 31160	-10135
+ 31158	-10141
+ 31156	-10147
+ 31154	-10153
+ 31152	-10159
+ 31150	-10165
+ 31149	-10171
+ 31147	-10177
+ 31145	-10183
+ 31143	-10189
+ 31141	-10195
+ 31139	-10201
+ 31137	-10206
+ 31135	-10212
+ 31133	-10218
+ 31131	-10224
+ 31129	-10230
+ 31127	-10236
+ 31125	-10242
+ 31123	-10248
+ 31121	-10254
+ 31119	-10260
+ 31117	-10266
+ 31115	-10272
+ 31113	-10278
+ 31111	-10284
+ 31109	-10290
+ 31107	-10296
+ 31105	-10302
+ 31103	-10308
+ 31101	-10314
+ 31099	-10320
+ 31097	-10326
+ 31095	-10332
+ 31093	-10338
+ 31091	-10344
+ 31090	-10350
+ 31088	-10356
+ 31086	-10362
+ 31084	-10368
+ 31082	-10373
+ 31080	-10379
+ 31078	-10385
+ 31076	-10391
+ 31074	-10397
+ 31072	-10403
+ 31070	-10409
+ 31068	-10415
+ 31066	-10421
+ 31064	-10427
+ 31062	-10433
+ 31060	-10439
+ 31058	-10445
+ 31056	-10451
+ 31054	-10457
+ 31052	-10463
+ 31050	-10469
+ 31048	-10475
+ 31046	-10481
+ 31044	-10487
+ 31042	-10493
+ 31040	-10499
+ 31038	-10505
+ 31036	-10510
+ 31033	-10516
+ 31031	-10522
+ 31029	-10528
+ 31027	-10534
+ 31025	-10540
+ 31023	-10546
+ 31021	-10552
+ 31019	-10558
+ 31017	-10564
+ 31015	-10570
+ 31013	-10576
+ 31011	-10582
+ 31009	-10588
+ 31007	-10594
+ 31005	-10600
+ 31003	-10606
+ 31001	-10612
+ 30999	-10618
+ 30997	-10623
+ 30995	-10629
+ 30993	-10635
+ 30991	-10641
+ 30989	-10647
+ 30987	-10653
+ 30985	-10659
+ 30983	-10665
+ 30981	-10671
+ 30979	-10677
+ 30977	-10683
+ 30975	-10689
+ 30972	-10695
+ 30970	-10701
+ 30968	-10707
+ 30966	-10713
+ 30964	-10719
+ 30962	-10724
+ 30960	-10730
+ 30958	-10736
+ 30956	-10742
+ 30954	-10748
+ 30952	-10754
+ 30950	-10760
+ 30948	-10766
+ 30946	-10772
+ 30944	-10778
+ 30942	-10784
+ 30940	-10790
+ 30937	-10796
+ 30935	-10802
+ 30933	-10808
+ 30931	-10813
+ 30929	-10819
+ 30927	-10825
+ 30925	-10831
+ 30923	-10837
+ 30921	-10843
+ 30919	-10849
+ 30917	-10855
+ 30915	-10861
+ 30913	-10867
+ 30910	-10873
+ 30908	-10879
+ 30906	-10885
+ 30904	-10891
+ 30902	-10896
+ 30900	-10902
+ 30898	-10908
+ 30896	-10914
+ 30894	-10920
+ 30892	-10926
+ 30890	-10932
+ 30887	-10938
+ 30885	-10944
+ 30883	-10950
+ 30881	-10956
+ 30879	-10962
+ 30877	-10968
+ 30875	-10973
+ 30873	-10979
+ 30871	-10985
+ 30869	-10991
+ 30866	-10997
+ 30864	-11003
+ 30862	-11009
+ 30860	-11015
+ 30858	-11021
+ 30856	-11027
+ 30854	-11033
+ 30852	-11039
+ 30850	-11044
+ 30847	-11050
+ 30845	-11056
+ 30843	-11062
+ 30841	-11068
+ 30839	-11074
+ 30837	-11080
+ 30835	-11086
+ 30833	-11092
+ 30830	-11098
+ 30828	-11104
+ 30826	-11109
+ 30824	-11115
+ 30822	-11121
+ 30820	-11127
+ 30818	-11133
+ 30815	-11139
+ 30813	-11145
+ 30811	-11151
+ 30809	-11157
+ 30807	-11163
+ 30805	-11169
+ 30803	-11174
+ 30801	-11180
+ 30798	-11186
+ 30796	-11192
+ 30794	-11198
+ 30792	-11204
+ 30790	-11210
+ 30788	-11216
+ 30785	-11222
+ 30783	-11228
+ 30781	-11234
+ 30779	-11239
+ 30777	-11245
+ 30775	-11251
+ 30773	-11257
+ 30770	-11263
+ 30768	-11269
+ 30766	-11275
+ 30764	-11281
+ 30762	-11287
+ 30760	-11293
+ 30757	-11298
+ 30755	-11304
+ 30753	-11310
+ 30751	-11316
+ 30749	-11322
+ 30747	-11328
+ 30744	-11334
+ 30742	-11340
+ 30740	-11346
+ 30738	-11351
+ 30736	-11357
+ 30734	-11363
+ 30731	-11369
+ 30729	-11375
+ 30727	-11381
+ 30725	-11387
+ 30723	-11393
+ 30720	-11399
+ 30718	-11405
+ 30716	-11410
+ 30714	-11416
+ 30712	-11422
+ 30709	-11428
+ 30707	-11434
+ 30705	-11440
+ 30703	-11446
+ 30701	-11452
+ 30698	-11457
+ 30696	-11463
+ 30694	-11469
+ 30692	-11475
+ 30690	-11481
+ 30688	-11487
+ 30685	-11493
+ 30683	-11499
+ 30681	-11505
+ 30679	-11510
+ 30676	-11516
+ 30674	-11522
+ 30672	-11528
+ 30670	-11534
+ 30668	-11540
+ 30665	-11546
+ 30663	-11552
+ 30661	-11558
+ 30659	-11563
+ 30657	-11569
+ 30654	-11575
+ 30652	-11581
+ 30650	-11587
+ 30648	-11593
+ 30645	-11599
+ 30643	-11605
+ 30641	-11610
+ 30639	-11616
+ 30637	-11622
+ 30634	-11628
+ 30632	-11634
+ 30630	-11640
+ 30628	-11646
+ 30625	-11652
+ 30623	-11657
+ 30621	-11663
+ 30619	-11669
+ 30616	-11675
+ 30614	-11681
+ 30612	-11687
+ 30610	-11693
+ 30607	-11698
+ 30605	-11704
+ 30603	-11710
+ 30601	-11716
+ 30598	-11722
+ 30596	-11728
+ 30594	-11734
+ 30592	-11740
+ 30589	-11745
+ 30587	-11751
+ 30585	-11757
+ 30583	-11763
+ 30580	-11769
+ 30578	-11775
+ 30576	-11781
+ 30574	-11786
+ 30571	-11792
+ 30569	-11798
+ 30567	-11804
+ 30565	-11810
+ 30562	-11816
+ 30560	-11822
+ 30558	-11827
+ 30556	-11833
+ 30553	-11839
+ 30551	-11845
+ 30549	-11851
+ 30547	-11857
+ 30544	-11863
+ 30542	-11868
+ 30540	-11874
+ 30537	-11880
+ 30535	-11886
+ 30533	-11892
+ 30531	-11898
+ 30528	-11904
+ 30526	-11909
+ 30524	-11915
+ 30521	-11921
+ 30519	-11927
+ 30517	-11933
+ 30515	-11939
+ 30512	-11945
+ 30510	-11950
+ 30508	-11956
+ 30505	-11962
+ 30503	-11968
+ 30501	-11974
+ 30499	-11980
+ 30496	-11986
+ 30494	-11991
+ 30492	-11997
+ 30489	-12003
+ 30487	-12009
+ 30485	-12015
+ 30482	-12021
+ 30480	-12026
+ 30478	-12032
+ 30475	-12038
+ 30473	-12044
+ 30471	-12050
+ 30469	-12056
+ 30466	-12062
+ 30464	-12067
+ 30462	-12073
+ 30459	-12079
+ 30457	-12085
+ 30455	-12091
+ 30452	-12097
+ 30450	-12102
+ 30448	-12108
+ 30445	-12114
+ 30443	-12120
+ 30441	-12126
+ 30438	-12132
+ 30436	-12137
+ 30434	-12143
+ 30431	-12149
+ 30429	-12155
+ 30427	-12161
+ 30424	-12167
+ 30422	-12172
+ 30420	-12178
+ 30417	-12184
+ 30415	-12190
+ 30413	-12196
+ 30410	-12202
+ 30408	-12207
+ 30406	-12213
+ 30403	-12219
+ 30401	-12225
+ 30399	-12231
+ 30396	-12237
+ 30394	-12242
+ 30392	-12248
+ 30389	-12254
+ 30387	-12260
+ 30385	-12266
+ 30382	-12272
+ 30380	-12277
+ 30378	-12283
+ 30375	-12289
+ 30373	-12295
+ 30370	-12301
+ 30368	-12306
+ 30366	-12312
+ 30363	-12318
+ 30361	-12324
+ 30359	-12330
+ 30356	-12336
+ 30354	-12341
+ 30352	-12347
+ 30349	-12353
+ 30347	-12359
+ 30344	-12365
+ 30342	-12370
+ 30340	-12376
+ 30337	-12382
+ 30335	-12388
+ 30333	-12394
+ 30330	-12400
+ 30328	-12405
+ 30325	-12411
+ 30323	-12417
+ 30321	-12423
+ 30318	-12429
+ 30316	-12434
+ 30314	-12440
+ 30311	-12446
+ 30309	-12452
+ 30306	-12458
+ 30304	-12464
+ 30302	-12469
+ 30299	-12475
+ 30297	-12481
+ 30294	-12487
+ 30292	-12493
+ 30290	-12498
+ 30287	-12504
+ 30285	-12510
+ 30282	-12516
+ 30280	-12522
+ 30278	-12527
+ 30275	-12533
+ 30273	-12539
+ 30270	-12545
+ 30268	-12551
+ 30266	-12556
+ 30263	-12562
+ 30261	-12568
+ 30258	-12574
+ 30256	-12580
+ 30254	-12585
+ 30251	-12591
+ 30249	-12597
+ 30246	-12603
+ 30244	-12609
+ 30241	-12614
+ 30239	-12620
+ 30237	-12626
+ 30234	-12632
+ 30232	-12638
+ 30229	-12643
+ 30227	-12649
+ 30225	-12655
+ 30222	-12661
+ 30220	-12667
+ 30217	-12672
+ 30215	-12678
+ 30212	-12684
+ 30210	-12690
+ 30208	-12696
+ 30205	-12701
+ 30203	-12707
+ 30200	-12713
+ 30198	-12719
+ 30195	-12725
+ 30193	-12730
+ 30190	-12736
+ 30188	-12742
+ 30186	-12748
+ 30183	-12753
+ 30181	-12759
+ 30178	-12765
+ 30176	-12771
+ 30173	-12777
+ 30171	-12782
+ 30168	-12788
+ 30166	-12794
+ 30164	-12800
+ 30161	-12806
+ 30159	-12811
+ 30156	-12817
+ 30154	-12823
+ 30151	-12829
+ 30149	-12834
+ 30146	-12840
+ 30144	-12846
+ 30141	-12852
+ 30139	-12858
+ 30136	-12863
+ 30134	-12869
+ 30132	-12875
+ 30129	-12881
+ 30127	-12886
+ 30124	-12892
+ 30122	-12898
+ 30119	-12904
+ 30117	-12910
+ 30114	-12915
+ 30112	-12921
+ 30109	-12927
+ 30107	-12933
+ 30104	-12938
+ 30102	-12944
+ 30099	-12950
+ 30097	-12956
+ 30094	-12961
+ 30092	-12967
+ 30089	-12973
+ 30087	-12979
+ 30084	-12985
+ 30082	-12990
+ 30079	-12996
+ 30077	-13002
+ 30074	-13008
+ 30072	-13013
+ 30069	-13019
+ 30067	-13025
+ 30064	-13031
+ 30062	-13036
+ 30059	-13042
+ 30057	-13048
+ 30054	-13054
+ 30052	-13060
+ 30049	-13065
+ 30047	-13071
+ 30044	-13077
+ 30042	-13083
+ 30039	-13088
+ 30037	-13094
+ 30034	-13100
+ 30032	-13106
+ 30029	-13111
+ 30027	-13117
+ 30024	-13123
+ 30022	-13129
+ 30019	-13134
+ 30017	-13140
+ 30014	-13146
+ 30012	-13152
+ 30009	-13157
+ 30007	-13163
+ 30004	-13169
+ 30002	-13175
+ 29999	-13180
+ 29997	-13186
+ 29994	-13192
+ 29992	-13198
+ 29989	-13203
+ 29986	-13209
+ 29984	-13215
+ 29981	-13221
+ 29979	-13226
+ 29976	-13232
+ 29974	-13238
+ 29971	-13244
+ 29969	-13249
+ 29966	-13255
+ 29964	-13261
+ 29961	-13267
+ 29959	-13272
+ 29956	-13278
+ 29953	-13284
+ 29951	-13290
+ 29948	-13295
+ 29946	-13301
+ 29943	-13307
+ 29941	-13313
+ 29938	-13318
+ 29936	-13324
+ 29933	-13330
+ 29930	-13336
+ 29928	-13341
+ 29925	-13347
+ 29923	-13353
+ 29920	-13359
+ 29918	-13364
+ 29915	-13370
+ 29913	-13376
+ 29910	-13381
+ 29907	-13387
+ 29905	-13393
+ 29902	-13399
+ 29900	-13404
+ 29897	-13410
+ 29895	-13416
+ 29892	-13422
+ 29889	-13427
+ 29887	-13433
+ 29884	-13439
+ 29882	-13445
+ 29879	-13450
+ 29877	-13456
+ 29874	-13462
+ 29871	-13467
+ 29869	-13473
+ 29866	-13479
+ 29864	-13485
+ 29861	-13490
+ 29858	-13496
+ 29856	-13502
+ 29853	-13507
+ 29851	-13513
+ 29848	-13519
+ 29845	-13525
+ 29843	-13530
+ 29840	-13536
+ 29838	-13542
+ 29835	-13548
+ 29833	-13553
+ 29830	-13559
+ 29827	-13565
+ 29825	-13570
+ 29822	-13576
+ 29820	-13582
+ 29817	-13588
+ 29814	-13593
+ 29812	-13599
+ 29809	-13605
+ 29806	-13610
+ 29804	-13616
+ 29801	-13622
+ 29799	-13628
+ 29796	-13633
+ 29793	-13639
+ 29791	-13645
+ 29788	-13650
+ 29786	-13656
+ 29783	-13662
+ 29780	-13668
+ 29778	-13673
+ 29775	-13679
+ 29772	-13685
+ 29770	-13690
+ 29767	-13696
+ 29765	-13702
+ 29762	-13708
+ 29759	-13713
+ 29757	-13719
+ 29754	-13725
+ 29751	-13730
+ 29749	-13736
+ 29746	-13742
+ 29744	-13747
+ 29741	-13753
+ 29738	-13759
+ 29736	-13765
+ 29733	-13770
+ 29730	-13776
+ 29728	-13782
+ 29725	-13787
+ 29722	-13793
+ 29720	-13799
+ 29717	-13804
+ 29714	-13810
+ 29712	-13816
+ 29709	-13822
+ 29707	-13827
+ 29704	-13833
+ 29701	-13839
+ 29699	-13844
+ 29696	-13850
+ 29693	-13856
+ 29691	-13861
+ 29688	-13867
+ 29685	-13873
+ 29683	-13879
+ 29680	-13884
+ 29677	-13890
+ 29675	-13896
+ 29672	-13901
+ 29669	-13907
+ 29667	-13913
+ 29664	-13918
+ 29661	-13924
+ 29659	-13930
+ 29656	-13935
+ 29653	-13941
+ 29651	-13947
+ 29648	-13952
+ 29645	-13958
+ 29643	-13964
+ 29640	-13970
+ 29637	-13975
+ 29635	-13981
+ 29632	-13987
+ 29629	-13992
+ 29626	-13998
+ 29624	-14004
+ 29621	-14009
+ 29618	-14015
+ 29616	-14021
+ 29613	-14026
+ 29610	-14032
+ 29608	-14038
+ 29605	-14043
+ 29602	-14049
+ 29600	-14055
+ 29597	-14060
+ 29594	-14066
+ 29591	-14072
+ 29589	-14077
+ 29586	-14083
+ 29583	-14089
+ 29581	-14094
+ 29578	-14100
+ 29575	-14106
+ 29573	-14111
+ 29570	-14117
+ 29567	-14123
+ 29564	-14128
+ 29562	-14134
+ 29559	-14140
+ 29556	-14145
+ 29554	-14151
+ 29551	-14157
+ 29548	-14162
+ 29545	-14168
+ 29543	-14174
+ 29540	-14179
+ 29537	-14185
+ 29535	-14191
+ 29532	-14196
+ 29529	-14202
+ 29526	-14208
+ 29524	-14213
+ 29521	-14219
+ 29518	-14225
+ 29516	-14230
+ 29513	-14236
+ 29510	-14242
+ 29507	-14247
+ 29505	-14253
+ 29502	-14259
+ 29499	-14264
+ 29496	-14270
+ 29494	-14276
+ 29491	-14281
+ 29488	-14287
+ 29485	-14293
+ 29483	-14298
+ 29480	-14304
+ 29477	-14310
+ 29474	-14315
+ 29472	-14321
+ 29469	-14327
+ 29466	-14332
+ 29463	-14338
+ 29461	-14343
+ 29458	-14349
+ 29455	-14355
+ 29452	-14360
+ 29450	-14366
+ 29447	-14372
+ 29444	-14377
+ 29441	-14383
+ 29439	-14389
+ 29436	-14394
+ 29433	-14400
+ 29430	-14406
+ 29428	-14411
+ 29425	-14417
+ 29422	-14422
+ 29419	-14428
+ 29417	-14434
+ 29414	-14439
+ 29411	-14445
+ 29408	-14451
+ 29406	-14456
+ 29403	-14462
+ 29400	-14468
+ 29397	-14473
+ 29394	-14479
+ 29392	-14485
+ 29389	-14490
+ 29386	-14496
+ 29383	-14501
+ 29381	-14507
+ 29378	-14513
+ 29375	-14518
+ 29372	-14524
+ 29369	-14530
+ 29367	-14535
+ 29364	-14541
+ 29361	-14546
+ 29358	-14552
+ 29355	-14558
+ 29353	-14563
+ 29350	-14569
+ 29347	-14575
+ 29344	-14580
+ 29341	-14586
+ 29339	-14591
+ 29336	-14597
+ 29333	-14603
+ 29330	-14608
+ 29327	-14614
+ 29325	-14620
+ 29322	-14625
+ 29319	-14631
+ 29316	-14636
+ 29313	-14642
+ 29311	-14648
+ 29308	-14653
+ 29305	-14659
+ 29302	-14665
+ 29299	-14670
+ 29297	-14676
+ 29294	-14681
+ 29291	-14687
+ 29288	-14693
+ 29285	-14698
+ 29282	-14704
+ 29280	-14710
+ 29277	-14715
+ 29274	-14721
+ 29271	-14726
+ 29268	-14732
+ 29266	-14738
+ 29263	-14743
+ 29260	-14749
+ 29257	-14754
+ 29254	-14760
+ 29251	-14766
+ 29249	-14771
+ 29246	-14777
+ 29243	-14782
+ 29240	-14788
+ 29237	-14794
+ 29234	-14799
+ 29232	-14805
+ 29229	-14810
+ 29226	-14816
+ 29223	-14822
+ 29220	-14827
+ 29217	-14833
+ 29215	-14838
+ 29212	-14844
+ 29209	-14850
+ 29206	-14855
+ 29203	-14861
+ 29200	-14866
+ 29197	-14872
+ 29195	-14878
+ 29192	-14883
+ 29189	-14889
+ 29186	-14894
+ 29183	-14900
+ 29180	-14906
+ 29177	-14911
+ 29175	-14917
+ 29172	-14922
+ 29169	-14928
+ 29166	-14934
+ 29163	-14939
+ 29160	-14945
+ 29157	-14950
+ 29155	-14956
+ 29152	-14962
+ 29149	-14967
+ 29146	-14973
+ 29143	-14978
+ 29140	-14984
+ 29137	-14990
+ 29134	-14995
+ 29132	-15001
+ 29129	-15006
+ 29126	-15012
+ 29123	-15017
+ 29120	-15023
+ 29117	-15029
+ 29114	-15034
+ 29111	-15040
+ 29109	-15045
+ 29106	-15051
+ 29103	-15057
+ 29100	-15062
+ 29097	-15068
+ 29094	-15073
+ 29091	-15079
+ 29088	-15084
+ 29085	-15090
+ 29083	-15096
+ 29080	-15101
+ 29077	-15107
+ 29074	-15112
+ 29071	-15118
+ 29068	-15123
+ 29065	-15129
+ 29062	-15135
+ 29059	-15140
+ 29056	-15146
+ 29054	-15151
+ 29051	-15157
+ 29048	-15162
+ 29045	-15168
+ 29042	-15174
+ 29039	-15179
+ 29036	-15185
+ 29033	-15190
+ 29030	-15196
+ 29027	-15201
+ 29024	-15207
+ 29021	-15213
+ 29019	-15218
+ 29016	-15224
+ 29013	-15229
+ 29010	-15235
+ 29007	-15240
+ 29004	-15246
+ 29001	-15252
+ 28998	-15257
+ 28995	-15263
+ 28992	-15268
+ 28989	-15274
+ 28986	-15279
+ 28983	-15285
+ 28981	-15290
+ 28978	-15296
+ 28975	-15302
+ 28972	-15307
+ 28969	-15313
+ 28966	-15318
+ 28963	-15324
+ 28960	-15329
+ 28957	-15335
+ 28954	-15340
+ 28951	-15346
+ 28948	-15352
+ 28945	-15357
+ 28942	-15363
+ 28939	-15368
+ 28936	-15374
+ 28933	-15379
+ 28931	-15385
+ 28928	-15390
+ 28925	-15396
+ 28922	-15401
+ 28919	-15407
+ 28916	-15413
+ 28913	-15418
+ 28910	-15424
+ 28907	-15429
+ 28904	-15435
+ 28901	-15440
+ 28898	-15446
+ 28895	-15451
+ 28892	-15457
+ 28889	-15462
+ 28886	-15468
+ 28883	-15473
+ 28880	-15479
+ 28877	-15485
+ 28874	-15490
+ 28871	-15496
+ 28868	-15501
+ 28865	-15507
+ 28862	-15512
+ 28859	-15518
+ 28856	-15523
+ 28853	-15529
+ 28851	-15534
+ 28848	-15540
+ 28845	-15545
+ 28842	-15551
+ 28839	-15556
+ 28836	-15562
+ 28833	-15568
+ 28830	-15573
+ 28827	-15579
+ 28824	-15584
+ 28821	-15590
+ 28818	-15595
+ 28815	-15601
+ 28812	-15606
+ 28809	-15612
+ 28806	-15617
+ 28803	-15623
+ 28800	-15628
+ 28797	-15634
+ 28794	-15639
+ 28791	-15645
+ 28788	-15650
+ 28785	-15656
+ 28782	-15661
+ 28779	-15667
+ 28776	-15672
+ 28773	-15678
+ 28770	-15684
+ 28767	-15689
+ 28764	-15695
+ 28761	-15700
+ 28758	-15706
+ 28755	-15711
+ 28752	-15717
+ 28749	-15722
+ 28746	-15728
+ 28743	-15733
+ 28740	-15739
+ 28737	-15744
+ 28734	-15750
+ 28731	-15755
+ 28727	-15761
+ 28724	-15766
+ 28721	-15772
+ 28718	-15777
+ 28715	-15783
+ 28712	-15788
+ 28709	-15794
+ 28706	-15799
+ 28703	-15805
+ 28700	-15810
+ 28697	-15816
+ 28694	-15821
+ 28691	-15827
+ 28688	-15832
+ 28685	-15838
+ 28682	-15843
+ 28679	-15849
+ 28676	-15854
+ 28673	-15860
+ 28670	-15865
+ 28667	-15871
+ 28664	-15876
+ 28661	-15882
+ 28658	-15887
+ 28655	-15893
+ 28652	-15898
+ 28649	-15904
+ 28646	-15909
+ 28642	-15915
+ 28639	-15920
+ 28636	-15926
+ 28633	-15931
+ 28630	-15937
+ 28627	-15942
+ 28624	-15948
+ 28621	-15953
+ 28618	-15959
+ 28615	-15964
+ 28612	-15970
+ 28609	-15975
+ 28606	-15981
+ 28603	-15986
+ 28600	-15992
+ 28597	-15997
+ 28594	-16002
+ 28590	-16008
+ 28587	-16013
+ 28584	-16019
+ 28581	-16024
+ 28578	-16030
+ 28575	-16035
+ 28572	-16041
+ 28569	-16046
+ 28566	-16052
+ 28563	-16057
+ 28560	-16063
+ 28557	-16068
+ 28554	-16074
+ 28550	-16079
+ 28547	-16085
+ 28544	-16090
+ 28541	-16096
+ 28538	-16101
+ 28535	-16107
+ 28532	-16112
+ 28529	-16117
+ 28526	-16123
+ 28523	-16128
+ 28520	-16134
+ 28516	-16139
+ 28513	-16145
+ 28510	-16150
+ 28507	-16156
+ 28504	-16161
+ 28501	-16167
+ 28498	-16172
+ 28495	-16178
+ 28492	-16183
+ 28489	-16189
+ 28485	-16194
+ 28482	-16199
+ 28479	-16205
+ 28476	-16210
+ 28473	-16216
+ 28470	-16221
+ 28467	-16227
+ 28464	-16232
+ 28461	-16238
+ 28457	-16243
+ 28454	-16249
+ 28451	-16254
+ 28448	-16260
+ 28445	-16265
+ 28442	-16270
+ 28439	-16276
+ 28436	-16281
+ 28433	-16287
+ 28429	-16292
+ 28426	-16298
+ 28423	-16303
+ 28420	-16309
+ 28417	-16314
+ 28414	-16319
+ 28411	-16325
+ 28408	-16330
+ 28404	-16336
+ 28401	-16341
+ 28398	-16347
+ 28395	-16352
+ 28392	-16358
+ 28389	-16363
+ 28386	-16368
+ 28382	-16374
+ 28379	-16379
+ 28376	-16386
+ 28373	-16391
+ 28370	-16397
+ 28367	-16402
+ 28364	-16408
+ 28360	-16413
+ 28357	-16418
+ 28354	-16424
+ 28351	-16429
+ 28348	-16435
+ 28345	-16440
+ 28342	-16446
+ 28338	-16451
+ 28335	-16456
+ 28332	-16462
+ 28329	-16467
+ 28326	-16473
+ 28323	-16478
+ 28319	-16484
+ 28316	-16489
+ 28313	-16495
+ 28310	-16500
+ 28307	-16505
+ 28304	-16511
+ 28300	-16516
+ 28297	-16522
+ 28294	-16527
+ 28291	-16532
+ 28288	-16538
+ 28285	-16543
+ 28281	-16549
+ 28278	-16554
+ 28275	-16560
+ 28272	-16565
+ 28269	-16570
+ 28266	-16576
+ 28262	-16581
+ 28259	-16587
+ 28256	-16592
+ 28253	-16598
+ 28250	-16603
+ 28246	-16608
+ 28243	-16614
+ 28240	-16619
+ 28237	-16625
+ 28234	-16630
+ 28231	-16635
+ 28227	-16641
+ 28224	-16646
+ 28221	-16652
+ 28218	-16657
+ 28215	-16663
+ 28211	-16668
+ 28208	-16673
+ 28205	-16679
+ 28202	-16684
+ 28199	-16690
+ 28195	-16695
+ 28192	-16700
+ 28189	-16706
+ 28186	-16711
+ 28183	-16717
+ 28179	-16722
+ 28176	-16727
+ 28173	-16733
+ 28170	-16738
+ 28167	-16744
+ 28163	-16749
+ 28160	-16754
+ 28157	-16760
+ 28154	-16765
+ 28150	-16771
+ 28147	-16776
+ 28144	-16781
+ 28141	-16787
+ 28138	-16792
+ 28134	-16798
+ 28131	-16803
+ 28128	-16808
+ 28125	-16814
+ 28121	-16819
+ 28118	-16825
+ 28115	-16830
+ 28112	-16835
+ 28109	-16841
+ 28105	-16846
+ 28102	-16851
+ 28099	-16857
+ 28096	-16862
+ 28092	-16868
+ 28089	-16873
+ 28086	-16878
+ 28083	-16884
+ 28079	-16889
+ 28076	-16895
+ 28073	-16900
+ 28070	-16905
+ 28067	-16911
+ 28063	-16916
+ 28060	-16921
+ 28057	-16927
+ 28054	-16932
+ 28050	-16938
+ 28047	-16943
+ 28044	-16948
+ 28041	-16954
+ 28037	-16959
+ 28034	-16964
+ 28031	-16970
+ 28028	-16975
+ 28024	-16981
+ 28021	-16986
+ 28018	-16991
+ 28014	-16997
+ 28011	-17002
+ 28008	-17007
+ 28005	-17013
+ 28001	-17018
+ 27998	-17024
+ 27995	-17029
+ 27992	-17034
+ 27988	-17040
+ 27985	-17045
+ 27982	-17050
+ 27979	-17056
+ 27975	-17061
+ 27972	-17067
+ 27969	-17072
+ 27965	-17077
+ 27962	-17083
+ 27959	-17088
+ 27956	-17093
+ 27952	-17099
+ 27949	-17104
+ 27946	-17109
+ 27943	-17115
+ 27939	-17120
+ 27936	-17125
+ 27933	-17131
+ 27929	-17136
+ 27926	-17142
+ 27923	-17147
+ 27920	-17152
+ 27916	-17158
+ 27913	-17163
+ 27910	-17168
+ 27906	-17174
+ 27903	-17179
+ 27900	-17184
+ 27897	-17190
+ 27893	-17195
+ 27890	-17200
+ 27887	-17206
+ 27883	-17211
+ 27880	-17216
+ 27877	-17222
+ 27873	-17227
+ 27870	-17232
+ 27867	-17238
+ 27863	-17243
+ 27860	-17249
+ 27857	-17254
+ 27854	-17259
+ 27850	-17265
+ 27847	-17270
+ 27844	-17275
+ 27840	-17281
+ 27837	-17286
+ 27834	-17291
+ 27830	-17297
+ 27827	-17302
+ 27824	-17307
+ 27820	-17313
+ 27817	-17318
+ 27814	-17323
+ 27810	-17329
+ 27807	-17334
+ 27804	-17339
+ 27800	-17345
+ 27797	-17350
+ 27794	-17355
+ 27791	-17361
+ 27787	-17366
+ 27784	-17371
+ 27781	-17377
+ 27777	-17382
+ 27774	-17387
+ 27771	-17393
+ 27767	-17398
+ 27764	-17403
+ 27761	-17408
+ 27757	-17414
+ 27754	-17419
+ 27750	-17424
+ 27747	-17430
+ 27744	-17435
+ 27740	-17440
+ 27737	-17446
+ 27734	-17451
+ 27730	-17456
+ 27727	-17462
+ 27724	-17467
+ 27720	-17472
+ 27717	-17478
+ 27714	-17483
+ 27710	-17488
+ 27707	-17494
+ 27704	-17499
+ 27700	-17504
+ 27697	-17509
+ 27694	-17515
+ 27690	-17520
+ 27687	-17525
+ 27683	-17531
+ 27680	-17536
+ 27677	-17541
+ 27673	-17547
+ 27670	-17552
+ 27667	-17557
+ 27663	-17563
+ 27660	-17568
+ 27657	-17573
+ 27653	-17578
+ 27650	-17584
+ 27646	-17589
+ 27643	-17594
+ 27640	-17600
+ 27636	-17605
+ 27633	-17610
+ 27630	-17616
+ 27626	-17621
+ 27623	-17626
+ 27619	-17631
+ 27616	-17637
+ 27613	-17642
+ 27609	-17647
+ 27606	-17653
+ 27603	-17658
+ 27599	-17663
+ 27596	-17669
+ 27592	-17674
+ 27589	-17679
+ 27586	-17684
+ 27582	-17690
+ 27579	-17695
+ 27575	-17700
+ 27572	-17706
+ 27569	-17711
+ 27565	-17716
+ 27562	-17721
+ 27558	-17727
+ 27555	-17732
+ 27552	-17737
+ 27548	-17743
+ 27545	-17748
+ 27541	-17753
+ 27538	-17758
+ 27535	-17764
+ 27531	-17769
+ 27528	-17774
+ 27524	-17779
+ 27521	-17785
+ 27518	-17790
+ 27514	-17795
+ 27511	-17801
+ 27507	-17806
+ 27504	-17811
+ 27500	-17816
+ 27497	-17822
+ 27494	-17827
+ 27490	-17832
+ 27487	-17838
+ 27483	-17843
+ 27480	-17848
+ 27477	-17853
+ 27473	-17859
+ 27470	-17864
+ 27466	-17869
+ 27463	-17874
+ 27459	-17880
+ 27456	-17885
+ 27453	-17890
+ 27449	-17895
+ 27446	-17901
+ 27442	-17906
+ 27439	-17911
+ 27435	-17916
+ 27432	-17922
+ 27429	-17927
+ 27425	-17932
+ 27422	-17938
+ 27418	-17943
+ 27415	-17948
+ 27411	-17953
+ 27408	-17959
+ 27404	-17964
+ 27401	-17969
+ 27398	-17974
+ 27394	-17980
+ 27391	-17985
+ 27387	-17990
+ 27384	-17995
+ 27380	-18001
+ 27377	-18006
+ 27373	-18011
+ 27370	-18016
+ 27366	-18022
+ 27363	-18027
+ 27360	-18032
+ 27356	-18037
+ 27353	-18043
+ 27349	-18048
+ 27346	-18053
+ 27342	-18058
+ 27339	-18064
+ 27335	-18069
+ 27332	-18074
+ 27328	-18079
+ 27325	-18084
+ 27321	-18090
+ 27318	-18095
+ 27315	-18100
+ 27311	-18105
+ 27308	-18111
+ 27304	-18116
+ 27301	-18121
+ 27297	-18126
+ 27294	-18132
+ 27290	-18137
+ 27287	-18142
+ 27283	-18147
+ 27280	-18153
+ 27276	-18158
+ 27273	-18163
+ 27269	-18168
+ 27266	-18173
+ 27262	-18179
+ 27259	-18184
+ 27255	-18189
+ 27252	-18194
+ 27248	-18200
+ 27245	-18205
+ 27241	-18210
+ 27238	-18215
+ 27234	-18220
+ 27231	-18226
+ 27227	-18231
+ 27224	-18236
+ 27220	-18241
+ 27217	-18247
+ 27213	-18252
+ 27210	-18257
+ 27206	-18262
+ 27203	-18267
+ 27199	-18273
+ 27196	-18278
+ 27192	-18283
+ 27189	-18288
+ 27185	-18294
+ 27182	-18299
+ 27178	-18304
+ 27175	-18309
+ 27171	-18314
+ 27168	-18320
+ 27164	-18325
+ 27161	-18330
+ 27157	-18335
+ 27154	-18340
+ 27150	-18346
+ 27147	-18351
+ 27143	-18356
+ 27140	-18361
+ 27136	-18366
+ 27133	-18372
+ 27129	-18377
+ 27126	-18382
+ 27122	-18387
+ 27119	-18392
+ 27115	-18398
+ 27112	-18403
+ 27108	-18408
+ 27105	-18413
+ 27101	-18418
+ 27097	-18424
+ 27094	-18429
+ 27090	-18434
+ 27087	-18439
+ 27083	-18444
+ 27080	-18450
+ 27076	-18455
+ 27073	-18460
+ 27069	-18465
+ 27066	-18470
+ 27062	-18476
+ 27059	-18481
+ 27055	-18486
+ 27051	-18491
+ 27048	-18496
+ 27044	-18501
+ 27041	-18507
+ 27037	-18512
+ 27034	-18517
+ 27030	-18522
+ 27027	-18527
+ 27023	-18533
+ 27019	-18538
+ 27016	-18543
+ 27012	-18548
+ 27009	-18553
+ 27005	-18558
+ 27002	-18564
+ 26998	-18569
+ 26995	-18574
+ 26991	-18579
+ 26987	-18584
+ 26984	-18590
+ 26980	-18595
+ 26977	-18600
+ 26973	-18605
+ 26970	-18610
+ 26966	-18615
+ 26962	-18621
+ 26959	-18626
+ 26955	-18631
+ 26952	-18636
+ 26948	-18641
+ 26945	-18646
+ 26941	-18652
+ 26937	-18657
+ 26934	-18662
+ 26930	-18667
+ 26927	-18672
+ 26923	-18677
+ 26920	-18683
+ 26916	-18688
+ 26912	-18693
+ 26909	-18698
+ 26905	-18703
+ 26902	-18708
+ 26898	-18714
+ 26894	-18719
+ 26891	-18724
+ 26887	-18729
+ 26884	-18734
+ 26880	-18739
+ 26877	-18744
+ 26873	-18750
+ 26869	-18755
+ 26866	-18760
+ 26862	-18765
+ 26859	-18770
+ 26855	-18775
+ 26851	-18781
+ 26848	-18786
+ 26844	-18791
+ 26841	-18796
+ 26837	-18801
+ 26833	-18806
+ 26830	-18811
+ 26826	-18817
+ 26823	-18822
+ 26819	-18827
+ 26815	-18832
+ 26812	-18837
+ 26808	-18842
+ 26804	-18847
+ 26801	-18853
+ 26797	-18858
+ 26794	-18863
+ 26790	-18868
+ 26786	-18873
+ 26783	-18878
+ 26779	-18883
+ 26776	-18888
+ 26772	-18894
+ 26768	-18899
+ 26765	-18904
+ 26761	-18909
+ 26757	-18914
+ 26754	-18919
+ 26750	-18924
+ 26747	-18930
+ 26743	-18935
+ 26739	-18940
+ 26736	-18945
+ 26732	-18950
+ 26728	-18955
+ 26725	-18960
+ 26721	-18965
+ 26717	-18971
+ 26714	-18976
+ 26710	-18981
+ 26707	-18986
+ 26703	-18991
+ 26699	-18996
+ 26696	-19001
+ 26692	-19006
+ 26688	-19011
+ 26685	-19017
+ 26681	-19022
+ 26677	-19027
+ 26674	-19032
+ 26670	-19037
+ 26666	-19042
+ 26663	-19047
+ 26659	-19052
+ 26655	-19058
+ 26652	-19063
+ 26648	-19068
+ 26644	-19073
+ 26641	-19078
+ 26637	-19083
+ 26634	-19088
+ 26630	-19093
+ 26626	-19098
+ 26623	-19103
+ 26619	-19109
+ 26615	-19114
+ 26612	-19119
+ 26608	-19124
+ 26604	-19129
+ 26601	-19134
+ 26597	-19139
+ 26593	-19144
+ 26590	-19149
+ 26586	-19154
+ 26582	-19160
+ 26579	-19165
+ 26575	-19170
+ 26571	-19175
+ 26567	-19180
+ 26564	-19185
+ 26560	-19190
+ 26556	-19195
+ 26553	-19200
+ 26549	-19205
+ 26545	-19211
+ 26542	-19216
+ 26538	-19221
+ 26534	-19226
+ 26531	-19231
+ 26527	-19236
+ 26523	-19241
+ 26520	-19246
+ 26516	-19251
+ 26512	-19256
+ 26509	-19261
+ 26505	-19266
+ 26501	-19272
+ 26497	-19277
+ 26494	-19282
+ 26490	-19287
+ 26486	-19292
+ 26483	-19297
+ 26479	-19302
+ 26475	-19307
+ 26472	-19312
+ 26468	-19317
+ 26464	-19322
+ 26460	-19327
+ 26457	-19332
+ 26453	-19338
+ 26449	-19343
+ 26446	-19348
+ 26442	-19353
+ 26438	-19358
+ 26434	-19363
+ 26431	-19368
+ 26427	-19373
+ 26423	-19378
+ 26420	-19383
+ 26416	-19388
+ 26412	-19393
+ 26408	-19398
+ 26405	-19403
+ 26401	-19408
+ 26397	-19414
+ 26394	-19419
+ 26390	-19424
+ 26386	-19429
+ 26382	-19434
+ 26379	-19439
+ 26375	-19444
+ 26371	-19449
+ 26367	-19454
+ 26364	-19459
+ 26360	-19464
+ 26356	-19469
+ 26353	-19474
+ 26349	-19479
+ 26345	-19484
+ 26341	-19489
+ 26338	-19494
+ 26334	-19499
+ 26330	-19505
+ 26326	-19510
+ 26323	-19515
+ 26319	-19520
+ 26315	-19525
+ 26311	-19530
+ 26308	-19535
+ 26304	-19540
+ 26300	-19545
+ 26296	-19550
+ 26293	-19555
+ 26289	-19560
+ 26285	-19565
+ 26281	-19570
+ 26278	-19575
+ 26274	-19580
+ 26270	-19585
+ 26266	-19590
+ 26263	-19595
+ 26259	-19600
+ 26255	-19605
+ 26251	-19610
+ 26248	-19615
+ 26244	-19620
+ 26240	-19625
+ 26236	-19631
+ 26233	-19636
+ 26229	-19641
+ 26225	-19646
+ 26221	-19651
+ 26217	-19656
+ 26214	-19661
+ 26210	-19666
+ 26206	-19671
+ 26202	-19676
+ 26199	-19681
+ 26195	-19686
+ 26191	-19691
+ 26187	-19696
+ 26184	-19701
+ 26180	-19706
+ 26176	-19711
+ 26172	-19716
+ 26168	-19721
+ 26165	-19726
+ 26161	-19731
+ 26157	-19736
+ 26153	-19741
+ 26150	-19746
+ 26146	-19751
+ 26142	-19756
+ 26138	-19761
+ 26134	-19766
+ 26131	-19771
+ 26127	-19776
+ 26123	-19781
+ 26119	-19786
+ 26115	-19791
+ 26112	-19796
+ 26108	-19801
+ 26104	-19806
+ 26100	-19811
+ 26096	-19816
+ 26093	-19821
+ 26089	-19826
+ 26085	-19831
+ 26081	-19836
+ 26077	-19841
+ 26074	-19846
+ 26070	-19851
+ 26066	-19856
+ 26062	-19861
+ 26058	-19866
+ 26055	-19871
+ 26051	-19876
+ 26047	-19881
+ 26043	-19886
+ 26039	-19891
+ 26035	-19896
+ 26032	-19901
+ 26028	-19906
+ 26024	-19911
+ 26020	-19916
+ 26016	-19921
+ 26013	-19926
+ 26009	-19931
+ 26005	-19936
+ 26001	-19941
+ 25997	-19946
+ 25993	-19951
+ 25990	-19956
+ 25986	-19961
+ 25982	-19966
+ 25978	-19971
+ 25974	-19976
+ 25970	-19981
+ 25967	-19986
+ 25963	-19991
+ 25959	-19996
+ 25955	-20001
+ 25951	-20006
+ 25947	-20011
+ 25944	-20016
+ 25940	-20021
+ 25936	-20026
+ 25932	-20031
+ 25928	-20036
+ 25924	-20041
+ 25921	-20046
+ 25917	-20051
+ 25913	-20056
+ 25909	-20060
+ 25905	-20065
+ 25901	-20070
+ 25898	-20075
+ 25894	-20080
+ 25890	-20085
+ 25886	-20090
+ 25882	-20095
+ 25878	-20100
+ 25874	-20105
+ 25871	-20110
+ 25867	-20115
+ 25863	-20120
+ 25859	-20125
+ 25855	-20130
+ 25851	-20135
+ 25847	-20140
+ 25844	-20145
+ 25840	-20150
+ 25836	-20155
+ 25832	-20160
+ 25828	-20165
+ 25824	-20170
+ 25820	-20175
+ 25816	-20179
+ 25813	-20184
+ 25809	-20189
+ 25805	-20194
+ 25801	-20199
+ 25797	-20204
+ 25793	-20209
+ 25789	-20214
+ 25786	-20219
+ 25782	-20224
+ 25778	-20229
+ 25774	-20234
+ 25770	-20239
+ 25766	-20244
+ 25762	-20249
+ 25758	-20254
+ 25754	-20259
+ 25751	-20264
+ 25747	-20268
+ 25743	-20273
+ 25739	-20278
+ 25735	-20283
+ 25731	-20288
+ 25727	-20293
+ 25723	-20298
+ 25719	-20303
+ 25716	-20308
+ 25712	-20313
+ 25708	-20318
+ 25704	-20323
+ 25700	-20328
+ 25696	-20333
+ 25692	-20338
+ 25688	-20342
+ 25684	-20347
+ 25680	-20352
+ 25677	-20357
+ 25673	-20362
+ 25669	-20367
+ 25665	-20372
+ 25661	-20377
+ 25657	-20382
+ 25653	-20387
+ 25649	-20392
+ 25645	-20397
+ 25641	-20401
+ 25638	-20406
+ 25634	-20411
+ 25630	-20416
+ 25626	-20421
+ 25622	-20426
+ 25618	-20431
+ 25614	-20436
+ 25610	-20441
+ 25606	-20446
+ 25602	-20451
+ 25598	-20456
+ 25594	-20460
+ 25590	-20465
+ 25587	-20470
+ 25583	-20475
+ 25579	-20480
+ 25575	-20485
+ 25571	-20490
+ 25567	-20495
+ 25563	-20500
+ 25559	-20505
+ 25555	-20509
+ 25551	-20514
+ 25547	-20519
+ 25543	-20524
+ 25539	-20529
+ 25535	-20534
+ 25532	-20539
+ 25528	-20544
+ 25524	-20549
+ 25520	-20554
+ 25516	-20558
+ 25512	-20563
+ 25508	-20568
+ 25504	-20573
+ 25500	-20578
+ 25496	-20583
+ 25492	-20588
+ 25488	-20593
+ 25484	-20598
+ 25480	-20602
+ 25476	-20607
+ 25472	-20612
+ 25468	-20617
+ 25464	-20622
+ 25460	-20627
+ 25457	-20632
+ 25453	-20637
+ 25449	-20641
+ 25445	-20646
+ 25441	-20651
+ 25437	-20656
+ 25433	-20661
+ 25429	-20666
+ 25425	-20671
+ 25421	-20676
+ 25417	-20680
+ 25413	-20685
+ 25409	-20690
+ 25405	-20695
+ 25401	-20700
+ 25397	-20705
+ 25393	-20710
+ 25389	-20715
+ 25385	-20719
+ 25381	-20724
+ 25377	-20729
+ 25373	-20734
+ 25369	-20739
+ 25365	-20744
+ 25361	-20749
+ 25357	-20754
+ 25353	-20758
+ 25349	-20763
+ 25345	-20768
+ 25341	-20773
+ 25337	-20778
+ 25333	-20783
+ 25329	-20788
+ 25325	-20792
+ 25321	-20797
+ 25317	-20802
+ 25314	-20807
+ 25310	-20812
+ 25306	-20817
+ 25302	-20822
+ 25298	-20826
+ 25294	-20831
+ 25290	-20836
+ 25286	-20841
+ 25282	-20846
+ 25278	-20851
+ 25274	-20855
+ 25270	-20860
+ 25266	-20865
+ 25262	-20870
+ 25258	-20875
+ 25254	-20880
+ 25250	-20885
+ 25246	-20889
+ 25242	-20894
+ 25238	-20899
+ 25234	-20904
+ 25230	-20909
+ 25226	-20914
+ 25222	-20918
+ 25217	-20923
+ 25213	-20928
+ 25209	-20933
+ 25205	-20938
+ 25201	-20943
+ 25197	-20947
+ 25193	-20952
+ 25189	-20957
+ 25185	-20962
+ 25181	-20967
+ 25177	-20972
+ 25173	-20976
+ 25169	-20981
+ 25165	-20986
+ 25161	-20991
+ 25157	-20996
+ 25153	-21000
+ 25149	-21005
+ 25145	-21010
+ 25141	-21015
+ 25137	-21020
+ 25133	-21025
+ 25129	-21029
+ 25125	-21034
+ 25121	-21039
+ 25117	-21044
+ 25113	-21049
+ 25109	-21053
+ 25105	-21058
+ 25101	-21063
+ 25097	-21068
+ 25093	-21073
+ 25089	-21078
+ 25085	-21082
+ 25081	-21087
+ 25077	-21092
+ 25072	-21097
+ 25068	-21102
+ 25064	-21106
+ 25060	-21111
+ 25056	-21116
+ 25052	-21121
+ 25048	-21126
+ 25044	-21130
+ 25040	-21135
+ 25036	-21140
+ 25032	-21145
+ 25028	-21150
+ 25024	-21154
+ 25020	-21159
+ 25016	-21164
+ 25012	-21169
+ 25008	-21174
+ 25004	-21178
+ 25000	-21183
+ 24995	-21188
+ 24991	-21193
+ 24987	-21198
+ 24983	-21202
+ 24979	-21207
+ 24975	-21212
+ 24971	-21217
+ 24967	-21222
+ 24963	-21226
+ 24959	-21231
+ 24955	-21236
+ 24951	-21241
+ 24947	-21245
+ 24943	-21250
+ 24938	-21255
+ 24934	-21260
+ 24930	-21265
+ 24926	-21269
+ 24922	-21274
+ 24918	-21279
+ 24914	-21284
+ 24910	-21288
+ 24906	-21293
+ 24902	-21298
+ 24898	-21303
+ 24894	-21308
+ 24890	-21312
+ 24885	-21317
+ 24881	-21322
+ 24877	-21327
+ 24873	-21331
+ 24869	-21336
+ 24865	-21341
+ 24861	-21346
+ 24857	-21350
+ 24853	-21355
+ 24849	-21360
+ 24845	-21365
+ 24840	-21370
+ 24836	-21374
+ 24832	-21379
+ 24828	-21384
+ 24824	-21389
+ 24820	-21393
+ 24816	-21398
+ 24812	-21403
+ 24808	-21408
+ 24803	-21412
+ 24799	-21417
+ 24795	-21422
+ 24791	-21427
+ 24787	-21431
+ 24783	-21436
+ 24779	-21441
+ 24775	-21446
+ 24771	-21450
+ 24767	-21455
+ 24762	-21460
+ 24758	-21465
+ 24754	-21469
+ 24750	-21474
+ 24746	-21479
+ 24742	-21484
+ 24738	-21488
+ 24734	-21493
+ 24729	-21498
+ 24725	-21503
+ 24721	-21507
+ 24717	-21512
+ 24713	-21517
+ 24709	-21522
+ 24705	-21526
+ 24701	-21531
+ 24696	-21536
+ 24692	-21540
+ 24688	-21545
+ 24684	-21550
+ 24680	-21555
+ 24676	-21559
+ 24672	-21564
+ 24668	-21569
+ 24663	-21574
+ 24659	-21578
+ 24655	-21583
+ 24651	-21588
+ 24647	-21593
+ 24643	-21597
+ 24639	-21602
+ 24634	-21607
+ 24630	-21611
+ 24626	-21616
+ 24622	-21621
+ 24618	-21626
+ 24614	-21630
+ 24610	-21635
+ 24605	-21640
+ 24601	-21644
+ 24597	-21649
+ 24593	-21654
+ 24589	-21659
+ 24585	-21663
+ 24580	-21668
+ 24576	-21673
+ 24572	-21677
+ 24568	-21682
+ 24564	-21687
+ 24560	-21692
+ 24556	-21696
+ 24551	-21701
+ 24547	-21706
+ 24543	-21710
+ 24539	-21715
+ 24535	-21720
+ 24531	-21725
+ 24526	-21729
+ 24522	-21734
+ 24518	-21739
+ 24514	-21743
+ 24510	-21748
+ 24506	-21753
+ 24501	-21757
+ 24497	-21762
+ 24493	-21767
+ 24489	-21772
+ 24485	-21776
+ 24480	-21781
+ 24476	-21786
+ 24472	-21790
+ 24468	-21795
+ 24464	-21800
+ 24460	-21804
+ 24455	-21809
+ 24451	-21814
+ 24447	-21818
+ 24443	-21823
+ 24439	-21828
+ 24435	-21833
+ 24430	-21837
+ 24426	-21842
+ 24422	-21847
+ 24418	-21851
+ 24414	-21856
+ 24409	-21861
+ 24405	-21865
+ 24401	-21870
+ 24397	-21875
+ 24393	-21879
+ 24388	-21884
+ 24384	-21889
+ 24380	-21893
+ 24376	-21898
+ 24372	-21903
+ 24367	-21907
+ 24363	-21912
+ 24359	-21917
+ 24355	-21921
+ 24351	-21926
+ 24346	-21931
+ 24342	-21935
+ 24338	-21940
+ 24334	-21945
+ 24330	-21949
+ 24325	-21954
+ 24321	-21959
+ 24317	-21963
+ 24313	-21968
+ 24309	-21973
+ 24304	-21977
+ 24300	-21982
+ 24296	-21987
+ 24292	-21991
+ 24287	-21996
+ 24283	-22001
+ 24279	-22005
+ 24275	-22010
+ 24271	-22015
+ 24266	-22019
+ 24262	-22024
+ 24258	-22029
+ 24254	-22033
+ 24249	-22038
+ 24245	-22043
+ 24241	-22047
+ 24237	-22052
+ 24233	-22056
+ 24228	-22061
+ 24224	-22066
+ 24220	-22070
+ 24216	-22075
+ 24211	-22080
+ 24207	-22084
+ 24203	-22089
+ 24199	-22094
+ 24194	-22098
+ 24190	-22103
+ 24186	-22108
+ 24182	-22112
+ 24177	-22117
+ 24173	-22121
+ 24169	-22126
+ 24165	-22131
+ 24161	-22135
+ 24156	-22140
+ 24152	-22145
+ 24148	-22149
+ 24144	-22154
+ 24139	-22158
+ 24135	-22163
+ 24131	-22168
+ 24127	-22172
+ 24122	-22177
+ 24118	-22182
+ 24114	-22186
+ 24110	-22191
+ 24105	-22195
+ 24101	-22200
+ 24097	-22205
+ 24092	-22209
+ 24088	-22214
+ 24084	-22219
+ 24080	-22223
+ 24075	-22228
+ 24071	-22232
+ 24067	-22237
+ 24063	-22242
+ 24058	-22246
+ 24054	-22251
+ 24050	-22256
+ 24046	-22260
+ 24041	-22265
+ 24037	-22269
+ 24033	-22274
+ 24029	-22279
+ 24024	-22283
+ 24020	-22288
+ 24016	-22292
+ 24011	-22297
+ 24007	-22302
+ 24003	-22306
+ 23999	-22311
+ 23994	-22315
+ 23990	-22320
+ 23986	-22325
+ 23981	-22329
+ 23977	-22334
+ 23973	-22338
+ 23969	-22343
+ 23964	-22348
+ 23960	-22352
+ 23956	-22357
+ 23951	-22361
+ 23947	-22366
+ 23943	-22371
+ 23939	-22375
+ 23934	-22380
+ 23930	-22384
+ 23926	-22389
+ 23921	-22393
+ 23917	-22398
+ 23913	-22403
+ 23909	-22407
+ 23904	-22412
+ 23900	-22416
+ 23896	-22421
+ 23891	-22426
+ 23887	-22430
+ 23883	-22435
+ 23878	-22439
+ 23874	-22444
+ 23870	-22448
+ 23866	-22453
+ 23861	-22458
+ 23857	-22462
+ 23853	-22467
+ 23848	-22471
+ 23844	-22476
+ 23840	-22480
+ 23835	-22485
+ 23831	-22490
+ 23827	-22494
+ 23822	-22499
+ 23818	-22503
+ 23814	-22508
+ 23810	-22512
+ 23805	-22517
+ 23801	-22522
+ 23797	-22526
+ 23792	-22531
+ 23788	-22535
+ 23784	-22540
+ 23779	-22544
+ 23775	-22549
+ 23771	-22553
+ 23766	-22558
+ 23762	-22563
+ 23758	-22567
+ 23753	-22572
+ 23749	-22576
+ 23745	-22581
+ 23740	-22585
+ 23736	-22590
+ 23732	-22594
+ 23727	-22599
+ 23723	-22604
+ 23719	-22608
+ 23714	-22613
+ 23710	-22617
+ 23706	-22622
+ 23701	-22626
+ 23697	-22631
+ 23693	-22635
+ 23688	-22640
+ 23684	-22644
+ 23680	-22649
+ 23675	-22654
+ 23671	-22658
+ 23667	-22663
+ 23662	-22667
+ 23658	-22672
+ 23654	-22676
+ 23649	-22681
+ 23645	-22685
+ 23641	-22690
+ 23636	-22694
+ 23632	-22699
+ 23627	-22703
+ 23623	-22708
+ 23619	-22713
+ 23614	-22717
+ 23610	-22722
+ 23606	-22726
+ 23601	-22731
+ 23597	-22735
+ 23593	-22740
+ 23588	-22744
+ 23584	-22749
+ 23580	-22753
+ 23575	-22758
+ 23571	-22762
+ 23566	-22767
+ 23562	-22771
+ 23558	-22776
+ 23553	-22780
+ 23549	-22785
+ 23545	-22789
+ 23540	-22794
+ 23536	-22798
+ 23531	-22803
+ 23527	-22807
+ 23523	-22812
+ 23518	-22816
+ 23514	-22821
+ 23510	-22825
+ 23505	-22830
+ 23501	-22834
+ 23496	-22839
+ 23492	-22843
+ 23488	-22848
+ 23483	-22852
+ 23479	-22857
+ 23475	-22862
+ 23470	-22866
+ 23466	-22871
+ 23461	-22875
+ 23457	-22879
+ 23453	-22884
+ 23448	-22888
+ 23444	-22893
+ 23439	-22897
+ 23435	-22902
+ 23431	-22906
+ 23426	-22911
+ 23422	-22915
+ 23418	-22920
+ 23413	-22924
+ 23409	-22929
+ 23404	-22933
+ 23400	-22938
+ 23396	-22942
+ 23391	-22947
+ 23387	-22951
+ 23382	-22956
+ 23378	-22960
+ 23374	-22965
+ 23369	-22969
+ 23365	-22974
+ 23360	-22978
+ 23356	-22983
+ 23351	-22987
+ 23347	-22992
+ 23343	-22996
+ 23338	-23001
+ 23334	-23005
+ 23329	-23010
+ 23325	-23014
+ 23321	-23019
+ 23316	-23023
+ 23312	-23027
+ 23307	-23032
+ 23303	-23036
+ 23299	-23041
+ 23294	-23045
+ 23290	-23050
+ 23285	-23054
+ 23281	-23059
+ 23276	-23063
+ 23272	-23068
+ 23268	-23072
+ 23263	-23077
+ 23259	-23081
+ 23254	-23085
+ 23250	-23090
+ 23245	-23094
+ 23241	-23099
+ 23237	-23103
+ 23232	-23108
+ 23228	-23112
+ 23223	-23117
+ 23219	-23121
+ 23214	-23126
+ 23210	-23130
+ 23206	-23134
+ 23201	-23139
+ 23197	-23143
+ 23192	-23148
+ 23188	-23152
+ 23183	-23157
+ 23179	-23161
+ 23175	-23166
+ 23170	-23170
+ 23166	-23175
+ 23161	-23179
+ 23157	-23183
+ 23152	-23188
+ 23148	-23192
+ 23143	-23197
+ 23139	-23201
+ 23134	-23206
+ 23130	-23210
+ 23126	-23214
+ 23121	-23219
+ 23117	-23223
+ 23112	-23228
+ 23108	-23232
+ 23103	-23237
+ 23099	-23241
+ 23094	-23245
+ 23090	-23250
+ 23085	-23254
+ 23081	-23259
+ 23077	-23263
+ 23072	-23268
+ 23068	-23272
+ 23063	-23276
+ 23059	-23281
+ 23054	-23285
+ 23050	-23290
+ 23045	-23294
+ 23041	-23299
+ 23036	-23303
+ 23032	-23307
+ 23027	-23312
+ 23023	-23316
+ 23019	-23321
+ 23014	-23325
+ 23010	-23329
+ 23005	-23334
+ 23001	-23338
+ 22996	-23343
+ 22992	-23347
+ 22987	-23351
+ 22983	-23356
+ 22978	-23360
+ 22974	-23365
+ 22969	-23369
+ 22965	-23374
+ 22960	-23378
+ 22956	-23382
+ 22951	-23387
+ 22947	-23391
+ 22942	-23396
+ 22938	-23400
+ 22933	-23404
+ 22929	-23409
+ 22924	-23413
+ 22920	-23418
+ 22915	-23422
+ 22911	-23426
+ 22906	-23431
+ 22902	-23435
+ 22897	-23439
+ 22893	-23444
+ 22888	-23448
+ 22884	-23453
+ 22879	-23457
+ 22875	-23461
+ 22871	-23466
+ 22866	-23470
+ 22862	-23475
+ 22857	-23479
+ 22852	-23483
+ 22848	-23488
+ 22843	-23492
+ 22839	-23496
+ 22834	-23501
+ 22830	-23505
+ 22825	-23510
+ 22821	-23514
+ 22816	-23518
+ 22812	-23523
+ 22807	-23527
+ 22803	-23531
+ 22798	-23536
+ 22794	-23540
+ 22789	-23545
+ 22785	-23549
+ 22780	-23553
+ 22776	-23558
+ 22771	-23562
+ 22767	-23566
+ 22762	-23571
+ 22758	-23575
+ 22753	-23580
+ 22749	-23584
+ 22744	-23588
+ 22740	-23593
+ 22735	-23597
+ 22731	-23601
+ 22726	-23606
+ 22722	-23610
+ 22717	-23614
+ 22713	-23619
+ 22708	-23623
+ 22703	-23627
+ 22699	-23632
+ 22694	-23636
+ 22690	-23641
+ 22685	-23645
+ 22681	-23649
+ 22676	-23654
+ 22672	-23658
+ 22667	-23662
+ 22663	-23667
+ 22658	-23671
+ 22654	-23675
+ 22649	-23680
+ 22644	-23684
+ 22640	-23688
+ 22635	-23693
+ 22631	-23697
+ 22626	-23701
+ 22622	-23706
+ 22617	-23710
+ 22613	-23714
+ 22608	-23719
+ 22604	-23723
+ 22599	-23727
+ 22594	-23732
+ 22590	-23736
+ 22585	-23740
+ 22581	-23745
+ 22576	-23749
+ 22572	-23753
+ 22567	-23758
+ 22563	-23762
+ 22558	-23766
+ 22553	-23771
+ 22549	-23775
+ 22544	-23779
+ 22540	-23784
+ 22535	-23788
+ 22531	-23792
+ 22526	-23797
+ 22522	-23801
+ 22517	-23805
+ 22512	-23810
+ 22508	-23814
+ 22503	-23818
+ 22499	-23822
+ 22494	-23827
+ 22490	-23831
+ 22485	-23835
+ 22480	-23840
+ 22476	-23844
+ 22471	-23848
+ 22467	-23853
+ 22462	-23857
+ 22458	-23861
+ 22453	-23866
+ 22448	-23870
+ 22444	-23874
+ 22439	-23878
+ 22435	-23883
+ 22430	-23887
+ 22426	-23891
+ 22421	-23896
+ 22416	-23900
+ 22412	-23904
+ 22407	-23909
+ 22403	-23913
+ 22398	-23917
+ 22393	-23921
+ 22389	-23926
+ 22384	-23930
+ 22380	-23934
+ 22375	-23939
+ 22371	-23943
+ 22366	-23947
+ 22361	-23951
+ 22357	-23956
+ 22352	-23960
+ 22348	-23964
+ 22343	-23969
+ 22338	-23973
+ 22334	-23977
+ 22329	-23981
+ 22325	-23986
+ 22320	-23990
+ 22315	-23994
+ 22311	-23999
+ 22306	-24003
+ 22302	-24007
+ 22297	-24011
+ 22292	-24016
+ 22288	-24020
+ 22283	-24024
+ 22279	-24029
+ 22274	-24033
+ 22269	-24037
+ 22265	-24041
+ 22260	-24046
+ 22256	-24050
+ 22251	-24054
+ 22246	-24058
+ 22242	-24063
+ 22237	-24067
+ 22232	-24071
+ 22228	-24075
+ 22223	-24080
+ 22219	-24084
+ 22214	-24088
+ 22209	-24092
+ 22205	-24097
+ 22200	-24101
+ 22195	-24105
+ 22191	-24110
+ 22186	-24114
+ 22182	-24118
+ 22177	-24122
+ 22172	-24127
+ 22168	-24131
+ 22163	-24135
+ 22158	-24139
+ 22154	-24144
+ 22149	-24148
+ 22145	-24152
+ 22140	-24156
+ 22135	-24161
+ 22131	-24165
+ 22126	-24169
+ 22121	-24173
+ 22117	-24177
+ 22112	-24182
+ 22108	-24186
+ 22103	-24190
+ 22098	-24194
+ 22094	-24199
+ 22089	-24203
+ 22084	-24207
+ 22080	-24211
+ 22075	-24216
+ 22070	-24220
+ 22066	-24224
+ 22061	-24228
+ 22056	-24233
+ 22052	-24237
+ 22047	-24241
+ 22043	-24245
+ 22038	-24249
+ 22033	-24254
+ 22029	-24258
+ 22024	-24262
+ 22019	-24266
+ 22015	-24271
+ 22010	-24275
+ 22005	-24279
+ 22001	-24283
+ 21996	-24287
+ 21991	-24292
+ 21987	-24296
+ 21982	-24300
+ 21977	-24304
+ 21973	-24309
+ 21968	-24313
+ 21963	-24317
+ 21959	-24321
+ 21954	-24325
+ 21949	-24330
+ 21945	-24334
+ 21940	-24338
+ 21935	-24342
+ 21931	-24346
+ 21926	-24351
+ 21921	-24355
+ 21917	-24359
+ 21912	-24363
+ 21907	-24367
+ 21903	-24372
+ 21898	-24376
+ 21893	-24380
+ 21889	-24384
+ 21884	-24388
+ 21879	-24393
+ 21875	-24397
+ 21870	-24401
+ 21865	-24405
+ 21861	-24409
+ 21856	-24414
+ 21851	-24418
+ 21847	-24422
+ 21842	-24426
+ 21837	-24430
+ 21833	-24435
+ 21828	-24439
+ 21823	-24443
+ 21818	-24447
+ 21814	-24451
+ 21809	-24455
+ 21804	-24460
+ 21800	-24464
+ 21795	-24468
+ 21790	-24472
+ 21786	-24476
+ 21781	-24480
+ 21776	-24485
+ 21772	-24489
+ 21767	-24493
+ 21762	-24497
+ 21757	-24501
+ 21753	-24506
+ 21748	-24510
+ 21743	-24514
+ 21739	-24518
+ 21734	-24522
+ 21729	-24526
+ 21725	-24531
+ 21720	-24535
+ 21715	-24539
+ 21710	-24543
+ 21706	-24547
+ 21701	-24551
+ 21696	-24556
+ 21692	-24560
+ 21687	-24564
+ 21682	-24568
+ 21677	-24572
+ 21673	-24576
+ 21668	-24580
+ 21663	-24585
+ 21659	-24589
+ 21654	-24593
+ 21649	-24597
+ 21644	-24601
+ 21640	-24605
+ 21635	-24610
+ 21630	-24614
+ 21626	-24618
+ 21621	-24622
+ 21616	-24626
+ 21611	-24630
+ 21607	-24634
+ 21602	-24639
+ 21597	-24643
+ 21593	-24647
+ 21588	-24651
+ 21583	-24655
+ 21578	-24659
+ 21574	-24663
+ 21569	-24668
+ 21564	-24672
+ 21559	-24676
+ 21555	-24680
+ 21550	-24684
+ 21545	-24688
+ 21540	-24692
+ 21536	-24696
+ 21531	-24701
+ 21526	-24705
+ 21522	-24709
+ 21517	-24713
+ 21512	-24717
+ 21507	-24721
+ 21503	-24725
+ 21498	-24729
+ 21493	-24734
+ 21488	-24738
+ 21484	-24742
+ 21479	-24746
+ 21474	-24750
+ 21469	-24754
+ 21465	-24758
+ 21460	-24762
+ 21455	-24767
+ 21450	-24771
+ 21446	-24775
+ 21441	-24779
+ 21436	-24783
+ 21431	-24787
+ 21427	-24791
+ 21422	-24795
+ 21417	-24799
+ 21412	-24803
+ 21408	-24808
+ 21403	-24812
+ 21398	-24816
+ 21393	-24820
+ 21389	-24824
+ 21384	-24828
+ 21379	-24832
+ 21374	-24836
+ 21370	-24840
+ 21365	-24845
+ 21360	-24849
+ 21355	-24853
+ 21350	-24857
+ 21346	-24861
+ 21341	-24865
+ 21336	-24869
+ 21331	-24873
+ 21327	-24877
+ 21322	-24881
+ 21317	-24885
+ 21312	-24890
+ 21308	-24894
+ 21303	-24898
+ 21298	-24902
+ 21293	-24906
+ 21288	-24910
+ 21284	-24914
+ 21279	-24918
+ 21274	-24922
+ 21269	-24926
+ 21265	-24930
+ 21260	-24934
+ 21255	-24938
+ 21250	-24943
+ 21245	-24947
+ 21241	-24951
+ 21236	-24955
+ 21231	-24959
+ 21226	-24963
+ 21222	-24967
+ 21217	-24971
+ 21212	-24975
+ 21207	-24979
+ 21202	-24983
+ 21198	-24987
+ 21193	-24991
+ 21188	-24995
+ 21183	-25000
+ 21178	-25004
+ 21174	-25008
+ 21169	-25012
+ 21164	-25016
+ 21159	-25020
+ 21154	-25024
+ 21150	-25028
+ 21145	-25032
+ 21140	-25036
+ 21135	-25040
+ 21130	-25044
+ 21126	-25048
+ 21121	-25052
+ 21116	-25056
+ 21111	-25060
+ 21106	-25064
+ 21102	-25068
+ 21097	-25072
+ 21092	-25077
+ 21087	-25081
+ 21082	-25085
+ 21078	-25089
+ 21073	-25093
+ 21068	-25097
+ 21063	-25101
+ 21058	-25105
+ 21053	-25109
+ 21049	-25113
+ 21044	-25117
+ 21039	-25121
+ 21034	-25125
+ 21029	-25129
+ 21025	-25133
+ 21020	-25137
+ 21015	-25141
+ 21010	-25145
+ 21005	-25149
+ 21000	-25153
+ 20996	-25157
+ 20991	-25161
+ 20986	-25165
+ 20981	-25169
+ 20976	-25173
+ 20972	-25177
+ 20967	-25181
+ 20962	-25185
+ 20957	-25189
+ 20952	-25193
+ 20947	-25197
+ 20943	-25201
+ 20938	-25205
+ 20933	-25209
+ 20928	-25213
+ 20923	-25217
+ 20918	-25222
+ 20914	-25226
+ 20909	-25230
+ 20904	-25234
+ 20899	-25238
+ 20894	-25242
+ 20889	-25246
+ 20885	-25250
+ 20880	-25254
+ 20875	-25258
+ 20870	-25262
+ 20865	-25266
+ 20860	-25270
+ 20855	-25274
+ 20851	-25278
+ 20846	-25282
+ 20841	-25286
+ 20836	-25290
+ 20831	-25294
+ 20826	-25298
+ 20822	-25302
+ 20817	-25306
+ 20812	-25310
+ 20807	-25314
+ 20802	-25317
+ 20797	-25321
+ 20792	-25325
+ 20788	-25329
+ 20783	-25333
+ 20778	-25337
+ 20773	-25341
+ 20768	-25345
+ 20763	-25349
+ 20758	-25353
+ 20754	-25357
+ 20749	-25361
+ 20744	-25365
+ 20739	-25369
+ 20734	-25373
+ 20729	-25377
+ 20724	-25381
+ 20719	-25385
+ 20715	-25389
+ 20710	-25393
+ 20705	-25397
+ 20700	-25401
+ 20695	-25405
+ 20690	-25409
+ 20685	-25413
+ 20680	-25417
+ 20676	-25421
+ 20671	-25425
+ 20666	-25429
+ 20661	-25433
+ 20656	-25437
+ 20651	-25441
+ 20646	-25445
+ 20641	-25449
+ 20637	-25453
+ 20632	-25457
+ 20627	-25460
+ 20622	-25464
+ 20617	-25468
+ 20612	-25472
+ 20607	-25476
+ 20602	-25480
+ 20598	-25484
+ 20593	-25488
+ 20588	-25492
+ 20583	-25496
+ 20578	-25500
+ 20573	-25504
+ 20568	-25508
+ 20563	-25512
+ 20558	-25516
+ 20554	-25520
+ 20549	-25524
+ 20544	-25528
+ 20539	-25532
+ 20534	-25535
+ 20529	-25539
+ 20524	-25543
+ 20519	-25547
+ 20514	-25551
+ 20509	-25555
+ 20505	-25559
+ 20500	-25563
+ 20495	-25567
+ 20490	-25571
+ 20485	-25575
+ 20480	-25579
+ 20475	-25583
+ 20470	-25587
+ 20465	-25590
+ 20460	-25594
+ 20456	-25598
+ 20451	-25602
+ 20446	-25606
+ 20441	-25610
+ 20436	-25614
+ 20431	-25618
+ 20426	-25622
+ 20421	-25626
+ 20416	-25630
+ 20411	-25634
+ 20406	-25638
+ 20401	-25641
+ 20397	-25645
+ 20392	-25649
+ 20387	-25653
+ 20382	-25657
+ 20377	-25661
+ 20372	-25665
+ 20367	-25669
+ 20362	-25673
+ 20357	-25677
+ 20352	-25680
+ 20347	-25684
+ 20342	-25688
+ 20338	-25692
+ 20333	-25696
+ 20328	-25700
+ 20323	-25704
+ 20318	-25708
+ 20313	-25712
+ 20308	-25716
+ 20303	-25719
+ 20298	-25723
+ 20293	-25727
+ 20288	-25731
+ 20283	-25735
+ 20278	-25739
+ 20273	-25743
+ 20268	-25747
+ 20264	-25751
+ 20259	-25754
+ 20254	-25758
+ 20249	-25762
+ 20244	-25766
+ 20239	-25770
+ 20234	-25774
+ 20229	-25778
+ 20224	-25782
+ 20219	-25786
+ 20214	-25789
+ 20209	-25793
+ 20204	-25797
+ 20199	-25801
+ 20194	-25805
+ 20189	-25809
+ 20184	-25813
+ 20179	-25816
+ 20175	-25820
+ 20170	-25824
+ 20165	-25828
+ 20160	-25832
+ 20155	-25836
+ 20150	-25840
+ 20145	-25844
+ 20140	-25847
+ 20135	-25851
+ 20130	-25855
+ 20125	-25859
+ 20120	-25863
+ 20115	-25867
+ 20110	-25871
+ 20105	-25874
+ 20100	-25878
+ 20095	-25882
+ 20090	-25886
+ 20085	-25890
+ 20080	-25894
+ 20075	-25898
+ 20070	-25901
+ 20065	-25905
+ 20060	-25909
+ 20056	-25913
+ 20051	-25917
+ 20046	-25921
+ 20041	-25924
+ 20036	-25928
+ 20031	-25932
+ 20026	-25936
+ 20021	-25940
+ 20016	-25944
+ 20011	-25947
+ 20006	-25951
+ 20001	-25955
+ 19996	-25959
+ 19991	-25963
+ 19986	-25967
+ 19981	-25970
+ 19976	-25974
+ 19971	-25978
+ 19966	-25982
+ 19961	-25986
+ 19956	-25990
+ 19951	-25993
+ 19946	-25997
+ 19941	-26001
+ 19936	-26005
+ 19931	-26009
+ 19926	-26013
+ 19921	-26016
+ 19916	-26020
+ 19911	-26024
+ 19906	-26028
+ 19901	-26032
+ 19896	-26035
+ 19891	-26039
+ 19886	-26043
+ 19881	-26047
+ 19876	-26051
+ 19871	-26055
+ 19866	-26058
+ 19861	-26062
+ 19856	-26066
+ 19851	-26070
+ 19846	-26074
+ 19841	-26077
+ 19836	-26081
+ 19831	-26085
+ 19826	-26089
+ 19821	-26093
+ 19816	-26096
+ 19811	-26100
+ 19806	-26104
+ 19801	-26108
+ 19796	-26112
+ 19791	-26115
+ 19786	-26119
+ 19781	-26123
+ 19776	-26127
+ 19771	-26131
+ 19766	-26134
+ 19761	-26138
+ 19756	-26142
+ 19751	-26146
+ 19746	-26150
+ 19741	-26153
+ 19736	-26157
+ 19731	-26161
+ 19726	-26165
+ 19721	-26168
+ 19716	-26172
+ 19711	-26176
+ 19706	-26180
+ 19701	-26184
+ 19696	-26187
+ 19691	-26191
+ 19686	-26195
+ 19681	-26199
+ 19676	-26202
+ 19671	-26206
+ 19666	-26210
+ 19661	-26214
+ 19656	-26217
+ 19651	-26221
+ 19646	-26225
+ 19641	-26229
+ 19636	-26233
+ 19631	-26236
+ 19625	-26240
+ 19620	-26244
+ 19615	-26248
+ 19610	-26251
+ 19605	-26255
+ 19600	-26259
+ 19595	-26263
+ 19590	-26266
+ 19585	-26270
+ 19580	-26274
+ 19575	-26278
+ 19570	-26281
+ 19565	-26285
+ 19560	-26289
+ 19555	-26293
+ 19550	-26296
+ 19545	-26300
+ 19540	-26304
+ 19535	-26308
+ 19530	-26311
+ 19525	-26315
+ 19520	-26319
+ 19515	-26323
+ 19510	-26326
+ 19505	-26330
+ 19499	-26334
+ 19494	-26338
+ 19489	-26341
+ 19484	-26345
+ 19479	-26349
+ 19474	-26353
+ 19469	-26356
+ 19464	-26360
+ 19459	-26364
+ 19454	-26367
+ 19449	-26371
+ 19444	-26375
+ 19439	-26379
+ 19434	-26382
+ 19429	-26386
+ 19424	-26390
+ 19419	-26394
+ 19414	-26397
+ 19408	-26401
+ 19403	-26405
+ 19398	-26408
+ 19393	-26412
+ 19388	-26416
+ 19383	-26420
+ 19378	-26423
+ 19373	-26427
+ 19368	-26431
+ 19363	-26434
+ 19358	-26438
+ 19353	-26442
+ 19348	-26446
+ 19343	-26449
+ 19338	-26453
+ 19332	-26457
+ 19327	-26460
+ 19322	-26464
+ 19317	-26468
+ 19312	-26472
+ 19307	-26475
+ 19302	-26479
+ 19297	-26483
+ 19292	-26486
+ 19287	-26490
+ 19282	-26494
+ 19277	-26497
+ 19272	-26501
+ 19266	-26505
+ 19261	-26509
+ 19256	-26512
+ 19251	-26516
+ 19246	-26520
+ 19241	-26523
+ 19236	-26527
+ 19231	-26531
+ 19226	-26534
+ 19221	-26538
+ 19216	-26542
+ 19211	-26545
+ 19205	-26549
+ 19200	-26553
+ 19195	-26556
+ 19190	-26560
+ 19185	-26564
+ 19180	-26567
+ 19175	-26571
+ 19170	-26575
+ 19165	-26579
+ 19160	-26582
+ 19154	-26586
+ 19149	-26590
+ 19144	-26593
+ 19139	-26597
+ 19134	-26601
+ 19129	-26604
+ 19124	-26608
+ 19119	-26612
+ 19114	-26615
+ 19109	-26619
+ 19103	-26623
+ 19098	-26626
+ 19093	-26630
+ 19088	-26634
+ 19083	-26637
+ 19078	-26641
+ 19073	-26644
+ 19068	-26648
+ 19063	-26652
+ 19058	-26655
+ 19052	-26659
+ 19047	-26663
+ 19042	-26666
+ 19037	-26670
+ 19032	-26674
+ 19027	-26677
+ 19022	-26681
+ 19017	-26685
+ 19011	-26688
+ 19006	-26692
+ 19001	-26696
+ 18996	-26699
+ 18991	-26703
+ 18986	-26707
+ 18981	-26710
+ 18976	-26714
+ 18971	-26717
+ 18965	-26721
+ 18960	-26725
+ 18955	-26728
+ 18950	-26732
+ 18945	-26736
+ 18940	-26739
+ 18935	-26743
+ 18930	-26747
+ 18924	-26750
+ 18919	-26754
+ 18914	-26757
+ 18909	-26761
+ 18904	-26765
+ 18899	-26768
+ 18894	-26772
+ 18888	-26776
+ 18883	-26779
+ 18878	-26783
+ 18873	-26786
+ 18868	-26790
+ 18863	-26794
+ 18858	-26797
+ 18853	-26801
+ 18847	-26804
+ 18842	-26808
+ 18837	-26812
+ 18832	-26815
+ 18827	-26819
+ 18822	-26823
+ 18817	-26826
+ 18811	-26830
+ 18806	-26833
+ 18801	-26837
+ 18796	-26841
+ 18791	-26844
+ 18786	-26848
+ 18781	-26851
+ 18775	-26855
+ 18770	-26859
+ 18765	-26862
+ 18760	-26866
+ 18755	-26869
+ 18750	-26873
+ 18744	-26877
+ 18739	-26880
+ 18734	-26884
+ 18729	-26887
+ 18724	-26891
+ 18719	-26894
+ 18714	-26898
+ 18708	-26902
+ 18703	-26905
+ 18698	-26909
+ 18693	-26912
+ 18688	-26916
+ 18683	-26920
+ 18677	-26923
+ 18672	-26927
+ 18667	-26930
+ 18662	-26934
+ 18657	-26937
+ 18652	-26941
+ 18646	-26945
+ 18641	-26948
+ 18636	-26952
+ 18631	-26955
+ 18626	-26959
+ 18621	-26962
+ 18615	-26966
+ 18610	-26970
+ 18605	-26973
+ 18600	-26977
+ 18595	-26980
+ 18590	-26984
+ 18584	-26987
+ 18579	-26991
+ 18574	-26995
+ 18569	-26998
+ 18564	-27002
+ 18558	-27005
+ 18553	-27009
+ 18548	-27012
+ 18543	-27016
+ 18538	-27019
+ 18533	-27023
+ 18527	-27027
+ 18522	-27030
+ 18517	-27034
+ 18512	-27037
+ 18507	-27041
+ 18501	-27044
+ 18496	-27048
+ 18491	-27051
+ 18486	-27055
+ 18481	-27059
+ 18476	-27062
+ 18470	-27066
+ 18465	-27069
+ 18460	-27073
+ 18455	-27076
+ 18450	-27080
+ 18444	-27083
+ 18439	-27087
+ 18434	-27090
+ 18429	-27094
+ 18424	-27097
+ 18418	-27101
+ 18413	-27105
+ 18408	-27108
+ 18403	-27112
+ 18398	-27115
+ 18392	-27119
+ 18387	-27122
+ 18382	-27126
+ 18377	-27129
+ 18372	-27133
+ 18366	-27136
+ 18361	-27140
+ 18356	-27143
+ 18351	-27147
+ 18346	-27150
+ 18340	-27154
+ 18335	-27157
+ 18330	-27161
+ 18325	-27164
+ 18320	-27168
+ 18314	-27171
+ 18309	-27175
+ 18304	-27178
+ 18299	-27182
+ 18294	-27185
+ 18288	-27189
+ 18283	-27192
+ 18278	-27196
+ 18273	-27199
+ 18267	-27203
+ 18262	-27206
+ 18257	-27210
+ 18252	-27213
+ 18247	-27217
+ 18241	-27220
+ 18236	-27224
+ 18231	-27227
+ 18226	-27231
+ 18220	-27234
+ 18215	-27238
+ 18210	-27241
+ 18205	-27245
+ 18200	-27248
+ 18194	-27252
+ 18189	-27255
+ 18184	-27259
+ 18179	-27262
+ 18173	-27266
+ 18168	-27269
+ 18163	-27273
+ 18158	-27276
+ 18153	-27280
+ 18147	-27283
+ 18142	-27287
+ 18137	-27290
+ 18132	-27294
+ 18126	-27297
+ 18121	-27301
+ 18116	-27304
+ 18111	-27308
+ 18105	-27311
+ 18100	-27315
+ 18095	-27318
+ 18090	-27321
+ 18084	-27325
+ 18079	-27328
+ 18074	-27332
+ 18069	-27335
+ 18064	-27339
+ 18058	-27342
+ 18053	-27346
+ 18048	-27349
+ 18043	-27353
+ 18037	-27356
+ 18032	-27360
+ 18027	-27363
+ 18022	-27366
+ 18016	-27370
+ 18011	-27373
+ 18006	-27377
+ 18001	-27380
+ 17995	-27384
+ 17990	-27387
+ 17985	-27391
+ 17980	-27394
+ 17974	-27398
+ 17969	-27401
+ 17964	-27404
+ 17959	-27408
+ 17953	-27411
+ 17948	-27415
+ 17943	-27418
+ 17938	-27422
+ 17932	-27425
+ 17927	-27429
+ 17922	-27432
+ 17916	-27435
+ 17911	-27439
+ 17906	-27442
+ 17901	-27446
+ 17895	-27449
+ 17890	-27453
+ 17885	-27456
+ 17880	-27459
+ 17874	-27463
+ 17869	-27466
+ 17864	-27470
+ 17859	-27473
+ 17853	-27477
+ 17848	-27480
+ 17843	-27483
+ 17838	-27487
+ 17832	-27490
+ 17827	-27494
+ 17822	-27497
+ 17816	-27500
+ 17811	-27504
+ 17806	-27507
+ 17801	-27511
+ 17795	-27514
+ 17790	-27518
+ 17785	-27521
+ 17779	-27524
+ 17774	-27528
+ 17769	-27531
+ 17764	-27535
+ 17758	-27538
+ 17753	-27541
+ 17748	-27545
+ 17743	-27548
+ 17737	-27552
+ 17732	-27555
+ 17727	-27558
+ 17721	-27562
+ 17716	-27565
+ 17711	-27569
+ 17706	-27572
+ 17700	-27575
+ 17695	-27579
+ 17690	-27582
+ 17684	-27586
+ 17679	-27589
+ 17674	-27592
+ 17669	-27596
+ 17663	-27599
+ 17658	-27603
+ 17653	-27606
+ 17647	-27609
+ 17642	-27613
+ 17637	-27616
+ 17631	-27619
+ 17626	-27623
+ 17621	-27626
+ 17616	-27630
+ 17610	-27633
+ 17605	-27636
+ 17600	-27640
+ 17594	-27643
+ 17589	-27646
+ 17584	-27650
+ 17578	-27653
+ 17573	-27657
+ 17568	-27660
+ 17563	-27663
+ 17557	-27667
+ 17552	-27670
+ 17547	-27673
+ 17541	-27677
+ 17536	-27680
+ 17531	-27683
+ 17525	-27687
+ 17520	-27690
+ 17515	-27694
+ 17509	-27697
+ 17504	-27700
+ 17499	-27704
+ 17494	-27707
+ 17488	-27710
+ 17483	-27714
+ 17478	-27717
+ 17472	-27720
+ 17467	-27724
+ 17462	-27727
+ 17456	-27730
+ 17451	-27734
+ 17446	-27737
+ 17440	-27740
+ 17435	-27744
+ 17430	-27747
+ 17424	-27750
+ 17419	-27754
+ 17414	-27757
+ 17408	-27761
+ 17403	-27764
+ 17398	-27767
+ 17393	-27771
+ 17387	-27774
+ 17382	-27777
+ 17377	-27781
+ 17371	-27784
+ 17366	-27787
+ 17361	-27791
+ 17355	-27794
+ 17350	-27797
+ 17345	-27800
+ 17339	-27804
+ 17334	-27807
+ 17329	-27810
+ 17323	-27814
+ 17318	-27817
+ 17313	-27820
+ 17307	-27824
+ 17302	-27827
+ 17297	-27830
+ 17291	-27834
+ 17286	-27837
+ 17281	-27840
+ 17275	-27844
+ 17270	-27847
+ 17265	-27850
+ 17259	-27854
+ 17254	-27857
+ 17249	-27860
+ 17243	-27863
+ 17238	-27867
+ 17232	-27870
+ 17227	-27873
+ 17222	-27877
+ 17216	-27880
+ 17211	-27883
+ 17206	-27887
+ 17200	-27890
+ 17195	-27893
+ 17190	-27897
+ 17184	-27900
+ 17179	-27903
+ 17174	-27906
+ 17168	-27910
+ 17163	-27913
+ 17158	-27916
+ 17152	-27920
+ 17147	-27923
+ 17142	-27926
+ 17136	-27929
+ 17131	-27933
+ 17125	-27936
+ 17120	-27939
+ 17115	-27943
+ 17109	-27946
+ 17104	-27949
+ 17099	-27952
+ 17093	-27956
+ 17088	-27959
+ 17083	-27962
+ 17077	-27965
+ 17072	-27969
+ 17067	-27972
+ 17061	-27975
+ 17056	-27979
+ 17050	-27982
+ 17045	-27985
+ 17040	-27988
+ 17034	-27992
+ 17029	-27995
+ 17024	-27998
+ 17018	-28001
+ 17013	-28005
+ 17007	-28008
+ 17002	-28011
+ 16997	-28014
+ 16991	-28018
+ 16986	-28021
+ 16981	-28024
+ 16975	-28028
+ 16970	-28031
+ 16964	-28034
+ 16959	-28037
+ 16954	-28041
+ 16948	-28044
+ 16943	-28047
+ 16938	-28050
+ 16932	-28054
+ 16927	-28057
+ 16921	-28060
+ 16916	-28063
+ 16911	-28067
+ 16905	-28070
+ 16900	-28073
+ 16895	-28076
+ 16889	-28079
+ 16884	-28083
+ 16878	-28086
+ 16873	-28089
+ 16868	-28092
+ 16862	-28096
+ 16857	-28099
+ 16851	-28102
+ 16846	-28105
+ 16841	-28109
+ 16835	-28112
+ 16830	-28115
+ 16825	-28118
+ 16819	-28121
+ 16814	-28125
+ 16808	-28128
+ 16803	-28131
+ 16798	-28134
+ 16792	-28138
+ 16787	-28141
+ 16781	-28144
+ 16776	-28147
+ 16771	-28150
+ 16765	-28154
+ 16760	-28157
+ 16754	-28160
+ 16749	-28163
+ 16744	-28167
+ 16738	-28170
+ 16733	-28173
+ 16727	-28176
+ 16722	-28179
+ 16717	-28183
+ 16711	-28186
+ 16706	-28189
+ 16700	-28192
+ 16695	-28195
+ 16690	-28199
+ 16684	-28202
+ 16679	-28205
+ 16673	-28208
+ 16668	-28211
+ 16663	-28215
+ 16657	-28218
+ 16652	-28221
+ 16646	-28224
+ 16641	-28227
+ 16635	-28231
+ 16630	-28234
+ 16625	-28237
+ 16619	-28240
+ 16614	-28243
+ 16608	-28246
+ 16603	-28250
+ 16598	-28253
+ 16592	-28256
+ 16587	-28259
+ 16581	-28262
+ 16576	-28266
+ 16570	-28269
+ 16565	-28272
+ 16560	-28275
+ 16554	-28278
+ 16549	-28281
+ 16543	-28285
+ 16538	-28288
+ 16532	-28291
+ 16527	-28294
+ 16522	-28297
+ 16516	-28300
+ 16511	-28304
+ 16505	-28307
+ 16500	-28310
+ 16495	-28313
+ 16489	-28316
+ 16484	-28319
+ 16478	-28323
+ 16473	-28326
+ 16467	-28329
+ 16462	-28332
+ 16456	-28335
+ 16451	-28338
+ 16446	-28342
+ 16440	-28345
+ 16435	-28348
+ 16429	-28351
+ 16424	-28354
+ 16418	-28357
+ 16413	-28360
+ 16408	-28364
+ 16402	-28367
+ 16397	-28370
+ 16391	-28373
+ 16386	-28376
+ 16379	-28379
+ 16374	-28382
+ 16368	-28386
+ 16363	-28389
+ 16358	-28392
+ 16352	-28395
+ 16347	-28398
+ 16341	-28401
+ 16336	-28404
+ 16330	-28408
+ 16325	-28411
+ 16319	-28414
+ 16314	-28417
+ 16309	-28420
+ 16303	-28423
+ 16298	-28426
+ 16292	-28429
+ 16287	-28433
+ 16281	-28436
+ 16276	-28439
+ 16270	-28442
+ 16265	-28445
+ 16260	-28448
+ 16254	-28451
+ 16249	-28454
+ 16243	-28457
+ 16238	-28461
+ 16232	-28464
+ 16227	-28467
+ 16221	-28470
+ 16216	-28473
+ 16210	-28476
+ 16205	-28479
+ 16199	-28482
+ 16194	-28485
+ 16189	-28489
+ 16183	-28492
+ 16178	-28495
+ 16172	-28498
+ 16167	-28501
+ 16161	-28504
+ 16156	-28507
+ 16150	-28510
+ 16145	-28513
+ 16139	-28516
+ 16134	-28520
+ 16128	-28523
+ 16123	-28526
+ 16117	-28529
+ 16112	-28532
+ 16107	-28535
+ 16101	-28538
+ 16096	-28541
+ 16090	-28544
+ 16085	-28547
+ 16079	-28550
+ 16074	-28554
+ 16068	-28557
+ 16063	-28560
+ 16057	-28563
+ 16052	-28566
+ 16046	-28569
+ 16041	-28572
+ 16035	-28575
+ 16030	-28578
+ 16024	-28581
+ 16019	-28584
+ 16013	-28587
+ 16008	-28590
+ 16002	-28594
+ 15997	-28597
+ 15992	-28600
+ 15986	-28603
+ 15981	-28606
+ 15975	-28609
+ 15970	-28612
+ 15964	-28615
+ 15959	-28618
+ 15953	-28621
+ 15948	-28624
+ 15942	-28627
+ 15937	-28630
+ 15931	-28633
+ 15926	-28636
+ 15920	-28639
+ 15915	-28642
+ 15909	-28646
+ 15904	-28649
+ 15898	-28652
+ 15893	-28655
+ 15887	-28658
+ 15882	-28661
+ 15876	-28664
+ 15871	-28667
+ 15865	-28670
+ 15860	-28673
+ 15854	-28676
+ 15849	-28679
+ 15843	-28682
+ 15838	-28685
+ 15832	-28688
+ 15827	-28691
+ 15821	-28694
+ 15816	-28697
+ 15810	-28700
+ 15805	-28703
+ 15799	-28706
+ 15794	-28709
+ 15788	-28712
+ 15783	-28715
+ 15777	-28718
+ 15772	-28721
+ 15766	-28724
+ 15761	-28727
+ 15755	-28731
+ 15750	-28734
+ 15744	-28737
+ 15739	-28740
+ 15733	-28743
+ 15728	-28746
+ 15722	-28749
+ 15717	-28752
+ 15711	-28755
+ 15706	-28758
+ 15700	-28761
+ 15695	-28764
+ 15689	-28767
+ 15684	-28770
+ 15678	-28773
+ 15672	-28776
+ 15667	-28779
+ 15661	-28782
+ 15656	-28785
+ 15650	-28788
+ 15645	-28791
+ 15639	-28794
+ 15634	-28797
+ 15628	-28800
+ 15623	-28803
+ 15617	-28806
+ 15612	-28809
+ 15606	-28812
+ 15601	-28815
+ 15595	-28818
+ 15590	-28821
+ 15584	-28824
+ 15579	-28827
+ 15573	-28830
+ 15568	-28833
+ 15562	-28836
+ 15556	-28839
+ 15551	-28842
+ 15545	-28845
+ 15540	-28848
+ 15534	-28851
+ 15529	-28853
+ 15523	-28856
+ 15518	-28859
+ 15512	-28862
+ 15507	-28865
+ 15501	-28868
+ 15496	-28871
+ 15490	-28874
+ 15485	-28877
+ 15479	-28880
+ 15473	-28883
+ 15468	-28886
+ 15462	-28889
+ 15457	-28892
+ 15451	-28895
+ 15446	-28898
+ 15440	-28901
+ 15435	-28904
+ 15429	-28907
+ 15424	-28910
+ 15418	-28913
+ 15413	-28916
+ 15407	-28919
+ 15401	-28922
+ 15396	-28925
+ 15390	-28928
+ 15385	-28931
+ 15379	-28933
+ 15374	-28936
+ 15368	-28939
+ 15363	-28942
+ 15357	-28945
+ 15352	-28948
+ 15346	-28951
+ 15340	-28954
+ 15335	-28957
+ 15329	-28960
+ 15324	-28963
+ 15318	-28966
+ 15313	-28969
+ 15307	-28972
+ 15302	-28975
+ 15296	-28978
+ 15290	-28981
+ 15285	-28983
+ 15279	-28986
+ 15274	-28989
+ 15268	-28992
+ 15263	-28995
+ 15257	-28998
+ 15252	-29001
+ 15246	-29004
+ 15240	-29007
+ 15235	-29010
+ 15229	-29013
+ 15224	-29016
+ 15218	-29019
+ 15213	-29021
+ 15207	-29024
+ 15201	-29027
+ 15196	-29030
+ 15190	-29033
+ 15185	-29036
+ 15179	-29039
+ 15174	-29042
+ 15168	-29045
+ 15162	-29048
+ 15157	-29051
+ 15151	-29054
+ 15146	-29056
+ 15140	-29059
+ 15135	-29062
+ 15129	-29065
+ 15123	-29068
+ 15118	-29071
+ 15112	-29074
+ 15107	-29077
+ 15101	-29080
+ 15096	-29083
+ 15090	-29085
+ 15084	-29088
+ 15079	-29091
+ 15073	-29094
+ 15068	-29097
+ 15062	-29100
+ 15057	-29103
+ 15051	-29106
+ 15045	-29109
+ 15040	-29111
+ 15034	-29114
+ 15029	-29117
+ 15023	-29120
+ 15017	-29123
+ 15012	-29126
+ 15006	-29129
+ 15001	-29132
+ 14995	-29134
+ 14990	-29137
+ 14984	-29140
+ 14978	-29143
+ 14973	-29146
+ 14967	-29149
+ 14962	-29152
+ 14956	-29155
+ 14950	-29157
+ 14945	-29160
+ 14939	-29163
+ 14934	-29166
+ 14928	-29169
+ 14922	-29172
+ 14917	-29175
+ 14911	-29177
+ 14906	-29180
+ 14900	-29183
+ 14894	-29186
+ 14889	-29189
+ 14883	-29192
+ 14878	-29195
+ 14872	-29197
+ 14866	-29200
+ 14861	-29203
+ 14855	-29206
+ 14850	-29209
+ 14844	-29212
+ 14838	-29215
+ 14833	-29217
+ 14827	-29220
+ 14822	-29223
+ 14816	-29226
+ 14810	-29229
+ 14805	-29232
+ 14799	-29234
+ 14794	-29237
+ 14788	-29240
+ 14782	-29243
+ 14777	-29246
+ 14771	-29249
+ 14766	-29251
+ 14760	-29254
+ 14754	-29257
+ 14749	-29260
+ 14743	-29263
+ 14738	-29266
+ 14732	-29268
+ 14726	-29271
+ 14721	-29274
+ 14715	-29277
+ 14710	-29280
+ 14704	-29282
+ 14698	-29285
+ 14693	-29288
+ 14687	-29291
+ 14681	-29294
+ 14676	-29297
+ 14670	-29299
+ 14665	-29302
+ 14659	-29305
+ 14653	-29308
+ 14648	-29311
+ 14642	-29313
+ 14636	-29316
+ 14631	-29319
+ 14625	-29322
+ 14620	-29325
+ 14614	-29327
+ 14608	-29330
+ 14603	-29333
+ 14597	-29336
+ 14591	-29339
+ 14586	-29341
+ 14580	-29344
+ 14575	-29347
+ 14569	-29350
+ 14563	-29353
+ 14558	-29355
+ 14552	-29358
+ 14546	-29361
+ 14541	-29364
+ 14535	-29367
+ 14530	-29369
+ 14524	-29372
+ 14518	-29375
+ 14513	-29378
+ 14507	-29381
+ 14501	-29383
+ 14496	-29386
+ 14490	-29389
+ 14485	-29392
+ 14479	-29394
+ 14473	-29397
+ 14468	-29400
+ 14462	-29403
+ 14456	-29406
+ 14451	-29408
+ 14445	-29411
+ 14439	-29414
+ 14434	-29417
+ 14428	-29419
+ 14422	-29422
+ 14417	-29425
+ 14411	-29428
+ 14406	-29430
+ 14400	-29433
+ 14394	-29436
+ 14389	-29439
+ 14383	-29441
+ 14377	-29444
+ 14372	-29447
+ 14366	-29450
+ 14360	-29452
+ 14355	-29455
+ 14349	-29458
+ 14343	-29461
+ 14338	-29463
+ 14332	-29466
+ 14327	-29469
+ 14321	-29472
+ 14315	-29474
+ 14310	-29477
+ 14304	-29480
+ 14298	-29483
+ 14293	-29485
+ 14287	-29488
+ 14281	-29491
+ 14276	-29494
+ 14270	-29496
+ 14264	-29499
+ 14259	-29502
+ 14253	-29505
+ 14247	-29507
+ 14242	-29510
+ 14236	-29513
+ 14230	-29516
+ 14225	-29518
+ 14219	-29521
+ 14213	-29524
+ 14208	-29526
+ 14202	-29529
+ 14196	-29532
+ 14191	-29535
+ 14185	-29537
+ 14179	-29540
+ 14174	-29543
+ 14168	-29545
+ 14162	-29548
+ 14157	-29551
+ 14151	-29554
+ 14145	-29556
+ 14140	-29559
+ 14134	-29562
+ 14128	-29564
+ 14123	-29567
+ 14117	-29570
+ 14111	-29573
+ 14106	-29575
+ 14100	-29578
+ 14094	-29581
+ 14089	-29583
+ 14083	-29586
+ 14077	-29589
+ 14072	-29591
+ 14066	-29594
+ 14060	-29597
+ 14055	-29600
+ 14049	-29602
+ 14043	-29605
+ 14038	-29608
+ 14032	-29610
+ 14026	-29613
+ 14021	-29616
+ 14015	-29618
+ 14009	-29621
+ 14004	-29624
+ 13998	-29626
+ 13992	-29629
+ 13987	-29632
+ 13981	-29635
+ 13975	-29637
+ 13970	-29640
+ 13964	-29643
+ 13958	-29645
+ 13952	-29648
+ 13947	-29651
+ 13941	-29653
+ 13935	-29656
+ 13930	-29659
+ 13924	-29661
+ 13918	-29664
+ 13913	-29667
+ 13907	-29669
+ 13901	-29672
+ 13896	-29675
+ 13890	-29677
+ 13884	-29680
+ 13879	-29683
+ 13873	-29685
+ 13867	-29688
+ 13861	-29691
+ 13856	-29693
+ 13850	-29696
+ 13844	-29699
+ 13839	-29701
+ 13833	-29704
+ 13827	-29707
+ 13822	-29709
+ 13816	-29712
+ 13810	-29714
+ 13804	-29717
+ 13799	-29720
+ 13793	-29722
+ 13787	-29725
+ 13782	-29728
+ 13776	-29730
+ 13770	-29733
+ 13765	-29736
+ 13759	-29738
+ 13753	-29741
+ 13747	-29744
+ 13742	-29746
+ 13736	-29749
+ 13730	-29751
+ 13725	-29754
+ 13719	-29757
+ 13713	-29759
+ 13708	-29762
+ 13702	-29765
+ 13696	-29767
+ 13690	-29770
+ 13685	-29772
+ 13679	-29775
+ 13673	-29778
+ 13668	-29780
+ 13662	-29783
+ 13656	-29786
+ 13650	-29788
+ 13645	-29791
+ 13639	-29793
+ 13633	-29796
+ 13628	-29799
+ 13622	-29801
+ 13616	-29804
+ 13610	-29806
+ 13605	-29809
+ 13599	-29812
+ 13593	-29814
+ 13588	-29817
+ 13582	-29820
+ 13576	-29822
+ 13570	-29825
+ 13565	-29827
+ 13559	-29830
+ 13553	-29833
+ 13548	-29835
+ 13542	-29838
+ 13536	-29840
+ 13530	-29843
+ 13525	-29845
+ 13519	-29848
+ 13513	-29851
+ 13507	-29853
+ 13502	-29856
+ 13496	-29858
+ 13490	-29861
+ 13485	-29864
+ 13479	-29866
+ 13473	-29869
+ 13467	-29871
+ 13462	-29874
+ 13456	-29877
+ 13450	-29879
+ 13445	-29882
+ 13439	-29884
+ 13433	-29887
+ 13427	-29889
+ 13422	-29892
+ 13416	-29895
+ 13410	-29897
+ 13404	-29900
+ 13399	-29902
+ 13393	-29905
+ 13387	-29907
+ 13381	-29910
+ 13376	-29913
+ 13370	-29915
+ 13364	-29918
+ 13359	-29920
+ 13353	-29923
+ 13347	-29925
+ 13341	-29928
+ 13336	-29930
+ 13330	-29933
+ 13324	-29936
+ 13318	-29938
+ 13313	-29941
+ 13307	-29943
+ 13301	-29946
+ 13295	-29948
+ 13290	-29951
+ 13284	-29953
+ 13278	-29956
+ 13272	-29959
+ 13267	-29961
+ 13261	-29964
+ 13255	-29966
+ 13249	-29969
+ 13244	-29971
+ 13238	-29974
+ 13232	-29976
+ 13226	-29979
+ 13221	-29981
+ 13215	-29984
+ 13209	-29986
+ 13203	-29989
+ 13198	-29992
+ 13192	-29994
+ 13186	-29997
+ 13180	-29999
+ 13175	-30002
+ 13169	-30004
+ 13163	-30007
+ 13157	-30009
+ 13152	-30012
+ 13146	-30014
+ 13140	-30017
+ 13134	-30019
+ 13129	-30022
+ 13123	-30024
+ 13117	-30027
+ 13111	-30029
+ 13106	-30032
+ 13100	-30034
+ 13094	-30037
+ 13088	-30039
+ 13083	-30042
+ 13077	-30044
+ 13071	-30047
+ 13065	-30049
+ 13060	-30052
+ 13054	-30054
+ 13048	-30057
+ 13042	-30059
+ 13036	-30062
+ 13031	-30064
+ 13025	-30067
+ 13019	-30069
+ 13013	-30072
+ 13008	-30074
+ 13002	-30077
+ 12996	-30079
+ 12990	-30082
+ 12985	-30084
+ 12979	-30087
+ 12973	-30089
+ 12967	-30092
+ 12961	-30094
+ 12956	-30097
+ 12950	-30099
+ 12944	-30102
+ 12938	-30104
+ 12933	-30107
+ 12927	-30109
+ 12921	-30112
+ 12915	-30114
+ 12910	-30117
+ 12904	-30119
+ 12898	-30122
+ 12892	-30124
+ 12886	-30127
+ 12881	-30129
+ 12875	-30132
+ 12869	-30134
+ 12863	-30136
+ 12858	-30139
+ 12852	-30141
+ 12846	-30144
+ 12840	-30146
+ 12834	-30149
+ 12829	-30151
+ 12823	-30154
+ 12817	-30156
+ 12811	-30159
+ 12806	-30161
+ 12800	-30164
+ 12794	-30166
+ 12788	-30168
+ 12782	-30171
+ 12777	-30173
+ 12771	-30176
+ 12765	-30178
+ 12759	-30181
+ 12753	-30183
+ 12748	-30186
+ 12742	-30188
+ 12736	-30190
+ 12730	-30193
+ 12725	-30195
+ 12719	-30198
+ 12713	-30200
+ 12707	-30203
+ 12701	-30205
+ 12696	-30208
+ 12690	-30210
+ 12684	-30212
+ 12678	-30215
+ 12672	-30217
+ 12667	-30220
+ 12661	-30222
+ 12655	-30225
+ 12649	-30227
+ 12643	-30229
+ 12638	-30232
+ 12632	-30234
+ 12626	-30237
+ 12620	-30239
+ 12614	-30241
+ 12609	-30244
+ 12603	-30246
+ 12597	-30249
+ 12591	-30251
+ 12585	-30254
+ 12580	-30256
+ 12574	-30258
+ 12568	-30261
+ 12562	-30263
+ 12556	-30266
+ 12551	-30268
+ 12545	-30270
+ 12539	-30273
+ 12533	-30275
+ 12527	-30278
+ 12522	-30280
+ 12516	-30282
+ 12510	-30285
+ 12504	-30287
+ 12498	-30290
+ 12493	-30292
+ 12487	-30294
+ 12481	-30297
+ 12475	-30299
+ 12469	-30302
+ 12464	-30304
+ 12458	-30306
+ 12452	-30309
+ 12446	-30311
+ 12440	-30314
+ 12434	-30316
+ 12429	-30318
+ 12423	-30321
+ 12417	-30323
+ 12411	-30325
+ 12405	-30328
+ 12400	-30330
+ 12394	-30333
+ 12388	-30335
+ 12382	-30337
+ 12376	-30340
+ 12370	-30342
+ 12365	-30344
+ 12359	-30347
+ 12353	-30349
+ 12347	-30352
+ 12341	-30354
+ 12336	-30356
+ 12330	-30359
+ 12324	-30361
+ 12318	-30363
+ 12312	-30366
+ 12306	-30368
+ 12301	-30370
+ 12295	-30373
+ 12289	-30375
+ 12283	-30378
+ 12277	-30380
+ 12272	-30382
+ 12266	-30385
+ 12260	-30387
+ 12254	-30389
+ 12248	-30392
+ 12242	-30394
+ 12237	-30396
+ 12231	-30399
+ 12225	-30401
+ 12219	-30403
+ 12213	-30406
+ 12207	-30408
+ 12202	-30410
+ 12196	-30413
+ 12190	-30415
+ 12184	-30417
+ 12178	-30420
+ 12172	-30422
+ 12167	-30424
+ 12161	-30427
+ 12155	-30429
+ 12149	-30431
+ 12143	-30434
+ 12137	-30436
+ 12132	-30438
+ 12126	-30441
+ 12120	-30443
+ 12114	-30445
+ 12108	-30448
+ 12102	-30450
+ 12097	-30452
+ 12091	-30455
+ 12085	-30457
+ 12079	-30459
+ 12073	-30462
+ 12067	-30464
+ 12062	-30466
+ 12056	-30469
+ 12050	-30471
+ 12044	-30473
+ 12038	-30475
+ 12032	-30478
+ 12026	-30480
+ 12021	-30482
+ 12015	-30485
+ 12009	-30487
+ 12003	-30489
+ 11997	-30492
+ 11991	-30494
+ 11986	-30496
+ 11980	-30499
+ 11974	-30501
+ 11968	-30503
+ 11962	-30505
+ 11956	-30508
+ 11950	-30510
+ 11945	-30512
+ 11939	-30515
+ 11933	-30517
+ 11927	-30519
+ 11921	-30521
+ 11915	-30524
+ 11909	-30526
+ 11904	-30528
+ 11898	-30531
+ 11892	-30533
+ 11886	-30535
+ 11880	-30537
+ 11874	-30540
+ 11868	-30542
+ 11863	-30544
+ 11857	-30547
+ 11851	-30549
+ 11845	-30551
+ 11839	-30553
+ 11833	-30556
+ 11827	-30558
+ 11822	-30560
+ 11816	-30562
+ 11810	-30565
+ 11804	-30567
+ 11798	-30569
+ 11792	-30571
+ 11786	-30574
+ 11781	-30576
+ 11775	-30578
+ 11769	-30580
+ 11763	-30583
+ 11757	-30585
+ 11751	-30587
+ 11745	-30589
+ 11740	-30592
+ 11734	-30594
+ 11728	-30596
+ 11722	-30598
+ 11716	-30601
+ 11710	-30603
+ 11704	-30605
+ 11698	-30607
+ 11693	-30610
+ 11687	-30612
+ 11681	-30614
+ 11675	-30616
+ 11669	-30619
+ 11663	-30621
+ 11657	-30623
+ 11652	-30625
+ 11646	-30628
+ 11640	-30630
+ 11634	-30632
+ 11628	-30634
+ 11622	-30637
+ 11616	-30639
+ 11610	-30641
+ 11605	-30643
+ 11599	-30645
+ 11593	-30648
+ 11587	-30650
+ 11581	-30652
+ 11575	-30654
+ 11569	-30657
+ 11563	-30659
+ 11558	-30661
+ 11552	-30663
+ 11546	-30665
+ 11540	-30668
+ 11534	-30670
+ 11528	-30672
+ 11522	-30674
+ 11516	-30676
+ 11510	-30679
+ 11505	-30681
+ 11499	-30683
+ 11493	-30685
+ 11487	-30688
+ 11481	-30690
+ 11475	-30692
+ 11469	-30694
+ 11463	-30696
+ 11457	-30698
+ 11452	-30701
+ 11446	-30703
+ 11440	-30705
+ 11434	-30707
+ 11428	-30709
+ 11422	-30712
+ 11416	-30714
+ 11410	-30716
+ 11405	-30718
+ 11399	-30720
+ 11393	-30723
+ 11387	-30725
+ 11381	-30727
+ 11375	-30729
+ 11369	-30731
+ 11363	-30734
+ 11357	-30736
+ 11351	-30738
+ 11346	-30740
+ 11340	-30742
+ 11334	-30744
+ 11328	-30747
+ 11322	-30749
+ 11316	-30751
+ 11310	-30753
+ 11304	-30755
+ 11298	-30757
+ 11293	-30760
+ 11287	-30762
+ 11281	-30764
+ 11275	-30766
+ 11269	-30768
+ 11263	-30770
+ 11257	-30773
+ 11251	-30775
+ 11245	-30777
+ 11239	-30779
+ 11234	-30781
+ 11228	-30783
+ 11222	-30785
+ 11216	-30788
+ 11210	-30790
+ 11204	-30792
+ 11198	-30794
+ 11192	-30796
+ 11186	-30798
+ 11180	-30801
+ 11174	-30803
+ 11169	-30805
+ 11163	-30807
+ 11157	-30809
+ 11151	-30811
+ 11145	-30813
+ 11139	-30815
+ 11133	-30818
+ 11127	-30820
+ 11121	-30822
+ 11115	-30824
+ 11109	-30826
+ 11104	-30828
+ 11098	-30830
+ 11092	-30833
+ 11086	-30835
+ 11080	-30837
+ 11074	-30839
+ 11068	-30841
+ 11062	-30843
+ 11056	-30845
+ 11050	-30847
+ 11044	-30850
+ 11039	-30852
+ 11033	-30854
+ 11027	-30856
+ 11021	-30858
+ 11015	-30860
+ 11009	-30862
+ 11003	-30864
+ 10997	-30866
+ 10991	-30869
+ 10985	-30871
+ 10979	-30873
+ 10973	-30875
+ 10968	-30877
+ 10962	-30879
+ 10956	-30881
+ 10950	-30883
+ 10944	-30885
+ 10938	-30887
+ 10932	-30890
+ 10926	-30892
+ 10920	-30894
+ 10914	-30896
+ 10908	-30898
+ 10902	-30900
+ 10896	-30902
+ 10891	-30904
+ 10885	-30906
+ 10879	-30908
+ 10873	-30910
+ 10867	-30913
+ 10861	-30915
+ 10855	-30917
+ 10849	-30919
+ 10843	-30921
+ 10837	-30923
+ 10831	-30925
+ 10825	-30927
+ 10819	-30929
+ 10813	-30931
+ 10808	-30933
+ 10802	-30935
+ 10796	-30937
+ 10790	-30940
+ 10784	-30942
+ 10778	-30944
+ 10772	-30946
+ 10766	-30948
+ 10760	-30950
+ 10754	-30952
+ 10748	-30954
+ 10742	-30956
+ 10736	-30958
+ 10730	-30960
+ 10724	-30962
+ 10719	-30964
+ 10713	-30966
+ 10707	-30968
+ 10701	-30970
+ 10695	-30972
+ 10689	-30975
+ 10683	-30977
+ 10677	-30979
+ 10671	-30981
+ 10665	-30983
+ 10659	-30985
+ 10653	-30987
+ 10647	-30989
+ 10641	-30991
+ 10635	-30993
+ 10629	-30995
+ 10623	-30997
+ 10618	-30999
+ 10612	-31001
+ 10606	-31003
+ 10600	-31005
+ 10594	-31007
+ 10588	-31009
+ 10582	-31011
+ 10576	-31013
+ 10570	-31015
+ 10564	-31017
+ 10558	-31019
+ 10552	-31021
+ 10546	-31023
+ 10540	-31025
+ 10534	-31027
+ 10528	-31029
+ 10522	-31031
+ 10516	-31033
+ 10510	-31036
+ 10505	-31038
+ 10499	-31040
+ 10493	-31042
+ 10487	-31044
+ 10481	-31046
+ 10475	-31048
+ 10469	-31050
+ 10463	-31052
+ 10457	-31054
+ 10451	-31056
+ 10445	-31058
+ 10439	-31060
+ 10433	-31062
+ 10427	-31064
+ 10421	-31066
+ 10415	-31068
+ 10409	-31070
+ 10403	-31072
+ 10397	-31074
+ 10391	-31076
+ 10385	-31078
+ 10379	-31080
+ 10373	-31082
+ 10368	-31084
+ 10362	-31086
+ 10356	-31088
+ 10350	-31090
+ 10344	-31091
+ 10338	-31093
+ 10332	-31095
+ 10326	-31097
+ 10320	-31099
+ 10314	-31101
+ 10308	-31103
+ 10302	-31105
+ 10296	-31107
+ 10290	-31109
+ 10284	-31111
+ 10278	-31113
+ 10272	-31115
+ 10266	-31117
+ 10260	-31119
+ 10254	-31121
+ 10248	-31123
+ 10242	-31125
+ 10236	-31127
+ 10230	-31129
+ 10224	-31131
+ 10218	-31133
+ 10212	-31135
+ 10206	-31137
+ 10201	-31139
+ 10195	-31141
+ 10189	-31143
+ 10183	-31145
+ 10177	-31147
+ 10171	-31149
+ 10165	-31150
+ 10159	-31152
+ 10153	-31154
+ 10147	-31156
+ 10141	-31158
+ 10135	-31160
+ 10129	-31162
+ 10123	-31164
+ 10117	-31166
+ 10111	-31168
+ 10105	-31170
+ 10099	-31172
+ 10093	-31174
+ 10087	-31176
+ 10081	-31178
+ 10075	-31180
+ 10069	-31182
+ 10063	-31183
+ 10057	-31185
+ 10051	-31187
+ 10045	-31189
+ 10039	-31191
+ 10033	-31193
+ 10027	-31195
+ 10021	-31197
+ 10015	-31199
+ 10009	-31201
+ 10003	-31203
+  9997	-31205
+  9991	-31207
+  9985	-31208
+  9979	-31210
+  9973	-31212
+  9967	-31214
+  9961	-31216
+  9955	-31218
+  9949	-31220
+  9943	-31222
+  9937	-31224
+  9931	-31226
+  9925	-31228
+  9919	-31229
+  9913	-31231
+  9908	-31233
+  9902	-31235
+  9896	-31237
+  9890	-31239
+  9884	-31241
+  9878	-31243
+  9872	-31245
+  9866	-31246
+  9860	-31248
+  9854	-31250
+  9848	-31252
+  9842	-31254
+  9836	-31256
+  9830	-31258
+  9824	-31260
+  9818	-31262
+  9812	-31263
+  9806	-31265
+  9800	-31267
+  9794	-31269
+  9788	-31271
+  9782	-31273
+  9776	-31275
+  9770	-31277
+  9764	-31278
+  9758	-31280
+  9752	-31282
+  9746	-31284
+  9740	-31286
+  9734	-31288
+  9728	-31290
+  9722	-31292
+  9716	-31293
+  9710	-31295
+  9704	-31297
+  9698	-31299
+  9692	-31301
+  9686	-31303
+  9680	-31305
+  9674	-31306
+  9668	-31308
+  9662	-31310
+  9656	-31312
+  9650	-31314
+  9644	-31316
+  9638	-31318
+  9632	-31319
+  9626	-31321
+  9620	-31323
+  9614	-31325
+  9608	-31327
+  9602	-31329
+  9596	-31330
+  9590	-31332
+  9584	-31334
+  9578	-31336
+  9572	-31338
+  9566	-31340
+  9560	-31341
+  9554	-31343
+  9548	-31345
+  9542	-31347
+  9536	-31349
+  9530	-31351
+  9523	-31352
+  9517	-31354
+  9511	-31356
+  9505	-31358
+  9499	-31360
+  9493	-31362
+  9487	-31363
+  9481	-31365
+  9475	-31367
+  9469	-31369
+  9463	-31371
+  9457	-31372
+  9451	-31374
+  9445	-31376
+  9439	-31378
+  9433	-31380
+  9427	-31382
+  9421	-31383
+  9415	-31385
+  9409	-31387
+  9403	-31389
+  9397	-31391
+  9391	-31392
+  9385	-31394
+  9379	-31396
+  9373	-31398
+  9367	-31400
+  9361	-31401
+  9355	-31403
+  9349	-31405
+  9343	-31407
+  9337	-31409
+  9331	-31410
+  9325	-31412
+  9319	-31414
+  9313	-31416
+  9307	-31417
+  9301	-31419
+  9295	-31421
+  9289	-31423
+  9283	-31425
+  9277	-31426
+  9271	-31428
+  9265	-31430
+  9259	-31432
+  9253	-31433
+  9247	-31435
+  9241	-31437
+  9235	-31439
+  9229	-31441
+  9222	-31442
+  9216	-31444
+  9210	-31446
+  9204	-31448
+  9198	-31449
+  9192	-31451
+  9186	-31453
+  9180	-31455
+  9174	-31456
+  9168	-31458
+  9162	-31460
+  9156	-31462
+  9150	-31463
+  9144	-31465
+  9138	-31467
+  9132	-31469
+  9126	-31470
+  9120	-31472
+  9114	-31474
+  9108	-31476
+  9102	-31477
+  9096	-31479
+  9090	-31481
+  9084	-31483
+  9078	-31484
+  9072	-31486
+  9066	-31488
+  9060	-31490
+  9054	-31491
+  9048	-31493
+  9041	-31495
+  9035	-31497
+  9029	-31498
+  9023	-31500
+  9017	-31502
+  9011	-31504
+  9005	-31505
+  8999	-31507
+  8993	-31509
+  8987	-31510
+  8981	-31512
+  8975	-31514
+  8969	-31516
+  8963	-31517
+  8957	-31519
+  8951	-31521
+  8945	-31522
+  8939	-31524
+  8933	-31526
+  8927	-31528
+  8921	-31529
+  8915	-31531
+  8909	-31533
+  8902	-31534
+  8896	-31536
+  8890	-31538
+  8884	-31540
+  8878	-31541
+  8872	-31543
+  8866	-31545
+  8860	-31546
+  8854	-31548
+  8848	-31550
+  8842	-31551
+  8836	-31553
+  8830	-31555
+  8824	-31557
+  8818	-31558
+  8812	-31560
+  8806	-31562
+  8800	-31563
+  8794	-31565
+  8788	-31567
+  8781	-31568
+  8775	-31570
+  8769	-31572
+  8763	-31573
+  8757	-31575
+  8751	-31577
+  8745	-31578
+  8739	-31580
+  8733	-31582
+  8727	-31583
+  8721	-31585
+  8715	-31587
+  8709	-31588
+  8703	-31590
+  8697	-31592
+  8691	-31593
+  8685	-31595
+  8679	-31597
+  8672	-31598
+  8666	-31600
+  8660	-31602
+  8654	-31603
+  8648	-31605
+  8642	-31607
+  8636	-31608
+  8630	-31610
+  8624	-31612
+  8618	-31613
+  8612	-31615
+  8606	-31617
+  8600	-31618
+  8594	-31620
+  8588	-31622
+  8582	-31623
+  8576	-31625
+  8569	-31627
+  8563	-31628
+  8557	-31630
+  8551	-31631
+  8545	-31633
+  8539	-31635
+  8533	-31636
+  8527	-31638
+  8521	-31640
+  8515	-31641
+  8509	-31643
+  8503	-31645
+  8497	-31646
+  8491	-31648
+  8485	-31649
+  8478	-31651
+  8472	-31653
+  8466	-31654
+  8460	-31656
+  8454	-31658
+  8448	-31659
+  8442	-31661
+  8436	-31662
+  8430	-31664
+  8424	-31666
+  8418	-31667
+  8412	-31669
+  8406	-31670
+  8400	-31672
+  8393	-31674
+  8387	-31675
+  8381	-31677
+  8375	-31679
+  8369	-31680
+  8363	-31682
+  8357	-31683
+  8351	-31685
+  8345	-31687
+  8339	-31688
+  8333	-31690
+  8327	-31691
+  8321	-31693
+  8314	-31695
+  8308	-31696
+  8302	-31698
+  8296	-31699
+  8290	-31701
+  8284	-31702
+  8278	-31704
+  8272	-31706
+  8266	-31707
+  8260	-31709
+  8254	-31710
+  8248	-31712
+  8242	-31714
+  8235	-31715
+  8229	-31717
+  8223	-31718
+  8217	-31720
+  8211	-31721
+  8205	-31723
+  8199	-31725
+  8193	-31726
+  8187	-31728
+  8181	-31729
+  8175	-31731
+  8169	-31732
+  8162	-31734
+  8156	-31736
+  8150	-31737
+  8144	-31739
+  8138	-31740
+  8132	-31742
+  8126	-31743
+  8120	-31745
+  8114	-31747
+  8108	-31748
+  8102	-31750
+  8095	-31751
+  8089	-31753
+  8083	-31754
+  8077	-31756
+  8071	-31757
+  8065	-31759
+  8059	-31760
+  8053	-31762
+  8047	-31764
+  8041	-31765
+  8035	-31767
+  8029	-31768
+  8022	-31770
+  8016	-31771
+  8010	-31773
+  8004	-31774
+  7998	-31776
+  7992	-31777
+  7986	-31779
+  7980	-31780
+  7974	-31782
+  7968	-31784
+  7961	-31785
+  7955	-31787
+  7949	-31788
+  7943	-31790
+  7937	-31791
+  7931	-31793
+  7925	-31794
+  7919	-31796
+  7913	-31797
+  7907	-31799
+  7901	-31800
+  7894	-31802
+  7888	-31803
+  7882	-31805
+  7876	-31806
+  7870	-31808
+  7864	-31809
+  7858	-31811
+  7852	-31812
+  7846	-31814
+  7840	-31815
+  7833	-31817
+  7827	-31818
+  7821	-31820
+  7815	-31821
+  7809	-31823
+  7803	-31824
+  7797	-31826
+  7791	-31827
+  7785	-31829
+  7779	-31830
+  7772	-31832
+  7766	-31833
+  7760	-31835
+  7754	-31836
+  7748	-31838
+  7742	-31839
+  7736	-31841
+  7730	-31842
+  7724	-31844
+  7717	-31845
+  7711	-31847
+  7705	-31848
+  7699	-31850
+  7693	-31851
+  7687	-31853
+  7681	-31854
+  7675	-31856
+  7669	-31857
+  7663	-31858
+  7656	-31860
+  7650	-31861
+  7644	-31863
+  7638	-31864
+  7632	-31866
+  7626	-31867
+  7620	-31869
+  7614	-31870
+  7608	-31872
+  7601	-31873
+  7595	-31875
+  7589	-31876
+  7583	-31877
+  7577	-31879
+  7571	-31880
+  7565	-31882
+  7559	-31883
+  7553	-31885
+  7546	-31886
+  7540	-31888
+  7534	-31889
+  7528	-31890
+  7522	-31892
+  7516	-31893
+  7510	-31895
+  7504	-31896
+  7497	-31898
+  7491	-31899
+  7485	-31901
+  7479	-31902
+  7473	-31903
+  7467	-31905
+  7461	-31906
+  7455	-31908
+  7449	-31909
+  7442	-31911
+  7436	-31912
+  7430	-31913
+  7424	-31915
+  7418	-31916
+  7412	-31918
+  7406	-31919
+  7400	-31921
+  7393	-31922
+  7387	-31923
+  7381	-31925
+  7375	-31926
+  7369	-31928
+  7363	-31929
+  7357	-31930
+  7351	-31932
+  7344	-31933
+  7338	-31935
+  7332	-31936
+  7326	-31937
+  7320	-31939
+  7314	-31940
+  7308	-31942
+  7302	-31943
+  7295	-31944
+  7289	-31946
+  7283	-31947
+  7277	-31949
+  7271	-31950
+  7265	-31951
+  7259	-31953
+  7253	-31954
+  7246	-31956
+  7240	-31957
+  7234	-31958
+  7228	-31960
+  7222	-31961
+  7216	-31963
+  7210	-31964
+  7204	-31965
+  7197	-31967
+  7191	-31968
+  7185	-31969
+  7179	-31971
+  7173	-31972
+  7167	-31974
+  7161	-31975
+  7155	-31976
+  7148	-31978
+  7142	-31979
+  7136	-31980
+  7130	-31982
+  7124	-31983
+  7118	-31985
+  7112	-31986
+  7105	-31987
+  7099	-31989
+  7093	-31990
+  7087	-31991
+  7081	-31993
+  7075	-31994
+  7069	-31995
+  7063	-31997
+  7056	-31998
+  7050	-32000
+  7044	-32001
+  7038	-32002
+  7032	-32004
+  7026	-32005
+  7020	-32006
+  7013	-32008
+  7007	-32009
+  7001	-32010
+  6995	-32012
+  6989	-32013
+  6983	-32014
+  6977	-32016
+  6970	-32017
+  6964	-32018
+  6958	-32020
+  6952	-32021
+  6946	-32022
+  6940	-32024
+  6934	-32025
+  6928	-32026
+  6921	-32028
+  6915	-32029
+  6909	-32030
+  6903	-32032
+  6897	-32033
+  6891	-32034
+  6885	-32036
+  6878	-32037
+  6872	-32038
+  6866	-32040
+  6860	-32041
+  6854	-32042
+  6848	-32043
+  6842	-32045
+  6835	-32046
+  6829	-32047
+  6823	-32049
+  6817	-32050
+  6811	-32051
+  6805	-32053
+  6799	-32054
+  6792	-32055
+  6786	-32057
+  6780	-32058
+  6774	-32059
+  6768	-32060
+  6762	-32062
+  6755	-32063
+  6749	-32064
+  6743	-32066
+  6737	-32067
+  6731	-32068
+  6725	-32070
+  6719	-32071
+  6712	-32072
+  6706	-32073
+  6700	-32075
+  6694	-32076
+  6688	-32077
+  6682	-32078
+  6676	-32080
+  6669	-32081
+  6663	-32082
+  6657	-32084
+  6651	-32085
+  6645	-32086
+  6639	-32087
+  6632	-32089
+  6626	-32090
+  6620	-32091
+  6614	-32093
+  6608	-32094
+  6602	-32095
+  6596	-32096
+  6589	-32098
+  6583	-32099
+  6577	-32100
+  6571	-32101
+  6565	-32103
+  6559	-32104
+  6552	-32105
+  6546	-32106
+  6540	-32108
+  6534	-32109
+  6528	-32110
+  6522	-32111
+  6516	-32113
+  6509	-32114
+  6503	-32115
+  6497	-32116
+  6491	-32118
+  6485	-32119
+  6479	-32120
+  6472	-32121
+  6466	-32123
+  6460	-32124
+  6454	-32125
+  6448	-32126
+  6442	-32128
+  6435	-32129
+  6429	-32130
+  6423	-32131
+  6417	-32132
+  6411	-32134
+  6405	-32135
+  6398	-32136
+  6392	-32137
+  6386	-32139
+  6380	-32140
+  6374	-32141
+  6368	-32142
+  6362	-32144
+  6355	-32145
+  6349	-32146
+  6343	-32147
+  6337	-32148
+  6331	-32150
+  6325	-32151
+  6318	-32152
+  6312	-32153
+  6306	-32154
+  6300	-32156
+  6294	-32157
+  6288	-32158
+  6281	-32159
+  6275	-32160
+  6269	-32162
+  6263	-32163
+  6257	-32164
+  6251	-32165
+  6244	-32166
+  6238	-32168
+  6232	-32169
+  6226	-32170
+  6220	-32171
+  6214	-32172
+  6207	-32174
+  6201	-32175
+  6195	-32176
+  6189	-32177
+  6183	-32178
+  6177	-32180
+  6170	-32181
+  6164	-32182
+  6158	-32183
+  6152	-32184
+  6146	-32185
+  6139	-32187
+  6133	-32188
+  6127	-32189
+  6121	-32190
+  6115	-32191
+  6109	-32193
+  6102	-32194
+  6096	-32195
+  6090	-32196
+  6084	-32197
+  6078	-32198
+  6072	-32200
+  6065	-32201
+  6059	-32202
+  6053	-32203
+  6047	-32204
+  6041	-32205
+  6035	-32207
+  6028	-32208
+  6022	-32209
+  6016	-32210
+  6010	-32211
+  6004	-32212
+  5997	-32213
+  5991	-32215
+  5985	-32216
+  5979	-32217
+  5973	-32218
+  5967	-32219
+  5960	-32220
+  5954	-32221
+  5948	-32223
+  5942	-32224
+  5936	-32225
+  5930	-32226
+  5923	-32227
+  5917	-32228
+  5911	-32229
+  5905	-32231
+  5899	-32232
+  5892	-32233
+  5886	-32234
+  5880	-32235
+  5874	-32236
+  5868	-32237
+  5862	-32238
+  5855	-32240
+  5849	-32241
+  5843	-32242
+  5837	-32243
+  5831	-32244
+  5824	-32245
+  5818	-32246
+  5812	-32247
+  5806	-32249
+  5800	-32250
+  5794	-32251
+  5787	-32252
+  5781	-32253
+  5775	-32254
+  5769	-32255
+  5763	-32256
+  5756	-32257
+  5750	-32258
+  5744	-32260
+  5738	-32261
+  5732	-32262
+  5726	-32263
+  5719	-32264
+  5713	-32265
+  5707	-32266
+  5701	-32267
+  5695	-32268
+  5688	-32269
+  5682	-32271
+  5676	-32272
+  5670	-32273
+  5664	-32274
+  5657	-32275
+  5651	-32276
+  5645	-32277
+  5639	-32278
+  5633	-32279
+  5626	-32280
+  5620	-32281
+  5614	-32282
+  5608	-32284
+  5602	-32285
+  5596	-32286
+  5589	-32287
+  5583	-32288
+  5577	-32289
+  5571	-32290
+  5565	-32291
+  5558	-32292
+  5552	-32293
+  5546	-32294
+  5540	-32295
+  5534	-32296
+  5527	-32297
+  5521	-32298
+  5515	-32300
+  5509	-32301
+  5503	-32302
+  5496	-32303
+  5490	-32304
+  5484	-32305
+  5478	-32306
+  5472	-32307
+  5466	-32308
+  5459	-32309
+  5453	-32310
+  5447	-32311
+  5441	-32312
+  5435	-32313
+  5428	-32314
+  5422	-32315
+  5416	-32316
+  5410	-32317
+  5404	-32318
+  5397	-32319
+  5391	-32320
+  5385	-32321
+  5379	-32323
+  5373	-32324
+  5366	-32325
+  5360	-32326
+  5354	-32327
+  5348	-32328
+  5342	-32329
+  5335	-32330
+  5329	-32331
+  5323	-32332
+  5317	-32333
+  5311	-32334
+  5304	-32335
+  5298	-32336
+  5292	-32337
+  5286	-32338
+  5280	-32339
+  5273	-32340
+  5267	-32341
+  5261	-32342
+  5255	-32343
+  5249	-32344
+  5242	-32345
+  5236	-32346
+  5230	-32347
+  5224	-32348
+  5218	-32349
+  5211	-32350
+  5205	-32351
+  5199	-32352
+  5193	-32353
+  5187	-32354
+  5180	-32355
+  5174	-32356
+  5168	-32357
+  5162	-32358
+  5156	-32359
+  5149	-32360
+  5143	-32361
+  5137	-32362
+  5131	-32363
+  5124	-32364
+  5118	-32365
+  5112	-32366
+  5106	-32367
+  5100	-32368
+  5093	-32369
+  5087	-32370
+  5081	-32371
+  5075	-32372
+  5069	-32373
+  5062	-32374
+  5056	-32375
+  5050	-32375
+  5044	-32376
+  5038	-32377
+  5031	-32378
+  5025	-32379
+  5019	-32380
+  5013	-32381
+  5007	-32382
+  5000	-32383
+  4994	-32384
+  4988	-32385
+  4982	-32386
+  4976	-32387
+  4969	-32388
+  4963	-32389
+  4957	-32390
+  4951	-32391
+  4944	-32392
+  4938	-32393
+  4932	-32394
+  4926	-32395
+  4920	-32396
+  4913	-32397
+  4907	-32397
+  4901	-32398
+  4895	-32399
+  4889	-32400
+  4882	-32401
+  4876	-32402
+  4870	-32403
+  4864	-32404
+  4857	-32405
+  4851	-32406
+  4845	-32407
+  4839	-32408
+  4833	-32409
+  4826	-32410
+  4820	-32411
+  4814	-32411
+  4808	-32412
+  4802	-32413
+  4795	-32414
+  4789	-32415
+  4783	-32416
+  4777	-32417
+  4770	-32418
+  4764	-32419
+  4758	-32420
+  4752	-32421
+  4746	-32422
+  4739	-32422
+  4733	-32423
+  4727	-32424
+  4721	-32425
+  4715	-32426
+  4708	-32427
+  4702	-32428
+  4696	-32429
+  4690	-32430
+  4683	-32431
+  4677	-32431
+  4671	-32432
+  4665	-32433
+  4659	-32434
+  4652	-32435
+  4646	-32436
+  4640	-32437
+  4634	-32438
+  4627	-32439
+  4621	-32439
+  4615	-32440
+  4609	-32441
+  4603	-32442
+  4596	-32443
+  4590	-32444
+  4584	-32445
+  4578	-32446
+  4571	-32447
+  4565	-32447
+  4559	-32448
+  4553	-32449
+  4547	-32450
+  4540	-32451
+  4534	-32452
+  4528	-32453
+  4522	-32454
+  4515	-32454
+  4509	-32455
+  4503	-32456
+  4497	-32457
+  4491	-32458
+  4484	-32459
+  4478	-32460
+  4472	-32460
+  4466	-32461
+  4459	-32462
+  4453	-32463
+  4447	-32464
+  4441	-32465
+  4435	-32466
+  4428	-32466
+  4422	-32467
+  4416	-32468
+  4410	-32469
+  4403	-32470
+  4397	-32471
+  4391	-32471
+  4385	-32472
+  4379	-32473
+  4372	-32474
+  4366	-32475
+  4360	-32476
+  4354	-32476
+  4347	-32477
+  4341	-32478
+  4335	-32479
+  4329	-32480
+  4322	-32481
+  4316	-32481
+  4310	-32482
+  4304	-32483
+  4298	-32484
+  4291	-32485
+  4285	-32486
+  4279	-32486
+  4273	-32487
+  4266	-32488
+  4260	-32489
+  4254	-32490
+  4248	-32490
+  4242	-32491
+  4235	-32492
+  4229	-32493
+  4223	-32494
+  4217	-32495
+  4210	-32495
+  4204	-32496
+  4198	-32497
+  4192	-32498
+  4185	-32499
+  4179	-32499
+  4173	-32500
+  4167	-32501
+  4161	-32502
+  4154	-32503
+  4148	-32503
+  4142	-32504
+  4136	-32505
+  4129	-32506
+  4123	-32507
+  4117	-32507
+  4111	-32508
+  4104	-32509
+  4098	-32510
+  4092	-32510
+  4086	-32511
+  4079	-32512
+  4073	-32513
+  4067	-32514
+  4061	-32514
+  4055	-32515
+  4048	-32516
+  4042	-32517
+  4036	-32517
+  4030	-32518
+  4023	-32519
+  4017	-32520
+  4011	-32521
+  4005	-32521
+  3998	-32522
+  3992	-32523
+  3986	-32524
+  3980	-32524
+  3973	-32525
+  3967	-32526
+  3961	-32527
+  3955	-32527
+  3949	-32528
+  3942	-32529
+  3936	-32530
+  3930	-32530
+  3924	-32531
+  3917	-32532
+  3911	-32533
+  3905	-32533
+  3899	-32534
+  3892	-32535
+  3886	-32536
+  3880	-32536
+  3874	-32537
+  3867	-32538
+  3861	-32539
+  3855	-32539
+  3849	-32540
+  3842	-32541
+  3836	-32542
+  3830	-32542
+  3824	-32543
+  3818	-32544
+  3811	-32545
+  3805	-32545
+  3799	-32546
+  3793	-32547
+  3786	-32547
+  3780	-32548
+  3774	-32549
+  3768	-32550
+  3761	-32550
+  3755	-32551
+  3749	-32552
+  3743	-32553
+  3736	-32553
+  3730	-32554
+  3724	-32555
+  3718	-32555
+  3711	-32556
+  3705	-32557
+  3699	-32558
+  3693	-32558
+  3686	-32559
+  3680	-32560
+  3674	-32560
+  3668	-32561
+  3661	-32562
+  3655	-32562
+  3649	-32563
+  3643	-32564
+  3637	-32565
+  3630	-32565
+  3624	-32566
+  3618	-32567
+  3612	-32567
+  3605	-32568
+  3599	-32569
+  3593	-32569
+  3587	-32570
+  3580	-32571
+  3574	-32571
+  3568	-32572
+  3562	-32573
+  3555	-32574
+  3549	-32574
+  3543	-32575
+  3537	-32576
+  3530	-32576
+  3524	-32577
+  3518	-32578
+  3512	-32578
+  3505	-32579
+  3499	-32580
+  3493	-32580
+  3487	-32581
+  3480	-32582
+  3474	-32582
+  3468	-32583
+  3462	-32584
+  3455	-32584
+  3449	-32585
+  3443	-32586
+  3437	-32586
+  3430	-32587
+  3424	-32588
+  3418	-32588
+  3412	-32589
+  3405	-32590
+  3399	-32590
+  3393	-32591
+  3387	-32592
+  3380	-32592
+  3374	-32593
+  3368	-32593
+  3362	-32594
+  3355	-32595
+  3349	-32595
+  3343	-32596
+  3337	-32597
+  3330	-32597
+  3324	-32598
+  3318	-32599
+  3312	-32599
+  3305	-32600
+  3299	-32600
+  3293	-32601
+  3287	-32602
+  3280	-32602
+  3274	-32603
+  3268	-32604
+  3262	-32604
+  3255	-32605
+  3249	-32606
+  3243	-32606
+  3237	-32607
+  3230	-32607
+  3224	-32608
+  3218	-32609
+  3212	-32609
+  3205	-32610
+  3199	-32610
+  3193	-32611
+  3187	-32612
+  3180	-32612
+  3174	-32613
+  3168	-32614
+  3162	-32614
+  3155	-32615
+  3149	-32615
+  3143	-32616
+  3137	-32617
+  3130	-32617
+  3124	-32618
+  3118	-32618
+  3112	-32619
+  3105	-32620
+  3099	-32620
+  3093	-32621
+  3087	-32621
+  3080	-32622
+  3074	-32622
+  3068	-32623
+  3062	-32624
+  3055	-32624
+  3049	-32625
+  3043	-32625
+  3037	-32626
+  3030	-32627
+  3024	-32627
+  3018	-32628
+  3011	-32628
+  3005	-32629
+  2999	-32629
+  2993	-32630
+  2986	-32631
+  2980	-32631
+  2974	-32632
+  2968	-32632
+  2961	-32633
+  2955	-32633
+  2949	-32634
+  2943	-32635
+  2936	-32635
+  2930	-32636
+  2924	-32636
+  2918	-32637
+  2911	-32637
+  2905	-32638
+  2899	-32639
+  2893	-32639
+  2886	-32640
+  2880	-32640
+  2874	-32641
+  2868	-32641
+  2861	-32642
+  2855	-32642
+  2849	-32643
+  2843	-32643
+  2836	-32644
+  2830	-32645
+  2824	-32645
+  2817	-32646
+  2811	-32646
+  2805	-32647
+  2799	-32647
+  2792	-32648
+  2786	-32648
+  2780	-32649
+  2774	-32649
+  2767	-32650
+  2761	-32650
+  2755	-32651
+  2749	-32652
+  2742	-32652
+  2736	-32653
+  2730	-32653
+  2724	-32654
+  2717	-32654
+  2711	-32655
+  2705	-32655
+  2699	-32656
+  2692	-32656
+  2686	-32657
+  2680	-32657
+  2673	-32658
+  2667	-32658
+  2661	-32659
+  2655	-32659
+  2648	-32660
+  2642	-32660
+  2636	-32661
+  2630	-32661
+  2623	-32662
+  2617	-32662
+  2611	-32663
+  2605	-32663
+  2598	-32664
+  2592	-32664
+  2586	-32665
+  2580	-32665
+  2573	-32666
+  2567	-32666
+  2561	-32667
+  2555	-32667
+  2548	-32668
+  2542	-32668
+  2536	-32669
+  2529	-32669
+  2523	-32670
+  2517	-32670
+  2511	-32671
+  2504	-32671
+  2498	-32672
+  2492	-32672
+  2486	-32673
+  2479	-32673
+  2473	-32674
+  2467	-32674
+  2461	-32674
+  2454	-32675
+  2448	-32675
+  2442	-32676
+  2435	-32676
+  2429	-32677
+  2423	-32677
+  2417	-32678
+  2410	-32678
+  2404	-32679
+  2398	-32679
+  2392	-32680
+  2385	-32680
+  2379	-32681
+  2373	-32681
+  2367	-32681
+  2360	-32682
+  2354	-32682
+  2348	-32683
+  2341	-32683
+  2335	-32684
+  2329	-32684
+  2323	-32685
+  2316	-32685
+  2310	-32685
+  2304	-32686
+  2298	-32686
+  2291	-32687
+  2285	-32687
+  2279	-32688
+  2273	-32688
+  2266	-32689
+  2260	-32689
+  2254	-32689
+  2247	-32690
+  2241	-32690
+  2235	-32691
+  2229	-32691
+  2222	-32692
+  2216	-32692
+  2210	-32692
+  2204	-32693
+  2197	-32693
+  2191	-32694
+  2185	-32694
+  2179	-32694
+  2172	-32695
+  2166	-32695
+  2160	-32696
+  2153	-32696
+  2147	-32697
+  2141	-32697
+  2135	-32697
+  2128	-32698
+  2122	-32698
+  2116	-32699
+  2110	-32699
+  2103	-32699
+  2097	-32700
+  2091	-32700
+  2084	-32701
+  2078	-32701
+  2072	-32701
+  2066	-32702
+  2059	-32702
+  2053	-32703
+  2047	-32703
+  2041	-32703
+  2034	-32704
+  2028	-32704
+  2022	-32705
+  2016	-32705
+  2009	-32705
+  2003	-32706
+  1997	-32706
+  1990	-32706
+  1984	-32707
+  1978	-32707
+  1972	-32708
+  1965	-32708
+  1959	-32708
+  1953	-32709
+  1947	-32709
+  1940	-32710
+  1934	-32710
+  1928	-32710
+  1921	-32711
+  1915	-32711
+  1909	-32711
+  1903	-32712
+  1896	-32712
+  1890	-32712
+  1884	-32713
+  1878	-32713
+  1871	-32714
+  1865	-32714
+  1859	-32714
+  1852	-32715
+  1846	-32715
+  1840	-32715
+  1834	-32716
+  1827	-32716
+  1821	-32716
+  1815	-32717
+  1809	-32717
+  1802	-32717
+  1796	-32718
+  1790	-32718
+  1783	-32718
+  1777	-32719
+  1771	-32719
+  1765	-32719
+  1758	-32720
+  1752	-32720
+  1746	-32720
+  1740	-32721
+  1733	-32721
+  1727	-32721
+  1721	-32722
+  1714	-32722
+  1708	-32722
+  1702	-32723
+  1696	-32723
+  1689	-32723
+  1683	-32724
+  1677	-32724
+  1671	-32724
+  1664	-32725
+  1658	-32725
+  1652	-32725
+  1645	-32726
+  1639	-32726
+  1633	-32726
+  1627	-32727
+  1620	-32727
+  1614	-32727
+  1608	-32728
+  1601	-32728
+  1595	-32728
+  1589	-32728
+  1583	-32729
+  1576	-32729
+  1570	-32729
+  1564	-32730
+  1558	-32730
+  1551	-32730
+  1545	-32731
+  1539	-32731
+  1532	-32731
+  1526	-32731
+  1520	-32732
+  1514	-32732
+  1507	-32732
+  1501	-32733
+  1495	-32733
+  1489	-32733
+  1482	-32733
+  1476	-32734
+  1470	-32734
+  1463	-32734
+  1457	-32735
+  1451	-32735
+  1445	-32735
+  1438	-32735
+  1432	-32736
+  1426	-32736
+  1419	-32736
+  1413	-32737
+  1407	-32737
+  1401	-32737
+  1394	-32737
+  1388	-32738
+  1382	-32738
+  1376	-32738
+  1369	-32738
+  1363	-32739
+  1357	-32739
+  1350	-32739
+  1344	-32739
+  1338	-32740
+  1332	-32740
+  1325	-32740
+  1319	-32740
+  1313	-32741
+  1306	-32741
+  1300	-32741
+  1294	-32741
+  1288	-32742
+  1281	-32742
+  1275	-32742
+  1269	-32742
+  1263	-32743
+  1256	-32743
+  1250	-32743
+  1244	-32743
+  1237	-32744
+  1231	-32744
+  1225	-32744
+  1219	-32744
+  1212	-32745
+  1206	-32745
+  1200	-32745
+  1193	-32745
+  1187	-32745
+  1181	-32746
+  1175	-32746
+  1168	-32746
+  1162	-32746
+  1156	-32747
+  1150	-32747
+  1143	-32747
+  1137	-32747
+  1131	-32747
+  1124	-32748
+  1118	-32748
+  1112	-32748
+  1106	-32748
+  1099	-32749
+  1093	-32749
+  1087	-32749
+  1080	-32749
+  1074	-32749
+  1068	-32750
+  1062	-32750
+  1055	-32750
+  1049	-32750
+  1043	-32750
+  1036	-32751
+  1030	-32751
+  1024	-32751
+  1018	-32751
+  1011	-32751
+  1005	-32752
+   999	-32752
+   993	-32752
+   986	-32752
+   980	-32752
+   974	-32753
+   967	-32753
+   961	-32753
+   955	-32753
+   949	-32753
+   942	-32753
+   936	-32754
+   930	-32754
+   923	-32754
+   917	-32754
+   911	-32754
+   905	-32755
+   898	-32755
+   892	-32755
+   886	-32755
+   879	-32755
+   873	-32755
+   867	-32756
+   861	-32756
+   854	-32756
+   848	-32756
+   842	-32756
+   836	-32756
+   829	-32757
+   823	-32757
+   817	-32757
+   810	-32757
+   804	-32757
+   798	-32757
+   792	-32757
+   785	-32758
+   779	-32758
+   773	-32758
+   766	-32758
+   760	-32758
+   754	-32758
+   748	-32758
+   741	-32759
+   735	-32759
+   729	-32759
+   722	-32759
+   716	-32759
+   710	-32759
+   704	-32759
+   697	-32760
+   691	-32760
+   685	-32760
+   678	-32760
+   672	-32760
+   666	-32760
+   660	-32760
+   653	-32760
+   647	-32761
+   641	-32761
+   635	-32761
+   628	-32761
+   622	-32761
+   616	-32761
+   609	-32761
+   603	-32761
+   597	-32762
+   591	-32762
+   584	-32762
+   578	-32762
+   572	-32762
+   565	-32762
+   559	-32762
+   553	-32762
+   547	-32762
+   540	-32763
+   534	-32763
+   528	-32763
+   521	-32763
+   515	-32763
+   509	-32763
+   503	-32763
+   496	-32763
+   490	-32763
+   484	-32763
+   477	-32764
+   471	-32764
+   465	-32764
+   459	-32764
+   452	-32764
+   446	-32764
+   440	-32764
+   434	-32764
+   427	-32764
+   421	-32764
+   415	-32764
+   408	-32764
+   402	-32765
+   396	-32765
+   390	-32765
+   383	-32765
+   377	-32765
+   371	-32765
+   364	-32765
+   358	-32765
+   352	-32765
+   346	-32765
+   339	-32765
+   333	-32765
+   327	-32765
+   320	-32765
+   314	-32765
+   308	-32766
+   302	-32766
+   295	-32766
+   289	-32766
+   283	-32766
+   276	-32766
+   270	-32766
+   264	-32766
+   258	-32766
+   251	-32766
+   245	-32766
+   239	-32766
+   232	-32766
+   226	-32766
+   220	-32766
+   214	-32766
+   207	-32766
+   201	-32766
+   195	-32766
+   188	-32766
+   182	-32766
+   176	-32767
+   170	-32767
+   163	-32767
+   157	-32767
+   151	-32767
+   145	-32767
+   138	-32767
+   132	-32767
+   126	-32767
+   119	-32767
+   113	-32767
+   107	-32767
+   101	-32767
+    94	-32767
+    88	-32767
+    82	-32767
+    75	-32767
+    69	-32767
+    63	-32767
+    57	-32767
+    50	-32767
+    44	-32767
+    38	-32767
+    31	-32767
+    25	-32767
+    19	-32767
+    13	-32767
+     6	-32767
+     0	-32767
+    -6	-32767
+   -13	-32767
+   -19	-32767
+   -25	-32767
+   -31	-32767
+   -38	-32767
+   -44	-32767
+   -50	-32767
+   -57	-32767
+   -63	-32767
+   -69	-32767
+   -75	-32767
+   -82	-32767
+   -88	-32767
+   -94	-32767
+  -101	-32767
+  -107	-32767
+  -113	-32767
+  -119	-32767
+  -126	-32767
+  -132	-32767
+  -138	-32767
+  -145	-32767
+  -151	-32767
+  -157	-32767
+  -163	-32767
+  -170	-32767
+  -176	-32767
+  -182	-32766
+  -188	-32766
+  -195	-32766
+  -201	-32766
+  -207	-32766
+  -214	-32766
+  -220	-32766
+  -226	-32766
+  -232	-32766
+  -239	-32766
+  -245	-32766
+  -251	-32766
+  -258	-32766
+  -264	-32766
+  -270	-32766
+  -276	-32766
+  -283	-32766
+  -289	-32766
+  -295	-32766
+  -302	-32766
+  -308	-32766
+  -314	-32765
+  -320	-32765
+  -327	-32765
+  -333	-32765
+  -339	-32765
+  -346	-32765
+  -352	-32765
+  -358	-32765
+  -364	-32765
+  -371	-32765
+  -377	-32765
+  -383	-32765
+  -390	-32765
+  -396	-32765
+  -402	-32765
+  -408	-32764
+  -415	-32764
+  -421	-32764
+  -427	-32764
+  -434	-32764
+  -440	-32764
+  -446	-32764
+  -452	-32764
+  -459	-32764
+  -465	-32764
+  -471	-32764
+  -477	-32764
+  -484	-32763
+  -490	-32763
+  -496	-32763
+  -503	-32763
+  -509	-32763
+  -515	-32763
+  -521	-32763
+  -528	-32763
+  -534	-32763
+  -540	-32763
+  -547	-32762
+  -553	-32762
+  -559	-32762
+  -565	-32762
+  -572	-32762
+  -578	-32762
+  -584	-32762
+  -591	-32762
+  -597	-32762
+  -603	-32761
+  -609	-32761
+  -616	-32761
+  -622	-32761
+  -628	-32761
+  -635	-32761
+  -641	-32761
+  -647	-32761
+  -653	-32760
+  -660	-32760
+  -666	-32760
+  -672	-32760
+  -678	-32760
+  -685	-32760
+  -691	-32760
+  -697	-32760
+  -704	-32759
+  -710	-32759
+  -716	-32759
+  -722	-32759
+  -729	-32759
+  -735	-32759
+  -741	-32759
+  -748	-32758
+  -754	-32758
+  -760	-32758
+  -766	-32758
+  -773	-32758
+  -779	-32758
+  -785	-32758
+  -792	-32757
+  -798	-32757
+  -804	-32757
+  -810	-32757
+  -817	-32757
+  -823	-32757
+  -829	-32757
+  -836	-32756
+  -842	-32756
+  -848	-32756
+  -854	-32756
+  -861	-32756
+  -867	-32756
+  -873	-32755
+  -879	-32755
+  -886	-32755
+  -892	-32755
+  -898	-32755
+  -905	-32755
+  -911	-32754
+  -917	-32754
+  -923	-32754
+  -930	-32754
+  -936	-32754
+  -942	-32753
+  -949	-32753
+  -955	-32753
+  -961	-32753
+  -967	-32753
+  -974	-32753
+  -980	-32752
+  -986	-32752
+  -993	-32752
+  -999	-32752
+ -1005	-32752
+ -1011	-32751
+ -1018	-32751
+ -1024	-32751
+ -1030	-32751
+ -1036	-32751
+ -1043	-32750
+ -1049	-32750
+ -1055	-32750
+ -1062	-32750
+ -1068	-32750
+ -1074	-32749
+ -1080	-32749
+ -1087	-32749
+ -1093	-32749
+ -1099	-32749
+ -1106	-32748
+ -1112	-32748
+ -1118	-32748
+ -1124	-32748
+ -1131	-32747
+ -1137	-32747
+ -1143	-32747
+ -1150	-32747
+ -1156	-32747
+ -1162	-32746
+ -1168	-32746
+ -1175	-32746
+ -1181	-32746
+ -1187	-32745
+ -1193	-32745
+ -1200	-32745
+ -1206	-32745
+ -1212	-32745
+ -1219	-32744
+ -1225	-32744
+ -1231	-32744
+ -1237	-32744
+ -1244	-32743
+ -1250	-32743
+ -1256	-32743
+ -1263	-32743
+ -1269	-32742
+ -1275	-32742
+ -1281	-32742
+ -1288	-32742
+ -1294	-32741
+ -1300	-32741
+ -1306	-32741
+ -1313	-32741
+ -1319	-32740
+ -1325	-32740
+ -1332	-32740
+ -1338	-32740
+ -1344	-32739
+ -1350	-32739
+ -1357	-32739
+ -1363	-32739
+ -1369	-32738
+ -1376	-32738
+ -1382	-32738
+ -1388	-32738
+ -1394	-32737
+ -1401	-32737
+ -1407	-32737
+ -1413	-32737
+ -1419	-32736
+ -1426	-32736
+ -1432	-32736
+ -1438	-32735
+ -1445	-32735
+ -1451	-32735
+ -1457	-32735
+ -1463	-32734
+ -1470	-32734
+ -1476	-32734
+ -1482	-32733
+ -1489	-32733
+ -1495	-32733
+ -1501	-32733
+ -1507	-32732
+ -1514	-32732
+ -1520	-32732
+ -1526	-32731
+ -1532	-32731
+ -1539	-32731
+ -1545	-32731
+ -1551	-32730
+ -1558	-32730
+ -1564	-32730
+ -1570	-32729
+ -1576	-32729
+ -1583	-32729
+ -1589	-32728
+ -1595	-32728
+ -1601	-32728
+ -1608	-32728
+ -1614	-32727
+ -1620	-32727
+ -1627	-32727
+ -1633	-32726
+ -1639	-32726
+ -1645	-32726
+ -1652	-32725
+ -1658	-32725
+ -1664	-32725
+ -1671	-32724
+ -1677	-32724
+ -1683	-32724
+ -1689	-32723
+ -1696	-32723
+ -1702	-32723
+ -1708	-32722
+ -1714	-32722
+ -1721	-32722
+ -1727	-32721
+ -1733	-32721
+ -1740	-32721
+ -1746	-32720
+ -1752	-32720
+ -1758	-32720
+ -1765	-32719
+ -1771	-32719
+ -1777	-32719
+ -1783	-32718
+ -1790	-32718
+ -1796	-32718
+ -1802	-32717
+ -1809	-32717
+ -1815	-32717
+ -1821	-32716
+ -1827	-32716
+ -1834	-32716
+ -1840	-32715
+ -1846	-32715
+ -1852	-32715
+ -1859	-32714
+ -1865	-32714
+ -1871	-32714
+ -1878	-32713
+ -1884	-32713
+ -1890	-32712
+ -1896	-32712
+ -1903	-32712
+ -1909	-32711
+ -1915	-32711
+ -1921	-32711
+ -1928	-32710
+ -1934	-32710
+ -1940	-32710
+ -1947	-32709
+ -1953	-32709
+ -1959	-32708
+ -1965	-32708
+ -1972	-32708
+ -1978	-32707
+ -1984	-32707
+ -1990	-32706
+ -1997	-32706
+ -2003	-32706
+ -2009	-32705
+ -2016	-32705
+ -2022	-32705
+ -2028	-32704
+ -2034	-32704
+ -2041	-32703
+ -2047	-32703
+ -2053	-32703
+ -2059	-32702
+ -2066	-32702
+ -2072	-32701
+ -2078	-32701
+ -2084	-32701
+ -2091	-32700
+ -2097	-32700
+ -2103	-32699
+ -2110	-32699
+ -2116	-32699
+ -2122	-32698
+ -2128	-32698
+ -2135	-32697
+ -2141	-32697
+ -2147	-32697
+ -2153	-32696
+ -2160	-32696
+ -2166	-32695
+ -2172	-32695
+ -2179	-32694
+ -2185	-32694
+ -2191	-32694
+ -2197	-32693
+ -2204	-32693
+ -2210	-32692
+ -2216	-32692
+ -2222	-32692
+ -2229	-32691
+ -2235	-32691
+ -2241	-32690
+ -2247	-32690
+ -2254	-32689
+ -2260	-32689
+ -2266	-32689
+ -2273	-32688
+ -2279	-32688
+ -2285	-32687
+ -2291	-32687
+ -2298	-32686
+ -2304	-32686
+ -2310	-32685
+ -2316	-32685
+ -2323	-32685
+ -2329	-32684
+ -2335	-32684
+ -2341	-32683
+ -2348	-32683
+ -2354	-32682
+ -2360	-32682
+ -2367	-32681
+ -2373	-32681
+ -2379	-32681
+ -2385	-32680
+ -2392	-32680
+ -2398	-32679
+ -2404	-32679
+ -2410	-32678
+ -2417	-32678
+ -2423	-32677
+ -2429	-32677
+ -2435	-32676
+ -2442	-32676
+ -2448	-32675
+ -2454	-32675
+ -2461	-32674
+ -2467	-32674
+ -2473	-32674
+ -2479	-32673
+ -2486	-32673
+ -2492	-32672
+ -2498	-32672
+ -2504	-32671
+ -2511	-32671
+ -2517	-32670
+ -2523	-32670
+ -2529	-32669
+ -2536	-32669
+ -2542	-32668
+ -2548	-32668
+ -2555	-32667
+ -2561	-32667
+ -2567	-32666
+ -2573	-32666
+ -2580	-32665
+ -2586	-32665
+ -2592	-32664
+ -2598	-32664
+ -2605	-32663
+ -2611	-32663
+ -2617	-32662
+ -2623	-32662
+ -2630	-32661
+ -2636	-32661
+ -2642	-32660
+ -2648	-32660
+ -2655	-32659
+ -2661	-32659
+ -2667	-32658
+ -2673	-32658
+ -2680	-32657
+ -2686	-32657
+ -2692	-32656
+ -2699	-32656
+ -2705	-32655
+ -2711	-32655
+ -2717	-32654
+ -2724	-32654
+ -2730	-32653
+ -2736	-32653
+ -2742	-32652
+ -2749	-32652
+ -2755	-32651
+ -2761	-32650
+ -2767	-32650
+ -2774	-32649
+ -2780	-32649
+ -2786	-32648
+ -2792	-32648
+ -2799	-32647
+ -2805	-32647
+ -2811	-32646
+ -2817	-32646
+ -2824	-32645
+ -2830	-32645
+ -2836	-32644
+ -2843	-32643
+ -2849	-32643
+ -2855	-32642
+ -2861	-32642
+ -2868	-32641
+ -2874	-32641
+ -2880	-32640
+ -2886	-32640
+ -2893	-32639
+ -2899	-32639
+ -2905	-32638
+ -2911	-32637
+ -2918	-32637
+ -2924	-32636
+ -2930	-32636
+ -2936	-32635
+ -2943	-32635
+ -2949	-32634
+ -2955	-32633
+ -2961	-32633
+ -2968	-32632
+ -2974	-32632
+ -2980	-32631
+ -2986	-32631
+ -2993	-32630
+ -2999	-32629
+ -3005	-32629
+ -3011	-32628
+ -3018	-32628
+ -3024	-32627
+ -3030	-32627
+ -3037	-32626
+ -3043	-32625
+ -3049	-32625
+ -3055	-32624
+ -3062	-32624
+ -3068	-32623
+ -3074	-32622
+ -3080	-32622
+ -3087	-32621
+ -3093	-32621
+ -3099	-32620
+ -3105	-32620
+ -3112	-32619
+ -3118	-32618
+ -3124	-32618
+ -3130	-32617
+ -3137	-32617
+ -3143	-32616
+ -3149	-32615
+ -3155	-32615
+ -3162	-32614
+ -3168	-32614
+ -3174	-32613
+ -3180	-32612
+ -3187	-32612
+ -3193	-32611
+ -3199	-32610
+ -3205	-32610
+ -3212	-32609
+ -3218	-32609
+ -3224	-32608
+ -3230	-32607
+ -3237	-32607
+ -3243	-32606
+ -3249	-32606
+ -3255	-32605
+ -3262	-32604
+ -3268	-32604
+ -3274	-32603
+ -3280	-32602
+ -3287	-32602
+ -3293	-32601
+ -3299	-32600
+ -3305	-32600
+ -3312	-32599
+ -3318	-32599
+ -3324	-32598
+ -3330	-32597
+ -3337	-32597
+ -3343	-32596
+ -3349	-32595
+ -3355	-32595
+ -3362	-32594
+ -3368	-32593
+ -3374	-32593
+ -3380	-32592
+ -3387	-32592
+ -3393	-32591
+ -3399	-32590
+ -3405	-32590
+ -3412	-32589
+ -3418	-32588
+ -3424	-32588
+ -3430	-32587
+ -3437	-32586
+ -3443	-32586
+ -3449	-32585
+ -3455	-32584
+ -3462	-32584
+ -3468	-32583
+ -3474	-32582
+ -3480	-32582
+ -3487	-32581
+ -3493	-32580
+ -3499	-32580
+ -3505	-32579
+ -3512	-32578
+ -3518	-32578
+ -3524	-32577
+ -3530	-32576
+ -3537	-32576
+ -3543	-32575
+ -3549	-32574
+ -3555	-32574
+ -3562	-32573
+ -3568	-32572
+ -3574	-32571
+ -3580	-32571
+ -3587	-32570
+ -3593	-32569
+ -3599	-32569
+ -3605	-32568
+ -3612	-32567
+ -3618	-32567
+ -3624	-32566
+ -3630	-32565
+ -3637	-32565
+ -3643	-32564
+ -3649	-32563
+ -3655	-32562
+ -3661	-32562
+ -3668	-32561
+ -3674	-32560
+ -3680	-32560
+ -3686	-32559
+ -3693	-32558
+ -3699	-32558
+ -3705	-32557
+ -3711	-32556
+ -3718	-32555
+ -3724	-32555
+ -3730	-32554
+ -3736	-32553
+ -3743	-32553
+ -3749	-32552
+ -3755	-32551
+ -3761	-32550
+ -3768	-32550
+ -3774	-32549
+ -3780	-32548
+ -3786	-32547
+ -3793	-32547
+ -3799	-32546
+ -3805	-32545
+ -3811	-32545
+ -3818	-32544
+ -3824	-32543
+ -3830	-32542
+ -3836	-32542
+ -3842	-32541
+ -3849	-32540
+ -3855	-32539
+ -3861	-32539
+ -3867	-32538
+ -3874	-32537
+ -3880	-32536
+ -3886	-32536
+ -3892	-32535
+ -3899	-32534
+ -3905	-32533
+ -3911	-32533
+ -3917	-32532
+ -3924	-32531
+ -3930	-32530
+ -3936	-32530
+ -3942	-32529
+ -3949	-32528
+ -3955	-32527
+ -3961	-32527
+ -3967	-32526
+ -3973	-32525
+ -3980	-32524
+ -3986	-32524
+ -3992	-32523
+ -3998	-32522
+ -4005	-32521
+ -4011	-32521
+ -4017	-32520
+ -4023	-32519
+ -4030	-32518
+ -4036	-32517
+ -4042	-32517
+ -4048	-32516
+ -4055	-32515
+ -4061	-32514
+ -4067	-32514
+ -4073	-32513
+ -4079	-32512
+ -4086	-32511
+ -4092	-32510
+ -4098	-32510
+ -4104	-32509
+ -4111	-32508
+ -4117	-32507
+ -4123	-32507
+ -4129	-32506
+ -4136	-32505
+ -4142	-32504
+ -4148	-32503
+ -4154	-32503
+ -4161	-32502
+ -4167	-32501
+ -4173	-32500
+ -4179	-32499
+ -4185	-32499
+ -4192	-32498
+ -4198	-32497
+ -4204	-32496
+ -4210	-32495
+ -4217	-32495
+ -4223	-32494
+ -4229	-32493
+ -4235	-32492
+ -4242	-32491
+ -4248	-32490
+ -4254	-32490
+ -4260	-32489
+ -4266	-32488
+ -4273	-32487
+ -4279	-32486
+ -4285	-32486
+ -4291	-32485
+ -4298	-32484
+ -4304	-32483
+ -4310	-32482
+ -4316	-32481
+ -4322	-32481
+ -4329	-32480
+ -4335	-32479
+ -4341	-32478
+ -4347	-32477
+ -4354	-32476
+ -4360	-32476
+ -4366	-32475
+ -4372	-32474
+ -4379	-32473
+ -4385	-32472
+ -4391	-32471
+ -4397	-32471
+ -4403	-32470
+ -4410	-32469
+ -4416	-32468
+ -4422	-32467
+ -4428	-32466
+ -4435	-32466
+ -4441	-32465
+ -4447	-32464
+ -4453	-32463
+ -4459	-32462
+ -4466	-32461
+ -4472	-32460
+ -4478	-32460
+ -4484	-32459
+ -4491	-32458
+ -4497	-32457
+ -4503	-32456
+ -4509	-32455
+ -4515	-32454
+ -4522	-32454
+ -4528	-32453
+ -4534	-32452
+ -4540	-32451
+ -4547	-32450
+ -4553	-32449
+ -4559	-32448
+ -4565	-32447
+ -4571	-32447
+ -4578	-32446
+ -4584	-32445
+ -4590	-32444
+ -4596	-32443
+ -4603	-32442
+ -4609	-32441
+ -4615	-32440
+ -4621	-32439
+ -4627	-32439
+ -4634	-32438
+ -4640	-32437
+ -4646	-32436
+ -4652	-32435
+ -4659	-32434
+ -4665	-32433
+ -4671	-32432
+ -4677	-32431
+ -4683	-32431
+ -4690	-32430
+ -4696	-32429
+ -4702	-32428
+ -4708	-32427
+ -4715	-32426
+ -4721	-32425
+ -4727	-32424
+ -4733	-32423
+ -4739	-32422
+ -4746	-32422
+ -4752	-32421
+ -4758	-32420
+ -4764	-32419
+ -4770	-32418
+ -4777	-32417
+ -4783	-32416
+ -4789	-32415
+ -4795	-32414
+ -4802	-32413
+ -4808	-32412
+ -4814	-32411
+ -4820	-32411
+ -4826	-32410
+ -4833	-32409
+ -4839	-32408
+ -4845	-32407
+ -4851	-32406
+ -4857	-32405
+ -4864	-32404
+ -4870	-32403
+ -4876	-32402
+ -4882	-32401
+ -4889	-32400
+ -4895	-32399
+ -4901	-32398
+ -4907	-32397
+ -4913	-32397
+ -4920	-32396
+ -4926	-32395
+ -4932	-32394
+ -4938	-32393
+ -4944	-32392
+ -4951	-32391
+ -4957	-32390
+ -4963	-32389
+ -4969	-32388
+ -4976	-32387
+ -4982	-32386
+ -4988	-32385
+ -4994	-32384
+ -5000	-32383
+ -5007	-32382
+ -5013	-32381
+ -5019	-32380
+ -5025	-32379
+ -5031	-32378
+ -5038	-32377
+ -5044	-32376
+ -5050	-32375
+ -5056	-32375
+ -5062	-32374
+ -5069	-32373
+ -5075	-32372
+ -5081	-32371
+ -5087	-32370
+ -5093	-32369
+ -5100	-32368
+ -5106	-32367
+ -5112	-32366
+ -5118	-32365
+ -5124	-32364
+ -5131	-32363
+ -5137	-32362
+ -5143	-32361
+ -5149	-32360
+ -5156	-32359
+ -5162	-32358
+ -5168	-32357
+ -5174	-32356
+ -5180	-32355
+ -5187	-32354
+ -5193	-32353
+ -5199	-32352
+ -5205	-32351
+ -5211	-32350
+ -5218	-32349
+ -5224	-32348
+ -5230	-32347
+ -5236	-32346
+ -5242	-32345
+ -5249	-32344
+ -5255	-32343
+ -5261	-32342
+ -5267	-32341
+ -5273	-32340
+ -5280	-32339
+ -5286	-32338
+ -5292	-32337
+ -5298	-32336
+ -5304	-32335
+ -5311	-32334
+ -5317	-32333
+ -5323	-32332
+ -5329	-32331
+ -5335	-32330
+ -5342	-32329
+ -5348	-32328
+ -5354	-32327
+ -5360	-32326
+ -5366	-32325
+ -5373	-32324
+ -5379	-32323
+ -5385	-32321
+ -5391	-32320
+ -5397	-32319
+ -5404	-32318
+ -5410	-32317
+ -5416	-32316
+ -5422	-32315
+ -5428	-32314
+ -5435	-32313
+ -5441	-32312
+ -5447	-32311
+ -5453	-32310
+ -5459	-32309
+ -5466	-32308
+ -5472	-32307
+ -5478	-32306
+ -5484	-32305
+ -5490	-32304
+ -5496	-32303
+ -5503	-32302
+ -5509	-32301
+ -5515	-32300
+ -5521	-32298
+ -5527	-32297
+ -5534	-32296
+ -5540	-32295
+ -5546	-32294
+ -5552	-32293
+ -5558	-32292
+ -5565	-32291
+ -5571	-32290
+ -5577	-32289
+ -5583	-32288
+ -5589	-32287
+ -5596	-32286
+ -5602	-32285
+ -5608	-32284
+ -5614	-32282
+ -5620	-32281
+ -5626	-32280
+ -5633	-32279
+ -5639	-32278
+ -5645	-32277
+ -5651	-32276
+ -5657	-32275
+ -5664	-32274
+ -5670	-32273
+ -5676	-32272
+ -5682	-32271
+ -5688	-32269
+ -5695	-32268
+ -5701	-32267
+ -5707	-32266
+ -5713	-32265
+ -5719	-32264
+ -5726	-32263
+ -5732	-32262
+ -5738	-32261
+ -5744	-32260
+ -5750	-32258
+ -5756	-32257
+ -5763	-32256
+ -5769	-32255
+ -5775	-32254
+ -5781	-32253
+ -5787	-32252
+ -5794	-32251
+ -5800	-32250
+ -5806	-32249
+ -5812	-32247
+ -5818	-32246
+ -5824	-32245
+ -5831	-32244
+ -5837	-32243
+ -5843	-32242
+ -5849	-32241
+ -5855	-32240
+ -5862	-32238
+ -5868	-32237
+ -5874	-32236
+ -5880	-32235
+ -5886	-32234
+ -5892	-32233
+ -5899	-32232
+ -5905	-32231
+ -5911	-32229
+ -5917	-32228
+ -5923	-32227
+ -5930	-32226
+ -5936	-32225
+ -5942	-32224
+ -5948	-32223
+ -5954	-32221
+ -5960	-32220
+ -5967	-32219
+ -5973	-32218
+ -5979	-32217
+ -5985	-32216
+ -5991	-32215
+ -5997	-32213
+ -6004	-32212
+ -6010	-32211
+ -6016	-32210
+ -6022	-32209
+ -6028	-32208
+ -6035	-32207
+ -6041	-32205
+ -6047	-32204
+ -6053	-32203
+ -6059	-32202
+ -6065	-32201
+ -6072	-32200
+ -6078	-32198
+ -6084	-32197
+ -6090	-32196
+ -6096	-32195
+ -6102	-32194
+ -6109	-32193
+ -6115	-32191
+ -6121	-32190
+ -6127	-32189
+ -6133	-32188
+ -6139	-32187
+ -6146	-32185
+ -6152	-32184
+ -6158	-32183
+ -6164	-32182
+ -6170	-32181
+ -6177	-32180
+ -6183	-32178
+ -6189	-32177
+ -6195	-32176
+ -6201	-32175
+ -6207	-32174
+ -6214	-32172
+ -6220	-32171
+ -6226	-32170
+ -6232	-32169
+ -6238	-32168
+ -6244	-32166
+ -6251	-32165
+ -6257	-32164
+ -6263	-32163
+ -6269	-32162
+ -6275	-32160
+ -6281	-32159
+ -6288	-32158
+ -6294	-32157
+ -6300	-32156
+ -6306	-32154
+ -6312	-32153
+ -6318	-32152
+ -6325	-32151
+ -6331	-32150
+ -6337	-32148
+ -6343	-32147
+ -6349	-32146
+ -6355	-32145
+ -6362	-32144
+ -6368	-32142
+ -6374	-32141
+ -6380	-32140
+ -6386	-32139
+ -6392	-32137
+ -6398	-32136
+ -6405	-32135
+ -6411	-32134
+ -6417	-32132
+ -6423	-32131
+ -6429	-32130
+ -6435	-32129
+ -6442	-32128
+ -6448	-32126
+ -6454	-32125
+ -6460	-32124
+ -6466	-32123
+ -6472	-32121
+ -6479	-32120
+ -6485	-32119
+ -6491	-32118
+ -6497	-32116
+ -6503	-32115
+ -6509	-32114
+ -6516	-32113
+ -6522	-32111
+ -6528	-32110
+ -6534	-32109
+ -6540	-32108
+ -6546	-32106
+ -6552	-32105
+ -6559	-32104
+ -6565	-32103
+ -6571	-32101
+ -6577	-32100
+ -6583	-32099
+ -6589	-32098
+ -6596	-32096
+ -6602	-32095
+ -6608	-32094
+ -6614	-32093
+ -6620	-32091
+ -6626	-32090
+ -6632	-32089
+ -6639	-32087
+ -6645	-32086
+ -6651	-32085
+ -6657	-32084
+ -6663	-32082
+ -6669	-32081
+ -6676	-32080
+ -6682	-32078
+ -6688	-32077
+ -6694	-32076
+ -6700	-32075
+ -6706	-32073
+ -6712	-32072
+ -6719	-32071
+ -6725	-32070
+ -6731	-32068
+ -6737	-32067
+ -6743	-32066
+ -6749	-32064
+ -6755	-32063
+ -6762	-32062
+ -6768	-32060
+ -6774	-32059
+ -6780	-32058
+ -6786	-32057
+ -6792	-32055
+ -6799	-32054
+ -6805	-32053
+ -6811	-32051
+ -6817	-32050
+ -6823	-32049
+ -6829	-32047
+ -6835	-32046
+ -6842	-32045
+ -6848	-32043
+ -6854	-32042
+ -6860	-32041
+ -6866	-32040
+ -6872	-32038
+ -6878	-32037
+ -6885	-32036
+ -6891	-32034
+ -6897	-32033
+ -6903	-32032
+ -6909	-32030
+ -6915	-32029
+ -6921	-32028
+ -6928	-32026
+ -6934	-32025
+ -6940	-32024
+ -6946	-32022
+ -6952	-32021
+ -6958	-32020
+ -6964	-32018
+ -6970	-32017
+ -6977	-32016
+ -6983	-32014
+ -6989	-32013
+ -6995	-32012
+ -7001	-32010
+ -7007	-32009
+ -7013	-32008
+ -7020	-32006
+ -7026	-32005
+ -7032	-32004
+ -7038	-32002
+ -7044	-32001
+ -7050	-32000
+ -7056	-31998
+ -7063	-31997
+ -7069	-31995
+ -7075	-31994
+ -7081	-31993
+ -7087	-31991
+ -7093	-31990
+ -7099	-31989
+ -7105	-31987
+ -7112	-31986
+ -7118	-31985
+ -7124	-31983
+ -7130	-31982
+ -7136	-31980
+ -7142	-31979
+ -7148	-31978
+ -7155	-31976
+ -7161	-31975
+ -7167	-31974
+ -7173	-31972
+ -7179	-31971
+ -7185	-31969
+ -7191	-31968
+ -7197	-31967
+ -7204	-31965
+ -7210	-31964
+ -7216	-31963
+ -7222	-31961
+ -7228	-31960
+ -7234	-31958
+ -7240	-31957
+ -7246	-31956
+ -7253	-31954
+ -7259	-31953
+ -7265	-31951
+ -7271	-31950
+ -7277	-31949
+ -7283	-31947
+ -7289	-31946
+ -7295	-31944
+ -7302	-31943
+ -7308	-31942
+ -7314	-31940
+ -7320	-31939
+ -7326	-31937
+ -7332	-31936
+ -7338	-31935
+ -7344	-31933
+ -7351	-31932
+ -7357	-31930
+ -7363	-31929
+ -7369	-31928
+ -7375	-31926
+ -7381	-31925
+ -7387	-31923
+ -7393	-31922
+ -7400	-31921
+ -7406	-31919
+ -7412	-31918
+ -7418	-31916
+ -7424	-31915
+ -7430	-31913
+ -7436	-31912
+ -7442	-31911
+ -7449	-31909
+ -7455	-31908
+ -7461	-31906
+ -7467	-31905
+ -7473	-31903
+ -7479	-31902
+ -7485	-31901
+ -7491	-31899
+ -7497	-31898
+ -7504	-31896
+ -7510	-31895
+ -7516	-31893
+ -7522	-31892
+ -7528	-31890
+ -7534	-31889
+ -7540	-31888
+ -7546	-31886
+ -7553	-31885
+ -7559	-31883
+ -7565	-31882
+ -7571	-31880
+ -7577	-31879
+ -7583	-31877
+ -7589	-31876
+ -7595	-31875
+ -7601	-31873
+ -7608	-31872
+ -7614	-31870
+ -7620	-31869
+ -7626	-31867
+ -7632	-31866
+ -7638	-31864
+ -7644	-31863
+ -7650	-31861
+ -7656	-31860
+ -7663	-31858
+ -7669	-31857
+ -7675	-31856
+ -7681	-31854
+ -7687	-31853
+ -7693	-31851
+ -7699	-31850
+ -7705	-31848
+ -7711	-31847
+ -7717	-31845
+ -7724	-31844
+ -7730	-31842
+ -7736	-31841
+ -7742	-31839
+ -7748	-31838
+ -7754	-31836
+ -7760	-31835
+ -7766	-31833
+ -7772	-31832
+ -7779	-31830
+ -7785	-31829
+ -7791	-31827
+ -7797	-31826
+ -7803	-31824
+ -7809	-31823
+ -7815	-31821
+ -7821	-31820
+ -7827	-31818
+ -7833	-31817
+ -7840	-31815
+ -7846	-31814
+ -7852	-31812
+ -7858	-31811
+ -7864	-31809
+ -7870	-31808
+ -7876	-31806
+ -7882	-31805
+ -7888	-31803
+ -7894	-31802
+ -7901	-31800
+ -7907	-31799
+ -7913	-31797
+ -7919	-31796
+ -7925	-31794
+ -7931	-31793
+ -7937	-31791
+ -7943	-31790
+ -7949	-31788
+ -7955	-31787
+ -7961	-31785
+ -7968	-31784
+ -7974	-31782
+ -7980	-31780
+ -7986	-31779
+ -7992	-31777
+ -7998	-31776
+ -8004	-31774
+ -8010	-31773
+ -8016	-31771
+ -8022	-31770
+ -8029	-31768
+ -8035	-31767
+ -8041	-31765
+ -8047	-31764
+ -8053	-31762
+ -8059	-31760
+ -8065	-31759
+ -8071	-31757
+ -8077	-31756
+ -8083	-31754
+ -8089	-31753
+ -8095	-31751
+ -8102	-31750
+ -8108	-31748
+ -8114	-31747
+ -8120	-31745
+ -8126	-31743
+ -8132	-31742
+ -8138	-31740
+ -8144	-31739
+ -8150	-31737
+ -8156	-31736
+ -8162	-31734
+ -8169	-31732
+ -8175	-31731
+ -8181	-31729
+ -8187	-31728
+ -8193	-31726
+ -8199	-31725
+ -8205	-31723
+ -8211	-31721
+ -8217	-31720
+ -8223	-31718
+ -8229	-31717
+ -8235	-31715
+ -8242	-31714
+ -8248	-31712
+ -8254	-31710
+ -8260	-31709
+ -8266	-31707
+ -8272	-31706
+ -8278	-31704
+ -8284	-31702
+ -8290	-31701
+ -8296	-31699
+ -8302	-31698
+ -8308	-31696
+ -8314	-31695
+ -8321	-31693
+ -8327	-31691
+ -8333	-31690
+ -8339	-31688
+ -8345	-31687
+ -8351	-31685
+ -8357	-31683
+ -8363	-31682
+ -8369	-31680
+ -8375	-31679
+ -8381	-31677
+ -8387	-31675
+ -8393	-31674
+ -8400	-31672
+ -8406	-31670
+ -8412	-31669
+ -8418	-31667
+ -8424	-31666
+ -8430	-31664
+ -8436	-31662
+ -8442	-31661
+ -8448	-31659
+ -8454	-31658
+ -8460	-31656
+ -8466	-31654
+ -8472	-31653
+ -8478	-31651
+ -8485	-31649
+ -8491	-31648
+ -8497	-31646
+ -8503	-31645
+ -8509	-31643
+ -8515	-31641
+ -8521	-31640
+ -8527	-31638
+ -8533	-31636
+ -8539	-31635
+ -8545	-31633
+ -8551	-31631
+ -8557	-31630
+ -8563	-31628
+ -8569	-31627
+ -8576	-31625
+ -8582	-31623
+ -8588	-31622
+ -8594	-31620
+ -8600	-31618
+ -8606	-31617
+ -8612	-31615
+ -8618	-31613
+ -8624	-31612
+ -8630	-31610
+ -8636	-31608
+ -8642	-31607
+ -8648	-31605
+ -8654	-31603
+ -8660	-31602
+ -8666	-31600
+ -8672	-31598
+ -8679	-31597
+ -8685	-31595
+ -8691	-31593
+ -8697	-31592
+ -8703	-31590
+ -8709	-31588
+ -8715	-31587
+ -8721	-31585
+ -8727	-31583
+ -8733	-31582
+ -8739	-31580
+ -8745	-31578
+ -8751	-31577
+ -8757	-31575
+ -8763	-31573
+ -8769	-31572
+ -8775	-31570
+ -8781	-31568
+ -8788	-31567
+ -8794	-31565
+ -8800	-31563
+ -8806	-31562
+ -8812	-31560
+ -8818	-31558
+ -8824	-31557
+ -8830	-31555
+ -8836	-31553
+ -8842	-31551
+ -8848	-31550
+ -8854	-31548
+ -8860	-31546
+ -8866	-31545
+ -8872	-31543
+ -8878	-31541
+ -8884	-31540
+ -8890	-31538
+ -8896	-31536
+ -8902	-31534
+ -8909	-31533
+ -8915	-31531
+ -8921	-31529
+ -8927	-31528
+ -8933	-31526
+ -8939	-31524
+ -8945	-31522
+ -8951	-31521
+ -8957	-31519
+ -8963	-31517
+ -8969	-31516
+ -8975	-31514
+ -8981	-31512
+ -8987	-31510
+ -8993	-31509
+ -8999	-31507
+ -9005	-31505
+ -9011	-31504
+ -9017	-31502
+ -9023	-31500
+ -9029	-31498
+ -9035	-31497
+ -9041	-31495
+ -9048	-31493
+ -9054	-31491
+ -9060	-31490
+ -9066	-31488
+ -9072	-31486
+ -9078	-31484
+ -9084	-31483
+ -9090	-31481
+ -9096	-31479
+ -9102	-31477
+ -9108	-31476
+ -9114	-31474
+ -9120	-31472
+ -9126	-31470
+ -9132	-31469
+ -9138	-31467
+ -9144	-31465
+ -9150	-31463
+ -9156	-31462
+ -9162	-31460
+ -9168	-31458
+ -9174	-31456
+ -9180	-31455
+ -9186	-31453
+ -9192	-31451
+ -9198	-31449
+ -9204	-31448
+ -9210	-31446
+ -9216	-31444
+ -9222	-31442
+ -9229	-31441
+ -9235	-31439
+ -9241	-31437
+ -9247	-31435
+ -9253	-31433
+ -9259	-31432
+ -9265	-31430
+ -9271	-31428
+ -9277	-31426
+ -9283	-31425
+ -9289	-31423
+ -9295	-31421
+ -9301	-31419
+ -9307	-31417
+ -9313	-31416
+ -9319	-31414
+ -9325	-31412
+ -9331	-31410
+ -9337	-31409
+ -9343	-31407
+ -9349	-31405
+ -9355	-31403
+ -9361	-31401
+ -9367	-31400
+ -9373	-31398
+ -9379	-31396
+ -9385	-31394
+ -9391	-31392
+ -9397	-31391
+ -9403	-31389
+ -9409	-31387
+ -9415	-31385
+ -9421	-31383
+ -9427	-31382
+ -9433	-31380
+ -9439	-31378
+ -9445	-31376
+ -9451	-31374
+ -9457	-31372
+ -9463	-31371
+ -9469	-31369
+ -9475	-31367
+ -9481	-31365
+ -9487	-31363
+ -9493	-31362
+ -9499	-31360
+ -9505	-31358
+ -9511	-31356
+ -9517	-31354
+ -9523	-31352
+ -9530	-31351
+ -9536	-31349
+ -9542	-31347
+ -9548	-31345
+ -9554	-31343
+ -9560	-31341
+ -9566	-31340
+ -9572	-31338
+ -9578	-31336
+ -9584	-31334
+ -9590	-31332
+ -9596	-31330
+ -9602	-31329
+ -9608	-31327
+ -9614	-31325
+ -9620	-31323
+ -9626	-31321
+ -9632	-31319
+ -9638	-31318
+ -9644	-31316
+ -9650	-31314
+ -9656	-31312
+ -9662	-31310
+ -9668	-31308
+ -9674	-31306
+ -9680	-31305
+ -9686	-31303
+ -9692	-31301
+ -9698	-31299
+ -9704	-31297
+ -9710	-31295
+ -9716	-31293
+ -9722	-31292
+ -9728	-31290
+ -9734	-31288
+ -9740	-31286
+ -9746	-31284
+ -9752	-31282
+ -9758	-31280
+ -9764	-31278
+ -9770	-31277
+ -9776	-31275
+ -9782	-31273
+ -9788	-31271
+ -9794	-31269
+ -9800	-31267
+ -9806	-31265
+ -9812	-31263
+ -9818	-31262
+ -9824	-31260
+ -9830	-31258
+ -9836	-31256
+ -9842	-31254
+ -9848	-31252
+ -9854	-31250
+ -9860	-31248
+ -9866	-31246
+ -9872	-31245
+ -9878	-31243
+ -9884	-31241
+ -9890	-31239
+ -9896	-31237
+ -9902	-31235
+ -9908	-31233
+ -9913	-31231
+ -9919	-31229
+ -9925	-31228
+ -9931	-31226
+ -9937	-31224
+ -9943	-31222
+ -9949	-31220
+ -9955	-31218
+ -9961	-31216
+ -9967	-31214
+ -9973	-31212
+ -9979	-31210
+ -9985	-31208
+ -9991	-31207
+ -9997	-31205
+-10003	-31203
+-10009	-31201
+-10015	-31199
+-10021	-31197
+-10027	-31195
+-10033	-31193
+-10039	-31191
+-10045	-31189
+-10051	-31187
+-10057	-31185
+-10063	-31183
+-10069	-31182
+-10075	-31180
+-10081	-31178
+-10087	-31176
+-10093	-31174
+-10099	-31172
+-10105	-31170
+-10111	-31168
+-10117	-31166
+-10123	-31164
+-10129	-31162
+-10135	-31160
+-10141	-31158
+-10147	-31156
+-10153	-31154
+-10159	-31152
+-10165	-31150
+-10171	-31149
+-10177	-31147
+-10183	-31145
+-10189	-31143
+-10195	-31141
+-10201	-31139
+-10206	-31137
+-10212	-31135
+-10218	-31133
+-10224	-31131
+-10230	-31129
+-10236	-31127
+-10242	-31125
+-10248	-31123
+-10254	-31121
+-10260	-31119
+-10266	-31117
+-10272	-31115
+-10278	-31113
+-10284	-31111
+-10290	-31109
+-10296	-31107
+-10302	-31105
+-10308	-31103
+-10314	-31101
+-10320	-31099
+-10326	-31097
+-10332	-31095
+-10338	-31093
+-10344	-31091
+-10350	-31090
+-10356	-31088
+-10362	-31086
+-10368	-31084
+-10373	-31082
+-10379	-31080
+-10385	-31078
+-10391	-31076
+-10397	-31074
+-10403	-31072
+-10409	-31070
+-10415	-31068
+-10421	-31066
+-10427	-31064
+-10433	-31062
+-10439	-31060
+-10445	-31058
+-10451	-31056
+-10457	-31054
+-10463	-31052
+-10469	-31050
+-10475	-31048
+-10481	-31046
+-10487	-31044
+-10493	-31042
+-10499	-31040
+-10505	-31038
+-10510	-31036
+-10516	-31033
+-10522	-31031
+-10528	-31029
+-10534	-31027
+-10540	-31025
+-10546	-31023
+-10552	-31021
+-10558	-31019
+-10564	-31017
+-10570	-31015
+-10576	-31013
+-10582	-31011
+-10588	-31009
+-10594	-31007
+-10600	-31005
+-10606	-31003
+-10612	-31001
+-10618	-30999
+-10623	-30997
+-10629	-30995
+-10635	-30993
+-10641	-30991
+-10647	-30989
+-10653	-30987
+-10659	-30985
+-10665	-30983
+-10671	-30981
+-10677	-30979
+-10683	-30977
+-10689	-30975
+-10695	-30972
+-10701	-30970
+-10707	-30968
+-10713	-30966
+-10719	-30964
+-10724	-30962
+-10730	-30960
+-10736	-30958
+-10742	-30956
+-10748	-30954
+-10754	-30952
+-10760	-30950
+-10766	-30948
+-10772	-30946
+-10778	-30944
+-10784	-30942
+-10790	-30940
+-10796	-30937
+-10802	-30935
+-10808	-30933
+-10813	-30931
+-10819	-30929
+-10825	-30927
+-10831	-30925
+-10837	-30923
+-10843	-30921
+-10849	-30919
+-10855	-30917
+-10861	-30915
+-10867	-30913
+-10873	-30910
+-10879	-30908
+-10885	-30906
+-10891	-30904
+-10896	-30902
+-10902	-30900
+-10908	-30898
+-10914	-30896
+-10920	-30894
+-10926	-30892
+-10932	-30890
+-10938	-30887
+-10944	-30885
+-10950	-30883
+-10956	-30881
+-10962	-30879
+-10968	-30877
+-10973	-30875
+-10979	-30873
+-10985	-30871
+-10991	-30869
+-10997	-30866
+-11003	-30864
+-11009	-30862
+-11015	-30860
+-11021	-30858
+-11027	-30856
+-11033	-30854
+-11039	-30852
+-11044	-30850
+-11050	-30847
+-11056	-30845
+-11062	-30843
+-11068	-30841
+-11074	-30839
+-11080	-30837
+-11086	-30835
+-11092	-30833
+-11098	-30830
+-11104	-30828
+-11109	-30826
+-11115	-30824
+-11121	-30822
+-11127	-30820
+-11133	-30818
+-11139	-30815
+-11145	-30813
+-11151	-30811
+-11157	-30809
+-11163	-30807
+-11169	-30805
+-11174	-30803
+-11180	-30801
+-11186	-30798
+-11192	-30796
+-11198	-30794
+-11204	-30792
+-11210	-30790
+-11216	-30788
+-11222	-30785
+-11228	-30783
+-11234	-30781
+-11239	-30779
+-11245	-30777
+-11251	-30775
+-11257	-30773
+-11263	-30770
+-11269	-30768
+-11275	-30766
+-11281	-30764
+-11287	-30762
+-11293	-30760
+-11298	-30757
+-11304	-30755
+-11310	-30753
+-11316	-30751
+-11322	-30749
+-11328	-30747
+-11334	-30744
+-11340	-30742
+-11346	-30740
+-11351	-30738
+-11357	-30736
+-11363	-30734
+-11369	-30731
+-11375	-30729
+-11381	-30727
+-11387	-30725
+-11393	-30723
+-11399	-30720
+-11405	-30718
+-11410	-30716
+-11416	-30714
+-11422	-30712
+-11428	-30709
+-11434	-30707
+-11440	-30705
+-11446	-30703
+-11452	-30701
+-11457	-30698
+-11463	-30696
+-11469	-30694
+-11475	-30692
+-11481	-30690
+-11487	-30688
+-11493	-30685
+-11499	-30683
+-11505	-30681
+-11510	-30679
+-11516	-30676
+-11522	-30674
+-11528	-30672
+-11534	-30670
+-11540	-30668
+-11546	-30665
+-11552	-30663
+-11558	-30661
+-11563	-30659
+-11569	-30657
+-11575	-30654
+-11581	-30652
+-11587	-30650
+-11593	-30648
+-11599	-30645
+-11605	-30643
+-11610	-30641
+-11616	-30639
+-11622	-30637
+-11628	-30634
+-11634	-30632
+-11640	-30630
+-11646	-30628
+-11652	-30625
+-11657	-30623
+-11663	-30621
+-11669	-30619
+-11675	-30616
+-11681	-30614
+-11687	-30612
+-11693	-30610
+-11698	-30607
+-11704	-30605
+-11710	-30603
+-11716	-30601
+-11722	-30598
+-11728	-30596
+-11734	-30594
+-11740	-30592
+-11745	-30589
+-11751	-30587
+-11757	-30585
+-11763	-30583
+-11769	-30580
+-11775	-30578
+-11781	-30576
+-11786	-30574
+-11792	-30571
+-11798	-30569
+-11804	-30567
+-11810	-30565
+-11816	-30562
+-11822	-30560
+-11827	-30558
+-11833	-30556
+-11839	-30553
+-11845	-30551
+-11851	-30549
+-11857	-30547
+-11863	-30544
+-11868	-30542
+-11874	-30540
+-11880	-30537
+-11886	-30535
+-11892	-30533
+-11898	-30531
+-11904	-30528
+-11909	-30526
+-11915	-30524
+-11921	-30521
+-11927	-30519
+-11933	-30517
+-11939	-30515
+-11945	-30512
+-11950	-30510
+-11956	-30508
+-11962	-30505
+-11968	-30503
+-11974	-30501
+-11980	-30499
+-11986	-30496
+-11991	-30494
+-11997	-30492
+-12003	-30489
+-12009	-30487
+-12015	-30485
+-12021	-30482
+-12026	-30480
+-12032	-30478
+-12038	-30475
+-12044	-30473
+-12050	-30471
+-12056	-30469
+-12062	-30466
+-12067	-30464
+-12073	-30462
+-12079	-30459
+-12085	-30457
+-12091	-30455
+-12097	-30452
+-12102	-30450
+-12108	-30448
+-12114	-30445
+-12120	-30443
+-12126	-30441
+-12132	-30438
+-12137	-30436
+-12143	-30434
+-12149	-30431
+-12155	-30429
+-12161	-30427
+-12167	-30424
+-12172	-30422
+-12178	-30420
+-12184	-30417
+-12190	-30415
+-12196	-30413
+-12202	-30410
+-12207	-30408
+-12213	-30406
+-12219	-30403
+-12225	-30401
+-12231	-30399
+-12237	-30396
+-12242	-30394
+-12248	-30392
+-12254	-30389
+-12260	-30387
+-12266	-30385
+-12272	-30382
+-12277	-30380
+-12283	-30378
+-12289	-30375
+-12295	-30373
+-12301	-30370
+-12306	-30368
+-12312	-30366
+-12318	-30363
+-12324	-30361
+-12330	-30359
+-12336	-30356
+-12341	-30354
+-12347	-30352
+-12353	-30349
+-12359	-30347
+-12365	-30344
+-12370	-30342
+-12376	-30340
+-12382	-30337
+-12388	-30335
+-12394	-30333
+-12400	-30330
+-12405	-30328
+-12411	-30325
+-12417	-30323
+-12423	-30321
+-12429	-30318
+-12434	-30316
+-12440	-30314
+-12446	-30311
+-12452	-30309
+-12458	-30306
+-12464	-30304
+-12469	-30302
+-12475	-30299
+-12481	-30297
+-12487	-30294
+-12493	-30292
+-12498	-30290
+-12504	-30287
+-12510	-30285
+-12516	-30282
+-12522	-30280
+-12527	-30278
+-12533	-30275
+-12539	-30273
+-12545	-30270
+-12551	-30268
+-12556	-30266
+-12562	-30263
+-12568	-30261
+-12574	-30258
+-12580	-30256
+-12585	-30254
+-12591	-30251
+-12597	-30249
+-12603	-30246
+-12609	-30244
+-12614	-30241
+-12620	-30239
+-12626	-30237
+-12632	-30234
+-12638	-30232
+-12643	-30229
+-12649	-30227
+-12655	-30225
+-12661	-30222
+-12667	-30220
+-12672	-30217
+-12678	-30215
+-12684	-30212
+-12690	-30210
+-12696	-30208
+-12701	-30205
+-12707	-30203
+-12713	-30200
+-12719	-30198
+-12725	-30195
+-12730	-30193
+-12736	-30190
+-12742	-30188
+-12748	-30186
+-12753	-30183
+-12759	-30181
+-12765	-30178
+-12771	-30176
+-12777	-30173
+-12782	-30171
+-12788	-30168
+-12794	-30166
+-12800	-30164
+-12806	-30161
+-12811	-30159
+-12817	-30156
+-12823	-30154
+-12829	-30151
+-12834	-30149
+-12840	-30146
+-12846	-30144
+-12852	-30141
+-12858	-30139
+-12863	-30136
+-12869	-30134
+-12875	-30132
+-12881	-30129
+-12886	-30127
+-12892	-30124
+-12898	-30122
+-12904	-30119
+-12910	-30117
+-12915	-30114
+-12921	-30112
+-12927	-30109
+-12933	-30107
+-12938	-30104
+-12944	-30102
+-12950	-30099
+-12956	-30097
+-12961	-30094
+-12967	-30092
+-12973	-30089
+-12979	-30087
+-12985	-30084
+-12990	-30082
+-12996	-30079
+-13002	-30077
+-13008	-30074
+-13013	-30072
+-13019	-30069
+-13025	-30067
+-13031	-30064
+-13036	-30062
+-13042	-30059
+-13048	-30057
+-13054	-30054
+-13060	-30052
+-13065	-30049
+-13071	-30047
+-13077	-30044
+-13083	-30042
+-13088	-30039
+-13094	-30037
+-13100	-30034
+-13106	-30032
+-13111	-30029
+-13117	-30027
+-13123	-30024
+-13129	-30022
+-13134	-30019
+-13140	-30017
+-13146	-30014
+-13152	-30012
+-13157	-30009
+-13163	-30007
+-13169	-30004
+-13175	-30002
+-13180	-29999
+-13186	-29997
+-13192	-29994
+-13198	-29992
+-13203	-29989
+-13209	-29986
+-13215	-29984
+-13221	-29981
+-13226	-29979
+-13232	-29976
+-13238	-29974
+-13244	-29971
+-13249	-29969
+-13255	-29966
+-13261	-29964
+-13267	-29961
+-13272	-29959
+-13278	-29956
+-13284	-29953
+-13290	-29951
+-13295	-29948
+-13301	-29946
+-13307	-29943
+-13313	-29941
+-13318	-29938
+-13324	-29936
+-13330	-29933
+-13336	-29930
+-13341	-29928
+-13347	-29925
+-13353	-29923
+-13359	-29920
+-13364	-29918
+-13370	-29915
+-13376	-29913
+-13381	-29910
+-13387	-29907
+-13393	-29905
+-13399	-29902
+-13404	-29900
+-13410	-29897
+-13416	-29895
+-13422	-29892
+-13427	-29889
+-13433	-29887
+-13439	-29884
+-13445	-29882
+-13450	-29879
+-13456	-29877
+-13462	-29874
+-13467	-29871
+-13473	-29869
+-13479	-29866
+-13485	-29864
+-13490	-29861
+-13496	-29858
+-13502	-29856
+-13507	-29853
+-13513	-29851
+-13519	-29848
+-13525	-29845
+-13530	-29843
+-13536	-29840
+-13542	-29838
+-13548	-29835
+-13553	-29833
+-13559	-29830
+-13565	-29827
+-13570	-29825
+-13576	-29822
+-13582	-29820
+-13588	-29817
+-13593	-29814
+-13599	-29812
+-13605	-29809
+-13610	-29806
+-13616	-29804
+-13622	-29801
+-13628	-29799
+-13633	-29796
+-13639	-29793
+-13645	-29791
+-13650	-29788
+-13656	-29786
+-13662	-29783
+-13668	-29780
+-13673	-29778
+-13679	-29775
+-13685	-29772
+-13690	-29770
+-13696	-29767
+-13702	-29765
+-13708	-29762
+-13713	-29759
+-13719	-29757
+-13725	-29754
+-13730	-29751
+-13736	-29749
+-13742	-29746
+-13747	-29744
+-13753	-29741
+-13759	-29738
+-13765	-29736
+-13770	-29733
+-13776	-29730
+-13782	-29728
+-13787	-29725
+-13793	-29722
+-13799	-29720
+-13804	-29717
+-13810	-29714
+-13816	-29712
+-13822	-29709
+-13827	-29707
+-13833	-29704
+-13839	-29701
+-13844	-29699
+-13850	-29696
+-13856	-29693
+-13861	-29691
+-13867	-29688
+-13873	-29685
+-13879	-29683
+-13884	-29680
+-13890	-29677
+-13896	-29675
+-13901	-29672
+-13907	-29669
+-13913	-29667
+-13918	-29664
+-13924	-29661
+-13930	-29659
+-13935	-29656
+-13941	-29653
+-13947	-29651
+-13952	-29648
+-13958	-29645
+-13964	-29643
+-13970	-29640
+-13975	-29637
+-13981	-29635
+-13987	-29632
+-13992	-29629
+-13998	-29626
+-14004	-29624
+-14009	-29621
+-14015	-29618
+-14021	-29616
+-14026	-29613
+-14032	-29610
+-14038	-29608
+-14043	-29605
+-14049	-29602
+-14055	-29600
+-14060	-29597
+-14066	-29594
+-14072	-29591
+-14077	-29589
+-14083	-29586
+-14089	-29583
+-14094	-29581
+-14100	-29578
+-14106	-29575
+-14111	-29573
+-14117	-29570
+-14123	-29567
+-14128	-29564
+-14134	-29562
+-14140	-29559
+-14145	-29556
+-14151	-29554
+-14157	-29551
+-14162	-29548
+-14168	-29545
+-14174	-29543
+-14179	-29540
+-14185	-29537
+-14191	-29535
+-14196	-29532
+-14202	-29529
+-14208	-29526
+-14213	-29524
+-14219	-29521
+-14225	-29518
+-14230	-29516
+-14236	-29513
+-14242	-29510
+-14247	-29507
+-14253	-29505
+-14259	-29502
+-14264	-29499
+-14270	-29496
+-14276	-29494
+-14281	-29491
+-14287	-29488
+-14293	-29485
+-14298	-29483
+-14304	-29480
+-14310	-29477
+-14315	-29474
+-14321	-29472
+-14327	-29469
+-14332	-29466
+-14338	-29463
+-14343	-29461
+-14349	-29458
+-14355	-29455
+-14360	-29452
+-14366	-29450
+-14372	-29447
+-14377	-29444
+-14383	-29441
+-14389	-29439
+-14394	-29436
+-14400	-29433
+-14406	-29430
+-14411	-29428
+-14417	-29425
+-14422	-29422
+-14428	-29419
+-14434	-29417
+-14439	-29414
+-14445	-29411
+-14451	-29408
+-14456	-29406
+-14462	-29403
+-14468	-29400
+-14473	-29397
+-14479	-29394
+-14485	-29392
+-14490	-29389
+-14496	-29386
+-14501	-29383
+-14507	-29381
+-14513	-29378
+-14518	-29375
+-14524	-29372
+-14530	-29369
+-14535	-29367
+-14541	-29364
+-14546	-29361
+-14552	-29358
+-14558	-29355
+-14563	-29353
+-14569	-29350
+-14575	-29347
+-14580	-29344
+-14586	-29341
+-14591	-29339
+-14597	-29336
+-14603	-29333
+-14608	-29330
+-14614	-29327
+-14620	-29325
+-14625	-29322
+-14631	-29319
+-14636	-29316
+-14642	-29313
+-14648	-29311
+-14653	-29308
+-14659	-29305
+-14665	-29302
+-14670	-29299
+-14676	-29297
+-14681	-29294
+-14687	-29291
+-14693	-29288
+-14698	-29285
+-14704	-29282
+-14710	-29280
+-14715	-29277
+-14721	-29274
+-14726	-29271
+-14732	-29268
+-14738	-29266
+-14743	-29263
+-14749	-29260
+-14754	-29257
+-14760	-29254
+-14766	-29251
+-14771	-29249
+-14777	-29246
+-14782	-29243
+-14788	-29240
+-14794	-29237
+-14799	-29234
+-14805	-29232
+-14810	-29229
+-14816	-29226
+-14822	-29223
+-14827	-29220
+-14833	-29217
+-14838	-29215
+-14844	-29212
+-14850	-29209
+-14855	-29206
+-14861	-29203
+-14866	-29200
+-14872	-29197
+-14878	-29195
+-14883	-29192
+-14889	-29189
+-14894	-29186
+-14900	-29183
+-14906	-29180
+-14911	-29177
+-14917	-29175
+-14922	-29172
+-14928	-29169
+-14934	-29166
+-14939	-29163
+-14945	-29160
+-14950	-29157
+-14956	-29155
+-14962	-29152
+-14967	-29149
+-14973	-29146
+-14978	-29143
+-14984	-29140
+-14990	-29137
+-14995	-29134
+-15001	-29132
+-15006	-29129
+-15012	-29126
+-15017	-29123
+-15023	-29120
+-15029	-29117
+-15034	-29114
+-15040	-29111
+-15045	-29109
+-15051	-29106
+-15057	-29103
+-15062	-29100
+-15068	-29097
+-15073	-29094
+-15079	-29091
+-15084	-29088
+-15090	-29085
+-15096	-29083
+-15101	-29080
+-15107	-29077
+-15112	-29074
+-15118	-29071
+-15123	-29068
+-15129	-29065
+-15135	-29062
+-15140	-29059
+-15146	-29056
+-15151	-29054
+-15157	-29051
+-15162	-29048
+-15168	-29045
+-15174	-29042
+-15179	-29039
+-15185	-29036
+-15190	-29033
+-15196	-29030
+-15201	-29027
+-15207	-29024
+-15213	-29021
+-15218	-29019
+-15224	-29016
+-15229	-29013
+-15235	-29010
+-15240	-29007
+-15246	-29004
+-15252	-29001
+-15257	-28998
+-15263	-28995
+-15268	-28992
+-15274	-28989
+-15279	-28986
+-15285	-28983
+-15290	-28981
+-15296	-28978
+-15302	-28975
+-15307	-28972
+-15313	-28969
+-15318	-28966
+-15324	-28963
+-15329	-28960
+-15335	-28957
+-15340	-28954
+-15346	-28951
+-15352	-28948
+-15357	-28945
+-15363	-28942
+-15368	-28939
+-15374	-28936
+-15379	-28933
+-15385	-28931
+-15390	-28928
+-15396	-28925
+-15401	-28922
+-15407	-28919
+-15413	-28916
+-15418	-28913
+-15424	-28910
+-15429	-28907
+-15435	-28904
+-15440	-28901
+-15446	-28898
+-15451	-28895
+-15457	-28892
+-15462	-28889
+-15468	-28886
+-15473	-28883
+-15479	-28880
+-15485	-28877
+-15490	-28874
+-15496	-28871
+-15501	-28868
+-15507	-28865
+-15512	-28862
+-15518	-28859
+-15523	-28856
+-15529	-28853
+-15534	-28851
+-15540	-28848
+-15545	-28845
+-15551	-28842
+-15556	-28839
+-15562	-28836
+-15568	-28833
+-15573	-28830
+-15579	-28827
+-15584	-28824
+-15590	-28821
+-15595	-28818
+-15601	-28815
+-15606	-28812
+-15612	-28809
+-15617	-28806
+-15623	-28803
+-15628	-28800
+-15634	-28797
+-15639	-28794
+-15645	-28791
+-15650	-28788
+-15656	-28785
+-15661	-28782
+-15667	-28779
+-15672	-28776
+-15678	-28773
+-15684	-28770
+-15689	-28767
+-15695	-28764
+-15700	-28761
+-15706	-28758
+-15711	-28755
+-15717	-28752
+-15722	-28749
+-15728	-28746
+-15733	-28743
+-15739	-28740
+-15744	-28737
+-15750	-28734
+-15755	-28731
+-15761	-28727
+-15766	-28724
+-15772	-28721
+-15777	-28718
+-15783	-28715
+-15788	-28712
+-15794	-28709
+-15799	-28706
+-15805	-28703
+-15810	-28700
+-15816	-28697
+-15821	-28694
+-15827	-28691
+-15832	-28688
+-15838	-28685
+-15843	-28682
+-15849	-28679
+-15854	-28676
+-15860	-28673
+-15865	-28670
+-15871	-28667
+-15876	-28664
+-15882	-28661
+-15887	-28658
+-15893	-28655
+-15898	-28652
+-15904	-28649
+-15909	-28646
+-15915	-28642
+-15920	-28639
+-15926	-28636
+-15931	-28633
+-15937	-28630
+-15942	-28627
+-15948	-28624
+-15953	-28621
+-15959	-28618
+-15964	-28615
+-15970	-28612
+-15975	-28609
+-15981	-28606
+-15986	-28603
+-15992	-28600
+-15997	-28597
+-16002	-28594
+-16008	-28590
+-16013	-28587
+-16019	-28584
+-16024	-28581
+-16030	-28578
+-16035	-28575
+-16041	-28572
+-16046	-28569
+-16052	-28566
+-16057	-28563
+-16063	-28560
+-16068	-28557
+-16074	-28554
+-16079	-28550
+-16085	-28547
+-16090	-28544
+-16096	-28541
+-16101	-28538
+-16107	-28535
+-16112	-28532
+-16117	-28529
+-16123	-28526
+-16128	-28523
+-16134	-28520
+-16139	-28516
+-16145	-28513
+-16150	-28510
+-16156	-28507
+-16161	-28504
+-16167	-28501
+-16172	-28498
+-16178	-28495
+-16183	-28492
+-16189	-28489
+-16194	-28485
+-16199	-28482
+-16205	-28479
+-16210	-28476
+-16216	-28473
+-16221	-28470
+-16227	-28467
+-16232	-28464
+-16238	-28461
+-16243	-28457
+-16249	-28454
+-16254	-28451
+-16260	-28448
+-16265	-28445
+-16270	-28442
+-16276	-28439
+-16281	-28436
+-16287	-28433
+-16292	-28429
+-16298	-28426
+-16303	-28423
+-16309	-28420
+-16314	-28417
+-16319	-28414
+-16325	-28411
+-16330	-28408
+-16336	-28404
+-16341	-28401
+-16347	-28398
+-16352	-28395
+-16358	-28392
+-16363	-28389
+-16368	-28386
+-16374	-28382
+-16379	-28379
+-16386	-28376
+-16391	-28373
+-16397	-28370
+-16402	-28367
+-16408	-28364
+-16413	-28360
+-16418	-28357
+-16424	-28354
+-16429	-28351
+-16435	-28348
+-16440	-28345
+-16446	-28342
+-16451	-28338
+-16456	-28335
+-16462	-28332
+-16467	-28329
+-16473	-28326
+-16478	-28323
+-16484	-28319
+-16489	-28316
+-16495	-28313
+-16500	-28310
+-16505	-28307
+-16511	-28304
+-16516	-28300
+-16522	-28297
+-16527	-28294
+-16532	-28291
+-16538	-28288
+-16543	-28285
+-16549	-28281
+-16554	-28278
+-16560	-28275
+-16565	-28272
+-16570	-28269
+-16576	-28266
+-16581	-28262
+-16587	-28259
+-16592	-28256
+-16598	-28253
+-16603	-28250
+-16608	-28246
+-16614	-28243
+-16619	-28240
+-16625	-28237
+-16630	-28234
+-16635	-28231
+-16641	-28227
+-16646	-28224
+-16652	-28221
+-16657	-28218
+-16663	-28215
+-16668	-28211
+-16673	-28208
+-16679	-28205
+-16684	-28202
+-16690	-28199
+-16695	-28195
+-16700	-28192
+-16706	-28189
+-16711	-28186
+-16717	-28183
+-16722	-28179
+-16727	-28176
+-16733	-28173
+-16738	-28170
+-16744	-28167
+-16749	-28163
+-16754	-28160
+-16760	-28157
+-16765	-28154
+-16771	-28150
+-16776	-28147
+-16781	-28144
+-16787	-28141
+-16792	-28138
+-16798	-28134
+-16803	-28131
+-16808	-28128
+-16814	-28125
+-16819	-28121
+-16825	-28118
+-16830	-28115
+-16835	-28112
+-16841	-28109
+-16846	-28105
+-16851	-28102
+-16857	-28099
+-16862	-28096
+-16868	-28092
+-16873	-28089
+-16878	-28086
+-16884	-28083
+-16889	-28079
+-16895	-28076
+-16900	-28073
+-16905	-28070
+-16911	-28067
+-16916	-28063
+-16921	-28060
+-16927	-28057
+-16932	-28054
+-16938	-28050
+-16943	-28047
+-16948	-28044
+-16954	-28041
+-16959	-28037
+-16964	-28034
+-16970	-28031
+-16975	-28028
+-16981	-28024
+-16986	-28021
+-16991	-28018
+-16997	-28014
+-17002	-28011
+-17007	-28008
+-17013	-28005
+-17018	-28001
+-17024	-27998
+-17029	-27995
+-17034	-27992
+-17040	-27988
+-17045	-27985
+-17050	-27982
+-17056	-27979
+-17061	-27975
+-17067	-27972
+-17072	-27969
+-17077	-27965
+-17083	-27962
+-17088	-27959
+-17093	-27956
+-17099	-27952
+-17104	-27949
+-17109	-27946
+-17115	-27943
+-17120	-27939
+-17125	-27936
+-17131	-27933
+-17136	-27929
+-17142	-27926
+-17147	-27923
+-17152	-27920
+-17158	-27916
+-17163	-27913
+-17168	-27910
+-17174	-27906
+-17179	-27903
+-17184	-27900
+-17190	-27897
+-17195	-27893
+-17200	-27890
+-17206	-27887
+-17211	-27883
+-17216	-27880
+-17222	-27877
+-17227	-27873
+-17232	-27870
+-17238	-27867
+-17243	-27863
+-17249	-27860
+-17254	-27857
+-17259	-27854
+-17265	-27850
+-17270	-27847
+-17275	-27844
+-17281	-27840
+-17286	-27837
+-17291	-27834
+-17297	-27830
+-17302	-27827
+-17307	-27824
+-17313	-27820
+-17318	-27817
+-17323	-27814
+-17329	-27810
+-17334	-27807
+-17339	-27804
+-17345	-27800
+-17350	-27797
+-17355	-27794
+-17361	-27791
+-17366	-27787
+-17371	-27784
+-17377	-27781
+-17382	-27777
+-17387	-27774
+-17393	-27771
+-17398	-27767
+-17403	-27764
+-17408	-27761
+-17414	-27757
+-17419	-27754
+-17424	-27750
+-17430	-27747
+-17435	-27744
+-17440	-27740
+-17446	-27737
+-17451	-27734
+-17456	-27730
+-17462	-27727
+-17467	-27724
+-17472	-27720
+-17478	-27717
+-17483	-27714
+-17488	-27710
+-17494	-27707
+-17499	-27704
+-17504	-27700
+-17509	-27697
+-17515	-27694
+-17520	-27690
+-17525	-27687
+-17531	-27683
+-17536	-27680
+-17541	-27677
+-17547	-27673
+-17552	-27670
+-17557	-27667
+-17563	-27663
+-17568	-27660
+-17573	-27657
+-17578	-27653
+-17584	-27650
+-17589	-27646
+-17594	-27643
+-17600	-27640
+-17605	-27636
+-17610	-27633
+-17616	-27630
+-17621	-27626
+-17626	-27623
+-17631	-27619
+-17637	-27616
+-17642	-27613
+-17647	-27609
+-17653	-27606
+-17658	-27603
+-17663	-27599
+-17669	-27596
+-17674	-27592
+-17679	-27589
+-17684	-27586
+-17690	-27582
+-17695	-27579
+-17700	-27575
+-17706	-27572
+-17711	-27569
+-17716	-27565
+-17721	-27562
+-17727	-27558
+-17732	-27555
+-17737	-27552
+-17743	-27548
+-17748	-27545
+-17753	-27541
+-17758	-27538
+-17764	-27535
+-17769	-27531
+-17774	-27528
+-17779	-27524
+-17785	-27521
+-17790	-27518
+-17795	-27514
+-17801	-27511
+-17806	-27507
+-17811	-27504
+-17816	-27500
+-17822	-27497
+-17827	-27494
+-17832	-27490
+-17838	-27487
+-17843	-27483
+-17848	-27480
+-17853	-27477
+-17859	-27473
+-17864	-27470
+-17869	-27466
+-17874	-27463
+-17880	-27459
+-17885	-27456
+-17890	-27453
+-17895	-27449
+-17901	-27446
+-17906	-27442
+-17911	-27439
+-17916	-27435
+-17922	-27432
+-17927	-27429
+-17932	-27425
+-17938	-27422
+-17943	-27418
+-17948	-27415
+-17953	-27411
+-17959	-27408
+-17964	-27404
+-17969	-27401
+-17974	-27398
+-17980	-27394
+-17985	-27391
+-17990	-27387
+-17995	-27384
+-18001	-27380
+-18006	-27377
+-18011	-27373
+-18016	-27370
+-18022	-27366
+-18027	-27363
+-18032	-27360
+-18037	-27356
+-18043	-27353
+-18048	-27349
+-18053	-27346
+-18058	-27342
+-18064	-27339
+-18069	-27335
+-18074	-27332
+-18079	-27328
+-18084	-27325
+-18090	-27321
+-18095	-27318
+-18100	-27315
+-18105	-27311
+-18111	-27308
+-18116	-27304
+-18121	-27301
+-18126	-27297
+-18132	-27294
+-18137	-27290
+-18142	-27287
+-18147	-27283
+-18153	-27280
+-18158	-27276
+-18163	-27273
+-18168	-27269
+-18173	-27266
+-18179	-27262
+-18184	-27259
+-18189	-27255
+-18194	-27252
+-18200	-27248
+-18205	-27245
+-18210	-27241
+-18215	-27238
+-18220	-27234
+-18226	-27231
+-18231	-27227
+-18236	-27224
+-18241	-27220
+-18247	-27217
+-18252	-27213
+-18257	-27210
+-18262	-27206
+-18267	-27203
+-18273	-27199
+-18278	-27196
+-18283	-27192
+-18288	-27189
+-18294	-27185
+-18299	-27182
+-18304	-27178
+-18309	-27175
+-18314	-27171
+-18320	-27168
+-18325	-27164
+-18330	-27161
+-18335	-27157
+-18340	-27154
+-18346	-27150
+-18351	-27147
+-18356	-27143
+-18361	-27140
+-18366	-27136
+-18372	-27133
+-18377	-27129
+-18382	-27126
+-18387	-27122
+-18392	-27119
+-18398	-27115
+-18403	-27112
+-18408	-27108
+-18413	-27105
+-18418	-27101
+-18424	-27097
+-18429	-27094
+-18434	-27090
+-18439	-27087
+-18444	-27083
+-18450	-27080
+-18455	-27076
+-18460	-27073
+-18465	-27069
+-18470	-27066
+-18476	-27062
+-18481	-27059
+-18486	-27055
+-18491	-27051
+-18496	-27048
+-18501	-27044
+-18507	-27041
+-18512	-27037
+-18517	-27034
+-18522	-27030
+-18527	-27027
+-18533	-27023
+-18538	-27019
+-18543	-27016
+-18548	-27012
+-18553	-27009
+-18558	-27005
+-18564	-27002
+-18569	-26998
+-18574	-26995
+-18579	-26991
+-18584	-26987
+-18590	-26984
+-18595	-26980
+-18600	-26977
+-18605	-26973
+-18610	-26970
+-18615	-26966
+-18621	-26962
+-18626	-26959
+-18631	-26955
+-18636	-26952
+-18641	-26948
+-18646	-26945
+-18652	-26941
+-18657	-26937
+-18662	-26934
+-18667	-26930
+-18672	-26927
+-18677	-26923
+-18683	-26920
+-18688	-26916
+-18693	-26912
+-18698	-26909
+-18703	-26905
+-18708	-26902
+-18714	-26898
+-18719	-26894
+-18724	-26891
+-18729	-26887
+-18734	-26884
+-18739	-26880
+-18744	-26877
+-18750	-26873
+-18755	-26869
+-18760	-26866
+-18765	-26862
+-18770	-26859
+-18775	-26855
+-18781	-26851
+-18786	-26848
+-18791	-26844
+-18796	-26841
+-18801	-26837
+-18806	-26833
+-18811	-26830
+-18817	-26826
+-18822	-26823
+-18827	-26819
+-18832	-26815
+-18837	-26812
+-18842	-26808
+-18847	-26804
+-18853	-26801
+-18858	-26797
+-18863	-26794
+-18868	-26790
+-18873	-26786
+-18878	-26783
+-18883	-26779
+-18888	-26776
+-18894	-26772
+-18899	-26768
+-18904	-26765
+-18909	-26761
+-18914	-26757
+-18919	-26754
+-18924	-26750
+-18930	-26747
+-18935	-26743
+-18940	-26739
+-18945	-26736
+-18950	-26732
+-18955	-26728
+-18960	-26725
+-18965	-26721
+-18971	-26717
+-18976	-26714
+-18981	-26710
+-18986	-26707
+-18991	-26703
+-18996	-26699
+-19001	-26696
+-19006	-26692
+-19011	-26688
+-19017	-26685
+-19022	-26681
+-19027	-26677
+-19032	-26674
+-19037	-26670
+-19042	-26666
+-19047	-26663
+-19052	-26659
+-19058	-26655
+-19063	-26652
+-19068	-26648
+-19073	-26644
+-19078	-26641
+-19083	-26637
+-19088	-26634
+-19093	-26630
+-19098	-26626
+-19103	-26623
+-19109	-26619
+-19114	-26615
+-19119	-26612
+-19124	-26608
+-19129	-26604
+-19134	-26601
+-19139	-26597
+-19144	-26593
+-19149	-26590
+-19154	-26586
+-19160	-26582
+-19165	-26579
+-19170	-26575
+-19175	-26571
+-19180	-26567
+-19185	-26564
+-19190	-26560
+-19195	-26556
+-19200	-26553
+-19205	-26549
+-19211	-26545
+-19216	-26542
+-19221	-26538
+-19226	-26534
+-19231	-26531
+-19236	-26527
+-19241	-26523
+-19246	-26520
+-19251	-26516
+-19256	-26512
+-19261	-26509
+-19266	-26505
+-19272	-26501
+-19277	-26497
+-19282	-26494
+-19287	-26490
+-19292	-26486
+-19297	-26483
+-19302	-26479
+-19307	-26475
+-19312	-26472
+-19317	-26468
+-19322	-26464
+-19327	-26460
+-19332	-26457
+-19338	-26453
+-19343	-26449
+-19348	-26446
+-19353	-26442
+-19358	-26438
+-19363	-26434
+-19368	-26431
+-19373	-26427
+-19378	-26423
+-19383	-26420
+-19388	-26416
+-19393	-26412
+-19398	-26408
+-19403	-26405
+-19408	-26401
+-19414	-26397
+-19419	-26394
+-19424	-26390
+-19429	-26386
+-19434	-26382
+-19439	-26379
+-19444	-26375
+-19449	-26371
+-19454	-26367
+-19459	-26364
+-19464	-26360
+-19469	-26356
+-19474	-26353
+-19479	-26349
+-19484	-26345
+-19489	-26341
+-19494	-26338
+-19499	-26334
+-19505	-26330
+-19510	-26326
+-19515	-26323
+-19520	-26319
+-19525	-26315
+-19530	-26311
+-19535	-26308
+-19540	-26304
+-19545	-26300
+-19550	-26296
+-19555	-26293
+-19560	-26289
+-19565	-26285
+-19570	-26281
+-19575	-26278
+-19580	-26274
+-19585	-26270
+-19590	-26266
+-19595	-26263
+-19600	-26259
+-19605	-26255
+-19610	-26251
+-19615	-26248
+-19620	-26244
+-19625	-26240
+-19631	-26236
+-19636	-26233
+-19641	-26229
+-19646	-26225
+-19651	-26221
+-19656	-26217
+-19661	-26214
+-19666	-26210
+-19671	-26206
+-19676	-26202
+-19681	-26199
+-19686	-26195
+-19691	-26191
+-19696	-26187
+-19701	-26184
+-19706	-26180
+-19711	-26176
+-19716	-26172
+-19721	-26168
+-19726	-26165
+-19731	-26161
+-19736	-26157
+-19741	-26153
+-19746	-26150
+-19751	-26146
+-19756	-26142
+-19761	-26138
+-19766	-26134
+-19771	-26131
+-19776	-26127
+-19781	-26123
+-19786	-26119
+-19791	-26115
+-19796	-26112
+-19801	-26108
+-19806	-26104
+-19811	-26100
+-19816	-26096
+-19821	-26093
+-19826	-26089
+-19831	-26085
+-19836	-26081
+-19841	-26077
+-19846	-26074
+-19851	-26070
+-19856	-26066
+-19861	-26062
+-19866	-26058
+-19871	-26055
+-19876	-26051
+-19881	-26047
+-19886	-26043
+-19891	-26039
+-19896	-26035
+-19901	-26032
+-19906	-26028
+-19911	-26024
+-19916	-26020
+-19921	-26016
+-19926	-26013
+-19931	-26009
+-19936	-26005
+-19941	-26001
+-19946	-25997
+-19951	-25993
+-19956	-25990
+-19961	-25986
+-19966	-25982
+-19971	-25978
+-19976	-25974
+-19981	-25970
+-19986	-25967
+-19991	-25963
+-19996	-25959
+-20001	-25955
+-20006	-25951
+-20011	-25947
+-20016	-25944
+-20021	-25940
+-20026	-25936
+-20031	-25932
+-20036	-25928
+-20041	-25924
+-20046	-25921
+-20051	-25917
+-20056	-25913
+-20060	-25909
+-20065	-25905
+-20070	-25901
+-20075	-25898
+-20080	-25894
+-20085	-25890
+-20090	-25886
+-20095	-25882
+-20100	-25878
+-20105	-25874
+-20110	-25871
+-20115	-25867
+-20120	-25863
+-20125	-25859
+-20130	-25855
+-20135	-25851
+-20140	-25847
+-20145	-25844
+-20150	-25840
+-20155	-25836
+-20160	-25832
+-20165	-25828
+-20170	-25824
+-20175	-25820
+-20179	-25816
+-20184	-25813
+-20189	-25809
+-20194	-25805
+-20199	-25801
+-20204	-25797
+-20209	-25793
+-20214	-25789
+-20219	-25786
+-20224	-25782
+-20229	-25778
+-20234	-25774
+-20239	-25770
+-20244	-25766
+-20249	-25762
+-20254	-25758
+-20259	-25754
+-20264	-25751
+-20268	-25747
+-20273	-25743
+-20278	-25739
+-20283	-25735
+-20288	-25731
+-20293	-25727
+-20298	-25723
+-20303	-25719
+-20308	-25716
+-20313	-25712
+-20318	-25708
+-20323	-25704
+-20328	-25700
+-20333	-25696
+-20338	-25692
+-20342	-25688
+-20347	-25684
+-20352	-25680
+-20357	-25677
+-20362	-25673
+-20367	-25669
+-20372	-25665
+-20377	-25661
+-20382	-25657
+-20387	-25653
+-20392	-25649
+-20397	-25645
+-20401	-25641
+-20406	-25638
+-20411	-25634
+-20416	-25630
+-20421	-25626
+-20426	-25622
+-20431	-25618
+-20436	-25614
+-20441	-25610
+-20446	-25606
+-20451	-25602
+-20456	-25598
+-20460	-25594
+-20465	-25590
+-20470	-25587
+-20475	-25583
+-20480	-25579
+-20485	-25575
+-20490	-25571
+-20495	-25567
+-20500	-25563
+-20505	-25559
+-20509	-25555
+-20514	-25551
+-20519	-25547
+-20524	-25543
+-20529	-25539
+-20534	-25535
+-20539	-25532
+-20544	-25528
+-20549	-25524
+-20554	-25520
+-20558	-25516
+-20563	-25512
+-20568	-25508
+-20573	-25504
+-20578	-25500
+-20583	-25496
+-20588	-25492
+-20593	-25488
+-20598	-25484
+-20602	-25480
+-20607	-25476
+-20612	-25472
+-20617	-25468
+-20622	-25464
+-20627	-25460
+-20632	-25457
+-20637	-25453
+-20641	-25449
+-20646	-25445
+-20651	-25441
+-20656	-25437
+-20661	-25433
+-20666	-25429
+-20671	-25425
+-20676	-25421
+-20680	-25417
+-20685	-25413
+-20690	-25409
+-20695	-25405
+-20700	-25401
+-20705	-25397
+-20710	-25393
+-20715	-25389
+-20719	-25385
+-20724	-25381
+-20729	-25377
+-20734	-25373
+-20739	-25369
+-20744	-25365
+-20749	-25361
+-20754	-25357
+-20758	-25353
+-20763	-25349
+-20768	-25345
+-20773	-25341
+-20778	-25337
+-20783	-25333
+-20788	-25329
+-20792	-25325
+-20797	-25321
+-20802	-25317
+-20807	-25314
+-20812	-25310
+-20817	-25306
+-20822	-25302
+-20826	-25298
+-20831	-25294
+-20836	-25290
+-20841	-25286
+-20846	-25282
+-20851	-25278
+-20855	-25274
+-20860	-25270
+-20865	-25266
+-20870	-25262
+-20875	-25258
+-20880	-25254
+-20885	-25250
+-20889	-25246
+-20894	-25242
+-20899	-25238
+-20904	-25234
+-20909	-25230
+-20914	-25226
+-20918	-25222
+-20923	-25217
+-20928	-25213
+-20933	-25209
+-20938	-25205
+-20943	-25201
+-20947	-25197
+-20952	-25193
+-20957	-25189
+-20962	-25185
+-20967	-25181
+-20972	-25177
+-20976	-25173
+-20981	-25169
+-20986	-25165
+-20991	-25161
+-20996	-25157
+-21000	-25153
+-21005	-25149
+-21010	-25145
+-21015	-25141
+-21020	-25137
+-21025	-25133
+-21029	-25129
+-21034	-25125
+-21039	-25121
+-21044	-25117
+-21049	-25113
+-21053	-25109
+-21058	-25105
+-21063	-25101
+-21068	-25097
+-21073	-25093
+-21078	-25089
+-21082	-25085
+-21087	-25081
+-21092	-25077
+-21097	-25072
+-21102	-25068
+-21106	-25064
+-21111	-25060
+-21116	-25056
+-21121	-25052
+-21126	-25048
+-21130	-25044
+-21135	-25040
+-21140	-25036
+-21145	-25032
+-21150	-25028
+-21154	-25024
+-21159	-25020
+-21164	-25016
+-21169	-25012
+-21174	-25008
+-21178	-25004
+-21183	-25000
+-21188	-24995
+-21193	-24991
+-21198	-24987
+-21202	-24983
+-21207	-24979
+-21212	-24975
+-21217	-24971
+-21222	-24967
+-21226	-24963
+-21231	-24959
+-21236	-24955
+-21241	-24951
+-21245	-24947
+-21250	-24943
+-21255	-24938
+-21260	-24934
+-21265	-24930
+-21269	-24926
+-21274	-24922
+-21279	-24918
+-21284	-24914
+-21288	-24910
+-21293	-24906
+-21298	-24902
+-21303	-24898
+-21308	-24894
+-21312	-24890
+-21317	-24885
+-21322	-24881
+-21327	-24877
+-21331	-24873
+-21336	-24869
+-21341	-24865
+-21346	-24861
+-21350	-24857
+-21355	-24853
+-21360	-24849
+-21365	-24845
+-21370	-24840
+-21374	-24836
+-21379	-24832
+-21384	-24828
+-21389	-24824
+-21393	-24820
+-21398	-24816
+-21403	-24812
+-21408	-24808
+-21412	-24803
+-21417	-24799
+-21422	-24795
+-21427	-24791
+-21431	-24787
+-21436	-24783
+-21441	-24779
+-21446	-24775
+-21450	-24771
+-21455	-24767
+-21460	-24762
+-21465	-24758
+-21469	-24754
+-21474	-24750
+-21479	-24746
+-21484	-24742
+-21488	-24738
+-21493	-24734
+-21498	-24729
+-21503	-24725
+-21507	-24721
+-21512	-24717
+-21517	-24713
+-21522	-24709
+-21526	-24705
+-21531	-24701
+-21536	-24696
+-21540	-24692
+-21545	-24688
+-21550	-24684
+-21555	-24680
+-21559	-24676
+-21564	-24672
+-21569	-24668
+-21574	-24663
+-21578	-24659
+-21583	-24655
+-21588	-24651
+-21593	-24647
+-21597	-24643
+-21602	-24639
+-21607	-24634
+-21611	-24630
+-21616	-24626
+-21621	-24622
+-21626	-24618
+-21630	-24614
+-21635	-24610
+-21640	-24605
+-21644	-24601
+-21649	-24597
+-21654	-24593
+-21659	-24589
+-21663	-24585
+-21668	-24580
+-21673	-24576
+-21677	-24572
+-21682	-24568
+-21687	-24564
+-21692	-24560
+-21696	-24556
+-21701	-24551
+-21706	-24547
+-21710	-24543
+-21715	-24539
+-21720	-24535
+-21725	-24531
+-21729	-24526
+-21734	-24522
+-21739	-24518
+-21743	-24514
+-21748	-24510
+-21753	-24506
+-21757	-24501
+-21762	-24497
+-21767	-24493
+-21772	-24489
+-21776	-24485
+-21781	-24480
+-21786	-24476
+-21790	-24472
+-21795	-24468
+-21800	-24464
+-21804	-24460
+-21809	-24455
+-21814	-24451
+-21818	-24447
+-21823	-24443
+-21828	-24439
+-21833	-24435
+-21837	-24430
+-21842	-24426
+-21847	-24422
+-21851	-24418
+-21856	-24414
+-21861	-24409
+-21865	-24405
+-21870	-24401
+-21875	-24397
+-21879	-24393
+-21884	-24388
+-21889	-24384
+-21893	-24380
+-21898	-24376
+-21903	-24372
+-21907	-24367
+-21912	-24363
+-21917	-24359
+-21921	-24355
+-21926	-24351
+-21931	-24346
+-21935	-24342
+-21940	-24338
+-21945	-24334
+-21949	-24330
+-21954	-24325
+-21959	-24321
+-21963	-24317
+-21968	-24313
+-21973	-24309
+-21977	-24304
+-21982	-24300
+-21987	-24296
+-21991	-24292
+-21996	-24287
+-22001	-24283
+-22005	-24279
+-22010	-24275
+-22015	-24271
+-22019	-24266
+-22024	-24262
+-22029	-24258
+-22033	-24254
+-22038	-24249
+-22043	-24245
+-22047	-24241
+-22052	-24237
+-22056	-24233
+-22061	-24228
+-22066	-24224
+-22070	-24220
+-22075	-24216
+-22080	-24211
+-22084	-24207
+-22089	-24203
+-22094	-24199
+-22098	-24194
+-22103	-24190
+-22108	-24186
+-22112	-24182
+-22117	-24177
+-22121	-24173
+-22126	-24169
+-22131	-24165
+-22135	-24161
+-22140	-24156
+-22145	-24152
+-22149	-24148
+-22154	-24144
+-22158	-24139
+-22163	-24135
+-22168	-24131
+-22172	-24127
+-22177	-24122
+-22182	-24118
+-22186	-24114
+-22191	-24110
+-22195	-24105
+-22200	-24101
+-22205	-24097
+-22209	-24092
+-22214	-24088
+-22219	-24084
+-22223	-24080
+-22228	-24075
+-22232	-24071
+-22237	-24067
+-22242	-24063
+-22246	-24058
+-22251	-24054
+-22256	-24050
+-22260	-24046
+-22265	-24041
+-22269	-24037
+-22274	-24033
+-22279	-24029
+-22283	-24024
+-22288	-24020
+-22292	-24016
+-22297	-24011
+-22302	-24007
+-22306	-24003
+-22311	-23999
+-22315	-23994
+-22320	-23990
+-22325	-23986
+-22329	-23981
+-22334	-23977
+-22338	-23973
+-22343	-23969
+-22348	-23964
+-22352	-23960
+-22357	-23956
+-22361	-23951
+-22366	-23947
+-22371	-23943
+-22375	-23939
+-22380	-23934
+-22384	-23930
+-22389	-23926
+-22393	-23921
+-22398	-23917
+-22403	-23913
+-22407	-23909
+-22412	-23904
+-22416	-23900
+-22421	-23896
+-22426	-23891
+-22430	-23887
+-22435	-23883
+-22439	-23878
+-22444	-23874
+-22448	-23870
+-22453	-23866
+-22458	-23861
+-22462	-23857
+-22467	-23853
+-22471	-23848
+-22476	-23844
+-22480	-23840
+-22485	-23835
+-22490	-23831
+-22494	-23827
+-22499	-23822
+-22503	-23818
+-22508	-23814
+-22512	-23810
+-22517	-23805
+-22522	-23801
+-22526	-23797
+-22531	-23792
+-22535	-23788
+-22540	-23784
+-22544	-23779
+-22549	-23775
+-22553	-23771
+-22558	-23766
+-22563	-23762
+-22567	-23758
+-22572	-23753
+-22576	-23749
+-22581	-23745
+-22585	-23740
+-22590	-23736
+-22594	-23732
+-22599	-23727
+-22604	-23723
+-22608	-23719
+-22613	-23714
+-22617	-23710
+-22622	-23706
+-22626	-23701
+-22631	-23697
+-22635	-23693
+-22640	-23688
+-22644	-23684
+-22649	-23680
+-22654	-23675
+-22658	-23671
+-22663	-23667
+-22667	-23662
+-22672	-23658
+-22676	-23654
+-22681	-23649
+-22685	-23645
+-22690	-23641
+-22694	-23636
+-22699	-23632
+-22703	-23627
+-22708	-23623
+-22713	-23619
+-22717	-23614
+-22722	-23610
+-22726	-23606
+-22731	-23601
+-22735	-23597
+-22740	-23593
+-22744	-23588
+-22749	-23584
+-22753	-23580
+-22758	-23575
+-22762	-23571
+-22767	-23566
+-22771	-23562
+-22776	-23558
+-22780	-23553
+-22785	-23549
+-22789	-23545
+-22794	-23540
+-22798	-23536
+-22803	-23531
+-22807	-23527
+-22812	-23523
+-22816	-23518
+-22821	-23514
+-22825	-23510
+-22830	-23505
+-22834	-23501
+-22839	-23496
+-22843	-23492
+-22848	-23488
+-22852	-23483
+-22857	-23479
+-22862	-23475
+-22866	-23470
+-22871	-23466
+-22875	-23461
+-22879	-23457
+-22884	-23453
+-22888	-23448
+-22893	-23444
+-22897	-23439
+-22902	-23435
+-22906	-23431
+-22911	-23426
+-22915	-23422
+-22920	-23418
+-22924	-23413
+-22929	-23409
+-22933	-23404
+-22938	-23400
+-22942	-23396
+-22947	-23391
+-22951	-23387
+-22956	-23382
+-22960	-23378
+-22965	-23374
+-22969	-23369
+-22974	-23365
+-22978	-23360
+-22983	-23356
+-22987	-23351
+-22992	-23347
+-22996	-23343
+-23001	-23338
+-23005	-23334
+-23010	-23329
+-23014	-23325
+-23019	-23321
+-23023	-23316
+-23027	-23312
+-23032	-23307
+-23036	-23303
+-23041	-23299
+-23045	-23294
+-23050	-23290
+-23054	-23285
+-23059	-23281
+-23063	-23276
+-23068	-23272
+-23072	-23268
+-23077	-23263
+-23081	-23259
+-23085	-23254
+-23090	-23250
+-23094	-23245
+-23099	-23241
+-23103	-23237
+-23108	-23232
+-23112	-23228
+-23117	-23223
+-23121	-23219
+-23126	-23214
+-23130	-23210
+-23134	-23206
+-23139	-23201
+-23143	-23197
+-23148	-23192
+-23152	-23188
+-23157	-23183
+-23161	-23179
+-23166	-23175
+-23170	-23170
+-23175	-23166
+-23179	-23161
+-23183	-23157
+-23188	-23152
+-23192	-23148
+-23197	-23143
+-23201	-23139
+-23206	-23134
+-23210	-23130
+-23214	-23126
+-23219	-23121
+-23223	-23117
+-23228	-23112
+-23232	-23108
+-23237	-23103
+-23241	-23099
+-23245	-23094
+-23250	-23090
+-23254	-23085
+-23259	-23081
+-23263	-23077
+-23268	-23072
+-23272	-23068
+-23276	-23063
+-23281	-23059
+-23285	-23054
+-23290	-23050
+-23294	-23045
+-23299	-23041
+-23303	-23036
+-23307	-23032
+-23312	-23027
+-23316	-23023
+-23321	-23019
+-23325	-23014
+-23329	-23010
+-23334	-23005
+-23338	-23001
+-23343	-22996
+-23347	-22992
+-23351	-22987
+-23356	-22983
+-23360	-22978
+-23365	-22974
+-23369	-22969
+-23374	-22965
+-23378	-22960
+-23382	-22956
+-23387	-22951
+-23391	-22947
+-23396	-22942
+-23400	-22938
+-23404	-22933
+-23409	-22929
+-23413	-22924
+-23418	-22920
+-23422	-22915
+-23426	-22911
+-23431	-22906
+-23435	-22902
+-23439	-22897
+-23444	-22893
+-23448	-22888
+-23453	-22884
+-23457	-22879
+-23461	-22875
+-23466	-22871
+-23470	-22866
+-23475	-22862
+-23479	-22857
+-23483	-22852
+-23488	-22848
+-23492	-22843
+-23496	-22839
+-23501	-22834
+-23505	-22830
+-23510	-22825
+-23514	-22821
+-23518	-22816
+-23523	-22812
+-23527	-22807
+-23531	-22803
+-23536	-22798
+-23540	-22794
+-23545	-22789
+-23549	-22785
+-23553	-22780
+-23558	-22776
+-23562	-22771
+-23566	-22767
+-23571	-22762
+-23575	-22758
+-23580	-22753
+-23584	-22749
+-23588	-22744
+-23593	-22740
+-23597	-22735
+-23601	-22731
+-23606	-22726
+-23610	-22722
+-23614	-22717
+-23619	-22713
+-23623	-22708
+-23627	-22703
+-23632	-22699
+-23636	-22694
+-23641	-22690
+-23645	-22685
+-23649	-22681
+-23654	-22676
+-23658	-22672
+-23662	-22667
+-23667	-22663
+-23671	-22658
+-23675	-22654
+-23680	-22649
+-23684	-22644
+-23688	-22640
+-23693	-22635
+-23697	-22631
+-23701	-22626
+-23706	-22622
+-23710	-22617
+-23714	-22613
+-23719	-22608
+-23723	-22604
+-23727	-22599
+-23732	-22594
+-23736	-22590
+-23740	-22585
+-23745	-22581
+-23749	-22576
+-23753	-22572
+-23758	-22567
+-23762	-22563
+-23766	-22558
+-23771	-22553
+-23775	-22549
+-23779	-22544
+-23784	-22540
+-23788	-22535
+-23792	-22531
+-23797	-22526
+-23801	-22522
+-23805	-22517
+-23810	-22512
+-23814	-22508
+-23818	-22503
+-23822	-22499
+-23827	-22494
+-23831	-22490
+-23835	-22485
+-23840	-22480
+-23844	-22476
+-23848	-22471
+-23853	-22467
+-23857	-22462
+-23861	-22458
+-23866	-22453
+-23870	-22448
+-23874	-22444
+-23878	-22439
+-23883	-22435
+-23887	-22430
+-23891	-22426
+-23896	-22421
+-23900	-22416
+-23904	-22412
+-23909	-22407
+-23913	-22403
+-23917	-22398
+-23921	-22393
+-23926	-22389
+-23930	-22384
+-23934	-22380
+-23939	-22375
+-23943	-22371
+-23947	-22366
+-23951	-22361
+-23956	-22357
+-23960	-22352
+-23964	-22348
+-23969	-22343
+-23973	-22338
+-23977	-22334
+-23981	-22329
+-23986	-22325
+-23990	-22320
+-23994	-22315
+-23999	-22311
+-24003	-22306
+-24007	-22302
+-24011	-22297
+-24016	-22292
+-24020	-22288
+-24024	-22283
+-24029	-22279
+-24033	-22274
+-24037	-22269
+-24041	-22265
+-24046	-22260
+-24050	-22256
+-24054	-22251
+-24058	-22246
+-24063	-22242
+-24067	-22237
+-24071	-22232
+-24075	-22228
+-24080	-22223
+-24084	-22219
+-24088	-22214
+-24092	-22209
+-24097	-22205
+-24101	-22200
+-24105	-22195
+-24110	-22191
+-24114	-22186
+-24118	-22182
+-24122	-22177
+-24127	-22172
+-24131	-22168
+-24135	-22163
+-24139	-22158
+-24144	-22154
+-24148	-22149
+-24152	-22145
+-24156	-22140
+-24161	-22135
+-24165	-22131
+-24169	-22126
+-24173	-22121
+-24177	-22117
+-24182	-22112
+-24186	-22108
+-24190	-22103
+-24194	-22098
+-24199	-22094
+-24203	-22089
+-24207	-22084
+-24211	-22080
+-24216	-22075
+-24220	-22070
+-24224	-22066
+-24228	-22061
+-24233	-22056
+-24237	-22052
+-24241	-22047
+-24245	-22043
+-24249	-22038
+-24254	-22033
+-24258	-22029
+-24262	-22024
+-24266	-22019
+-24271	-22015
+-24275	-22010
+-24279	-22005
+-24283	-22001
+-24287	-21996
+-24292	-21991
+-24296	-21987
+-24300	-21982
+-24304	-21977
+-24309	-21973
+-24313	-21968
+-24317	-21963
+-24321	-21959
+-24325	-21954
+-24330	-21949
+-24334	-21945
+-24338	-21940
+-24342	-21935
+-24346	-21931
+-24351	-21926
+-24355	-21921
+-24359	-21917
+-24363	-21912
+-24367	-21907
+-24372	-21903
+-24376	-21898
+-24380	-21893
+-24384	-21889
+-24388	-21884
+-24393	-21879
+-24397	-21875
+-24401	-21870
+-24405	-21865
+-24409	-21861
+-24414	-21856
+-24418	-21851
+-24422	-21847
+-24426	-21842
+-24430	-21837
+-24435	-21833
+-24439	-21828
+-24443	-21823
+-24447	-21818
+-24451	-21814
+-24455	-21809
+-24460	-21804
+-24464	-21800
+-24468	-21795
+-24472	-21790
+-24476	-21786
+-24480	-21781
+-24485	-21776
+-24489	-21772
+-24493	-21767
+-24497	-21762
+-24501	-21757
+-24506	-21753
+-24510	-21748
+-24514	-21743
+-24518	-21739
+-24522	-21734
+-24526	-21729
+-24531	-21725
+-24535	-21720
+-24539	-21715
+-24543	-21710
+-24547	-21706
+-24551	-21701
+-24556	-21696
+-24560	-21692
+-24564	-21687
+-24568	-21682
+-24572	-21677
+-24576	-21673
+-24580	-21668
+-24585	-21663
+-24589	-21659
+-24593	-21654
+-24597	-21649
+-24601	-21644
+-24605	-21640
+-24610	-21635
+-24614	-21630
+-24618	-21626
+-24622	-21621
+-24626	-21616
+-24630	-21611
+-24634	-21607
+-24639	-21602
+-24643	-21597
+-24647	-21593
+-24651	-21588
+-24655	-21583
+-24659	-21578
+-24663	-21574
+-24668	-21569
+-24672	-21564
+-24676	-21559
+-24680	-21555
+-24684	-21550
+-24688	-21545
+-24692	-21540
+-24696	-21536
+-24701	-21531
+-24705	-21526
+-24709	-21522
+-24713	-21517
+-24717	-21512
+-24721	-21507
+-24725	-21503
+-24729	-21498
+-24734	-21493
+-24738	-21488
+-24742	-21484
+-24746	-21479
+-24750	-21474
+-24754	-21469
+-24758	-21465
+-24762	-21460
+-24767	-21455
+-24771	-21450
+-24775	-21446
+-24779	-21441
+-24783	-21436
+-24787	-21431
+-24791	-21427
+-24795	-21422
+-24799	-21417
+-24803	-21412
+-24808	-21408
+-24812	-21403
+-24816	-21398
+-24820	-21393
+-24824	-21389
+-24828	-21384
+-24832	-21379
+-24836	-21374
+-24840	-21370
+-24845	-21365
+-24849	-21360
+-24853	-21355
+-24857	-21350
+-24861	-21346
+-24865	-21341
+-24869	-21336
+-24873	-21331
+-24877	-21327
+-24881	-21322
+-24885	-21317
+-24890	-21312
+-24894	-21308
+-24898	-21303
+-24902	-21298
+-24906	-21293
+-24910	-21288
+-24914	-21284
+-24918	-21279
+-24922	-21274
+-24926	-21269
+-24930	-21265
+-24934	-21260
+-24938	-21255
+-24943	-21250
+-24947	-21245
+-24951	-21241
+-24955	-21236
+-24959	-21231
+-24963	-21226
+-24967	-21222
+-24971	-21217
+-24975	-21212
+-24979	-21207
+-24983	-21202
+-24987	-21198
+-24991	-21193
+-24995	-21188
+-25000	-21183
+-25004	-21178
+-25008	-21174
+-25012	-21169
+-25016	-21164
+-25020	-21159
+-25024	-21154
+-25028	-21150
+-25032	-21145
+-25036	-21140
+-25040	-21135
+-25044	-21130
+-25048	-21126
+-25052	-21121
+-25056	-21116
+-25060	-21111
+-25064	-21106
+-25068	-21102
+-25072	-21097
+-25077	-21092
+-25081	-21087
+-25085	-21082
+-25089	-21078
+-25093	-21073
+-25097	-21068
+-25101	-21063
+-25105	-21058
+-25109	-21053
+-25113	-21049
+-25117	-21044
+-25121	-21039
+-25125	-21034
+-25129	-21029
+-25133	-21025
+-25137	-21020
+-25141	-21015
+-25145	-21010
+-25149	-21005
+-25153	-21000
+-25157	-20996
+-25161	-20991
+-25165	-20986
+-25169	-20981
+-25173	-20976
+-25177	-20972
+-25181	-20967
+-25185	-20962
+-25189	-20957
+-25193	-20952
+-25197	-20947
+-25201	-20943
+-25205	-20938
+-25209	-20933
+-25213	-20928
+-25217	-20923
+-25222	-20918
+-25226	-20914
+-25230	-20909
+-25234	-20904
+-25238	-20899
+-25242	-20894
+-25246	-20889
+-25250	-20885
+-25254	-20880
+-25258	-20875
+-25262	-20870
+-25266	-20865
+-25270	-20860
+-25274	-20855
+-25278	-20851
+-25282	-20846
+-25286	-20841
+-25290	-20836
+-25294	-20831
+-25298	-20826
+-25302	-20822
+-25306	-20817
+-25310	-20812
+-25314	-20807
+-25317	-20802
+-25321	-20797
+-25325	-20792
+-25329	-20788
+-25333	-20783
+-25337	-20778
+-25341	-20773
+-25345	-20768
+-25349	-20763
+-25353	-20758
+-25357	-20754
+-25361	-20749
+-25365	-20744
+-25369	-20739
+-25373	-20734
+-25377	-20729
+-25381	-20724
+-25385	-20719
+-25389	-20715
+-25393	-20710
+-25397	-20705
+-25401	-20700
+-25405	-20695
+-25409	-20690
+-25413	-20685
+-25417	-20680
+-25421	-20676
+-25425	-20671
+-25429	-20666
+-25433	-20661
+-25437	-20656
+-25441	-20651
+-25445	-20646
+-25449	-20641
+-25453	-20637
+-25457	-20632
+-25460	-20627
+-25464	-20622
+-25468	-20617
+-25472	-20612
+-25476	-20607
+-25480	-20602
+-25484	-20598
+-25488	-20593
+-25492	-20588
+-25496	-20583
+-25500	-20578
+-25504	-20573
+-25508	-20568
+-25512	-20563
+-25516	-20558
+-25520	-20554
+-25524	-20549
+-25528	-20544
+-25532	-20539
+-25535	-20534
+-25539	-20529
+-25543	-20524
+-25547	-20519
+-25551	-20514
+-25555	-20509
+-25559	-20505
+-25563	-20500
+-25567	-20495
+-25571	-20490
+-25575	-20485
+-25579	-20480
+-25583	-20475
+-25587	-20470
+-25590	-20465
+-25594	-20460
+-25598	-20456
+-25602	-20451
+-25606	-20446
+-25610	-20441
+-25614	-20436
+-25618	-20431
+-25622	-20426
+-25626	-20421
+-25630	-20416
+-25634	-20411
+-25638	-20406
+-25641	-20401
+-25645	-20397
+-25649	-20392
+-25653	-20387
+-25657	-20382
+-25661	-20377
+-25665	-20372
+-25669	-20367
+-25673	-20362
+-25677	-20357
+-25680	-20352
+-25684	-20347
+-25688	-20342
+-25692	-20338
+-25696	-20333
+-25700	-20328
+-25704	-20323
+-25708	-20318
+-25712	-20313
+-25716	-20308
+-25719	-20303
+-25723	-20298
+-25727	-20293
+-25731	-20288
+-25735	-20283
+-25739	-20278
+-25743	-20273
+-25747	-20268
+-25751	-20264
+-25754	-20259
+-25758	-20254
+-25762	-20249
+-25766	-20244
+-25770	-20239
+-25774	-20234
+-25778	-20229
+-25782	-20224
+-25786	-20219
+-25789	-20214
+-25793	-20209
+-25797	-20204
+-25801	-20199
+-25805	-20194
+-25809	-20189
+-25813	-20184
+-25816	-20179
+-25820	-20175
+-25824	-20170
+-25828	-20165
+-25832	-20160
+-25836	-20155
+-25840	-20150
+-25844	-20145
+-25847	-20140
+-25851	-20135
+-25855	-20130
+-25859	-20125
+-25863	-20120
+-25867	-20115
+-25871	-20110
+-25874	-20105
+-25878	-20100
+-25882	-20095
+-25886	-20090
+-25890	-20085
+-25894	-20080
+-25898	-20075
+-25901	-20070
+-25905	-20065
+-25909	-20060
+-25913	-20056
+-25917	-20051
+-25921	-20046
+-25924	-20041
+-25928	-20036
+-25932	-20031
+-25936	-20026
+-25940	-20021
+-25944	-20016
+-25947	-20011
+-25951	-20006
+-25955	-20001
+-25959	-19996
+-25963	-19991
+-25967	-19986
+-25970	-19981
+-25974	-19976
+-25978	-19971
+-25982	-19966
+-25986	-19961
+-25990	-19956
+-25993	-19951
+-25997	-19946
+-26001	-19941
+-26005	-19936
+-26009	-19931
+-26013	-19926
+-26016	-19921
+-26020	-19916
+-26024	-19911
+-26028	-19906
+-26032	-19901
+-26035	-19896
+-26039	-19891
+-26043	-19886
+-26047	-19881
+-26051	-19876
+-26055	-19871
+-26058	-19866
+-26062	-19861
+-26066	-19856
+-26070	-19851
+-26074	-19846
+-26077	-19841
+-26081	-19836
+-26085	-19831
+-26089	-19826
+-26093	-19821
+-26096	-19816
+-26100	-19811
+-26104	-19806
+-26108	-19801
+-26112	-19796
+-26115	-19791
+-26119	-19786
+-26123	-19781
+-26127	-19776
+-26131	-19771
+-26134	-19766
+-26138	-19761
+-26142	-19756
+-26146	-19751
+-26150	-19746
+-26153	-19741
+-26157	-19736
+-26161	-19731
+-26165	-19726
+-26168	-19721
+-26172	-19716
+-26176	-19711
+-26180	-19706
+-26184	-19701
+-26187	-19696
+-26191	-19691
+-26195	-19686
+-26199	-19681
+-26202	-19676
+-26206	-19671
+-26210	-19666
+-26214	-19661
+-26217	-19656
+-26221	-19651
+-26225	-19646
+-26229	-19641
+-26233	-19636
+-26236	-19631
+-26240	-19625
+-26244	-19620
+-26248	-19615
+-26251	-19610
+-26255	-19605
+-26259	-19600
+-26263	-19595
+-26266	-19590
+-26270	-19585
+-26274	-19580
+-26278	-19575
+-26281	-19570
+-26285	-19565
+-26289	-19560
+-26293	-19555
+-26296	-19550
+-26300	-19545
+-26304	-19540
+-26308	-19535
+-26311	-19530
+-26315	-19525
+-26319	-19520
+-26323	-19515
+-26326	-19510
+-26330	-19505
+-26334	-19499
+-26338	-19494
+-26341	-19489
+-26345	-19484
+-26349	-19479
+-26353	-19474
+-26356	-19469
+-26360	-19464
+-26364	-19459
+-26367	-19454
+-26371	-19449
+-26375	-19444
+-26379	-19439
+-26382	-19434
+-26386	-19429
+-26390	-19424
+-26394	-19419
+-26397	-19414
+-26401	-19408
+-26405	-19403
+-26408	-19398
+-26412	-19393
+-26416	-19388
+-26420	-19383
+-26423	-19378
+-26427	-19373
+-26431	-19368
+-26434	-19363
+-26438	-19358
+-26442	-19353
+-26446	-19348
+-26449	-19343
+-26453	-19338
+-26457	-19332
+-26460	-19327
+-26464	-19322
+-26468	-19317
+-26472	-19312
+-26475	-19307
+-26479	-19302
+-26483	-19297
+-26486	-19292
+-26490	-19287
+-26494	-19282
+-26497	-19277
+-26501	-19272
+-26505	-19266
+-26509	-19261
+-26512	-19256
+-26516	-19251
+-26520	-19246
+-26523	-19241
+-26527	-19236
+-26531	-19231
+-26534	-19226
+-26538	-19221
+-26542	-19216
+-26545	-19211
+-26549	-19205
+-26553	-19200
+-26556	-19195
+-26560	-19190
+-26564	-19185
+-26567	-19180
+-26571	-19175
+-26575	-19170
+-26579	-19165
+-26582	-19160
+-26586	-19154
+-26590	-19149
+-26593	-19144
+-26597	-19139
+-26601	-19134
+-26604	-19129
+-26608	-19124
+-26612	-19119
+-26615	-19114
+-26619	-19109
+-26623	-19103
+-26626	-19098
+-26630	-19093
+-26634	-19088
+-26637	-19083
+-26641	-19078
+-26644	-19073
+-26648	-19068
+-26652	-19063
+-26655	-19058
+-26659	-19052
+-26663	-19047
+-26666	-19042
+-26670	-19037
+-26674	-19032
+-26677	-19027
+-26681	-19022
+-26685	-19017
+-26688	-19011
+-26692	-19006
+-26696	-19001
+-26699	-18996
+-26703	-18991
+-26707	-18986
+-26710	-18981
+-26714	-18976
+-26717	-18971
+-26721	-18965
+-26725	-18960
+-26728	-18955
+-26732	-18950
+-26736	-18945
+-26739	-18940
+-26743	-18935
+-26747	-18930
+-26750	-18924
+-26754	-18919
+-26757	-18914
+-26761	-18909
+-26765	-18904
+-26768	-18899
+-26772	-18894
+-26776	-18888
+-26779	-18883
+-26783	-18878
+-26786	-18873
+-26790	-18868
+-26794	-18863
+-26797	-18858
+-26801	-18853
+-26804	-18847
+-26808	-18842
+-26812	-18837
+-26815	-18832
+-26819	-18827
+-26823	-18822
+-26826	-18817
+-26830	-18811
+-26833	-18806
+-26837	-18801
+-26841	-18796
+-26844	-18791
+-26848	-18786
+-26851	-18781
+-26855	-18775
+-26859	-18770
+-26862	-18765
+-26866	-18760
+-26869	-18755
+-26873	-18750
+-26877	-18744
+-26880	-18739
+-26884	-18734
+-26887	-18729
+-26891	-18724
+-26894	-18719
+-26898	-18714
+-26902	-18708
+-26905	-18703
+-26909	-18698
+-26912	-18693
+-26916	-18688
+-26920	-18683
+-26923	-18677
+-26927	-18672
+-26930	-18667
+-26934	-18662
+-26937	-18657
+-26941	-18652
+-26945	-18646
+-26948	-18641
+-26952	-18636
+-26955	-18631
+-26959	-18626
+-26962	-18621
+-26966	-18615
+-26970	-18610
+-26973	-18605
+-26977	-18600
+-26980	-18595
+-26984	-18590
+-26987	-18584
+-26991	-18579
+-26995	-18574
+-26998	-18569
+-27002	-18564
+-27005	-18558
+-27009	-18553
+-27012	-18548
+-27016	-18543
+-27019	-18538
+-27023	-18533
+-27027	-18527
+-27030	-18522
+-27034	-18517
+-27037	-18512
+-27041	-18507
+-27044	-18501
+-27048	-18496
+-27051	-18491
+-27055	-18486
+-27059	-18481
+-27062	-18476
+-27066	-18470
+-27069	-18465
+-27073	-18460
+-27076	-18455
+-27080	-18450
+-27083	-18444
+-27087	-18439
+-27090	-18434
+-27094	-18429
+-27097	-18424
+-27101	-18418
+-27105	-18413
+-27108	-18408
+-27112	-18403
+-27115	-18398
+-27119	-18392
+-27122	-18387
+-27126	-18382
+-27129	-18377
+-27133	-18372
+-27136	-18366
+-27140	-18361
+-27143	-18356
+-27147	-18351
+-27150	-18346
+-27154	-18340
+-27157	-18335
+-27161	-18330
+-27164	-18325
+-27168	-18320
+-27171	-18314
+-27175	-18309
+-27178	-18304
+-27182	-18299
+-27185	-18294
+-27189	-18288
+-27192	-18283
+-27196	-18278
+-27199	-18273
+-27203	-18267
+-27206	-18262
+-27210	-18257
+-27213	-18252
+-27217	-18247
+-27220	-18241
+-27224	-18236
+-27227	-18231
+-27231	-18226
+-27234	-18220
+-27238	-18215
+-27241	-18210
+-27245	-18205
+-27248	-18200
+-27252	-18194
+-27255	-18189
+-27259	-18184
+-27262	-18179
+-27266	-18173
+-27269	-18168
+-27273	-18163
+-27276	-18158
+-27280	-18153
+-27283	-18147
+-27287	-18142
+-27290	-18137
+-27294	-18132
+-27297	-18126
+-27301	-18121
+-27304	-18116
+-27308	-18111
+-27311	-18105
+-27315	-18100
+-27318	-18095
+-27321	-18090
+-27325	-18084
+-27328	-18079
+-27332	-18074
+-27335	-18069
+-27339	-18064
+-27342	-18058
+-27346	-18053
+-27349	-18048
+-27353	-18043
+-27356	-18037
+-27360	-18032
+-27363	-18027
+-27366	-18022
+-27370	-18016
+-27373	-18011
+-27377	-18006
+-27380	-18001
+-27384	-17995
+-27387	-17990
+-27391	-17985
+-27394	-17980
+-27398	-17974
+-27401	-17969
+-27404	-17964
+-27408	-17959
+-27411	-17953
+-27415	-17948
+-27418	-17943
+-27422	-17938
+-27425	-17932
+-27429	-17927
+-27432	-17922
+-27435	-17916
+-27439	-17911
+-27442	-17906
+-27446	-17901
+-27449	-17895
+-27453	-17890
+-27456	-17885
+-27459	-17880
+-27463	-17874
+-27466	-17869
+-27470	-17864
+-27473	-17859
+-27477	-17853
+-27480	-17848
+-27483	-17843
+-27487	-17838
+-27490	-17832
+-27494	-17827
+-27497	-17822
+-27500	-17816
+-27504	-17811
+-27507	-17806
+-27511	-17801
+-27514	-17795
+-27518	-17790
+-27521	-17785
+-27524	-17779
+-27528	-17774
+-27531	-17769
+-27535	-17764
+-27538	-17758
+-27541	-17753
+-27545	-17748
+-27548	-17743
+-27552	-17737
+-27555	-17732
+-27558	-17727
+-27562	-17721
+-27565	-17716
+-27569	-17711
+-27572	-17706
+-27575	-17700
+-27579	-17695
+-27582	-17690
+-27586	-17684
+-27589	-17679
+-27592	-17674
+-27596	-17669
+-27599	-17663
+-27603	-17658
+-27606	-17653
+-27609	-17647
+-27613	-17642
+-27616	-17637
+-27619	-17631
+-27623	-17626
+-27626	-17621
+-27630	-17616
+-27633	-17610
+-27636	-17605
+-27640	-17600
+-27643	-17594
+-27646	-17589
+-27650	-17584
+-27653	-17578
+-27657	-17573
+-27660	-17568
+-27663	-17563
+-27667	-17557
+-27670	-17552
+-27673	-17547
+-27677	-17541
+-27680	-17536
+-27683	-17531
+-27687	-17525
+-27690	-17520
+-27694	-17515
+-27697	-17509
+-27700	-17504
+-27704	-17499
+-27707	-17494
+-27710	-17488
+-27714	-17483
+-27717	-17478
+-27720	-17472
+-27724	-17467
+-27727	-17462
+-27730	-17456
+-27734	-17451
+-27737	-17446
+-27740	-17440
+-27744	-17435
+-27747	-17430
+-27750	-17424
+-27754	-17419
+-27757	-17414
+-27761	-17408
+-27764	-17403
+-27767	-17398
+-27771	-17393
+-27774	-17387
+-27777	-17382
+-27781	-17377
+-27784	-17371
+-27787	-17366
+-27791	-17361
+-27794	-17355
+-27797	-17350
+-27800	-17345
+-27804	-17339
+-27807	-17334
+-27810	-17329
+-27814	-17323
+-27817	-17318
+-27820	-17313
+-27824	-17307
+-27827	-17302
+-27830	-17297
+-27834	-17291
+-27837	-17286
+-27840	-17281
+-27844	-17275
+-27847	-17270
+-27850	-17265
+-27854	-17259
+-27857	-17254
+-27860	-17249
+-27863	-17243
+-27867	-17238
+-27870	-17232
+-27873	-17227
+-27877	-17222
+-27880	-17216
+-27883	-17211
+-27887	-17206
+-27890	-17200
+-27893	-17195
+-27897	-17190
+-27900	-17184
+-27903	-17179
+-27906	-17174
+-27910	-17168
+-27913	-17163
+-27916	-17158
+-27920	-17152
+-27923	-17147
+-27926	-17142
+-27929	-17136
+-27933	-17131
+-27936	-17125
+-27939	-17120
+-27943	-17115
+-27946	-17109
+-27949	-17104
+-27952	-17099
+-27956	-17093
+-27959	-17088
+-27962	-17083
+-27965	-17077
+-27969	-17072
+-27972	-17067
+-27975	-17061
+-27979	-17056
+-27982	-17050
+-27985	-17045
+-27988	-17040
+-27992	-17034
+-27995	-17029
+-27998	-17024
+-28001	-17018
+-28005	-17013
+-28008	-17007
+-28011	-17002
+-28014	-16997
+-28018	-16991
+-28021	-16986
+-28024	-16981
+-28028	-16975
+-28031	-16970
+-28034	-16964
+-28037	-16959
+-28041	-16954
+-28044	-16948
+-28047	-16943
+-28050	-16938
+-28054	-16932
+-28057	-16927
+-28060	-16921
+-28063	-16916
+-28067	-16911
+-28070	-16905
+-28073	-16900
+-28076	-16895
+-28079	-16889
+-28083	-16884
+-28086	-16878
+-28089	-16873
+-28092	-16868
+-28096	-16862
+-28099	-16857
+-28102	-16851
+-28105	-16846
+-28109	-16841
+-28112	-16835
+-28115	-16830
+-28118	-16825
+-28121	-16819
+-28125	-16814
+-28128	-16808
+-28131	-16803
+-28134	-16798
+-28138	-16792
+-28141	-16787
+-28144	-16781
+-28147	-16776
+-28150	-16771
+-28154	-16765
+-28157	-16760
+-28160	-16754
+-28163	-16749
+-28167	-16744
+-28170	-16738
+-28173	-16733
+-28176	-16727
+-28179	-16722
+-28183	-16717
+-28186	-16711
+-28189	-16706
+-28192	-16700
+-28195	-16695
+-28199	-16690
+-28202	-16684
+-28205	-16679
+-28208	-16673
+-28211	-16668
+-28215	-16663
+-28218	-16657
+-28221	-16652
+-28224	-16646
+-28227	-16641
+-28231	-16635
+-28234	-16630
+-28237	-16625
+-28240	-16619
+-28243	-16614
+-28246	-16608
+-28250	-16603
+-28253	-16598
+-28256	-16592
+-28259	-16587
+-28262	-16581
+-28266	-16576
+-28269	-16570
+-28272	-16565
+-28275	-16560
+-28278	-16554
+-28281	-16549
+-28285	-16543
+-28288	-16538
+-28291	-16532
+-28294	-16527
+-28297	-16522
+-28300	-16516
+-28304	-16511
+-28307	-16505
+-28310	-16500
+-28313	-16495
+-28316	-16489
+-28319	-16484
+-28323	-16478
+-28326	-16473
+-28329	-16467
+-28332	-16462
+-28335	-16456
+-28338	-16451
+-28342	-16446
+-28345	-16440
+-28348	-16435
+-28351	-16429
+-28354	-16424
+-28357	-16418
+-28360	-16413
+-28364	-16408
+-28367	-16402
+-28370	-16397
+-28373	-16391
+-28376	-16386
+-28379	-16379
+-28382	-16374
+-28386	-16368
+-28389	-16363
+-28392	-16358
+-28395	-16352
+-28398	-16347
+-28401	-16341
+-28404	-16336
+-28408	-16330
+-28411	-16325
+-28414	-16319
+-28417	-16314
+-28420	-16309
+-28423	-16303
+-28426	-16298
+-28429	-16292
+-28433	-16287
+-28436	-16281
+-28439	-16276
+-28442	-16270
+-28445	-16265
+-28448	-16260
+-28451	-16254
+-28454	-16249
+-28457	-16243
+-28461	-16238
+-28464	-16232
+-28467	-16227
+-28470	-16221
+-28473	-16216
+-28476	-16210
+-28479	-16205
+-28482	-16199
+-28485	-16194
+-28489	-16189
+-28492	-16183
+-28495	-16178
+-28498	-16172
+-28501	-16167
+-28504	-16161
+-28507	-16156
+-28510	-16150
+-28513	-16145
+-28516	-16139
+-28520	-16134
+-28523	-16128
+-28526	-16123
+-28529	-16117
+-28532	-16112
+-28535	-16107
+-28538	-16101
+-28541	-16096
+-28544	-16090
+-28547	-16085
+-28550	-16079
+-28554	-16074
+-28557	-16068
+-28560	-16063
+-28563	-16057
+-28566	-16052
+-28569	-16046
+-28572	-16041
+-28575	-16035
+-28578	-16030
+-28581	-16024
+-28584	-16019
+-28587	-16013
+-28590	-16008
+-28594	-16002
+-28597	-15997
+-28600	-15992
+-28603	-15986
+-28606	-15981
+-28609	-15975
+-28612	-15970
+-28615	-15964
+-28618	-15959
+-28621	-15953
+-28624	-15948
+-28627	-15942
+-28630	-15937
+-28633	-15931
+-28636	-15926
+-28639	-15920
+-28642	-15915
+-28646	-15909
+-28649	-15904
+-28652	-15898
+-28655	-15893
+-28658	-15887
+-28661	-15882
+-28664	-15876
+-28667	-15871
+-28670	-15865
+-28673	-15860
+-28676	-15854
+-28679	-15849
+-28682	-15843
+-28685	-15838
+-28688	-15832
+-28691	-15827
+-28694	-15821
+-28697	-15816
+-28700	-15810
+-28703	-15805
+-28706	-15799
+-28709	-15794
+-28712	-15788
+-28715	-15783
+-28718	-15777
+-28721	-15772
+-28724	-15766
+-28727	-15761
+-28731	-15755
+-28734	-15750
+-28737	-15744
+-28740	-15739
+-28743	-15733
+-28746	-15728
+-28749	-15722
+-28752	-15717
+-28755	-15711
+-28758	-15706
+-28761	-15700
+-28764	-15695
+-28767	-15689
+-28770	-15684
+-28773	-15678
+-28776	-15672
+-28779	-15667
+-28782	-15661
+-28785	-15656
+-28788	-15650
+-28791	-15645
+-28794	-15639
+-28797	-15634
+-28800	-15628
+-28803	-15623
+-28806	-15617
+-28809	-15612
+-28812	-15606
+-28815	-15601
+-28818	-15595
+-28821	-15590
+-28824	-15584
+-28827	-15579
+-28830	-15573
+-28833	-15568
+-28836	-15562
+-28839	-15556
+-28842	-15551
+-28845	-15545
+-28848	-15540
+-28851	-15534
+-28853	-15529
+-28856	-15523
+-28859	-15518
+-28862	-15512
+-28865	-15507
+-28868	-15501
+-28871	-15496
+-28874	-15490
+-28877	-15485
+-28880	-15479
+-28883	-15473
+-28886	-15468
+-28889	-15462
+-28892	-15457
+-28895	-15451
+-28898	-15446
+-28901	-15440
+-28904	-15435
+-28907	-15429
+-28910	-15424
+-28913	-15418
+-28916	-15413
+-28919	-15407
+-28922	-15401
+-28925	-15396
+-28928	-15390
+-28931	-15385
+-28933	-15379
+-28936	-15374
+-28939	-15368
+-28942	-15363
+-28945	-15357
+-28948	-15352
+-28951	-15346
+-28954	-15340
+-28957	-15335
+-28960	-15329
+-28963	-15324
+-28966	-15318
+-28969	-15313
+-28972	-15307
+-28975	-15302
+-28978	-15296
+-28981	-15290
+-28983	-15285
+-28986	-15279
+-28989	-15274
+-28992	-15268
+-28995	-15263
+-28998	-15257
+-29001	-15252
+-29004	-15246
+-29007	-15240
+-29010	-15235
+-29013	-15229
+-29016	-15224
+-29019	-15218
+-29021	-15213
+-29024	-15207
+-29027	-15201
+-29030	-15196
+-29033	-15190
+-29036	-15185
+-29039	-15179
+-29042	-15174
+-29045	-15168
+-29048	-15162
+-29051	-15157
+-29054	-15151
+-29056	-15146
+-29059	-15140
+-29062	-15135
+-29065	-15129
+-29068	-15123
+-29071	-15118
+-29074	-15112
+-29077	-15107
+-29080	-15101
+-29083	-15096
+-29085	-15090
+-29088	-15084
+-29091	-15079
+-29094	-15073
+-29097	-15068
+-29100	-15062
+-29103	-15057
+-29106	-15051
+-29109	-15045
+-29111	-15040
+-29114	-15034
+-29117	-15029
+-29120	-15023
+-29123	-15017
+-29126	-15012
+-29129	-15006
+-29132	-15001
+-29134	-14995
+-29137	-14990
+-29140	-14984
+-29143	-14978
+-29146	-14973
+-29149	-14967
+-29152	-14962
+-29155	-14956
+-29157	-14950
+-29160	-14945
+-29163	-14939
+-29166	-14934
+-29169	-14928
+-29172	-14922
+-29175	-14917
+-29177	-14911
+-29180	-14906
+-29183	-14900
+-29186	-14894
+-29189	-14889
+-29192	-14883
+-29195	-14878
+-29197	-14872
+-29200	-14866
+-29203	-14861
+-29206	-14855
+-29209	-14850
+-29212	-14844
+-29215	-14838
+-29217	-14833
+-29220	-14827
+-29223	-14822
+-29226	-14816
+-29229	-14810
+-29232	-14805
+-29234	-14799
+-29237	-14794
+-29240	-14788
+-29243	-14782
+-29246	-14777
+-29249	-14771
+-29251	-14766
+-29254	-14760
+-29257	-14754
+-29260	-14749
+-29263	-14743
+-29266	-14738
+-29268	-14732
+-29271	-14726
+-29274	-14721
+-29277	-14715
+-29280	-14710
+-29282	-14704
+-29285	-14698
+-29288	-14693
+-29291	-14687
+-29294	-14681
+-29297	-14676
+-29299	-14670
+-29302	-14665
+-29305	-14659
+-29308	-14653
+-29311	-14648
+-29313	-14642
+-29316	-14636
+-29319	-14631
+-29322	-14625
+-29325	-14620
+-29327	-14614
+-29330	-14608
+-29333	-14603
+-29336	-14597
+-29339	-14591
+-29341	-14586
+-29344	-14580
+-29347	-14575
+-29350	-14569
+-29353	-14563
+-29355	-14558
+-29358	-14552
+-29361	-14546
+-29364	-14541
+-29367	-14535
+-29369	-14530
+-29372	-14524
+-29375	-14518
+-29378	-14513
+-29381	-14507
+-29383	-14501
+-29386	-14496
+-29389	-14490
+-29392	-14485
+-29394	-14479
+-29397	-14473
+-29400	-14468
+-29403	-14462
+-29406	-14456
+-29408	-14451
+-29411	-14445
+-29414	-14439
+-29417	-14434
+-29419	-14428
+-29422	-14422
+-29425	-14417
+-29428	-14411
+-29430	-14406
+-29433	-14400
+-29436	-14394
+-29439	-14389
+-29441	-14383
+-29444	-14377
+-29447	-14372
+-29450	-14366
+-29452	-14360
+-29455	-14355
+-29458	-14349
+-29461	-14343
+-29463	-14338
+-29466	-14332
+-29469	-14327
+-29472	-14321
+-29474	-14315
+-29477	-14310
+-29480	-14304
+-29483	-14298
+-29485	-14293
+-29488	-14287
+-29491	-14281
+-29494	-14276
+-29496	-14270
+-29499	-14264
+-29502	-14259
+-29505	-14253
+-29507	-14247
+-29510	-14242
+-29513	-14236
+-29516	-14230
+-29518	-14225
+-29521	-14219
+-29524	-14213
+-29526	-14208
+-29529	-14202
+-29532	-14196
+-29535	-14191
+-29537	-14185
+-29540	-14179
+-29543	-14174
+-29545	-14168
+-29548	-14162
+-29551	-14157
+-29554	-14151
+-29556	-14145
+-29559	-14140
+-29562	-14134
+-29564	-14128
+-29567	-14123
+-29570	-14117
+-29573	-14111
+-29575	-14106
+-29578	-14100
+-29581	-14094
+-29583	-14089
+-29586	-14083
+-29589	-14077
+-29591	-14072
+-29594	-14066
+-29597	-14060
+-29600	-14055
+-29602	-14049
+-29605	-14043
+-29608	-14038
+-29610	-14032
+-29613	-14026
+-29616	-14021
+-29618	-14015
+-29621	-14009
+-29624	-14004
+-29626	-13998
+-29629	-13992
+-29632	-13987
+-29635	-13981
+-29637	-13975
+-29640	-13970
+-29643	-13964
+-29645	-13958
+-29648	-13952
+-29651	-13947
+-29653	-13941
+-29656	-13935
+-29659	-13930
+-29661	-13924
+-29664	-13918
+-29667	-13913
+-29669	-13907
+-29672	-13901
+-29675	-13896
+-29677	-13890
+-29680	-13884
+-29683	-13879
+-29685	-13873
+-29688	-13867
+-29691	-13861
+-29693	-13856
+-29696	-13850
+-29699	-13844
+-29701	-13839
+-29704	-13833
+-29707	-13827
+-29709	-13822
+-29712	-13816
+-29714	-13810
+-29717	-13804
+-29720	-13799
+-29722	-13793
+-29725	-13787
+-29728	-13782
+-29730	-13776
+-29733	-13770
+-29736	-13765
+-29738	-13759
+-29741	-13753
+-29744	-13747
+-29746	-13742
+-29749	-13736
+-29751	-13730
+-29754	-13725
+-29757	-13719
+-29759	-13713
+-29762	-13708
+-29765	-13702
+-29767	-13696
+-29770	-13690
+-29772	-13685
+-29775	-13679
+-29778	-13673
+-29780	-13668
+-29783	-13662
+-29786	-13656
+-29788	-13650
+-29791	-13645
+-29793	-13639
+-29796	-13633
+-29799	-13628
+-29801	-13622
+-29804	-13616
+-29806	-13610
+-29809	-13605
+-29812	-13599
+-29814	-13593
+-29817	-13588
+-29820	-13582
+-29822	-13576
+-29825	-13570
+-29827	-13565
+-29830	-13559
+-29833	-13553
+-29835	-13548
+-29838	-13542
+-29840	-13536
+-29843	-13530
+-29845	-13525
+-29848	-13519
+-29851	-13513
+-29853	-13507
+-29856	-13502
+-29858	-13496
+-29861	-13490
+-29864	-13485
+-29866	-13479
+-29869	-13473
+-29871	-13467
+-29874	-13462
+-29877	-13456
+-29879	-13450
+-29882	-13445
+-29884	-13439
+-29887	-13433
+-29889	-13427
+-29892	-13422
+-29895	-13416
+-29897	-13410
+-29900	-13404
+-29902	-13399
+-29905	-13393
+-29907	-13387
+-29910	-13381
+-29913	-13376
+-29915	-13370
+-29918	-13364
+-29920	-13359
+-29923	-13353
+-29925	-13347
+-29928	-13341
+-29930	-13336
+-29933	-13330
+-29936	-13324
+-29938	-13318
+-29941	-13313
+-29943	-13307
+-29946	-13301
+-29948	-13295
+-29951	-13290
+-29953	-13284
+-29956	-13278
+-29959	-13272
+-29961	-13267
+-29964	-13261
+-29966	-13255
+-29969	-13249
+-29971	-13244
+-29974	-13238
+-29976	-13232
+-29979	-13226
+-29981	-13221
+-29984	-13215
+-29986	-13209
+-29989	-13203
+-29992	-13198
+-29994	-13192
+-29997	-13186
+-29999	-13180
+-30002	-13175
+-30004	-13169
+-30007	-13163
+-30009	-13157
+-30012	-13152
+-30014	-13146
+-30017	-13140
+-30019	-13134
+-30022	-13129
+-30024	-13123
+-30027	-13117
+-30029	-13111
+-30032	-13106
+-30034	-13100
+-30037	-13094
+-30039	-13088
+-30042	-13083
+-30044	-13077
+-30047	-13071
+-30049	-13065
+-30052	-13060
+-30054	-13054
+-30057	-13048
+-30059	-13042
+-30062	-13036
+-30064	-13031
+-30067	-13025
+-30069	-13019
+-30072	-13013
+-30074	-13008
+-30077	-13002
+-30079	-12996
+-30082	-12990
+-30084	-12985
+-30087	-12979
+-30089	-12973
+-30092	-12967
+-30094	-12961
+-30097	-12956
+-30099	-12950
+-30102	-12944
+-30104	-12938
+-30107	-12933
+-30109	-12927
+-30112	-12921
+-30114	-12915
+-30117	-12910
+-30119	-12904
+-30122	-12898
+-30124	-12892
+-30127	-12886
+-30129	-12881
+-30132	-12875
+-30134	-12869
+-30136	-12863
+-30139	-12858
+-30141	-12852
+-30144	-12846
+-30146	-12840
+-30149	-12834
+-30151	-12829
+-30154	-12823
+-30156	-12817
+-30159	-12811
+-30161	-12806
+-30164	-12800
+-30166	-12794
+-30168	-12788
+-30171	-12782
+-30173	-12777
+-30176	-12771
+-30178	-12765
+-30181	-12759
+-30183	-12753
+-30186	-12748
+-30188	-12742
+-30190	-12736
+-30193	-12730
+-30195	-12725
+-30198	-12719
+-30200	-12713
+-30203	-12707
+-30205	-12701
+-30208	-12696
+-30210	-12690
+-30212	-12684
+-30215	-12678
+-30217	-12672
+-30220	-12667
+-30222	-12661
+-30225	-12655
+-30227	-12649
+-30229	-12643
+-30232	-12638
+-30234	-12632
+-30237	-12626
+-30239	-12620
+-30241	-12614
+-30244	-12609
+-30246	-12603
+-30249	-12597
+-30251	-12591
+-30254	-12585
+-30256	-12580
+-30258	-12574
+-30261	-12568
+-30263	-12562
+-30266	-12556
+-30268	-12551
+-30270	-12545
+-30273	-12539
+-30275	-12533
+-30278	-12527
+-30280	-12522
+-30282	-12516
+-30285	-12510
+-30287	-12504
+-30290	-12498
+-30292	-12493
+-30294	-12487
+-30297	-12481
+-30299	-12475
+-30302	-12469
+-30304	-12464
+-30306	-12458
+-30309	-12452
+-30311	-12446
+-30314	-12440
+-30316	-12434
+-30318	-12429
+-30321	-12423
+-30323	-12417
+-30325	-12411
+-30328	-12405
+-30330	-12400
+-30333	-12394
+-30335	-12388
+-30337	-12382
+-30340	-12376
+-30342	-12370
+-30344	-12365
+-30347	-12359
+-30349	-12353
+-30352	-12347
+-30354	-12341
+-30356	-12336
+-30359	-12330
+-30361	-12324
+-30363	-12318
+-30366	-12312
+-30368	-12306
+-30370	-12301
+-30373	-12295
+-30375	-12289
+-30378	-12283
+-30380	-12277
+-30382	-12272
+-30385	-12266
+-30387	-12260
+-30389	-12254
+-30392	-12248
+-30394	-12242
+-30396	-12237
+-30399	-12231
+-30401	-12225
+-30403	-12219
+-30406	-12213
+-30408	-12207
+-30410	-12202
+-30413	-12196
+-30415	-12190
+-30417	-12184
+-30420	-12178
+-30422	-12172
+-30424	-12167
+-30427	-12161
+-30429	-12155
+-30431	-12149
+-30434	-12143
+-30436	-12137
+-30438	-12132
+-30441	-12126
+-30443	-12120
+-30445	-12114
+-30448	-12108
+-30450	-12102
+-30452	-12097
+-30455	-12091
+-30457	-12085
+-30459	-12079
+-30462	-12073
+-30464	-12067
+-30466	-12062
+-30469	-12056
+-30471	-12050
+-30473	-12044
+-30475	-12038
+-30478	-12032
+-30480	-12026
+-30482	-12021
+-30485	-12015
+-30487	-12009
+-30489	-12003
+-30492	-11997
+-30494	-11991
+-30496	-11986
+-30499	-11980
+-30501	-11974
+-30503	-11968
+-30505	-11962
+-30508	-11956
+-30510	-11950
+-30512	-11945
+-30515	-11939
+-30517	-11933
+-30519	-11927
+-30521	-11921
+-30524	-11915
+-30526	-11909
+-30528	-11904
+-30531	-11898
+-30533	-11892
+-30535	-11886
+-30537	-11880
+-30540	-11874
+-30542	-11868
+-30544	-11863
+-30547	-11857
+-30549	-11851
+-30551	-11845
+-30553	-11839
+-30556	-11833
+-30558	-11827
+-30560	-11822
+-30562	-11816
+-30565	-11810
+-30567	-11804
+-30569	-11798
+-30571	-11792
+-30574	-11786
+-30576	-11781
+-30578	-11775
+-30580	-11769
+-30583	-11763
+-30585	-11757
+-30587	-11751
+-30589	-11745
+-30592	-11740
+-30594	-11734
+-30596	-11728
+-30598	-11722
+-30601	-11716
+-30603	-11710
+-30605	-11704
+-30607	-11698
+-30610	-11693
+-30612	-11687
+-30614	-11681
+-30616	-11675
+-30619	-11669
+-30621	-11663
+-30623	-11657
+-30625	-11652
+-30628	-11646
+-30630	-11640
+-30632	-11634
+-30634	-11628
+-30637	-11622
+-30639	-11616
+-30641	-11610
+-30643	-11605
+-30645	-11599
+-30648	-11593
+-30650	-11587
+-30652	-11581
+-30654	-11575
+-30657	-11569
+-30659	-11563
+-30661	-11558
+-30663	-11552
+-30665	-11546
+-30668	-11540
+-30670	-11534
+-30672	-11528
+-30674	-11522
+-30676	-11516
+-30679	-11510
+-30681	-11505
+-30683	-11499
+-30685	-11493
+-30688	-11487
+-30690	-11481
+-30692	-11475
+-30694	-11469
+-30696	-11463
+-30698	-11457
+-30701	-11452
+-30703	-11446
+-30705	-11440
+-30707	-11434
+-30709	-11428
+-30712	-11422
+-30714	-11416
+-30716	-11410
+-30718	-11405
+-30720	-11399
+-30723	-11393
+-30725	-11387
+-30727	-11381
+-30729	-11375
+-30731	-11369
+-30734	-11363
+-30736	-11357
+-30738	-11351
+-30740	-11346
+-30742	-11340
+-30744	-11334
+-30747	-11328
+-30749	-11322
+-30751	-11316
+-30753	-11310
+-30755	-11304
+-30757	-11298
+-30760	-11293
+-30762	-11287
+-30764	-11281
+-30766	-11275
+-30768	-11269
+-30770	-11263
+-30773	-11257
+-30775	-11251
+-30777	-11245
+-30779	-11239
+-30781	-11234
+-30783	-11228
+-30785	-11222
+-30788	-11216
+-30790	-11210
+-30792	-11204
+-30794	-11198
+-30796	-11192
+-30798	-11186
+-30801	-11180
+-30803	-11174
+-30805	-11169
+-30807	-11163
+-30809	-11157
+-30811	-11151
+-30813	-11145
+-30815	-11139
+-30818	-11133
+-30820	-11127
+-30822	-11121
+-30824	-11115
+-30826	-11109
+-30828	-11104
+-30830	-11098
+-30833	-11092
+-30835	-11086
+-30837	-11080
+-30839	-11074
+-30841	-11068
+-30843	-11062
+-30845	-11056
+-30847	-11050
+-30850	-11044
+-30852	-11039
+-30854	-11033
+-30856	-11027
+-30858	-11021
+-30860	-11015
+-30862	-11009
+-30864	-11003
+-30866	-10997
+-30869	-10991
+-30871	-10985
+-30873	-10979
+-30875	-10973
+-30877	-10968
+-30879	-10962
+-30881	-10956
+-30883	-10950
+-30885	-10944
+-30887	-10938
+-30890	-10932
+-30892	-10926
+-30894	-10920
+-30896	-10914
+-30898	-10908
+-30900	-10902
+-30902	-10896
+-30904	-10891
+-30906	-10885
+-30908	-10879
+-30910	-10873
+-30913	-10867
+-30915	-10861
+-30917	-10855
+-30919	-10849
+-30921	-10843
+-30923	-10837
+-30925	-10831
+-30927	-10825
+-30929	-10819
+-30931	-10813
+-30933	-10808
+-30935	-10802
+-30937	-10796
+-30940	-10790
+-30942	-10784
+-30944	-10778
+-30946	-10772
+-30948	-10766
+-30950	-10760
+-30952	-10754
+-30954	-10748
+-30956	-10742
+-30958	-10736
+-30960	-10730
+-30962	-10724
+-30964	-10719
+-30966	-10713
+-30968	-10707
+-30970	-10701
+-30972	-10695
+-30975	-10689
+-30977	-10683
+-30979	-10677
+-30981	-10671
+-30983	-10665
+-30985	-10659
+-30987	-10653
+-30989	-10647
+-30991	-10641
+-30993	-10635
+-30995	-10629
+-30997	-10623
+-30999	-10618
+-31001	-10612
+-31003	-10606
+-31005	-10600
+-31007	-10594
+-31009	-10588
+-31011	-10582
+-31013	-10576
+-31015	-10570
+-31017	-10564
+-31019	-10558
+-31021	-10552
+-31023	-10546
+-31025	-10540
+-31027	-10534
+-31029	-10528
+-31031	-10522
+-31033	-10516
+-31036	-10510
+-31038	-10505
+-31040	-10499
+-31042	-10493
+-31044	-10487
+-31046	-10481
+-31048	-10475
+-31050	-10469
+-31052	-10463
+-31054	-10457
+-31056	-10451
+-31058	-10445
+-31060	-10439
+-31062	-10433
+-31064	-10427
+-31066	-10421
+-31068	-10415
+-31070	-10409
+-31072	-10403
+-31074	-10397
+-31076	-10391
+-31078	-10385
+-31080	-10379
+-31082	-10373
+-31084	-10368
+-31086	-10362
+-31088	-10356
+-31090	-10350
+-31091	-10344
+-31093	-10338
+-31095	-10332
+-31097	-10326
+-31099	-10320
+-31101	-10314
+-31103	-10308
+-31105	-10302
+-31107	-10296
+-31109	-10290
+-31111	-10284
+-31113	-10278
+-31115	-10272
+-31117	-10266
+-31119	-10260
+-31121	-10254
+-31123	-10248
+-31125	-10242
+-31127	-10236
+-31129	-10230
+-31131	-10224
+-31133	-10218
+-31135	-10212
+-31137	-10206
+-31139	-10201
+-31141	-10195
+-31143	-10189
+-31145	-10183
+-31147	-10177
+-31149	-10171
+-31150	-10165
+-31152	-10159
+-31154	-10153
+-31156	-10147
+-31158	-10141
+-31160	-10135
+-31162	-10129
+-31164	-10123
+-31166	-10117
+-31168	-10111
+-31170	-10105
+-31172	-10099
+-31174	-10093
+-31176	-10087
+-31178	-10081
+-31180	-10075
+-31182	-10069
+-31183	-10063
+-31185	-10057
+-31187	-10051
+-31189	-10045
+-31191	-10039
+-31193	-10033
+-31195	-10027
+-31197	-10021
+-31199	-10015
+-31201	-10009
+-31203	-10003
+-31205	 -9997
+-31207	 -9991
+-31208	 -9985
+-31210	 -9979
+-31212	 -9973
+-31214	 -9967
+-31216	 -9961
+-31218	 -9955
+-31220	 -9949
+-31222	 -9943
+-31224	 -9937
+-31226	 -9931
+-31228	 -9925
+-31229	 -9919
+-31231	 -9913
+-31233	 -9908
+-31235	 -9902
+-31237	 -9896
+-31239	 -9890
+-31241	 -9884
+-31243	 -9878
+-31245	 -9872
+-31246	 -9866
+-31248	 -9860
+-31250	 -9854
+-31252	 -9848
+-31254	 -9842
+-31256	 -9836
+-31258	 -9830
+-31260	 -9824
+-31262	 -9818
+-31263	 -9812
+-31265	 -9806
+-31267	 -9800
+-31269	 -9794
+-31271	 -9788
+-31273	 -9782
+-31275	 -9776
+-31277	 -9770
+-31278	 -9764
+-31280	 -9758
+-31282	 -9752
+-31284	 -9746
+-31286	 -9740
+-31288	 -9734
+-31290	 -9728
+-31292	 -9722
+-31293	 -9716
+-31295	 -9710
+-31297	 -9704
+-31299	 -9698
+-31301	 -9692
+-31303	 -9686
+-31305	 -9680
+-31306	 -9674
+-31308	 -9668
+-31310	 -9662
+-31312	 -9656
+-31314	 -9650
+-31316	 -9644
+-31318	 -9638
+-31319	 -9632
+-31321	 -9626
+-31323	 -9620
+-31325	 -9614
+-31327	 -9608
+-31329	 -9602
+-31330	 -9596
+-31332	 -9590
+-31334	 -9584
+-31336	 -9578
+-31338	 -9572
+-31340	 -9566
+-31341	 -9560
+-31343	 -9554
+-31345	 -9548
+-31347	 -9542
+-31349	 -9536
+-31351	 -9530
+-31352	 -9523
+-31354	 -9517
+-31356	 -9511
+-31358	 -9505
+-31360	 -9499
+-31362	 -9493
+-31363	 -9487
+-31365	 -9481
+-31367	 -9475
+-31369	 -9469
+-31371	 -9463
+-31372	 -9457
+-31374	 -9451
+-31376	 -9445
+-31378	 -9439
+-31380	 -9433
+-31382	 -9427
+-31383	 -9421
+-31385	 -9415
+-31387	 -9409
+-31389	 -9403
+-31391	 -9397
+-31392	 -9391
+-31394	 -9385
+-31396	 -9379
+-31398	 -9373
+-31400	 -9367
+-31401	 -9361
+-31403	 -9355
+-31405	 -9349
+-31407	 -9343
+-31409	 -9337
+-31410	 -9331
+-31412	 -9325
+-31414	 -9319
+-31416	 -9313
+-31417	 -9307
+-31419	 -9301
+-31421	 -9295
+-31423	 -9289
+-31425	 -9283
+-31426	 -9277
+-31428	 -9271
+-31430	 -9265
+-31432	 -9259
+-31433	 -9253
+-31435	 -9247
+-31437	 -9241
+-31439	 -9235
+-31441	 -9229
+-31442	 -9222
+-31444	 -9216
+-31446	 -9210
+-31448	 -9204
+-31449	 -9198
+-31451	 -9192
+-31453	 -9186
+-31455	 -9180
+-31456	 -9174
+-31458	 -9168
+-31460	 -9162
+-31462	 -9156
+-31463	 -9150
+-31465	 -9144
+-31467	 -9138
+-31469	 -9132
+-31470	 -9126
+-31472	 -9120
+-31474	 -9114
+-31476	 -9108
+-31477	 -9102
+-31479	 -9096
+-31481	 -9090
+-31483	 -9084
+-31484	 -9078
+-31486	 -9072
+-31488	 -9066
+-31490	 -9060
+-31491	 -9054
+-31493	 -9048
+-31495	 -9041
+-31497	 -9035
+-31498	 -9029
+-31500	 -9023
+-31502	 -9017
+-31504	 -9011
+-31505	 -9005
+-31507	 -8999
+-31509	 -8993
+-31510	 -8987
+-31512	 -8981
+-31514	 -8975
+-31516	 -8969
+-31517	 -8963
+-31519	 -8957
+-31521	 -8951
+-31522	 -8945
+-31524	 -8939
+-31526	 -8933
+-31528	 -8927
+-31529	 -8921
+-31531	 -8915
+-31533	 -8909
+-31534	 -8902
+-31536	 -8896
+-31538	 -8890
+-31540	 -8884
+-31541	 -8878
+-31543	 -8872
+-31545	 -8866
+-31546	 -8860
+-31548	 -8854
+-31550	 -8848
+-31551	 -8842
+-31553	 -8836
+-31555	 -8830
+-31557	 -8824
+-31558	 -8818
+-31560	 -8812
+-31562	 -8806
+-31563	 -8800
+-31565	 -8794
+-31567	 -8788
+-31568	 -8781
+-31570	 -8775
+-31572	 -8769
+-31573	 -8763
+-31575	 -8757
+-31577	 -8751
+-31578	 -8745
+-31580	 -8739
+-31582	 -8733
+-31583	 -8727
+-31585	 -8721
+-31587	 -8715
+-31588	 -8709
+-31590	 -8703
+-31592	 -8697
+-31593	 -8691
+-31595	 -8685
+-31597	 -8679
+-31598	 -8672
+-31600	 -8666
+-31602	 -8660
+-31603	 -8654
+-31605	 -8648
+-31607	 -8642
+-31608	 -8636
+-31610	 -8630
+-31612	 -8624
+-31613	 -8618
+-31615	 -8612
+-31617	 -8606
+-31618	 -8600
+-31620	 -8594
+-31622	 -8588
+-31623	 -8582
+-31625	 -8576
+-31627	 -8569
+-31628	 -8563
+-31630	 -8557
+-31631	 -8551
+-31633	 -8545
+-31635	 -8539
+-31636	 -8533
+-31638	 -8527
+-31640	 -8521
+-31641	 -8515
+-31643	 -8509
+-31645	 -8503
+-31646	 -8497
+-31648	 -8491
+-31649	 -8485
+-31651	 -8478
+-31653	 -8472
+-31654	 -8466
+-31656	 -8460
+-31658	 -8454
+-31659	 -8448
+-31661	 -8442
+-31662	 -8436
+-31664	 -8430
+-31666	 -8424
+-31667	 -8418
+-31669	 -8412
+-31670	 -8406
+-31672	 -8400
+-31674	 -8393
+-31675	 -8387
+-31677	 -8381
+-31679	 -8375
+-31680	 -8369
+-31682	 -8363
+-31683	 -8357
+-31685	 -8351
+-31687	 -8345
+-31688	 -8339
+-31690	 -8333
+-31691	 -8327
+-31693	 -8321
+-31695	 -8314
+-31696	 -8308
+-31698	 -8302
+-31699	 -8296
+-31701	 -8290
+-31702	 -8284
+-31704	 -8278
+-31706	 -8272
+-31707	 -8266
+-31709	 -8260
+-31710	 -8254
+-31712	 -8248
+-31714	 -8242
+-31715	 -8235
+-31717	 -8229
+-31718	 -8223
+-31720	 -8217
+-31721	 -8211
+-31723	 -8205
+-31725	 -8199
+-31726	 -8193
+-31728	 -8187
+-31729	 -8181
+-31731	 -8175
+-31732	 -8169
+-31734	 -8162
+-31736	 -8156
+-31737	 -8150
+-31739	 -8144
+-31740	 -8138
+-31742	 -8132
+-31743	 -8126
+-31745	 -8120
+-31747	 -8114
+-31748	 -8108
+-31750	 -8102
+-31751	 -8095
+-31753	 -8089
+-31754	 -8083
+-31756	 -8077
+-31757	 -8071
+-31759	 -8065
+-31760	 -8059
+-31762	 -8053
+-31764	 -8047
+-31765	 -8041
+-31767	 -8035
+-31768	 -8029
+-31770	 -8022
+-31771	 -8016
+-31773	 -8010
+-31774	 -8004
+-31776	 -7998
+-31777	 -7992
+-31779	 -7986
+-31780	 -7980
+-31782	 -7974
+-31784	 -7968
+-31785	 -7961
+-31787	 -7955
+-31788	 -7949
+-31790	 -7943
+-31791	 -7937
+-31793	 -7931
+-31794	 -7925
+-31796	 -7919
+-31797	 -7913
+-31799	 -7907
+-31800	 -7901
+-31802	 -7894
+-31803	 -7888
+-31805	 -7882
+-31806	 -7876
+-31808	 -7870
+-31809	 -7864
+-31811	 -7858
+-31812	 -7852
+-31814	 -7846
+-31815	 -7840
+-31817	 -7833
+-31818	 -7827
+-31820	 -7821
+-31821	 -7815
+-31823	 -7809
+-31824	 -7803
+-31826	 -7797
+-31827	 -7791
+-31829	 -7785
+-31830	 -7779
+-31832	 -7772
+-31833	 -7766
+-31835	 -7760
+-31836	 -7754
+-31838	 -7748
+-31839	 -7742
+-31841	 -7736
+-31842	 -7730
+-31844	 -7724
+-31845	 -7717
+-31847	 -7711
+-31848	 -7705
+-31850	 -7699
+-31851	 -7693
+-31853	 -7687
+-31854	 -7681
+-31856	 -7675
+-31857	 -7669
+-31858	 -7663
+-31860	 -7656
+-31861	 -7650
+-31863	 -7644
+-31864	 -7638
+-31866	 -7632
+-31867	 -7626
+-31869	 -7620
+-31870	 -7614
+-31872	 -7608
+-31873	 -7601
+-31875	 -7595
+-31876	 -7589
+-31877	 -7583
+-31879	 -7577
+-31880	 -7571
+-31882	 -7565
+-31883	 -7559
+-31885	 -7553
+-31886	 -7546
+-31888	 -7540
+-31889	 -7534
+-31890	 -7528
+-31892	 -7522
+-31893	 -7516
+-31895	 -7510
+-31896	 -7504
+-31898	 -7497
+-31899	 -7491
+-31901	 -7485
+-31902	 -7479
+-31903	 -7473
+-31905	 -7467
+-31906	 -7461
+-31908	 -7455
+-31909	 -7449
+-31911	 -7442
+-31912	 -7436
+-31913	 -7430
+-31915	 -7424
+-31916	 -7418
+-31918	 -7412
+-31919	 -7406
+-31921	 -7400
+-31922	 -7393
+-31923	 -7387
+-31925	 -7381
+-31926	 -7375
+-31928	 -7369
+-31929	 -7363
+-31930	 -7357
+-31932	 -7351
+-31933	 -7344
+-31935	 -7338
+-31936	 -7332
+-31937	 -7326
+-31939	 -7320
+-31940	 -7314
+-31942	 -7308
+-31943	 -7302
+-31944	 -7295
+-31946	 -7289
+-31947	 -7283
+-31949	 -7277
+-31950	 -7271
+-31951	 -7265
+-31953	 -7259
+-31954	 -7253
+-31956	 -7246
+-31957	 -7240
+-31958	 -7234
+-31960	 -7228
+-31961	 -7222
+-31963	 -7216
+-31964	 -7210
+-31965	 -7204
+-31967	 -7197
+-31968	 -7191
+-31969	 -7185
+-31971	 -7179
+-31972	 -7173
+-31974	 -7167
+-31975	 -7161
+-31976	 -7155
+-31978	 -7148
+-31979	 -7142
+-31980	 -7136
+-31982	 -7130
+-31983	 -7124
+-31985	 -7118
+-31986	 -7112
+-31987	 -7105
+-31989	 -7099
+-31990	 -7093
+-31991	 -7087
+-31993	 -7081
+-31994	 -7075
+-31995	 -7069
+-31997	 -7063
+-31998	 -7056
+-32000	 -7050
+-32001	 -7044
+-32002	 -7038
+-32004	 -7032
+-32005	 -7026
+-32006	 -7020
+-32008	 -7013
+-32009	 -7007
+-32010	 -7001
+-32012	 -6995
+-32013	 -6989
+-32014	 -6983
+-32016	 -6977
+-32017	 -6970
+-32018	 -6964
+-32020	 -6958
+-32021	 -6952
+-32022	 -6946
+-32024	 -6940
+-32025	 -6934
+-32026	 -6928
+-32028	 -6921
+-32029	 -6915
+-32030	 -6909
+-32032	 -6903
+-32033	 -6897
+-32034	 -6891
+-32036	 -6885
+-32037	 -6878
+-32038	 -6872
+-32040	 -6866
+-32041	 -6860
+-32042	 -6854
+-32043	 -6848
+-32045	 -6842
+-32046	 -6835
+-32047	 -6829
+-32049	 -6823
+-32050	 -6817
+-32051	 -6811
+-32053	 -6805
+-32054	 -6799
+-32055	 -6792
+-32057	 -6786
+-32058	 -6780
+-32059	 -6774
+-32060	 -6768
+-32062	 -6762
+-32063	 -6755
+-32064	 -6749
+-32066	 -6743
+-32067	 -6737
+-32068	 -6731
+-32070	 -6725
+-32071	 -6719
+-32072	 -6712
+-32073	 -6706
+-32075	 -6700
+-32076	 -6694
+-32077	 -6688
+-32078	 -6682
+-32080	 -6676
+-32081	 -6669
+-32082	 -6663
+-32084	 -6657
+-32085	 -6651
+-32086	 -6645
+-32087	 -6639
+-32089	 -6632
+-32090	 -6626
+-32091	 -6620
+-32093	 -6614
+-32094	 -6608
+-32095	 -6602
+-32096	 -6596
+-32098	 -6589
+-32099	 -6583
+-32100	 -6577
+-32101	 -6571
+-32103	 -6565
+-32104	 -6559
+-32105	 -6552
+-32106	 -6546
+-32108	 -6540
+-32109	 -6534
+-32110	 -6528
+-32111	 -6522
+-32113	 -6516
+-32114	 -6509
+-32115	 -6503
+-32116	 -6497
+-32118	 -6491
+-32119	 -6485
+-32120	 -6479
+-32121	 -6472
+-32123	 -6466
+-32124	 -6460
+-32125	 -6454
+-32126	 -6448
+-32128	 -6442
+-32129	 -6435
+-32130	 -6429
+-32131	 -6423
+-32132	 -6417
+-32134	 -6411
+-32135	 -6405
+-32136	 -6398
+-32137	 -6392
+-32139	 -6386
+-32140	 -6380
+-32141	 -6374
+-32142	 -6368
+-32144	 -6362
+-32145	 -6355
+-32146	 -6349
+-32147	 -6343
+-32148	 -6337
+-32150	 -6331
+-32151	 -6325
+-32152	 -6318
+-32153	 -6312
+-32154	 -6306
+-32156	 -6300
+-32157	 -6294
+-32158	 -6288
+-32159	 -6281
+-32160	 -6275
+-32162	 -6269
+-32163	 -6263
+-32164	 -6257
+-32165	 -6251
+-32166	 -6244
+-32168	 -6238
+-32169	 -6232
+-32170	 -6226
+-32171	 -6220
+-32172	 -6214
+-32174	 -6207
+-32175	 -6201
+-32176	 -6195
+-32177	 -6189
+-32178	 -6183
+-32180	 -6177
+-32181	 -6170
+-32182	 -6164
+-32183	 -6158
+-32184	 -6152
+-32185	 -6146
+-32187	 -6139
+-32188	 -6133
+-32189	 -6127
+-32190	 -6121
+-32191	 -6115
+-32193	 -6109
+-32194	 -6102
+-32195	 -6096
+-32196	 -6090
+-32197	 -6084
+-32198	 -6078
+-32200	 -6072
+-32201	 -6065
+-32202	 -6059
+-32203	 -6053
+-32204	 -6047
+-32205	 -6041
+-32207	 -6035
+-32208	 -6028
+-32209	 -6022
+-32210	 -6016
+-32211	 -6010
+-32212	 -6004
+-32213	 -5997
+-32215	 -5991
+-32216	 -5985
+-32217	 -5979
+-32218	 -5973
+-32219	 -5967
+-32220	 -5960
+-32221	 -5954
+-32223	 -5948
+-32224	 -5942
+-32225	 -5936
+-32226	 -5930
+-32227	 -5923
+-32228	 -5917
+-32229	 -5911
+-32231	 -5905
+-32232	 -5899
+-32233	 -5892
+-32234	 -5886
+-32235	 -5880
+-32236	 -5874
+-32237	 -5868
+-32238	 -5862
+-32240	 -5855
+-32241	 -5849
+-32242	 -5843
+-32243	 -5837
+-32244	 -5831
+-32245	 -5824
+-32246	 -5818
+-32247	 -5812
+-32249	 -5806
+-32250	 -5800
+-32251	 -5794
+-32252	 -5787
+-32253	 -5781
+-32254	 -5775
+-32255	 -5769
+-32256	 -5763
+-32257	 -5756
+-32258	 -5750
+-32260	 -5744
+-32261	 -5738
+-32262	 -5732
+-32263	 -5726
+-32264	 -5719
+-32265	 -5713
+-32266	 -5707
+-32267	 -5701
+-32268	 -5695
+-32269	 -5688
+-32271	 -5682
+-32272	 -5676
+-32273	 -5670
+-32274	 -5664
+-32275	 -5657
+-32276	 -5651
+-32277	 -5645
+-32278	 -5639
+-32279	 -5633
+-32280	 -5626
+-32281	 -5620
+-32282	 -5614
+-32284	 -5608
+-32285	 -5602
+-32286	 -5596
+-32287	 -5589
+-32288	 -5583
+-32289	 -5577
+-32290	 -5571
+-32291	 -5565
+-32292	 -5558
+-32293	 -5552
+-32294	 -5546
+-32295	 -5540
+-32296	 -5534
+-32297	 -5527
+-32298	 -5521
+-32300	 -5515
+-32301	 -5509
+-32302	 -5503
+-32303	 -5496
+-32304	 -5490
+-32305	 -5484
+-32306	 -5478
+-32307	 -5472
+-32308	 -5466
+-32309	 -5459
+-32310	 -5453
+-32311	 -5447
+-32312	 -5441
+-32313	 -5435
+-32314	 -5428
+-32315	 -5422
+-32316	 -5416
+-32317	 -5410
+-32318	 -5404
+-32319	 -5397
+-32320	 -5391
+-32321	 -5385
+-32323	 -5379
+-32324	 -5373
+-32325	 -5366
+-32326	 -5360
+-32327	 -5354
+-32328	 -5348
+-32329	 -5342
+-32330	 -5335
+-32331	 -5329
+-32332	 -5323
+-32333	 -5317
+-32334	 -5311
+-32335	 -5304
+-32336	 -5298
+-32337	 -5292
+-32338	 -5286
+-32339	 -5280
+-32340	 -5273
+-32341	 -5267
+-32342	 -5261
+-32343	 -5255
+-32344	 -5249
+-32345	 -5242
+-32346	 -5236
+-32347	 -5230
+-32348	 -5224
+-32349	 -5218
+-32350	 -5211
+-32351	 -5205
+-32352	 -5199
+-32353	 -5193
+-32354	 -5187
+-32355	 -5180
+-32356	 -5174
+-32357	 -5168
+-32358	 -5162
+-32359	 -5156
+-32360	 -5149
+-32361	 -5143
+-32362	 -5137
+-32363	 -5131
+-32364	 -5124
+-32365	 -5118
+-32366	 -5112
+-32367	 -5106
+-32368	 -5100
+-32369	 -5093
+-32370	 -5087
+-32371	 -5081
+-32372	 -5075
+-32373	 -5069
+-32374	 -5062
+-32375	 -5056
+-32375	 -5050
+-32376	 -5044
+-32377	 -5038
+-32378	 -5031
+-32379	 -5025
+-32380	 -5019
+-32381	 -5013
+-32382	 -5007
+-32383	 -5000
+-32384	 -4994
+-32385	 -4988
+-32386	 -4982
+-32387	 -4976
+-32388	 -4969
+-32389	 -4963
+-32390	 -4957
+-32391	 -4951
+-32392	 -4944
+-32393	 -4938
+-32394	 -4932
+-32395	 -4926
+-32396	 -4920
+-32397	 -4913
+-32397	 -4907
+-32398	 -4901
+-32399	 -4895
+-32400	 -4889
+-32401	 -4882
+-32402	 -4876
+-32403	 -4870
+-32404	 -4864
+-32405	 -4857
+-32406	 -4851
+-32407	 -4845
+-32408	 -4839
+-32409	 -4833
+-32410	 -4826
+-32411	 -4820
+-32411	 -4814
+-32412	 -4808
+-32413	 -4802
+-32414	 -4795
+-32415	 -4789
+-32416	 -4783
+-32417	 -4777
+-32418	 -4770
+-32419	 -4764
+-32420	 -4758
+-32421	 -4752
+-32422	 -4746
+-32422	 -4739
+-32423	 -4733
+-32424	 -4727
+-32425	 -4721
+-32426	 -4715
+-32427	 -4708
+-32428	 -4702
+-32429	 -4696
+-32430	 -4690
+-32431	 -4683
+-32431	 -4677
+-32432	 -4671
+-32433	 -4665
+-32434	 -4659
+-32435	 -4652
+-32436	 -4646
+-32437	 -4640
+-32438	 -4634
+-32439	 -4627
+-32439	 -4621
+-32440	 -4615
+-32441	 -4609
+-32442	 -4603
+-32443	 -4596
+-32444	 -4590
+-32445	 -4584
+-32446	 -4578
+-32447	 -4571
+-32447	 -4565
+-32448	 -4559
+-32449	 -4553
+-32450	 -4547
+-32451	 -4540
+-32452	 -4534
+-32453	 -4528
+-32454	 -4522
+-32454	 -4515
+-32455	 -4509
+-32456	 -4503
+-32457	 -4497
+-32458	 -4491
+-32459	 -4484
+-32460	 -4478
+-32460	 -4472
+-32461	 -4466
+-32462	 -4459
+-32463	 -4453
+-32464	 -4447
+-32465	 -4441
+-32466	 -4435
+-32466	 -4428
+-32467	 -4422
+-32468	 -4416
+-32469	 -4410
+-32470	 -4403
+-32471	 -4397
+-32471	 -4391
+-32472	 -4385
+-32473	 -4379
+-32474	 -4372
+-32475	 -4366
+-32476	 -4360
+-32476	 -4354
+-32477	 -4347
+-32478	 -4341
+-32479	 -4335
+-32480	 -4329
+-32481	 -4322
+-32481	 -4316
+-32482	 -4310
+-32483	 -4304
+-32484	 -4298
+-32485	 -4291
+-32486	 -4285
+-32486	 -4279
+-32487	 -4273
+-32488	 -4266
+-32489	 -4260
+-32490	 -4254
+-32490	 -4248
+-32491	 -4242
+-32492	 -4235
+-32493	 -4229
+-32494	 -4223
+-32495	 -4217
+-32495	 -4210
+-32496	 -4204
+-32497	 -4198
+-32498	 -4192
+-32499	 -4185
+-32499	 -4179
+-32500	 -4173
+-32501	 -4167
+-32502	 -4161
+-32503	 -4154
+-32503	 -4148
+-32504	 -4142
+-32505	 -4136
+-32506	 -4129
+-32507	 -4123
+-32507	 -4117
+-32508	 -4111
+-32509	 -4104
+-32510	 -4098
+-32510	 -4092
+-32511	 -4086
+-32512	 -4079
+-32513	 -4073
+-32514	 -4067
+-32514	 -4061
+-32515	 -4055
+-32516	 -4048
+-32517	 -4042
+-32517	 -4036
+-32518	 -4030
+-32519	 -4023
+-32520	 -4017
+-32521	 -4011
+-32521	 -4005
+-32522	 -3998
+-32523	 -3992
+-32524	 -3986
+-32524	 -3980
+-32525	 -3973
+-32526	 -3967
+-32527	 -3961
+-32527	 -3955
+-32528	 -3949
+-32529	 -3942
+-32530	 -3936
+-32530	 -3930
+-32531	 -3924
+-32532	 -3917
+-32533	 -3911
+-32533	 -3905
+-32534	 -3899
+-32535	 -3892
+-32536	 -3886
+-32536	 -3880
+-32537	 -3874
+-32538	 -3867
+-32539	 -3861
+-32539	 -3855
+-32540	 -3849
+-32541	 -3842
+-32542	 -3836
+-32542	 -3830
+-32543	 -3824
+-32544	 -3818
+-32545	 -3811
+-32545	 -3805
+-32546	 -3799
+-32547	 -3793
+-32547	 -3786
+-32548	 -3780
+-32549	 -3774
+-32550	 -3768
+-32550	 -3761
+-32551	 -3755
+-32552	 -3749
+-32553	 -3743
+-32553	 -3736
+-32554	 -3730
+-32555	 -3724
+-32555	 -3718
+-32556	 -3711
+-32557	 -3705
+-32558	 -3699
+-32558	 -3693
+-32559	 -3686
+-32560	 -3680
+-32560	 -3674
+-32561	 -3668
+-32562	 -3661
+-32562	 -3655
+-32563	 -3649
+-32564	 -3643
+-32565	 -3637
+-32565	 -3630
+-32566	 -3624
+-32567	 -3618
+-32567	 -3612
+-32568	 -3605
+-32569	 -3599
+-32569	 -3593
+-32570	 -3587
+-32571	 -3580
+-32571	 -3574
+-32572	 -3568
+-32573	 -3562
+-32574	 -3555
+-32574	 -3549
+-32575	 -3543
+-32576	 -3537
+-32576	 -3530
+-32577	 -3524
+-32578	 -3518
+-32578	 -3512
+-32579	 -3505
+-32580	 -3499
+-32580	 -3493
+-32581	 -3487
+-32582	 -3480
+-32582	 -3474
+-32583	 -3468
+-32584	 -3462
+-32584	 -3455
+-32585	 -3449
+-32586	 -3443
+-32586	 -3437
+-32587	 -3430
+-32588	 -3424
+-32588	 -3418
+-32589	 -3412
+-32590	 -3405
+-32590	 -3399
+-32591	 -3393
+-32592	 -3387
+-32592	 -3380
+-32593	 -3374
+-32593	 -3368
+-32594	 -3362
+-32595	 -3355
+-32595	 -3349
+-32596	 -3343
+-32597	 -3337
+-32597	 -3330
+-32598	 -3324
+-32599	 -3318
+-32599	 -3312
+-32600	 -3305
+-32600	 -3299
+-32601	 -3293
+-32602	 -3287
+-32602	 -3280
+-32603	 -3274
+-32604	 -3268
+-32604	 -3262
+-32605	 -3255
+-32606	 -3249
+-32606	 -3243
+-32607	 -3237
+-32607	 -3230
+-32608	 -3224
+-32609	 -3218
+-32609	 -3212
+-32610	 -3205
+-32610	 -3199
+-32611	 -3193
+-32612	 -3187
+-32612	 -3180
+-32613	 -3174
+-32614	 -3168
+-32614	 -3162
+-32615	 -3155
+-32615	 -3149
+-32616	 -3143
+-32617	 -3137
+-32617	 -3130
+-32618	 -3124
+-32618	 -3118
+-32619	 -3112
+-32620	 -3105
+-32620	 -3099
+-32621	 -3093
+-32621	 -3087
+-32622	 -3080
+-32622	 -3074
+-32623	 -3068
+-32624	 -3062
+-32624	 -3055
+-32625	 -3049
+-32625	 -3043
+-32626	 -3037
+-32627	 -3030
+-32627	 -3024
+-32628	 -3018
+-32628	 -3011
+-32629	 -3005
+-32629	 -2999
+-32630	 -2993
+-32631	 -2986
+-32631	 -2980
+-32632	 -2974
+-32632	 -2968
+-32633	 -2961
+-32633	 -2955
+-32634	 -2949
+-32635	 -2943
+-32635	 -2936
+-32636	 -2930
+-32636	 -2924
+-32637	 -2918
+-32637	 -2911
+-32638	 -2905
+-32639	 -2899
+-32639	 -2893
+-32640	 -2886
+-32640	 -2880
+-32641	 -2874
+-32641	 -2868
+-32642	 -2861
+-32642	 -2855
+-32643	 -2849
+-32643	 -2843
+-32644	 -2836
+-32645	 -2830
+-32645	 -2824
+-32646	 -2817
+-32646	 -2811
+-32647	 -2805
+-32647	 -2799
+-32648	 -2792
+-32648	 -2786
+-32649	 -2780
+-32649	 -2774
+-32650	 -2767
+-32650	 -2761
+-32651	 -2755
+-32652	 -2749
+-32652	 -2742
+-32653	 -2736
+-32653	 -2730
+-32654	 -2724
+-32654	 -2717
+-32655	 -2711
+-32655	 -2705
+-32656	 -2699
+-32656	 -2692
+-32657	 -2686
+-32657	 -2680
+-32658	 -2673
+-32658	 -2667
+-32659	 -2661
+-32659	 -2655
+-32660	 -2648
+-32660	 -2642
+-32661	 -2636
+-32661	 -2630
+-32662	 -2623
+-32662	 -2617
+-32663	 -2611
+-32663	 -2605
+-32664	 -2598
+-32664	 -2592
+-32665	 -2586
+-32665	 -2580
+-32666	 -2573
+-32666	 -2567
+-32667	 -2561
+-32667	 -2555
+-32668	 -2548
+-32668	 -2542
+-32669	 -2536
+-32669	 -2529
+-32670	 -2523
+-32670	 -2517
+-32671	 -2511
+-32671	 -2504
+-32672	 -2498
+-32672	 -2492
+-32673	 -2486
+-32673	 -2479
+-32674	 -2473
+-32674	 -2467
+-32674	 -2461
+-32675	 -2454
+-32675	 -2448
+-32676	 -2442
+-32676	 -2435
+-32677	 -2429
+-32677	 -2423
+-32678	 -2417
+-32678	 -2410
+-32679	 -2404
+-32679	 -2398
+-32680	 -2392
+-32680	 -2385
+-32681	 -2379
+-32681	 -2373
+-32681	 -2367
+-32682	 -2360
+-32682	 -2354
+-32683	 -2348
+-32683	 -2341
+-32684	 -2335
+-32684	 -2329
+-32685	 -2323
+-32685	 -2316
+-32685	 -2310
+-32686	 -2304
+-32686	 -2298
+-32687	 -2291
+-32687	 -2285
+-32688	 -2279
+-32688	 -2273
+-32689	 -2266
+-32689	 -2260
+-32689	 -2254
+-32690	 -2247
+-32690	 -2241
+-32691	 -2235
+-32691	 -2229
+-32692	 -2222
+-32692	 -2216
+-32692	 -2210
+-32693	 -2204
+-32693	 -2197
+-32694	 -2191
+-32694	 -2185
+-32694	 -2179
+-32695	 -2172
+-32695	 -2166
+-32696	 -2160
+-32696	 -2153
+-32697	 -2147
+-32697	 -2141
+-32697	 -2135
+-32698	 -2128
+-32698	 -2122
+-32699	 -2116
+-32699	 -2110
+-32699	 -2103
+-32700	 -2097
+-32700	 -2091
+-32701	 -2084
+-32701	 -2078
+-32701	 -2072
+-32702	 -2066
+-32702	 -2059
+-32703	 -2053
+-32703	 -2047
+-32703	 -2041
+-32704	 -2034
+-32704	 -2028
+-32705	 -2022
+-32705	 -2016
+-32705	 -2009
+-32706	 -2003
+-32706	 -1997
+-32706	 -1990
+-32707	 -1984
+-32707	 -1978
+-32708	 -1972
+-32708	 -1965
+-32708	 -1959
+-32709	 -1953
+-32709	 -1947
+-32710	 -1940
+-32710	 -1934
+-32710	 -1928
+-32711	 -1921
+-32711	 -1915
+-32711	 -1909
+-32712	 -1903
+-32712	 -1896
+-32712	 -1890
+-32713	 -1884
+-32713	 -1878
+-32714	 -1871
+-32714	 -1865
+-32714	 -1859
+-32715	 -1852
+-32715	 -1846
+-32715	 -1840
+-32716	 -1834
+-32716	 -1827
+-32716	 -1821
+-32717	 -1815
+-32717	 -1809
+-32717	 -1802
+-32718	 -1796
+-32718	 -1790
+-32718	 -1783
+-32719	 -1777
+-32719	 -1771
+-32719	 -1765
+-32720	 -1758
+-32720	 -1752
+-32720	 -1746
+-32721	 -1740
+-32721	 -1733
+-32721	 -1727
+-32722	 -1721
+-32722	 -1714
+-32722	 -1708
+-32723	 -1702
+-32723	 -1696
+-32723	 -1689
+-32724	 -1683
+-32724	 -1677
+-32724	 -1671
+-32725	 -1664
+-32725	 -1658
+-32725	 -1652
+-32726	 -1645
+-32726	 -1639
+-32726	 -1633
+-32727	 -1627
+-32727	 -1620
+-32727	 -1614
+-32728	 -1608
+-32728	 -1601
+-32728	 -1595
+-32728	 -1589
+-32729	 -1583
+-32729	 -1576
+-32729	 -1570
+-32730	 -1564
+-32730	 -1558
+-32730	 -1551
+-32731	 -1545
+-32731	 -1539
+-32731	 -1532
+-32731	 -1526
+-32732	 -1520
+-32732	 -1514
+-32732	 -1507
+-32733	 -1501
+-32733	 -1495
+-32733	 -1489
+-32733	 -1482
+-32734	 -1476
+-32734	 -1470
+-32734	 -1463
+-32735	 -1457
+-32735	 -1451
+-32735	 -1445
+-32735	 -1438
+-32736	 -1432
+-32736	 -1426
+-32736	 -1419
+-32737	 -1413
+-32737	 -1407
+-32737	 -1401
+-32737	 -1394
+-32738	 -1388
+-32738	 -1382
+-32738	 -1376
+-32738	 -1369
+-32739	 -1363
+-32739	 -1357
+-32739	 -1350
+-32739	 -1344
+-32740	 -1338
+-32740	 -1332
+-32740	 -1325
+-32740	 -1319
+-32741	 -1313
+-32741	 -1306
+-32741	 -1300
+-32741	 -1294
+-32742	 -1288
+-32742	 -1281
+-32742	 -1275
+-32742	 -1269
+-32743	 -1263
+-32743	 -1256
+-32743	 -1250
+-32743	 -1244
+-32744	 -1237
+-32744	 -1231
+-32744	 -1225
+-32744	 -1219
+-32745	 -1212
+-32745	 -1206
+-32745	 -1200
+-32745	 -1193
+-32745	 -1187
+-32746	 -1181
+-32746	 -1175
+-32746	 -1168
+-32746	 -1162
+-32747	 -1156
+-32747	 -1150
+-32747	 -1143
+-32747	 -1137
+-32747	 -1131
+-32748	 -1124
+-32748	 -1118
+-32748	 -1112
+-32748	 -1106
+-32749	 -1099
+-32749	 -1093
+-32749	 -1087
+-32749	 -1080
+-32749	 -1074
+-32750	 -1068
+-32750	 -1062
+-32750	 -1055
+-32750	 -1049
+-32750	 -1043
+-32751	 -1036
+-32751	 -1030
+-32751	 -1024
+-32751	 -1018
+-32751	 -1011
+-32752	 -1005
+-32752	  -999
+-32752	  -993
+-32752	  -986
+-32752	  -980
+-32753	  -974
+-32753	  -967
+-32753	  -961
+-32753	  -955
+-32753	  -949
+-32753	  -942
+-32754	  -936
+-32754	  -930
+-32754	  -923
+-32754	  -917
+-32754	  -911
+-32755	  -905
+-32755	  -898
+-32755	  -892
+-32755	  -886
+-32755	  -879
+-32755	  -873
+-32756	  -867
+-32756	  -861
+-32756	  -854
+-32756	  -848
+-32756	  -842
+-32756	  -836
+-32757	  -829
+-32757	  -823
+-32757	  -817
+-32757	  -810
+-32757	  -804
+-32757	  -798
+-32757	  -792
+-32758	  -785
+-32758	  -779
+-32758	  -773
+-32758	  -766
+-32758	  -760
+-32758	  -754
+-32758	  -748
+-32759	  -741
+-32759	  -735
+-32759	  -729
+-32759	  -722
+-32759	  -716
+-32759	  -710
+-32759	  -704
+-32760	  -697
+-32760	  -691
+-32760	  -685
+-32760	  -678
+-32760	  -672
+-32760	  -666
+-32760	  -660
+-32760	  -653
+-32761	  -647
+-32761	  -641
+-32761	  -635
+-32761	  -628
+-32761	  -622
+-32761	  -616
+-32761	  -609
+-32761	  -603
+-32762	  -597
+-32762	  -591
+-32762	  -584
+-32762	  -578
+-32762	  -572
+-32762	  -565
+-32762	  -559
+-32762	  -553
+-32762	  -547
+-32763	  -540
+-32763	  -534
+-32763	  -528
+-32763	  -521
+-32763	  -515
+-32763	  -509
+-32763	  -503
+-32763	  -496
+-32763	  -490
+-32763	  -484
+-32764	  -477
+-32764	  -471
+-32764	  -465
+-32764	  -459
+-32764	  -452
+-32764	  -446
+-32764	  -440
+-32764	  -434
+-32764	  -427
+-32764	  -421
+-32764	  -415
+-32764	  -408
+-32765	  -402
+-32765	  -396
+-32765	  -390
+-32765	  -383
+-32765	  -377
+-32765	  -371
+-32765	  -364
+-32765	  -358
+-32765	  -352
+-32765	  -346
+-32765	  -339
+-32765	  -333
+-32765	  -327
+-32765	  -320
+-32765	  -314
+-32766	  -308
+-32766	  -302
+-32766	  -295
+-32766	  -289
+-32766	  -283
+-32766	  -276
+-32766	  -270
+-32766	  -264
+-32766	  -258
+-32766	  -251
+-32766	  -245
+-32766	  -239
+-32766	  -232
+-32766	  -226
+-32766	  -220
+-32766	  -214
+-32766	  -207
+-32766	  -201
+-32766	  -195
+-32766	  -188
+-32766	  -182
+-32767	  -176
+-32767	  -170
+-32767	  -163
+-32767	  -157
+-32767	  -151
+-32767	  -145
+-32767	  -138
+-32767	  -132
+-32767	  -126
+-32767	  -119
+-32767	  -113
+-32767	  -107
+-32767	  -101
+-32767	   -94
+-32767	   -88
+-32767	   -82
+-32767	   -75
+-32767	   -69
+-32767	   -63
+-32767	   -57
+-32767	   -50
+-32767	   -44
+-32767	   -38
+-32767	   -31
+-32767	   -25
+-32767	   -19
+-32767	   -13
+-32767	    -6
+ 32767	     0
+ 32767	    -3
+ 32767	    -6
+ 32767	    -9
+ 32767	   -13
+ 32767	   -16
+ 32767	   -19
+ 32767	   -22
+ 32767	   -25
+ 32767	   -28
+ 32767	   -31
+ 32767	   -35
+ 32767	   -38
+ 32767	   -41
+ 32767	   -44
+ 32767	   -47
+ 32767	   -50
+ 32767	   -53
+ 32767	   -57
+ 32767	   -60
+ 32767	   -63
+ 32767	   -66
+ 32767	   -69
+ 32767	   -72
+ 32767	   -75
+ 32767	   -79
+ 32767	   -82
+ 32767	   -85
+ 32767	   -88
+ 32767	   -91
+ 32767	   -94
+ 32767	   -97
+ 32767	  -101
+ 32767	  -104
+ 32767	  -107
+ 32767	  -110
+ 32767	  -113
+ 32767	  -116
+ 32767	  -119
+ 32767	  -123
+ 32767	  -126
+ 32767	  -129
+ 32767	  -132
+ 32767	  -135
+ 32767	  -138
+ 32767	  -141
+ 32767	  -145
+ 32767	  -148
+ 32767	  -151
+ 32767	  -154
+ 32767	  -157
+ 32767	  -160
+ 32767	  -163
+ 32767	  -166
+ 32767	  -170
+ 32767	  -173
+ 32767	  -176
+ 32767	  -179
+ 32766	  -182
+ 32766	  -185
+ 32766	  -188
+ 32766	  -192
+ 32766	  -195
+ 32766	  -198
+ 32766	  -201
+ 32766	  -204
+ 32766	  -207
+ 32766	  -210
+ 32766	  -214
+ 32766	  -217
+ 32766	  -220
+ 32766	  -223
+ 32766	  -226
+ 32766	  -229
+ 32766	  -232
+ 32766	  -236
+ 32766	  -239
+ 32766	  -242
+ 32766	  -245
+ 32766	  -248
+ 32766	  -251
+ 32766	  -254
+ 32766	  -258
+ 32766	  -261
+ 32766	  -264
+ 32766	  -267
+ 32766	  -270
+ 32766	  -273
+ 32766	  -276
+ 32766	  -280
+ 32766	  -283
+ 32766	  -286
+ 32766	  -289
+ 32766	  -292
+ 32766	  -295
+ 32766	  -298
+ 32766	  -302
+ 32766	  -305
+ 32766	  -308
+ 32766	  -311
+ 32765	  -314
+ 32765	  -317
+ 32765	  -320
+ 32765	  -324
+ 32765	  -327
+ 32765	  -330
+ 32765	  -333
+ 32765	  -336
+ 32765	  -339
+ 32765	  -342
+ 32765	  -346
+ 32765	  -349
+ 32765	  -352
+ 32765	  -355
+ 32765	  -358
+ 32765	  -361
+ 32765	  -364
+ 32765	  -368
+ 32765	  -371
+ 32765	  -374
+ 32765	  -377
+ 32765	  -380
+ 32765	  -383
+ 32765	  -386
+ 32765	  -390
+ 32765	  -393
+ 32765	  -396
+ 32765	  -399
+ 32765	  -402
+ 32764	  -405
+ 32764	  -408
+ 32764	  -412
+ 32764	  -415
+ 32764	  -418
+ 32764	  -421
+ 32764	  -424
+ 32764	  -427
+ 32764	  -430
+ 32764	  -434
+ 32764	  -437
+ 32764	  -440
+ 32764	  -443
+ 32764	  -446
+ 32764	  -449
+ 32764	  -452
+ 32764	  -455
+ 32764	  -459
+ 32764	  -462
+ 32764	  -465
+ 32764	  -468
+ 32764	  -471
+ 32764	  -474
+ 32764	  -477
+ 32763	  -481
+ 32763	  -484
+ 32763	  -487
+ 32763	  -490
+ 32763	  -493
+ 32763	  -496
+ 32763	  -499
+ 32763	  -503
+ 32763	  -506
+ 32763	  -509
+ 32763	  -512
+ 32763	  -515
+ 32763	  -518
+ 32763	  -521
+ 32763	  -525
+ 32763	  -528
+ 32763	  -531
+ 32763	  -534
+ 32763	  -537
+ 32763	  -540
+ 32762	  -543
+ 32762	  -547
+ 32762	  -550
+ 32762	  -553
+ 32762	  -556
+ 32762	  -559
+ 32762	  -562
+ 32762	  -565
+ 32762	  -569
+ 32762	  -572
+ 32762	  -575
+ 32762	  -578
+ 32762	  -581
+ 32762	  -584
+ 32762	  -587
+ 32762	  -591
+ 32762	  -594
+ 32762	  -597
+ 32762	  -600
+ 32761	  -603
+ 32761	  -606
+ 32761	  -609
+ 32761	  -613
+ 32761	  -616
+ 32761	  -619
+ 32761	  -622
+ 32761	  -625
+ 32761	  -628
+ 32761	  -631
+ 32761	  -635
+ 32761	  -638
+ 32761	  -641
+ 32761	  -644
+ 32761	  -647
+ 32761	  -650
+ 32760	  -653
+ 32760	  -657
+ 32760	  -660
+ 32760	  -663
+ 32760	  -666
+ 32760	  -669
+ 32760	  -672
+ 32760	  -675
+ 32760	  -678
+ 32760	  -682
+ 32760	  -685
+ 32760	  -688
+ 32760	  -691
+ 32760	  -694
+ 32760	  -697
+ 32760	  -700
+ 32759	  -704
+ 32759	  -707
+ 32759	  -710
+ 32759	  -713
+ 32759	  -716
+ 32759	  -719
+ 32759	  -722
+ 32759	  -726
+ 32759	  -729
+ 32759	  -732
+ 32759	  -735
+ 32759	  -738
+ 32759	  -741
+ 32759	  -744
+ 32758	  -748
+ 32758	  -751
+ 32758	  -754
+ 32758	  -757
+ 32758	  -760
+ 32758	  -763
+ 32758	  -766
+ 32758	  -770
+ 32758	  -773
+ 32758	  -776
+ 32758	  -779
+ 32758	  -782
+ 32758	  -785
+ 32758	  -788
+ 32757	  -792
+ 32757	  -795
+ 32757	  -798
+ 32757	  -801
+ 32757	  -804
+ 32757	  -807
+ 32757	  -810
+ 32757	  -814
+ 32757	  -817
+ 32757	  -820
+ 32757	  -823
+ 32757	  -826
+ 32757	  -829
+ 32756	  -832
+ 32756	  -836
+ 32756	  -839
+ 32756	  -842
+ 32756	  -845
+ 32756	  -848
+ 32756	  -851
+ 32756	  -854
+ 32756	  -858
+ 32756	  -861
+ 32756	  -864
+ 32756	  -867
+ 32755	  -870
+ 32755	  -873
+ 32755	  -876
+ 32755	  -879
+ 32755	  -883
+ 32755	  -886
+ 32755	  -889
+ 32755	  -892
+ 32755	  -895
+ 32755	  -898
+ 32755	  -901
+ 32755	  -905
+ 32754	  -908
+ 32754	  -911
+ 32754	  -914
+ 32754	  -917
+ 32754	  -920
+ 32754	  -923
+ 32754	  -927
+ 32754	  -930
+ 32754	  -933
+ 32754	  -936
+ 32754	  -939
+ 32753	  -942
+ 32753	  -945
+ 32753	  -949
+ 32753	  -952
+ 32753	  -955
+ 32753	  -958
+ 32753	  -961
+ 32753	  -964
+ 32753	  -967
+ 32753	  -971
+ 32753	  -974
+ 32752	  -977
+ 32752	  -980
+ 32752	  -983
+ 32752	  -986
+ 32752	  -989
+ 32752	  -993
+ 32752	  -996
+ 32752	  -999
+ 32752	 -1002
+ 32752	 -1005
+ 32751	 -1008
+ 32751	 -1011
+ 32751	 -1015
+ 32751	 -1018
+ 32751	 -1021
+ 32751	 -1024
+ 32751	 -1027
+ 32751	 -1030
+ 32751	 -1033
+ 32751	 -1036
+ 32751	 -1040
+ 32750	 -1043
+ 32750	 -1046
+ 32750	 -1049
+ 32750	 -1052
+ 32750	 -1055
+ 32750	 -1058
+ 32750	 -1062
+ 32750	 -1065
+ 32750	 -1068
+ 32749	 -1071
+ 32749	 -1074
+ 32749	 -1077
+ 32749	 -1080
+ 32749	 -1084
+ 32749	 -1087
+ 32749	 -1090
+ 32749	 -1093
+ 32749	 -1096
+ 32749	 -1099
+ 32748	 -1102
+ 32748	 -1106
+ 32748	 -1109
+ 32748	 -1112
+ 32748	 -1115
+ 32748	 -1118
+ 32748	 -1121
+ 32748	 -1124
+ 32748	 -1128
+ 32747	 -1131
+ 32747	 -1134
+ 32747	 -1137
+ 32747	 -1140
+ 32747	 -1143
+ 32747	 -1146
+ 32747	 -1150
+ 32747	 -1153
+ 32747	 -1156
+ 32746	 -1159
+ 32746	 -1162
+ 32746	 -1165
+ 32746	 -1168
+ 32746	 -1171
+ 32746	 -1175
+ 32746	 -1178
+ 32746	 -1181
+ 32746	 -1184
+ 32745	 -1187
+ 32745	 -1190
+ 32745	 -1193
+ 32745	 -1197
+ 32745	 -1200
+ 32745	 -1203
+ 32745	 -1206
+ 32745	 -1209
+ 32745	 -1212
+ 32744	 -1215
+ 32744	 -1219
+ 32744	 -1222
+ 32744	 -1225
+ 32744	 -1228
+ 32744	 -1231
+ 32744	 -1234
+ 32744	 -1237
+ 32744	 -1241
+ 32743	 -1244
+ 32743	 -1247
+ 32743	 -1250
+ 32743	 -1253
+ 32743	 -1256
+ 32743	 -1259
+ 32743	 -1263
+ 32743	 -1266
+ 32742	 -1269
+ 32742	 -1272
+ 32742	 -1275
+ 32742	 -1278
+ 32742	 -1281
+ 32742	 -1285
+ 32742	 -1288
+ 32742	 -1291
+ 32741	 -1294
+ 32741	 -1297
+ 32741	 -1300
+ 32741	 -1303
+ 32741	 -1306
+ 32741	 -1310
+ 32741	 -1313
+ 32741	 -1316
+ 32740	 -1319
+ 32740	 -1322
+ 32740	 -1325
+ 32740	 -1328
+ 32740	 -1332
+ 32740	 -1335
+ 32740	 -1338
+ 32740	 -1341
+ 32739	 -1344
+ 32739	 -1347
+ 32739	 -1350
+ 32739	 -1354
+ 32739	 -1357
+ 32739	 -1360
+ 32739	 -1363
+ 32739	 -1366
+ 32738	 -1369
+ 32738	 -1372
+ 32738	 -1376
+ 32738	 -1379
+ 32738	 -1382
+ 32738	 -1385
+ 32738	 -1388
+ 32737	 -1391
+ 32737	 -1394
+ 32737	 -1397
+ 32737	 -1401
+ 32737	 -1404
+ 32737	 -1407
+ 32737	 -1410
+ 32737	 -1413
+ 32736	 -1416
+ 32736	 -1419
+ 32736	 -1423
+ 32736	 -1426
+ 32736	 -1429
+ 32736	 -1432
+ 32736	 -1435
+ 32735	 -1438
+ 32735	 -1441
+ 32735	 -1445
+ 32735	 -1448
+ 32735	 -1451
+ 32735	 -1454
+ 32735	 -1457
+ 32734	 -1460
+ 32734	 -1463
+ 32734	 -1467
+ 32734	 -1470
+ 32734	 -1473
+ 32734	 -1476
+ 32734	 -1479
+ 32733	 -1482
+ 32733	 -1485
+ 32733	 -1489
+ 32733	 -1492
+ 32733	 -1495
+ 32733	 -1498
+ 32733	 -1501
+ 32732	 -1504
+ 32732	 -1507
+ 32732	 -1510
+ 32732	 -1514
+ 32732	 -1517
+ 32732	 -1520
+ 32732	 -1523
+ 32731	 -1526
+ 32731	 -1529
+ 32731	 -1532
+ 32731	 -1536
+ 32731	 -1539
+ 32731	 -1542
+ 32731	 -1545
+ 32730	 -1548
+ 32730	 -1551
+ 32730	 -1554
+ 32730	 -1558
+ 32730	 -1561
+ 32730	 -1564
+ 32730	 -1567
+ 32729	 -1570
+ 32729	 -1573
+ 32729	 -1576
+ 32729	 -1580
+ 32729	 -1583
+ 32729	 -1586
+ 32728	 -1589
+ 32728	 -1592
+ 32728	 -1595
+ 32728	 -1598
+ 32728	 -1601
+ 32728	 -1605
+ 32728	 -1608
+ 32727	 -1611
+ 32727	 -1614
+ 32727	 -1617
+ 32727	 -1620
+ 32727	 -1623
+ 32727	 -1627
+ 32726	 -1630
+ 32726	 -1633
+ 32726	 -1636
+ 32726	 -1639
+ 32726	 -1642
+ 32726	 -1645
+ 32726	 -1649
+ 32725	 -1652
+ 32725	 -1655
+ 32725	 -1658
+ 32725	 -1661
+ 32725	 -1664
+ 32725	 -1667
+ 32724	 -1671
+ 32724	 -1674
+ 32724	 -1677
+ 32724	 -1680
+ 32724	 -1683
+ 32724	 -1686
+ 32723	 -1689
+ 32723	 -1692
+ 32723	 -1696
+ 32723	 -1699
+ 32723	 -1702
+ 32723	 -1705
+ 32722	 -1708
+ 32722	 -1711
+ 32722	 -1714
+ 32722	 -1718
+ 32722	 -1721
+ 32722	 -1724
+ 32721	 -1727
+ 32721	 -1730
+ 32721	 -1733
+ 32721	 -1736
+ 32721	 -1740
+ 32721	 -1743
+ 32720	 -1746
+ 32720	 -1749
+ 32720	 -1752
+ 32720	 -1755
+ 32720	 -1758
+ 32720	 -1761
+ 32719	 -1765
+ 32719	 -1768
+ 32719	 -1771
+ 32719	 -1774
+ 32719	 -1777
+ 32719	 -1780
+ 32718	 -1783
+ 32718	 -1787
+ 32718	 -1790
+ 32718	 -1793
+ 32718	 -1796
+ 32718	 -1799
+ 32717	 -1802
+ 32717	 -1805
+ 32717	 -1809
+ 32717	 -1812
+ 32717	 -1815
+ 32717	 -1818
+ 32716	 -1821
+ 32716	 -1824
+ 32716	 -1827
+ 32716	 -1830
+ 32716	 -1834
+ 32715	 -1837
+ 32715	 -1840
+ 32715	 -1843
+ 32715	 -1846
+ 32715	 -1849
+ 32715	 -1852
+ 32714	 -1856
+ 32714	 -1859
+ 32714	 -1862
+ 32714	 -1865
+ 32714	 -1868
+ 32714	 -1871
+ 32713	 -1874
+ 32713	 -1878
+ 32713	 -1881
+ 32713	 -1884
+ 32713	 -1887
+ 32712	 -1890
+ 32712	 -1893
+ 32712	 -1896
+ 32712	 -1899
+ 32712	 -1903
+ 32712	 -1906
+ 32711	 -1909
+ 32711	 -1912
+ 32711	 -1915
+ 32711	 -1918
+ 32711	 -1921
+ 32710	 -1925
+ 32710	 -1928
+ 32710	 -1931
+ 32710	 -1934
+ 32710	 -1937
+ 32710	 -1940
+ 32709	 -1943
+ 32709	 -1947
+ 32709	 -1950
+ 32709	 -1953
+ 32709	 -1956
+ 32708	 -1959
+ 32708	 -1962
+ 32708	 -1965
+ 32708	 -1968
+ 32708	 -1972
+ 32707	 -1975
+ 32707	 -1978
+ 32707	 -1981
+ 32707	 -1984
+ 32707	 -1987
+ 32706	 -1990
+ 32706	 -1994
+ 32706	 -1997
+ 32706	 -2000
+ 32706	 -2003
+ 32706	 -2006
+ 32705	 -2009
+ 32705	 -2012
+ 32705	 -2016
+ 32705	 -2019
+ 32705	 -2022
+ 32704	 -2025
+ 32704	 -2028
+ 32704	 -2031
+ 32704	 -2034
+ 32704	 -2037
+ 32703	 -2041
+ 32703	 -2044
+ 32703	 -2047
+ 32703	 -2050
+ 32703	 -2053
+ 32702	 -2056
+ 32702	 -2059
+ 32702	 -2063
+ 32702	 -2066
+ 32702	 -2069
+ 32701	 -2072
+ 32701	 -2075
+ 32701	 -2078
+ 32701	 -2081
+ 32701	 -2084
+ 32700	 -2088
+ 32700	 -2091
+ 32700	 -2094
+ 32700	 -2097
+ 32700	 -2100
+ 32699	 -2103
+ 32699	 -2106
+ 32699	 -2110
+ 32699	 -2113
+ 32699	 -2116
+ 32698	 -2119
+ 32698	 -2122
+ 32698	 -2125
+ 32698	 -2128
+ 32698	 -2132
+ 32697	 -2135
+ 32697	 -2138
+ 32697	 -2141
+ 32697	 -2144
+ 32697	 -2147
+ 32696	 -2150
+ 32696	 -2153
+ 32696	 -2157
+ 32696	 -2160
+ 32696	 -2163
+ 32695	 -2166
+ 32695	 -2169
+ 32695	 -2172
+ 32695	 -2175
+ 32694	 -2179
+ 32694	 -2182
+ 32694	 -2185
+ 32694	 -2188
+ 32694	 -2191
+ 32693	 -2194
+ 32693	 -2197
+ 32693	 -2200
+ 32693	 -2204
+ 32693	 -2207
+ 32692	 -2210
+ 32692	 -2213
+ 32692	 -2216
+ 32692	 -2219
+ 32692	 -2222
+ 32691	 -2226
+ 32691	 -2229
+ 32691	 -2232
+ 32691	 -2235
+ 32690	 -2238
+ 32690	 -2241
+ 32690	 -2244
+ 32690	 -2247
+ 32690	 -2251
+ 32689	 -2254
+ 32689	 -2257
+ 32689	 -2260
+ 32689	 -2263
+ 32689	 -2266
+ 32688	 -2269
+ 32688	 -2273
+ 32688	 -2276
+ 32688	 -2279
+ 32687	 -2282
+ 32687	 -2285
+ 32687	 -2288
+ 32687	 -2291
+ 32687	 -2294
+ 32686	 -2298
+ 32686	 -2301
+ 32686	 -2304
+ 32686	 -2307
+ 32685	 -2310
+ 32685	 -2313
+ 32685	 -2316
+ 32685	 -2320
+ 32685	 -2323
+ 32684	 -2326
+ 32684	 -2329
+ 32684	 -2332
+ 32684	 -2335
+ 32683	 -2338
+ 32683	 -2341
+ 32683	 -2345
+ 32683	 -2348
+ 32683	 -2351
+ 32682	 -2354
+ 32682	 -2357
+ 32682	 -2360
+ 32682	 -2363
+ 32681	 -2367
+ 32681	 -2370
+ 32681	 -2373
+ 32681	 -2376
+ 32681	 -2379
+ 32680	 -2382
+ 32680	 -2385
+ 32680	 -2388
+ 32680	 -2392
+ 32679	 -2395
+ 32679	 -2398
+ 32679	 -2401
+ 32679	 -2404
+ 32678	 -2407
+ 32678	 -2410
+ 32678	 -2414
+ 32678	 -2417
+ 32678	 -2420
+ 32677	 -2423
+ 32677	 -2426
+ 32677	 -2429
+ 32677	 -2432
+ 32676	 -2435
+ 32676	 -2439
+ 32676	 -2442
+ 32676	 -2445
+ 32675	 -2448
+ 32675	 -2451
+ 32675	 -2454
+ 32675	 -2457
+ 32674	 -2461
+ 32674	 -2464
+ 32674	 -2467
+ 32674	 -2470
+ 32674	 -2473
+ 32673	 -2476
+ 32673	 -2479
+ 32673	 -2482
+ 32673	 -2486
+ 32672	 -2489
+ 32672	 -2492
+ 32672	 -2495
+ 32672	 -2498
+ 32671	 -2501
+ 32671	 -2504
+ 32671	 -2508
+ 32671	 -2511
+ 32670	 -2514
+ 32670	 -2517
+ 32670	 -2520
+ 32670	 -2523
+ 32669	 -2526
+ 32669	 -2529
+ 32669	 -2533
+ 32669	 -2536
+ 32668	 -2539
+ 32668	 -2542
+ 32668	 -2545
+ 32668	 -2548
+ 32668	 -2551
+ 32667	 -2555
+ 32667	 -2558
+ 32667	 -2561
+ 32667	 -2564
+ 32666	 -2567
+ 32666	 -2570
+ 32666	 -2573
+ 32666	 -2576
+ 32665	 -2580
+ 32665	 -2583
+ 32665	 -2586
+ 32665	 -2589
+ 32664	 -2592
+ 32664	 -2595
+ 32664	 -2598
+ 32664	 -2601
+ 32663	 -2605
+ 32663	 -2608
+ 32663	 -2611
+ 32663	 -2614
+ 32662	 -2617
+ 32662	 -2620
+ 32662	 -2623
+ 32662	 -2627
+ 32661	 -2630
+ 32661	 -2633
+ 32661	 -2636
+ 32661	 -2639
+ 32660	 -2642
+ 32660	 -2645
+ 32660	 -2648
+ 32660	 -2652
+ 32659	 -2655
+ 32659	 -2658
+ 32659	 -2661
+ 32659	 -2664
+ 32658	 -2667
+ 32658	 -2670
+ 32658	 -2673
+ 32657	 -2677
+ 32657	 -2680
+ 32657	 -2683
+ 32657	 -2686
+ 32656	 -2689
+ 32656	 -2692
+ 32656	 -2695
+ 32656	 -2699
+ 32655	 -2702
+ 32655	 -2705
+ 32655	 -2708
+ 32655	 -2711
+ 32654	 -2714
+ 32654	 -2717
+ 32654	 -2720
+ 32654	 -2724
+ 32653	 -2727
+ 32653	 -2730
+ 32653	 -2733
+ 32653	 -2736
+ 32652	 -2739
+ 32652	 -2742
+ 32652	 -2746
+ 32652	 -2749
+ 32651	 -2752
+ 32651	 -2755
+ 32651	 -2758
+ 32650	 -2761
+ 32650	 -2764
+ 32650	 -2767
+ 32650	 -2771
+ 32649	 -2774
+ 32649	 -2777
+ 32649	 -2780
+ 32649	 -2783
+ 32648	 -2786
+ 32648	 -2789
+ 32648	 -2792
+ 32648	 -2796
+ 32647	 -2799
+ 32647	 -2802
+ 32647	 -2805
+ 32646	 -2808
+ 32646	 -2811
+ 32646	 -2814
+ 32646	 -2817
+ 32645	 -2821
+ 32645	 -2824
+ 32645	 -2827
+ 32645	 -2830
+ 32644	 -2833
+ 32644	 -2836
+ 32644	 -2839
+ 32643	 -2843
+ 32643	 -2846
+ 32643	 -2849
+ 32643	 -2852
+ 32642	 -2855
+ 32642	 -2858
+ 32642	 -2861
+ 32642	 -2864
+ 32641	 -2868
+ 32641	 -2871
+ 32641	 -2874
+ 32640	 -2877
+ 32640	 -2880
+ 32640	 -2883
+ 32640	 -2886
+ 32639	 -2889
+ 32639	 -2893
+ 32639	 -2896
+ 32639	 -2899
+ 32638	 -2902
+ 32638	 -2905
+ 32638	 -2908
+ 32637	 -2911
+ 32637	 -2915
+ 32637	 -2918
+ 32637	 -2921
+ 32636	 -2924
+ 32636	 -2927
+ 32636	 -2930
+ 32635	 -2933
+ 32635	 -2936
+ 32635	 -2940
+ 32635	 -2943
+ 32634	 -2946
+ 32634	 -2949
+ 32634	 -2952
+ 32633	 -2955
+ 32633	 -2958
+ 32633	 -2961
+ 32633	 -2965
+ 32632	 -2968
+ 32632	 -2971
+ 32632	 -2974
+ 32631	 -2977
+ 32631	 -2980
+ 32631	 -2983
+ 32631	 -2986
+ 32630	 -2990
+ 32630	 -2993
+ 32630	 -2996
+ 32629	 -2999
+ 32629	 -3002
+ 32629	 -3005
+ 32629	 -3008
+ 32628	 -3011
+ 32628	 -3015
+ 32628	 -3018
+ 32627	 -3021
+ 32627	 -3024
+ 32627	 -3027
+ 32627	 -3030
+ 32626	 -3033
+ 32626	 -3037
+ 32626	 -3040
+ 32625	 -3043
+ 32625	 -3046
+ 32625	 -3049
+ 32625	 -3052
+ 32624	 -3055
+ 32624	 -3058
+ 32624	 -3062
+ 32623	 -3065
+ 32623	 -3068
+ 32623	 -3071
+ 32622	 -3074
+ 32622	 -3077
+ 32622	 -3080
+ 32622	 -3083
+ 32621	 -3087
+ 32621	 -3090
+ 32621	 -3093
+ 32620	 -3096
+ 32620	 -3099
+ 32620	 -3102
+ 32620	 -3105
+ 32619	 -3108
+ 32619	 -3112
+ 32619	 -3115
+ 32618	 -3118
+ 32618	 -3121
+ 32618	 -3124
+ 32617	 -3127
+ 32617	 -3130
+ 32617	 -3133
+ 32617	 -3137
+ 32616	 -3140
+ 32616	 -3143
+ 32616	 -3146
+ 32615	 -3149
+ 32615	 -3152
+ 32615	 -3155
+ 32614	 -3158
+ 32614	 -3162
+ 32614	 -3165
+ 32614	 -3168
+ 32613	 -3171
+ 32613	 -3174
+ 32613	 -3177
+ 32612	 -3180
+ 32612	 -3183
+ 32612	 -3187
+ 32611	 -3190
+ 32611	 -3193
+ 32611	 -3196
+ 32610	 -3199
+ 32610	 -3202
+ 32610	 -3205
+ 32610	 -3209
+ 32609	 -3212
+ 32609	 -3215
+ 32609	 -3218
+ 32608	 -3221
+ 32608	 -3224
+ 32608	 -3227
+ 32607	 -3230
+ 32607	 -3234
+ 32607	 -3237
+ 32606	 -3240
+ 32606	 -3243
+ 32606	 -3246
+ 32606	 -3249
+ 32605	 -3252
+ 32605	 -3255
+ 32605	 -3259
+ 32604	 -3262
+ 32604	 -3265
+ 32604	 -3268
+ 32603	 -3271
+ 32603	 -3274
+ 32603	 -3277
+ 32602	 -3280
+ 32602	 -3284
+ 32602	 -3287
+ 32601	 -3290
+ 32601	 -3293
+ 32601	 -3296
+ 32600	 -3299
+ 32600	 -3302
+ 32600	 -3305
+ 32600	 -3309
+ 32599	 -3312
+ 32599	 -3315
+ 32599	 -3318
+ 32598	 -3321
+ 32598	 -3324
+ 32598	 -3327
+ 32597	 -3330
+ 32597	 -3334
+ 32597	 -3337
+ 32596	 -3340
+ 32596	 -3343
+ 32596	 -3346
+ 32595	 -3349
+ 32595	 -3352
+ 32595	 -3355
+ 32594	 -3359
+ 32594	 -3362
+ 32594	 -3365
+ 32593	 -3368
+ 32593	 -3371
+ 32593	 -3374
+ 32592	 -3377
+ 32592	 -3380
+ 32592	 -3384
+ 32592	 -3387
+ 32591	 -3390
+ 32591	 -3393
+ 32591	 -3396
+ 32590	 -3399
+ 32590	 -3402
+ 32590	 -3405
+ 32589	 -3409
+ 32589	 -3412
+ 32589	 -3415
+ 32588	 -3418
+ 32588	 -3421
+ 32588	 -3424
+ 32587	 -3427
+ 32587	 -3430
+ 32587	 -3434
+ 32586	 -3437
+ 32586	 -3440
+ 32586	 -3443
+ 32585	 -3446
+ 32585	 -3449
+ 32585	 -3452
+ 32584	 -3455
+ 32584	 -3459
+ 32584	 -3462
+ 32583	 -3465
+ 32583	 -3468
+ 32583	 -3471
+ 32582	 -3474
+ 32582	 -3477
+ 32582	 -3480
+ 32581	 -3484
+ 32581	 -3487
+ 32581	 -3490
+ 32580	 -3493
+ 32580	 -3496
+ 32580	 -3499
+ 32579	 -3502
+ 32579	 -3505
+ 32579	 -3508
+ 32578	 -3512
+ 32578	 -3515
+ 32578	 -3518
+ 32577	 -3521
+ 32577	 -3524
+ 32577	 -3527
+ 32576	 -3530
+ 32576	 -3533
+ 32576	 -3537
+ 32575	 -3540
+ 32575	 -3543
+ 32575	 -3546
+ 32574	 -3549
+ 32574	 -3552
+ 32574	 -3555
+ 32573	 -3558
+ 32573	 -3562
+ 32573	 -3565
+ 32572	 -3568
+ 32572	 -3571
+ 32571	 -3574
+ 32571	 -3577
+ 32571	 -3580
+ 32570	 -3583
+ 32570	 -3587
+ 32570	 -3590
+ 32569	 -3593
+ 32569	 -3596
+ 32569	 -3599
+ 32568	 -3602
+ 32568	 -3605
+ 32568	 -3608
+ 32567	 -3612
+ 32567	 -3615
+ 32567	 -3618
+ 32566	 -3621
+ 32566	 -3624
+ 32566	 -3627
+ 32565	 -3630
+ 32565	 -3633
+ 32565	 -3637
+ 32564	 -3640
+ 32564	 -3643
+ 32564	 -3646
+ 32563	 -3649
+ 32563	 -3652
+ 32562	 -3655
+ 32562	 -3658
+ 32562	 -3661
+ 32561	 -3665
+ 32561	 -3668
+ 32561	 -3671
+ 32560	 -3674
+ 32560	 -3677
+ 32560	 -3680
+ 32559	 -3683
+ 32559	 -3686
+ 32559	 -3690
+ 32558	 -3693
+ 32558	 -3696
+ 32558	 -3699
+ 32557	 -3702
+ 32557	 -3705
+ 32556	 -3708
+ 32556	 -3711
+ 32556	 -3715
+ 32555	 -3718
+ 32555	 -3721
+ 32555	 -3724
+ 32554	 -3727
+ 32554	 -3730
+ 32554	 -3733
+ 32553	 -3736
+ 32553	 -3740
+ 32553	 -3743
+ 32552	 -3746
+ 32552	 -3749
+ 32551	 -3752
+ 32551	 -3755
+ 32551	 -3758
+ 32550	 -3761
+ 32550	 -3764
+ 32550	 -3768
+ 32549	 -3771
+ 32549	 -3774
+ 32549	 -3777
+ 32548	 -3780
+ 32548	 -3783
+ 32547	 -3786
+ 32547	 -3789
+ 32547	 -3793
+ 32546	 -3796
+ 32546	 -3799
+ 32546	 -3802
+ 32545	 -3805
+ 32545	 -3808
+ 32545	 -3811
+ 32544	 -3814
+ 32544	 -3818
+ 32543	 -3821
+ 32543	 -3824
+ 32543	 -3827
+ 32542	 -3830
+ 32542	 -3833
+ 32542	 -3836
+ 32541	 -3839
+ 32541	 -3842
+ 32541	 -3846
+ 32540	 -3849
+ 32540	 -3852
+ 32539	 -3855
+ 32539	 -3858
+ 32539	 -3861
+ 32538	 -3864
+ 32538	 -3867
+ 32538	 -3871
+ 32537	 -3874
+ 32537	 -3877
+ 32536	 -3880
+ 32536	 -3883
+ 32536	 -3886
+ 32535	 -3889
+ 32535	 -3892
+ 32535	 -3896
+ 32534	 -3899
+ 32534	 -3902
+ 32533	 -3905
+ 32533	 -3908
+ 32533	 -3911
+ 32532	 -3914
+ 32532	 -3917
+ 32532	 -3920
+ 32531	 -3924
+ 32531	 -3927
+ 32530	 -3930
+ 32530	 -3933
+ 32530	 -3936
+ 32529	 -3939
+ 32529	 -3942
+ 32529	 -3945
+ 32528	 -3949
+ 32528	 -3952
+ 32527	 -3955
+ 32527	 -3958
+ 32527	 -3961
+ 32526	 -3964
+ 32526	 -3967
+ 32526	 -3970
+ 32525	 -3973
+ 32525	 -3977
+ 32524	 -3980
+ 32524	 -3983
+ 32524	 -3986
+ 32523	 -3989
+ 32523	 -3992
+ 32523	 -3995
+ 32522	 -3998
+ 32522	 -4002
+ 32521	 -4005
+ 32521	 -4008
+ 32521	 -4011
+ 32520	 -4014
+ 32520	 -4017
+ 32519	 -4020
+ 32519	 -4023
+ 32519	 -4026
+ 32518	 -4030
+ 32518	 -4033
+ 32517	 -4036
+ 32517	 -4039
+ 32517	 -4042
+ 32516	 -4045
+ 32516	 -4048
+ 32516	 -4051
+ 32515	 -4055
+ 32515	 -4058
+ 32514	 -4061
+ 32514	 -4064
+ 32514	 -4067
+ 32513	 -4070
+ 32513	 -4073
+ 32512	 -4076
+ 32512	 -4079
+ 32512	 -4083
+ 32511	 -4086
+ 32511	 -4089
+ 32510	 -4092
+ 32510	 -4095
+ 32510	 -4098
+ 32509	 -4101
+ 32509	 -4104
+ 32509	 -4108
+ 32508	 -4111
+ 32508	 -4114
+ 32507	 -4117
+ 32507	 -4120
+ 32507	 -4123
+ 32506	 -4126
+ 32506	 -4129
+ 32505	 -4132
+ 32505	 -4136
+ 32505	 -4139
+ 32504	 -4142
+ 32504	 -4145
+ 32503	 -4148
+ 32503	 -4151
+ 32503	 -4154
+ 32502	 -4157
+ 32502	 -4161
+ 32501	 -4164
+ 32501	 -4167
+ 32501	 -4170
+ 32500	 -4173
+ 32500	 -4176
+ 32499	 -4179
+ 32499	 -4182
+ 32499	 -4185
+ 32498	 -4189
+ 32498	 -4192
+ 32497	 -4195
+ 32497	 -4198
+ 32497	 -4201
+ 32496	 -4204
+ 32496	 -4207
+ 32495	 -4210
+ 32495	 -4213
+ 32495	 -4217
+ 32494	 -4220
+ 32494	 -4223
+ 32493	 -4226
+ 32493	 -4229
+ 32493	 -4232
+ 32492	 -4235
+ 32492	 -4238
+ 32491	 -4242
+ 32491	 -4245
+ 32490	 -4248
+ 32490	 -4251
+ 32490	 -4254
+ 32489	 -4257
+ 32489	 -4260
+ 32488	 -4263
+ 32488	 -4266
+ 32488	 -4270
+ 32487	 -4273
+ 32487	 -4276
+ 32486	 -4279
+ 32486	 -4282
+ 32486	 -4285
+ 32485	 -4288
+ 32485	 -4291
+ 32484	 -4294
+ 32484	 -4298
+ 32484	 -4301
+ 32483	 -4304
+ 32483	 -4307
+ 32482	 -4310
+ 32482	 -4313
+ 32481	 -4316
+ 32481	 -4319
+ 32481	 -4322
+ 32480	 -4326
+ 32480	 -4329
+ 32479	 -4332
+ 32479	 -4335
+ 32479	 -4338
+ 32478	 -4341
+ 32478	 -4344
+ 32477	 -4347
+ 32477	 -4351
+ 32476	 -4354
+ 32476	 -4357
+ 32476	 -4360
+ 32475	 -4363
+ 32475	 -4366
+ 32474	 -4369
+ 32474	 -4372
+ 32474	 -4375
+ 32473	 -4379
+ 32473	 -4382
+ 32472	 -4385
+ 32472	 -4388
+ 32471	 -4391
+ 32471	 -4394
+ 32471	 -4397
+ 32470	 -4400
+ 32470	 -4403
+ 32469	 -4407
+ 32469	 -4410
+ 32468	 -4413
+ 32468	 -4416
+ 32468	 -4419
+ 32467	 -4422
+ 32467	 -4425
+ 32466	 -4428
+ 32466	 -4431
+ 32466	 -4435
+ 32465	 -4438
+ 32465	 -4441
+ 32464	 -4444
+ 32464	 -4447
+ 32463	 -4450
+ 32463	 -4453
+ 32463	 -4456
+ 32462	 -4459
+ 32462	 -4463
+ 32461	 -4466
+ 32461	 -4469
+ 32460	 -4472
+ 32460	 -4475
+ 32460	 -4478
+ 32459	 -4481
+ 32459	 -4484
+ 32458	 -4487
+ 32458	 -4491
+ 32457	 -4494
+ 32457	 -4497
+ 32457	 -4500
+ 32456	 -4503
+ 32456	 -4506
+ 32455	 -4509
+ 32455	 -4512
+ 32454	 -4515
+ 32454	 -4519
+ 32454	 -4522
+ 32453	 -4525
+ 32453	 -4528
+ 32452	 -4531
+ 32452	 -4534
+ 32451	 -4537
+ 32451	 -4540
+ 32450	 -4543
+ 32450	 -4547
+ 32450	 -4550
+ 32449	 -4553
+ 32449	 -4556
+ 32448	 -4559
+ 32448	 -4562
+ 32447	 -4565
+ 32447	 -4568
+ 32447	 -4571
+ 32446	 -4575
+ 32446	 -4578
+ 32445	 -4581
+ 32445	 -4584
+ 32444	 -4587
+ 32444	 -4590
+ 32443	 -4593
+ 32443	 -4596
+ 32443	 -4599
+ 32442	 -4603
+ 32442	 -4606
+ 32441	 -4609
+ 32441	 -4612
+ 32440	 -4615
+ 32440	 -4618
+ 32439	 -4621
+ 32439	 -4624
+ 32439	 -4627
+ 32438	 -4631
+ 32438	 -4634
+ 32437	 -4637
+ 32437	 -4640
+ 32436	 -4643
+ 32436	 -4646
+ 32435	 -4649
+ 32435	 -4652
+ 32435	 -4655
+ 32434	 -4659
+ 32434	 -4662
+ 32433	 -4665
+ 32433	 -4668
+ 32432	 -4671
+ 32432	 -4674
+ 32431	 -4677
+ 32431	 -4680
+ 32431	 -4683
+ 32430	 -4687
+ 32430	 -4690
+ 32429	 -4693
+ 32429	 -4696
+ 32428	 -4699
+ 32428	 -4702
+ 32427	 -4705
+ 32427	 -4708
+ 32427	 -4711
+ 32426	 -4715
+ 32426	 -4718
+ 32425	 -4721
+ 32425	 -4724
+ 32424	 -4727
+ 32424	 -4730
+ 32423	 -4733
+ 32423	 -4736
+ 32422	 -4739
+ 32422	 -4743
+ 32422	 -4746
+ 32421	 -4749
+ 32421	 -4752
+ 32420	 -4755
+ 32420	 -4758
+ 32419	 -4761
+ 32419	 -4764
+ 32418	 -4767
+ 32418	 -4770
+ 32417	 -4774
+ 32417	 -4777
+ 32416	 -4780
+ 32416	 -4783
+ 32416	 -4786
+ 32415	 -4789
+ 32415	 -4792
+ 32414	 -4795
+ 32414	 -4798
+ 32413	 -4802
+ 32413	 -4805
+ 32412	 -4808
+ 32412	 -4811
+ 32411	 -4814
+ 32411	 -4817
+ 32411	 -4820
+ 32410	 -4823
+ 32410	 -4826
+ 32409	 -4830
+ 32409	 -4833
+ 32408	 -4836
+ 32408	 -4839
+ 32407	 -4842
+ 32407	 -4845
+ 32406	 -4848
+ 32406	 -4851
+ 32405	 -4854
+ 32405	 -4857
+ 32404	 -4861
+ 32404	 -4864
+ 32404	 -4867
+ 32403	 -4870
+ 32403	 -4873
+ 32402	 -4876
+ 32402	 -4879
+ 32401	 -4882
+ 32401	 -4885
+ 32400	 -4889
+ 32400	 -4892
+ 32399	 -4895
+ 32399	 -4898
+ 32398	 -4901
+ 32398	 -4904
+ 32397	 -4907
+ 32397	 -4910
+ 32397	 -4913
+ 32396	 -4917
+ 32396	 -4920
+ 32395	 -4923
+ 32395	 -4926
+ 32394	 -4929
+ 32394	 -4932
+ 32393	 -4935
+ 32393	 -4938
+ 32392	 -4941
+ 32392	 -4944
+ 32391	 -4948
+ 32391	 -4951
+ 32390	 -4954
+ 32390	 -4957
+ 32389	 -4960
+ 32389	 -4963
+ 32388	 -4966
+ 32388	 -4969
+ 32388	 -4972
+ 32387	 -4976
+ 32387	 -4979
+ 32386	 -4982
+ 32386	 -4985
+ 32385	 -4988
+ 32385	 -4991
+ 32384	 -4994
+ 32384	 -4997
+ 32383	 -5000
+ 32383	 -5003
+ 32382	 -5007
+ 32382	 -5010
+ 32381	 -5013
+ 32381	 -5016
+ 32380	 -5019
+ 32380	 -5022
+ 32379	 -5025
+ 32379	 -5028
+ 32378	 -5031
+ 32378	 -5034
+ 32377	 -5038
+ 32377	 -5041
+ 32376	 -5044
+ 32376	 -5047
+ 32375	 -5050
+ 32375	 -5053
+ 32375	 -5056
+ 32374	 -5059
+ 32374	 -5062
+ 32373	 -5066
+ 32373	 -5069
+ 32372	 -5072
+ 32372	 -5075
+ 32371	 -5078
+ 32371	 -5081
+ 32370	 -5084
+ 32370	 -5087
+ 32369	 -5090
+ 32369	 -5093
+ 32368	 -5097
+ 32368	 -5100
+ 32367	 -5103
+ 32367	 -5106
+ 32366	 -5109
+ 32366	 -5112
+ 32365	 -5115
+ 32365	 -5118
+ 32364	 -5121
+ 32364	 -5124
+ 32363	 -5128
+ 32363	 -5131
+ 32362	 -5134
+ 32362	 -5137
+ 32361	 -5140
+ 32361	 -5143
+ 32360	 -5146
+ 32360	 -5149
+ 32359	 -5152
+ 32359	 -5156
+ 32358	 -5159
+ 32358	 -5162
+ 32357	 -5165
+ 32357	 -5168
+ 32356	 -5171
+ 32356	 -5174
+ 32355	 -5177
+ 32355	 -5180
+ 32354	 -5183
+ 32354	 -5187
+ 32353	 -5190
+ 32353	 -5193
+ 32352	 -5196
+ 32352	 -5199
+ 32351	 -5202
+ 32351	 -5205
+ 32350	 -5208
+ 32350	 -5211
+ 32349	 -5214
+ 32349	 -5218
+ 32348	 -5221
+ 32348	 -5224
+ 32347	 -5227
+ 32347	 -5230
+ 32346	 -5233
+ 32346	 -5236
+ 32345	 -5239
+ 32345	 -5242
+ 32344	 -5245
+ 32344	 -5249
+ 32343	 -5252
+ 32343	 -5255
+ 32342	 -5258
+ 32342	 -5261
+ 32341	 -5264
+ 32341	 -5267
+ 32340	 -5270
+ 32340	 -5273
+ 32339	 -5276
+ 32339	 -5280
+ 32338	 -5283
+ 32338	 -5286
+ 32337	 -5289
+ 32337	 -5292
+ 32336	 -5295
+ 32336	 -5298
+ 32335	 -5301
+ 32335	 -5304
+ 32334	 -5307
+ 32334	 -5311
+ 32333	 -5314
+ 32333	 -5317
+ 32332	 -5320
+ 32332	 -5323
+ 32331	 -5326
+ 32331	 -5329
+ 32330	 -5332
+ 32330	 -5335
+ 32329	 -5338
+ 32329	 -5342
+ 32328	 -5345
+ 32328	 -5348
+ 32327	 -5351
+ 32327	 -5354
+ 32326	 -5357
+ 32326	 -5360
+ 32325	 -5363
+ 32325	 -5366
+ 32324	 -5369
+ 32324	 -5373
+ 32323	 -5376
+ 32323	 -5379
+ 32322	 -5382
+ 32321	 -5385
+ 32321	 -5388
+ 32320	 -5391
+ 32320	 -5394
+ 32319	 -5397
+ 32319	 -5400
+ 32318	 -5404
+ 32318	 -5407
+ 32317	 -5410
+ 32317	 -5413
+ 32316	 -5416
+ 32316	 -5419
+ 32315	 -5422
+ 32315	 -5425
+ 32314	 -5428
+ 32314	 -5431
+ 32313	 -5435
+ 32313	 -5438
+ 32312	 -5441
+ 32312	 -5444
+ 32311	 -5447
+ 32311	 -5450
+ 32310	 -5453
+ 32310	 -5456
+ 32309	 -5459
+ 32308	 -5462
+ 32308	 -5466
+ 32307	 -5469
+ 32307	 -5472
+ 32306	 -5475
+ 32306	 -5478
+ 32305	 -5481
+ 32305	 -5484
+ 32304	 -5487
+ 32304	 -5490
+ 32303	 -5493
+ 32303	 -5496
+ 32302	 -5500
+ 32302	 -5503
+ 32301	 -5506
+ 32301	 -5509
+ 32300	 -5512
+ 32300	 -5515
+ 32299	 -5518
+ 32298	 -5521
+ 32298	 -5524
+ 32297	 -5527
+ 32297	 -5531
+ 32296	 -5534
+ 32296	 -5537
+ 32295	 -5540
+ 32295	 -5543
+ 32294	 -5546
+ 32294	 -5549
+ 32293	 -5552
+ 32293	 -5555
+ 32292	 -5558
+ 32292	 -5561
+ 32291	 -5565
+ 32290	 -5568
+ 32290	 -5571
+ 32289	 -5574
+ 32289	 -5577
+ 32288	 -5580
+ 32288	 -5583
+ 32287	 -5586
+ 32287	 -5589
+ 32286	 -5592
+ 32286	 -5596
+ 32285	 -5599
+ 32285	 -5602
+ 32284	 -5605
+ 32284	 -5608
+ 32283	 -5611
+ 32282	 -5614
+ 32282	 -5617
+ 32281	 -5620
+ 32281	 -5623
+ 32280	 -5626
+ 32280	 -5630
+ 32279	 -5633
+ 32279	 -5636
+ 32278	 -5639
+ 32278	 -5642
+ 32277	 -5645
+ 32277	 -5648
+ 32276	 -5651
+ 32275	 -5654
+ 32275	 -5657
+ 32274	 -5661
+ 32274	 -5664
+ 32273	 -5667
+ 32273	 -5670
+ 32272	 -5673
+ 32272	 -5676
+ 32271	 -5679
+ 32271	 -5682
+ 32270	 -5685
+ 32269	 -5688
+ 32269	 -5691
+ 32268	 -5695
+ 32268	 -5698
+ 32267	 -5701
+ 32267	 -5704
+ 32266	 -5707
+ 32266	 -5710
+ 32265	 -5713
+ 32265	 -5716
+ 32264	 -5719
+ 32263	 -5722
+ 32263	 -5726
+ 32262	 -5729
+ 32262	 -5732
+ 32261	 -5735
+ 32261	 -5738
+ 32260	 -5741
+ 32260	 -5744
+ 32259	 -5747
+ 32258	 -5750
+ 32258	 -5753
+ 32257	 -5756
+ 32257	 -5760
+ 32256	 -5763
+ 32256	 -5766
+ 32255	 -5769
+ 32255	 -5772
+ 32254	 -5775
+ 32254	 -5778
+ 32253	 -5781
+ 32252	 -5784
+ 32252	 -5787
+ 32251	 -5790
+ 32251	 -5794
+ 32250	 -5797
+ 32250	 -5800
+ 32249	 -5803
+ 32249	 -5806
+ 32248	 -5809
+ 32247	 -5812
+ 32247	 -5815
+ 32246	 -5818
+ 32246	 -5821
+ 32245	 -5824
+ 32245	 -5828
+ 32244	 -5831
+ 32243	 -5834
+ 32243	 -5837
+ 32242	 -5840
+ 32242	 -5843
+ 32241	 -5846
+ 32241	 -5849
+ 32240	 -5852
+ 32240	 -5855
+ 32239	 -5858
+ 32238	 -5862
+ 32238	 -5865
+ 32237	 -5868
+ 32237	 -5871
+ 32236	 -5874
+ 32236	 -5877
+ 32235	 -5880
+ 32235	 -5883
+ 32234	 -5886
+ 32233	 -5889
+ 32233	 -5892
+ 32232	 -5896
+ 32232	 -5899
+ 32231	 -5902
+ 32231	 -5905
+ 32230	 -5908
+ 32229	 -5911
+ 32229	 -5914
+ 32228	 -5917
+ 32228	 -5920
+ 32227	 -5923
+ 32227	 -5926
+ 32226	 -5930
+ 32225	 -5933
+ 32225	 -5936
+ 32224	 -5939
+ 32224	 -5942
+ 32223	 -5945
+ 32223	 -5948
+ 32222	 -5951
+ 32221	 -5954
+ 32221	 -5957
+ 32220	 -5960
+ 32220	 -5964
+ 32219	 -5967
+ 32219	 -5970
+ 32218	 -5973
+ 32217	 -5976
+ 32217	 -5979
+ 32216	 -5982
+ 32216	 -5985
+ 32215	 -5988
+ 32215	 -5991
+ 32214	 -5994
+ 32213	 -5997
+ 32213	 -6001
+ 32212	 -6004
+ 32212	 -6007
+ 32211	 -6010
+ 32211	 -6013
+ 32210	 -6016
+ 32209	 -6019
+ 32209	 -6022
+ 32208	 -6025
+ 32208	 -6028
+ 32207	 -6031
+ 32207	 -6035
+ 32206	 -6038
+ 32205	 -6041
+ 32205	 -6044
+ 32204	 -6047
+ 32204	 -6050
+ 32203	 -6053
+ 32202	 -6056
+ 32202	 -6059
+ 32201	 -6062
+ 32201	 -6065
+ 32200	 -6069
+ 32200	 -6072
+ 32199	 -6075
+ 32198	 -6078
+ 32198	 -6081
+ 32197	 -6084
+ 32197	 -6087
+ 32196	 -6090
+ 32195	 -6093
+ 32195	 -6096
+ 32194	 -6099
+ 32194	 -6102
+ 32193	 -6106
+ 32193	 -6109
+ 32192	 -6112
+ 32191	 -6115
+ 32191	 -6118
+ 32190	 -6121
+ 32190	 -6124
+ 32189	 -6127
+ 32188	 -6130
+ 32188	 -6133
+ 32187	 -6136
+ 32187	 -6139
+ 32186	 -6143
+ 32185	 -6146
+ 32185	 -6149
+ 32184	 -6152
+ 32184	 -6155
+ 32183	 -6158
+ 32183	 -6161
+ 32182	 -6164
+ 32181	 -6167
+ 32181	 -6170
+ 32180	 -6173
+ 32180	 -6177
+ 32179	 -6180
+ 32178	 -6183
+ 32178	 -6186
+ 32177	 -6189
+ 32177	 -6192
+ 32176	 -6195
+ 32175	 -6198
+ 32175	 -6201
+ 32174	 -6204
+ 32174	 -6207
+ 32173	 -6210
+ 32172	 -6214
+ 32172	 -6217
+ 32171	 -6220
+ 32171	 -6223
+ 32170	 -6226
+ 32169	 -6229
+ 32169	 -6232
+ 32168	 -6235
+ 32168	 -6238
+ 32167	 -6241
+ 32166	 -6244
+ 32166	 -6247
+ 32165	 -6251
+ 32165	 -6254
+ 32164	 -6257
+ 32163	 -6260
+ 32163	 -6263
+ 32162	 -6266
+ 32162	 -6269
+ 32161	 -6272
+ 32160	 -6275
+ 32160	 -6278
+ 32159	 -6281
+ 32159	 -6284
+ 32158	 -6288
+ 32157	 -6291
+ 32157	 -6294
+ 32156	 -6297
+ 32156	 -6300
+ 32155	 -6303
+ 32154	 -6306
+ 32154	 -6309
+ 32153	 -6312
+ 32153	 -6315
+ 32152	 -6318
+ 32151	 -6321
+ 32151	 -6325
+ 32150	 -6328
+ 32150	 -6331
+ 32149	 -6334
+ 32148	 -6337
+ 32148	 -6340
+ 32147	 -6343
+ 32147	 -6346
+ 32146	 -6349
+ 32145	 -6352
+ 32145	 -6355
+ 32144	 -6358
+ 32144	 -6362
+ 32143	 -6365
+ 32142	 -6368
+ 32142	 -6371
+ 32141	 -6374
+ 32140	 -6377
+ 32140	 -6380
+ 32139	 -6383
+ 32139	 -6386
+ 32138	 -6389
+ 32137	 -6392
+ 32137	 -6395
+ 32136	 -6398
+ 32136	 -6402
+ 32135	 -6405
+ 32134	 -6408
+ 32134	 -6411
+ 32133	 -6414
+ 32132	 -6417
+ 32132	 -6420
+ 32131	 -6423
+ 32131	 -6426
+ 32130	 -6429
+ 32129	 -6432
+ 32129	 -6435
+ 32128	 -6439
+ 32128	 -6442
+ 32127	 -6445
+ 32126	 -6448
+ 32126	 -6451
+ 32125	 -6454
+ 32124	 -6457
+ 32124	 -6460
+ 32123	 -6463
+ 32123	 -6466
+ 32122	 -6469
+ 32121	 -6472
+ 32121	 -6475
+ 32120	 -6479
+ 32120	 -6482
+ 32119	 -6485
+ 32118	 -6488
+ 32118	 -6491
+ 32117	 -6494
+ 32116	 -6497
+ 32116	 -6500
+ 32115	 -6503
+ 32115	 -6506
+ 32114	 -6509
+ 32113	 -6512
+ 32113	 -6516
+ 32112	 -6519
+ 32111	 -6522
+ 32111	 -6525
+ 32110	 -6528
+ 32110	 -6531
+ 32109	 -6534
+ 32108	 -6537
+ 32108	 -6540
+ 32107	 -6543
+ 32106	 -6546
+ 32106	 -6549
+ 32105	 -6552
+ 32105	 -6556
+ 32104	 -6559
+ 32103	 -6562
+ 32103	 -6565
+ 32102	 -6568
+ 32101	 -6571
+ 32101	 -6574
+ 32100	 -6577
+ 32099	 -6580
+ 32099	 -6583
+ 32098	 -6586
+ 32098	 -6589
+ 32097	 -6592
+ 32096	 -6596
+ 32096	 -6599
+ 32095	 -6602
+ 32094	 -6605
+ 32094	 -6608
+ 32093	 -6611
+ 32093	 -6614
+ 32092	 -6617
+ 32091	 -6620
+ 32091	 -6623
+ 32090	 -6626
+ 32089	 -6629
+ 32089	 -6632
+ 32088	 -6636
+ 32087	 -6639
+ 32087	 -6642
+ 32086	 -6645
+ 32086	 -6648
+ 32085	 -6651
+ 32084	 -6654
+ 32084	 -6657
+ 32083	 -6660
+ 32082	 -6663
+ 32082	 -6666
+ 32081	 -6669
+ 32080	 -6672
+ 32080	 -6676
+ 32079	 -6679
+ 32078	 -6682
+ 32078	 -6685
+ 32077	 -6688
+ 32077	 -6691
+ 32076	 -6694
+ 32075	 -6697
+ 32075	 -6700
+ 32074	 -6703
+ 32073	 -6706
+ 32073	 -6709
+ 32072	 -6712
+ 32071	 -6716
+ 32071	 -6719
+ 32070	 -6722
+ 32070	 -6725
+ 32069	 -6728
+ 32068	 -6731
+ 32068	 -6734
+ 32067	 -6737
+ 32066	 -6740
+ 32066	 -6743
+ 32065	 -6746
+ 32064	 -6749
+ 32064	 -6752
+ 32063	 -6755
+ 32062	 -6759
+ 32062	 -6762
+ 32061	 -6765
+ 32060	 -6768
+ 32060	 -6771
+ 32059	 -6774
+ 32058	 -6777
+ 32058	 -6780
+ 32057	 -6783
+ 32057	 -6786
+ 32056	 -6789
+ 32055	 -6792
+ 32055	 -6795
+ 32054	 -6799
+ 32053	 -6802
+ 32053	 -6805
+ 32052	 -6808
+ 32051	 -6811
+ 32051	 -6814
+ 32050	 -6817
+ 32049	 -6820
+ 32049	 -6823
+ 32048	 -6826
+ 32047	 -6829
+ 32047	 -6832
+ 32046	 -6835
+ 32045	 -6838
+ 32045	 -6842
+ 32044	 -6845
+ 32043	 -6848
+ 32043	 -6851
+ 32042	 -6854
+ 32042	 -6857
+ 32041	 -6860
+ 32040	 -6863
+ 32040	 -6866
+ 32039	 -6869
+ 32038	 -6872
+ 32038	 -6875
+ 32037	 -6878
+ 32036	 -6881
+ 32036	 -6885
+ 32035	 -6888
+ 32034	 -6891
+ 32034	 -6894
+ 32033	 -6897
+ 32032	 -6900
+ 32032	 -6903
+ 32031	 -6906
+ 32030	 -6909
+ 32030	 -6912
+ 32029	 -6915
+ 32028	 -6918
+ 32028	 -6921
+ 32027	 -6924
+ 32026	 -6928
+ 32026	 -6931
+ 32025	 -6934
+ 32024	 -6937
+ 32024	 -6940
+ 32023	 -6943
+ 32022	 -6946
+ 32022	 -6949
+ 32021	 -6952
+ 32020	 -6955
+ 32020	 -6958
+ 32019	 -6961
+ 32018	 -6964
+ 32018	 -6967
+ 32017	 -6970
+ 32016	 -6974
+ 32016	 -6977
+ 32015	 -6980
+ 32014	 -6983
+ 32014	 -6986
+ 32013	 -6989
+ 32012	 -6992
+ 32012	 -6995
+ 32011	 -6998
+ 32010	 -7001
+ 32010	 -7004
+ 32009	 -7007
+ 32008	 -7010
+ 32008	 -7013
+ 32007	 -7017
+ 32006	 -7020
+ 32006	 -7023
+ 32005	 -7026
+ 32004	 -7029
+ 32004	 -7032
+ 32003	 -7035
+ 32002	 -7038
+ 32002	 -7041
+ 32001	 -7044
+ 32000	 -7047
+ 32000	 -7050
+ 31999	 -7053
+ 31998	 -7056
+ 31997	 -7059
+ 31997	 -7063
+ 31996	 -7066
+ 31995	 -7069
+ 31995	 -7072
+ 31994	 -7075
+ 31993	 -7078
+ 31993	 -7081
+ 31992	 -7084
+ 31991	 -7087
+ 31991	 -7090
+ 31990	 -7093
+ 31989	 -7096
+ 31989	 -7099
+ 31988	 -7102
+ 31987	 -7105
+ 31987	 -7109
+ 31986	 -7112
+ 31985	 -7115
+ 31985	 -7118
+ 31984	 -7121
+ 31983	 -7124
+ 31983	 -7127
+ 31982	 -7130
+ 31981	 -7133
+ 31980	 -7136
+ 31980	 -7139
+ 31979	 -7142
+ 31978	 -7145
+ 31978	 -7148
+ 31977	 -7151
+ 31976	 -7155
+ 31976	 -7158
+ 31975	 -7161
+ 31974	 -7164
+ 31974	 -7167
+ 31973	 -7170
+ 31972	 -7173
+ 31972	 -7176
+ 31971	 -7179
+ 31970	 -7182
+ 31969	 -7185
+ 31969	 -7188
+ 31968	 -7191
+ 31967	 -7194
+ 31967	 -7197
+ 31966	 -7201
+ 31965	 -7204
+ 31965	 -7207
+ 31964	 -7210
+ 31963	 -7213
+ 31963	 -7216
+ 31962	 -7219
+ 31961	 -7222
+ 31960	 -7225
+ 31960	 -7228
+ 31959	 -7231
+ 31958	 -7234
+ 31958	 -7237
+ 31957	 -7240
+ 31956	 -7243
+ 31956	 -7246
+ 31955	 -7250
+ 31954	 -7253
+ 31954	 -7256
+ 31953	 -7259
+ 31952	 -7262
+ 31951	 -7265
+ 31951	 -7268
+ 31950	 -7271
+ 31949	 -7274
+ 31949	 -7277
+ 31948	 -7280
+ 31947	 -7283
+ 31947	 -7286
+ 31946	 -7289
+ 31945	 -7292
+ 31944	 -7295
+ 31944	 -7299
+ 31943	 -7302
+ 31942	 -7305
+ 31942	 -7308
+ 31941	 -7311
+ 31940	 -7314
+ 31940	 -7317
+ 31939	 -7320
+ 31938	 -7323
+ 31937	 -7326
+ 31937	 -7329
+ 31936	 -7332
+ 31935	 -7335
+ 31935	 -7338
+ 31934	 -7341
+ 31933	 -7344
+ 31933	 -7348
+ 31932	 -7351
+ 31931	 -7354
+ 31930	 -7357
+ 31930	 -7360
+ 31929	 -7363
+ 31928	 -7366
+ 31928	 -7369
+ 31927	 -7372
+ 31926	 -7375
+ 31925	 -7378
+ 31925	 -7381
+ 31924	 -7384
+ 31923	 -7387
+ 31923	 -7390
+ 31922	 -7393
+ 31921	 -7397
+ 31921	 -7400
+ 31920	 -7403
+ 31919	 -7406
+ 31918	 -7409
+ 31918	 -7412
+ 31917	 -7415
+ 31916	 -7418
+ 31916	 -7421
+ 31915	 -7424
+ 31914	 -7427
+ 31913	 -7430
+ 31913	 -7433
+ 31912	 -7436
+ 31911	 -7439
+ 31911	 -7442
+ 31910	 -7445
+ 31909	 -7449
+ 31908	 -7452
+ 31908	 -7455
+ 31907	 -7458
+ 31906	 -7461
+ 31906	 -7464
+ 31905	 -7467
+ 31904	 -7470
+ 31903	 -7473
+ 31903	 -7476
+ 31902	 -7479
+ 31901	 -7482
+ 31901	 -7485
+ 31900	 -7488
+ 31899	 -7491
+ 31898	 -7494
+ 31898	 -7497
+ 31897	 -7501
+ 31896	 -7504
+ 31896	 -7507
+ 31895	 -7510
+ 31894	 -7513
+ 31893	 -7516
+ 31893	 -7519
+ 31892	 -7522
+ 31891	 -7525
+ 31890	 -7528
+ 31890	 -7531
+ 31889	 -7534
+ 31888	 -7537
+ 31888	 -7540
+ 31887	 -7543
+ 31886	 -7546
+ 31885	 -7549
+ 31885	 -7553
+ 31884	 -7556
+ 31883	 -7559
+ 31883	 -7562
+ 31882	 -7565
+ 31881	 -7568
+ 31880	 -7571
+ 31880	 -7574
+ 31879	 -7577
+ 31878	 -7580
+ 31877	 -7583
+ 31877	 -7586
+ 31876	 -7589
+ 31875	 -7592
+ 31875	 -7595
+ 31874	 -7598
+ 31873	 -7601
+ 31872	 -7604
+ 31872	 -7608
+ 31871	 -7611
+ 31870	 -7614
+ 31869	 -7617
+ 31869	 -7620
+ 31868	 -7623
+ 31867	 -7626
+ 31867	 -7629
+ 31866	 -7632
+ 31865	 -7635
+ 31864	 -7638
+ 31864	 -7641
+ 31863	 -7644
+ 31862	 -7647
+ 31861	 -7650
+ 31861	 -7653
+ 31860	 -7656
+ 31859	 -7659
+ 31858	 -7663
+ 31858	 -7666
+ 31857	 -7669
+ 31856	 -7672
+ 31856	 -7675
+ 31855	 -7678
+ 31854	 -7681
+ 31853	 -7684
+ 31853	 -7687
+ 31852	 -7690
+ 31851	 -7693
+ 31850	 -7696
+ 31850	 -7699
+ 31849	 -7702
+ 31848	 -7705
+ 31847	 -7708
+ 31847	 -7711
+ 31846	 -7714
+ 31845	 -7717
+ 31844	 -7721
+ 31844	 -7724
+ 31843	 -7727
+ 31842	 -7730
+ 31841	 -7733
+ 31841	 -7736
+ 31840	 -7739
+ 31839	 -7742
+ 31839	 -7745
+ 31838	 -7748
+ 31837	 -7751
+ 31836	 -7754
+ 31836	 -7757
+ 31835	 -7760
+ 31834	 -7763
+ 31833	 -7766
+ 31833	 -7769
+ 31832	 -7772
+ 31831	 -7775
+ 31830	 -7779
+ 31830	 -7782
+ 31829	 -7785
+ 31828	 -7788
+ 31827	 -7791
+ 31827	 -7794
+ 31826	 -7797
+ 31825	 -7800
+ 31824	 -7803
+ 31824	 -7806
+ 31823	 -7809
+ 31822	 -7812
+ 31821	 -7815
+ 31821	 -7818
+ 31820	 -7821
+ 31819	 -7824
+ 31818	 -7827
+ 31818	 -7830
+ 31817	 -7833
+ 31816	 -7836
+ 31815	 -7840
+ 31815	 -7843
+ 31814	 -7846
+ 31813	 -7849
+ 31812	 -7852
+ 31812	 -7855
+ 31811	 -7858
+ 31810	 -7861
+ 31809	 -7864
+ 31809	 -7867
+ 31808	 -7870
+ 31807	 -7873
+ 31806	 -7876
+ 31806	 -7879
+ 31805	 -7882
+ 31804	 -7885
+ 31803	 -7888
+ 31803	 -7891
+ 31802	 -7894
+ 31801	 -7897
+ 31800	 -7901
+ 31799	 -7904
+ 31799	 -7907
+ 31798	 -7910
+ 31797	 -7913
+ 31796	 -7916
+ 31796	 -7919
+ 31795	 -7922
+ 31794	 -7925
+ 31793	 -7928
+ 31793	 -7931
+ 31792	 -7934
+ 31791	 -7937
+ 31790	 -7940
+ 31790	 -7943
+ 31789	 -7946
+ 31788	 -7949
+ 31787	 -7952
+ 31787	 -7955
+ 31786	 -7958
+ 31785	 -7961
+ 31784	 -7965
+ 31784	 -7968
+ 31783	 -7971
+ 31782	 -7974
+ 31781	 -7977
+ 31780	 -7980
+ 31780	 -7983
+ 31779	 -7986
+ 31778	 -7989
+ 31777	 -7992
+ 31777	 -7995
+ 31776	 -7998
+ 31775	 -8001
+ 31774	 -8004
+ 31774	 -8007
+ 31773	 -8010
+ 31772	 -8013
+ 31771	 -8016
+ 31770	 -8019
+ 31770	 -8022
+ 31769	 -8025
+ 31768	 -8029
+ 31767	 -8032
+ 31767	 -8035
+ 31766	 -8038
+ 31765	 -8041
+ 31764	 -8044
+ 31764	 -8047
+ 31763	 -8050
+ 31762	 -8053
+ 31761	 -8056
+ 31760	 -8059
+ 31760	 -8062
+ 31759	 -8065
+ 31758	 -8068
+ 31757	 -8071
+ 31757	 -8074
+ 31756	 -8077
+ 31755	 -8080
+ 31754	 -8083
+ 31754	 -8086
+ 31753	 -8089
+ 31752	 -8092
+ 31751	 -8095
+ 31750	 -8099
+ 31750	 -8102
+ 31749	 -8105
+ 31748	 -8108
+ 31747	 -8111
+ 31747	 -8114
+ 31746	 -8117
+ 31745	 -8120
+ 31744	 -8123
+ 31743	 -8126
+ 31743	 -8129
+ 31742	 -8132
+ 31741	 -8135
+ 31740	 -8138
+ 31740	 -8141
+ 31739	 -8144
+ 31738	 -8147
+ 31737	 -8150
+ 31736	 -8153
+ 31736	 -8156
+ 31735	 -8159
+ 31734	 -8162
+ 31733	 -8165
+ 31732	 -8169
+ 31732	 -8172
+ 31731	 -8175
+ 31730	 -8178
+ 31729	 -8181
+ 31729	 -8184
+ 31728	 -8187
+ 31727	 -8190
+ 31726	 -8193
+ 31725	 -8196
+ 31725	 -8199
+ 31724	 -8202
+ 31723	 -8205
+ 31722	 -8208
+ 31721	 -8211
+ 31721	 -8214
+ 31720	 -8217
+ 31719	 -8220
+ 31718	 -8223
+ 31718	 -8226
+ 31717	 -8229
+ 31716	 -8232
+ 31715	 -8235
+ 31714	 -8238
+ 31714	 -8242
+ 31713	 -8245
+ 31712	 -8248
+ 31711	 -8251
+ 31710	 -8254
+ 31710	 -8257
+ 31709	 -8260
+ 31708	 -8263
+ 31707	 -8266
+ 31706	 -8269
+ 31706	 -8272
+ 31705	 -8275
+ 31704	 -8278
+ 31703	 -8281
+ 31702	 -8284
+ 31702	 -8287
+ 31701	 -8290
+ 31700	 -8293
+ 31699	 -8296
+ 31699	 -8299
+ 31698	 -8302
+ 31697	 -8305
+ 31696	 -8308
+ 31695	 -8311
+ 31695	 -8314
+ 31694	 -8318
+ 31693	 -8321
+ 31692	 -8324
+ 31691	 -8327
+ 31691	 -8330
+ 31690	 -8333
+ 31689	 -8336
+ 31688	 -8339
+ 31687	 -8342
+ 31687	 -8345
+ 31686	 -8348
+ 31685	 -8351
+ 31684	 -8354
+ 31683	 -8357
+ 31683	 -8360
+ 31682	 -8363
+ 31681	 -8366
+ 31680	 -8369
+ 31679	 -8372
+ 31679	 -8375
+ 31678	 -8378
+ 31677	 -8381
+ 31676	 -8384
+ 31675	 -8387
+ 31675	 -8390
+ 31674	 -8393
+ 31673	 -8396
+ 31672	 -8400
+ 31671	 -8403
+ 31670	 -8406
+ 31670	 -8409
+ 31669	 -8412
+ 31668	 -8415
+ 31667	 -8418
+ 31666	 -8421
+ 31666	 -8424
+ 31665	 -8427
+ 31664	 -8430
+ 31663	 -8433
+ 31662	 -8436
+ 31662	 -8439
+ 31661	 -8442
+ 31660	 -8445
+ 31659	 -8448
+ 31658	 -8451
+ 31658	 -8454
+ 31657	 -8457
+ 31656	 -8460
+ 31655	 -8463
+ 31654	 -8466
+ 31654	 -8469
+ 31653	 -8472
+ 31652	 -8475
+ 31651	 -8478
+ 31650	 -8481
+ 31649	 -8485
+ 31649	 -8488
+ 31648	 -8491
+ 31647	 -8494
+ 31646	 -8497
+ 31645	 -8500
+ 31645	 -8503
+ 31644	 -8506
+ 31643	 -8509
+ 31642	 -8512
+ 31641	 -8515
+ 31640	 -8518
+ 31640	 -8521
+ 31639	 -8524
+ 31638	 -8527
+ 31637	 -8530
+ 31636	 -8533
+ 31636	 -8536
+ 31635	 -8539
+ 31634	 -8542
+ 31633	 -8545
+ 31632	 -8548
+ 31631	 -8551
+ 31631	 -8554
+ 31630	 -8557
+ 31629	 -8560
+ 31628	 -8563
+ 31627	 -8566
+ 31627	 -8569
+ 31626	 -8572
+ 31625	 -8576
+ 31624	 -8579
+ 31623	 -8582
+ 31622	 -8585
+ 31622	 -8588
+ 31621	 -8591
+ 31620	 -8594
+ 31619	 -8597
+ 31618	 -8600
+ 31617	 -8603
+ 31617	 -8606
+ 31616	 -8609
+ 31615	 -8612
+ 31614	 -8615
+ 31613	 -8618
+ 31613	 -8621
+ 31612	 -8624
+ 31611	 -8627
+ 31610	 -8630
+ 31609	 -8633
+ 31608	 -8636
+ 31608	 -8639
+ 31607	 -8642
+ 31606	 -8645
+ 31605	 -8648
+ 31604	 -8651
+ 31603	 -8654
+ 31603	 -8657
+ 31602	 -8660
+ 31601	 -8663
+ 31600	 -8666
+ 31599	 -8669
+ 31598	 -8672
+ 31598	 -8676
+ 31597	 -8679
+ 31596	 -8682
+ 31595	 -8685
+ 31594	 -8688
+ 31593	 -8691
+ 31593	 -8694
+ 31592	 -8697
+ 31591	 -8700
+ 31590	 -8703
+ 31589	 -8706
+ 31588	 -8709
+ 31588	 -8712
+ 31587	 -8715
+ 31586	 -8718
+ 31585	 -8721
+ 31584	 -8724
+ 31583	 -8727
+ 31583	 -8730
+ 31582	 -8733
+ 31581	 -8736
+ 31580	 -8739
+ 31579	 -8742
+ 31578	 -8745
+ 31578	 -8748
+ 31577	 -8751
+ 31576	 -8754
+ 31575	 -8757
+ 31574	 -8760
+ 31573	 -8763
+ 31573	 -8766
+ 31572	 -8769
+ 31571	 -8772
+ 31570	 -8775
+ 31569	 -8778
+ 31568	 -8781
+ 31567	 -8785
+ 31567	 -8788
+ 31566	 -8791
+ 31565	 -8794
+ 31564	 -8797
+ 31563	 -8800
+ 31562	 -8803
+ 31562	 -8806
+ 31561	 -8809
+ 31560	 -8812
+ 31559	 -8815
+ 31558	 -8818
+ 31557	 -8821
+ 31557	 -8824
+ 31556	 -8827
+ 31555	 -8830
+ 31554	 -8833
+ 31553	 -8836
+ 31552	 -8839
+ 31551	 -8842
+ 31551	 -8845
+ 31550	 -8848
+ 31549	 -8851
+ 31548	 -8854
+ 31547	 -8857
+ 31546	 -8860
+ 31545	 -8863
+ 31545	 -8866
+ 31544	 -8869
+ 31543	 -8872
+ 31542	 -8875
+ 31541	 -8878
+ 31540	 -8881
+ 31540	 -8884
+ 31539	 -8887
+ 31538	 -8890
+ 31537	 -8893
+ 31536	 -8896
+ 31535	 -8899
+ 31534	 -8902
+ 31534	 -8906
+ 31533	 -8909
+ 31532	 -8912
+ 31531	 -8915
+ 31530	 -8918
+ 31529	 -8921
+ 31528	 -8924
+ 31528	 -8927
+ 31527	 -8930
+ 31526	 -8933
+ 31525	 -8936
+ 31524	 -8939
+ 31523	 -8942
+ 31522	 -8945
+ 31522	 -8948
+ 31521	 -8951
+ 31520	 -8954
+ 31519	 -8957
+ 31518	 -8960
+ 31517	 -8963
+ 31516	 -8966
+ 31516	 -8969
+ 31515	 -8972
+ 31514	 -8975
+ 31513	 -8978
+ 31512	 -8981
+ 31511	 -8984
+ 31510	 -8987
+ 31510	 -8990
+ 31509	 -8993
+ 31508	 -8996
+ 31507	 -8999
+ 31506	 -9002
+ 31505	 -9005
+ 31504	 -9008
+ 31504	 -9011
+ 31503	 -9014
+ 31502	 -9017
+ 31501	 -9020
+ 31500	 -9023
+ 31499	 -9026
+ 31498	 -9029
+ 31497	 -9032
+ 31497	 -9035
+ 31496	 -9038
+ 31495	 -9041
+ 31494	 -9044
+ 31493	 -9048
+ 31492	 -9051
+ 31491	 -9054
+ 31491	 -9057
+ 31490	 -9060
+ 31489	 -9063
+ 31488	 -9066
+ 31487	 -9069
+ 31486	 -9072
+ 31485	 -9075
+ 31484	 -9078
+ 31484	 -9081
+ 31483	 -9084
+ 31482	 -9087
+ 31481	 -9090
+ 31480	 -9093
+ 31479	 -9096
+ 31478	 -9099
+ 31477	 -9102
+ 31477	 -9105
+ 31476	 -9108
+ 31475	 -9111
+ 31474	 -9114
+ 31473	 -9117
+ 31472	 -9120
+ 31471	 -9123
+ 31470	 -9126
+ 31470	 -9129
+ 31469	 -9132
+ 31468	 -9135
+ 31467	 -9138
+ 31466	 -9141
+ 31465	 -9144
+ 31464	 -9147
+ 31463	 -9150
+ 31463	 -9153
+ 31462	 -9156
+ 31461	 -9159
+ 31460	 -9162
+ 31459	 -9165
+ 31458	 -9168
+ 31457	 -9171
+ 31456	 -9174
+ 31456	 -9177
+ 31455	 -9180
+ 31454	 -9183
+ 31453	 -9186
+ 31452	 -9189
+ 31451	 -9192
+ 31450	 -9195
+ 31449	 -9198
+ 31449	 -9201
+ 31448	 -9204
+ 31447	 -9207
+ 31446	 -9210
+ 31445	 -9213
+ 31444	 -9216
+ 31443	 -9219
+ 31442	 -9222
+ 31441	 -9225
+ 31441	 -9229
+ 31440	 -9232
+ 31439	 -9235
+ 31438	 -9238
+ 31437	 -9241
+ 31436	 -9244
+ 31435	 -9247
+ 31434	 -9250
+ 31433	 -9253
+ 31433	 -9256
+ 31432	 -9259
+ 31431	 -9262
+ 31430	 -9265
+ 31429	 -9268
+ 31428	 -9271
+ 31427	 -9274
+ 31426	 -9277
+ 31425	 -9280
+ 31425	 -9283
+ 31424	 -9286
+ 31423	 -9289
+ 31422	 -9292
+ 31421	 -9295
+ 31420	 -9298
+ 31419	 -9301
+ 31418	 -9304
+ 31417	 -9307
+ 31417	 -9310
+ 31416	 -9313
+ 31415	 -9316
+ 31414	 -9319
+ 31413	 -9322
+ 31412	 -9325
+ 31411	 -9328
+ 31410	 -9331
+ 31409	 -9334
+ 31409	 -9337
+ 31408	 -9340
+ 31407	 -9343
+ 31406	 -9346
+ 31405	 -9349
+ 31404	 -9352
+ 31403	 -9355
+ 31402	 -9358
+ 31401	 -9361
+ 31400	 -9364
+ 31400	 -9367
+ 31399	 -9370
+ 31398	 -9373
+ 31397	 -9376
+ 31396	 -9379
+ 31395	 -9382
+ 31394	 -9385
+ 31393	 -9388
+ 31392	 -9391
+ 31391	 -9394
+ 31391	 -9397
+ 31390	 -9400
+ 31389	 -9403
+ 31388	 -9406
+ 31387	 -9409
+ 31386	 -9412
+ 31385	 -9415
+ 31384	 -9418
+ 31383	 -9421
+ 31382	 -9424
+ 31382	 -9427
+ 31381	 -9430
+ 31380	 -9433
+ 31379	 -9436
+ 31378	 -9439
+ 31377	 -9442
+ 31376	 -9445
+ 31375	 -9448
+ 31374	 -9451
+ 31373	 -9454
+ 31372	 -9457
+ 31372	 -9460
+ 31371	 -9463
+ 31370	 -9466
+ 31369	 -9469
+ 31368	 -9472
+ 31367	 -9475
+ 31366	 -9478
+ 31365	 -9481
+ 31364	 -9484
+ 31363	 -9487
+ 31362	 -9490
+ 31362	 -9493
+ 31361	 -9496
+ 31360	 -9499
+ 31359	 -9502
+ 31358	 -9505
+ 31357	 -9508
+ 31356	 -9511
+ 31355	 -9514
+ 31354	 -9517
+ 31353	 -9520
+ 31352	 -9523
+ 31352	 -9526
+ 31351	 -9530
+ 31350	 -9533
+ 31349	 -9536
+ 31348	 -9539
+ 31347	 -9542
+ 31346	 -9545
+ 31345	 -9548
+ 31344	 -9551
+ 31343	 -9554
+ 31342	 -9557
+ 31341	 -9560
+ 31341	 -9563
+ 31340	 -9566
+ 31339	 -9569
+ 31338	 -9572
+ 31337	 -9575
+ 31336	 -9578
+ 31335	 -9581
+ 31334	 -9584
+ 31333	 -9587
+ 31332	 -9590
+ 31331	 -9593
+ 31330	 -9596
+ 31330	 -9599
+ 31329	 -9602
+ 31328	 -9605
+ 31327	 -9608
+ 31326	 -9611
+ 31325	 -9614
+ 31324	 -9617
+ 31323	 -9620
+ 31322	 -9623
+ 31321	 -9626
+ 31320	 -9629
+ 31319	 -9632
+ 31318	 -9635
+ 31318	 -9638
+ 31317	 -9641
+ 31316	 -9644
+ 31315	 -9647
+ 31314	 -9650
+ 31313	 -9653
+ 31312	 -9656
+ 31311	 -9659
+ 31310	 -9662
+ 31309	 -9665
+ 31308	 -9668
+ 31307	 -9671
+ 31306	 -9674
+ 31306	 -9677
+ 31305	 -9680
+ 31304	 -9683
+ 31303	 -9686
+ 31302	 -9689
+ 31301	 -9692
+ 31300	 -9695
+ 31299	 -9698
+ 31298	 -9701
+ 31297	 -9704
+ 31296	 -9707
+ 31295	 -9710
+ 31294	 -9713
+ 31293	 -9716
+ 31293	 -9719
+ 31292	 -9722
+ 31291	 -9725
+ 31290	 -9728
+ 31289	 -9731
+ 31288	 -9734
+ 31287	 -9737
+ 31286	 -9740
+ 31285	 -9743
+ 31284	 -9746
+ 31283	 -9749
+ 31282	 -9752
+ 31281	 -9755
+ 31280	 -9758
+ 31279	 -9761
+ 31278	 -9764
+ 31278	 -9767
+ 31277	 -9770
+ 31276	 -9773
+ 31275	 -9776
+ 31274	 -9779
+ 31273	 -9782
+ 31272	 -9785
+ 31271	 -9788
+ 31270	 -9791
+ 31269	 -9794
+ 31268	 -9797
+ 31267	 -9800
+ 31266	 -9803
+ 31265	 -9806
+ 31264	 -9809
+ 31263	 -9812
+ 31263	 -9815
+ 31262	 -9818
+ 31261	 -9821
+ 31260	 -9824
+ 31259	 -9827
+ 31258	 -9830
+ 31257	 -9833
+ 31256	 -9836
+ 31255	 -9839
+ 31254	 -9842
+ 31253	 -9845
+ 31252	 -9848
+ 31251	 -9851
+ 31250	 -9854
+ 31249	 -9857
+ 31248	 -9860
+ 31247	 -9863
+ 31246	 -9866
+ 31246	 -9869
+ 31245	 -9872
+ 31244	 -9875
+ 31243	 -9878
+ 31242	 -9881
+ 31241	 -9884
+ 31240	 -9887
+ 31239	 -9890
+ 31238	 -9893
+ 31237	 -9896
+ 31236	 -9899
+ 31235	 -9902
+ 31234	 -9905
+ 31233	 -9908
+ 31232	 -9910
+ 31231	 -9913
+ 31230	 -9916
+ 31229	 -9919
+ 31228	 -9922
+ 31228	 -9925
+ 31227	 -9928
+ 31226	 -9931
+ 31225	 -9934
+ 31224	 -9937
+ 31223	 -9940
+ 31222	 -9943
+ 31221	 -9946
+ 31220	 -9949
+ 31219	 -9952
+ 31218	 -9955
+ 31217	 -9958
+ 31216	 -9961
+ 31215	 -9964
+ 31214	 -9967
+ 31213	 -9970
+ 31212	 -9973
+ 31211	 -9976
+ 31210	 -9979
+ 31209	 -9982
+ 31208	 -9985
+ 31207	 -9988
+ 31207	 -9991
+ 31206	 -9994
+ 31205	 -9997
+ 31204	-10000
+ 31203	-10003
+ 31202	-10006
+ 31201	-10009
+ 31200	-10012
+ 31199	-10015
+ 31198	-10018
+ 31197	-10021
+ 31196	-10024
+ 31195	-10027
+ 31194	-10030
+ 31193	-10033
+ 31192	-10036
+ 31191	-10039
+ 31190	-10042
+ 31189	-10045
+ 31188	-10048
+ 31187	-10051
+ 31186	-10054
+ 31185	-10057
+ 31184	-10060
+ 31183	-10063
+ 31182	-10066
+ 31182	-10069
+ 31181	-10072
+ 31180	-10075
+ 31179	-10078
+ 31178	-10081
+ 31177	-10084
+ 31176	-10087
+ 31175	-10090
+ 31174	-10093
+ 31173	-10096
+ 31172	-10099
+ 31171	-10102
+ 31170	-10105
+ 31169	-10108
+ 31168	-10111
+ 31167	-10114
+ 31166	-10117
+ 31165	-10120
+ 31164	-10123
+ 31163	-10126
+ 31162	-10129
+ 31161	-10132
+ 31160	-10135
+ 31159	-10138
+ 31158	-10141
+ 31157	-10144
+ 31156	-10147
+ 31155	-10150
+ 31154	-10153
+ 31153	-10156
+ 31152	-10159
+ 31151	-10162
+ 31150	-10165
+ 31150	-10168
+ 31149	-10171
+ 31148	-10174
+ 31147	-10177
+ 31146	-10180
+ 31145	-10183
+ 31144	-10186
+ 31143	-10189
+ 31142	-10192
+ 31141	-10195
+ 31140	-10198
+ 31139	-10201
+ 31138	-10203
+ 31137	-10206
+ 31136	-10209
+ 31135	-10212
+ 31134	-10215
+ 31133	-10218
+ 31132	-10221
+ 31131	-10224
+ 31130	-10227
+ 31129	-10230
+ 31128	-10233
+ 31127	-10236
+ 31126	-10239
+ 31125	-10242
+ 31124	-10245
+ 31123	-10248
+ 31122	-10251
+ 31121	-10254
+ 31120	-10257
+ 31119	-10260
+ 31118	-10263
+ 31117	-10266
+ 31116	-10269
+ 31115	-10272
+ 31114	-10275
+ 31113	-10278
+ 31112	-10281
+ 31111	-10284
+ 31110	-10287
+ 31109	-10290
+ 31108	-10293
+ 31107	-10296
+ 31106	-10299
+ 31105	-10302
+ 31104	-10305
+ 31103	-10308
+ 31102	-10311
+ 31101	-10314
+ 31100	-10317
+ 31099	-10320
+ 31098	-10323
+ 31097	-10326
+ 31096	-10329
+ 31095	-10332
+ 31094	-10335
+ 31093	-10338
+ 31092	-10341
+ 31091	-10344
+ 31091	-10347
+ 31090	-10350
+ 31089	-10353
+ 31088	-10356
+ 31087	-10359
+ 31086	-10362
+ 31085	-10365
+ 31084	-10368
+ 31083	-10371
+ 31082	-10373
+ 31081	-10376
+ 31080	-10379
+ 31079	-10382
+ 31078	-10385
+ 31077	-10388
+ 31076	-10391
+ 31075	-10394
+ 31074	-10397
+ 31073	-10400
+ 31072	-10403
+ 31071	-10406
+ 31070	-10409
+ 31069	-10412
+ 31068	-10415
+ 31067	-10418
+ 31066	-10421
+ 31065	-10424
+ 31064	-10427
+ 31063	-10430
+ 31062	-10433
+ 31061	-10436
+ 31060	-10439
+ 31059	-10442
+ 31058	-10445
+ 31057	-10448
+ 31056	-10451
+ 31055	-10454
+ 31054	-10457
+ 31053	-10460
+ 31052	-10463
+ 31051	-10466
+ 31050	-10469
+ 31049	-10472
+ 31048	-10475
+ 31047	-10478
+ 31046	-10481
+ 31045	-10484
+ 31044	-10487
+ 31043	-10490
+ 31042	-10493
+ 31041	-10496
+ 31040	-10499
+ 31039	-10502
+ 31038	-10505
+ 31037	-10507
+ 31036	-10510
+ 31035	-10513
+ 31033	-10516
+ 31032	-10519
+ 31031	-10522
+ 31030	-10525
+ 31029	-10528
+ 31028	-10531
+ 31027	-10534
+ 31026	-10537
+ 31025	-10540
+ 31024	-10543
+ 31023	-10546
+ 31022	-10549
+ 31021	-10552
+ 31020	-10555
+ 31019	-10558
+ 31018	-10561
+ 31017	-10564
+ 31016	-10567
+ 31015	-10570
+ 31014	-10573
+ 31013	-10576
+ 31012	-10579
+ 31011	-10582
+ 31010	-10585
+ 31009	-10588
+ 31008	-10591
+ 31007	-10594
+ 31006	-10597
+ 31005	-10600
+ 31004	-10603
+ 31003	-10606
+ 31002	-10609
+ 31001	-10612
+ 31000	-10615
+ 30999	-10618
+ 30998	-10620
+ 30997	-10623
+ 30996	-10626
+ 30995	-10629
+ 30994	-10632
+ 30993	-10635
+ 30992	-10638
+ 30991	-10641
+ 30990	-10644
+ 30989	-10647
+ 30988	-10650
+ 30987	-10653
+ 30986	-10656
+ 30985	-10659
+ 30984	-10662
+ 30983	-10665
+ 30982	-10668
+ 30981	-10671
+ 30980	-10674
+ 30979	-10677
+ 30978	-10680
+ 30977	-10683
+ 30976	-10686
+ 30975	-10689
+ 30974	-10692
+ 30972	-10695
+ 30971	-10698
+ 30970	-10701
+ 30969	-10704
+ 30968	-10707
+ 30967	-10710
+ 30966	-10713
+ 30965	-10716
+ 30964	-10719
+ 30963	-10721
+ 30962	-10724
+ 30961	-10727
+ 30960	-10730
+ 30959	-10733
+ 30958	-10736
+ 30957	-10739
+ 30956	-10742
+ 30955	-10745
+ 30954	-10748
+ 30953	-10751
+ 30952	-10754
+ 30951	-10757
+ 30950	-10760
+ 30949	-10763
+ 30948	-10766
+ 30947	-10769
+ 30946	-10772
+ 30945	-10775
+ 30944	-10778
+ 30943	-10781
+ 30942	-10784
+ 30941	-10787
+ 30940	-10790
+ 30938	-10793
+ 30937	-10796
+ 30936	-10799
+ 30935	-10802
+ 30934	-10805
+ 30933	-10808
+ 30932	-10810
+ 30931	-10813
+ 30930	-10816
+ 30929	-10819
+ 30928	-10822
+ 30927	-10825
+ 30926	-10828
+ 30925	-10831
+ 30924	-10834
+ 30923	-10837
+ 30922	-10840
+ 30921	-10843
+ 30920	-10846
+ 30919	-10849
+ 30918	-10852
+ 30917	-10855
+ 30916	-10858
+ 30915	-10861
+ 30914	-10864
+ 30913	-10867
+ 30911	-10870
+ 30910	-10873
+ 30909	-10876
+ 30908	-10879
+ 30907	-10882
+ 30906	-10885
+ 30905	-10888
+ 30904	-10891
+ 30903	-10893
+ 30902	-10896
+ 30901	-10899
+ 30900	-10902
+ 30899	-10905
+ 30898	-10908
+ 30897	-10911
+ 30896	-10914
+ 30895	-10917
+ 30894	-10920
+ 30893	-10923
+ 30892	-10926
+ 30891	-10929
+ 30890	-10932
+ 30888	-10935
+ 30887	-10938
+ 30886	-10941
+ 30885	-10944
+ 30884	-10947
+ 30883	-10950
+ 30882	-10953
+ 30881	-10956
+ 30880	-10959
+ 30879	-10962
+ 30878	-10965
+ 30877	-10968
+ 30876	-10970
+ 30875	-10973
+ 30874	-10976
+ 30873	-10979
+ 30872	-10982
+ 30871	-10985
+ 30870	-10988
+ 30869	-10991
+ 30867	-10994
+ 30866	-10997
+ 30865	-11000
+ 30864	-11003
+ 30863	-11006
+ 30862	-11009
+ 30861	-11012
+ 30860	-11015
+ 30859	-11018
+ 30858	-11021
+ 30857	-11024
+ 30856	-11027
+ 30855	-11030
+ 30854	-11033
+ 30853	-11036
+ 30852	-11039
+ 30851	-11041
+ 30850	-11044
+ 30848	-11047
+ 30847	-11050
+ 30846	-11053
+ 30845	-11056
+ 30844	-11059
+ 30843	-11062
+ 30842	-11065
+ 30841	-11068
+ 30840	-11071
+ 30839	-11074
+ 30838	-11077
+ 30837	-11080
+ 30836	-11083
+ 30835	-11086
+ 30834	-11089
+ 30833	-11092
+ 30831	-11095
+ 30830	-11098
+ 30829	-11101
+ 30828	-11104
+ 30827	-11107
+ 30826	-11109
+ 30825	-11112
+ 30824	-11115
+ 30823	-11118
+ 30822	-11121
+ 30821	-11124
+ 30820	-11127
+ 30819	-11130
+ 30818	-11133
+ 30817	-11136
+ 30815	-11139
+ 30814	-11142
+ 30813	-11145
+ 30812	-11148
+ 30811	-11151
+ 30810	-11154
+ 30809	-11157
+ 30808	-11160
+ 30807	-11163
+ 30806	-11166
+ 30805	-11169
+ 30804	-11172
+ 30803	-11174
+ 30802	-11177
+ 30801	-11180
+ 30799	-11183
+ 30798	-11186
+ 30797	-11189
+ 30796	-11192
+ 30795	-11195
+ 30794	-11198
+ 30793	-11201
+ 30792	-11204
+ 30791	-11207
+ 30790	-11210
+ 30789	-11213
+ 30788	-11216
+ 30787	-11219
+ 30785	-11222
+ 30784	-11225
+ 30783	-11228
+ 30782	-11231
+ 30781	-11234
+ 30780	-11236
+ 30779	-11239
+ 30778	-11242
+ 30777	-11245
+ 30776	-11248
+ 30775	-11251
+ 30774	-11254
+ 30773	-11257
+ 30771	-11260
+ 30770	-11263
+ 30769	-11266
+ 30768	-11269
+ 30767	-11272
+ 30766	-11275
+ 30765	-11278
+ 30764	-11281
+ 30763	-11284
+ 30762	-11287
+ 30761	-11290
+ 30760	-11293
+ 30758	-11295
+ 30757	-11298
+ 30756	-11301
+ 30755	-11304
+ 30754	-11307
+ 30753	-11310
+ 30752	-11313
+ 30751	-11316
+ 30750	-11319
+ 30749	-11322
+ 30748	-11325
+ 30747	-11328
+ 30745	-11331
+ 30744	-11334
+ 30743	-11337
+ 30742	-11340
+ 30741	-11343
+ 30740	-11346
+ 30739	-11349
+ 30738	-11351
+ 30737	-11354
+ 30736	-11357
+ 30735	-11360
+ 30734	-11363
+ 30732	-11366
+ 30731	-11369
+ 30730	-11372
+ 30729	-11375
+ 30728	-11378
+ 30727	-11381
+ 30726	-11384
+ 30725	-11387
+ 30724	-11390
+ 30723	-11393
+ 30722	-11396
+ 30720	-11399
+ 30719	-11402
+ 30718	-11405
+ 30717	-11407
+ 30716	-11410
+ 30715	-11413
+ 30714	-11416
+ 30713	-11419
+ 30712	-11422
+ 30711	-11425
+ 30709	-11428
+ 30708	-11431
+ 30707	-11434
+ 30706	-11437
+ 30705	-11440
+ 30704	-11443
+ 30703	-11446
+ 30702	-11449
+ 30701	-11452
+ 30700	-11455
+ 30698	-11457
+ 30697	-11460
+ 30696	-11463
+ 30695	-11466
+ 30694	-11469
+ 30693	-11472
+ 30692	-11475
+ 30691	-11478
+ 30690	-11481
+ 30689	-11484
+ 30688	-11487
+ 30686	-11490
+ 30685	-11493
+ 30684	-11496
+ 30683	-11499
+ 30682	-11502
+ 30681	-11505
+ 30680	-11508
+ 30679	-11510
+ 30678	-11513
+ 30676	-11516
+ 30675	-11519
+ 30674	-11522
+ 30673	-11525
+ 30672	-11528
+ 30671	-11531
+ 30670	-11534
+ 30669	-11537
+ 30668	-11540
+ 30667	-11543
+ 30665	-11546
+ 30664	-11549
+ 30663	-11552
+ 30662	-11555
+ 30661	-11558
+ 30660	-11560
+ 30659	-11563
+ 30658	-11566
+ 30657	-11569
+ 30655	-11572
+ 30654	-11575
+ 30653	-11578
+ 30652	-11581
+ 30651	-11584
+ 30650	-11587
+ 30649	-11590
+ 30648	-11593
+ 30647	-11596
+ 30645	-11599
+ 30644	-11602
+ 30643	-11605
+ 30642	-11607
+ 30641	-11610
+ 30640	-11613
+ 30639	-11616
+ 30638	-11619
+ 30637	-11622
+ 30635	-11625
+ 30634	-11628
+ 30633	-11631
+ 30632	-11634
+ 30631	-11637
+ 30630	-11640
+ 30629	-11643
+ 30628	-11646
+ 30627	-11649
+ 30625	-11652
+ 30624	-11654
+ 30623	-11657
+ 30622	-11660
+ 30621	-11663
+ 30620	-11666
+ 30619	-11669
+ 30618	-11672
+ 30616	-11675
+ 30615	-11678
+ 30614	-11681
+ 30613	-11684
+ 30612	-11687
+ 30611	-11690
+ 30610	-11693
+ 30609	-11696
+ 30607	-11698
+ 30606	-11701
+ 30605	-11704
+ 30604	-11707
+ 30603	-11710
+ 30602	-11713
+ 30601	-11716
+ 30600	-11719
+ 30598	-11722
+ 30597	-11725
+ 30596	-11728
+ 30595	-11731
+ 30594	-11734
+ 30593	-11737
+ 30592	-11740
+ 30591	-11742
+ 30589	-11745
+ 30588	-11748
+ 30587	-11751
+ 30586	-11754
+ 30585	-11757
+ 30584	-11760
+ 30583	-11763
+ 30582	-11766
+ 30580	-11769
+ 30579	-11772
+ 30578	-11775
+ 30577	-11778
+ 30576	-11781
+ 30575	-11784
+ 30574	-11786
+ 30573	-11789
+ 30571	-11792
+ 30570	-11795
+ 30569	-11798
+ 30568	-11801
+ 30567	-11804
+ 30566	-11807
+ 30565	-11810
+ 30564	-11813
+ 30562	-11816
+ 30561	-11819
+ 30560	-11822
+ 30559	-11825
+ 30558	-11827
+ 30557	-11830
+ 30556	-11833
+ 30554	-11836
+ 30553	-11839
+ 30552	-11842
+ 30551	-11845
+ 30550	-11848
+ 30549	-11851
+ 30548	-11854
+ 30547	-11857
+ 30545	-11860
+ 30544	-11863
+ 30543	-11866
+ 30542	-11868
+ 30541	-11871
+ 30540	-11874
+ 30539	-11877
+ 30537	-11880
+ 30536	-11883
+ 30535	-11886
+ 30534	-11889
+ 30533	-11892
+ 30532	-11895
+ 30531	-11898
+ 30529	-11901
+ 30528	-11904
+ 30527	-11907
+ 30526	-11909
+ 30525	-11912
+ 30524	-11915
+ 30523	-11918
+ 30521	-11921
+ 30520	-11924
+ 30519	-11927
+ 30518	-11930
+ 30517	-11933
+ 30516	-11936
+ 30515	-11939
+ 30513	-11942
+ 30512	-11945
+ 30511	-11948
+ 30510	-11950
+ 30509	-11953
+ 30508	-11956
+ 30507	-11959
+ 30505	-11962
+ 30504	-11965
+ 30503	-11968
+ 30502	-11971
+ 30501	-11974
+ 30500	-11977
+ 30499	-11980
+ 30497	-11983
+ 30496	-11986
+ 30495	-11988
+ 30494	-11991
+ 30493	-11994
+ 30492	-11997
+ 30490	-12000
+ 30489	-12003
+ 30488	-12006
+ 30487	-12009
+ 30486	-12012
+ 30485	-12015
+ 30484	-12018
+ 30482	-12021
+ 30481	-12024
+ 30480	-12026
+ 30479	-12029
+ 30478	-12032
+ 30477	-12035
+ 30475	-12038
+ 30474	-12041
+ 30473	-12044
+ 30472	-12047
+ 30471	-12050
+ 30470	-12053
+ 30469	-12056
+ 30467	-12059
+ 30466	-12062
+ 30465	-12064
+ 30464	-12067
+ 30463	-12070
+ 30462	-12073
+ 30460	-12076
+ 30459	-12079
+ 30458	-12082
+ 30457	-12085
+ 30456	-12088
+ 30455	-12091
+ 30454	-12094
+ 30452	-12097
+ 30451	-12099
+ 30450	-12102
+ 30449	-12105
+ 30448	-12108
+ 30447	-12111
+ 30445	-12114
+ 30444	-12117
+ 30443	-12120
+ 30442	-12123
+ 30441	-12126
+ 30440	-12129
+ 30438	-12132
+ 30437	-12134
+ 30436	-12137
+ 30435	-12140
+ 30434	-12143
+ 30433	-12146
+ 30431	-12149
+ 30430	-12152
+ 30429	-12155
+ 30428	-12158
+ 30427	-12161
+ 30426	-12164
+ 30424	-12167
+ 30423	-12169
+ 30422	-12172
+ 30421	-12175
+ 30420	-12178
+ 30419	-12181
+ 30417	-12184
+ 30416	-12187
+ 30415	-12190
+ 30414	-12193
+ 30413	-12196
+ 30412	-12199
+ 30410	-12202
+ 30409	-12204
+ 30408	-12207
+ 30407	-12210
+ 30406	-12213
+ 30405	-12216
+ 30403	-12219
+ 30402	-12222
+ 30401	-12225
+ 30400	-12228
+ 30399	-12231
+ 30398	-12234
+ 30396	-12237
+ 30395	-12239
+ 30394	-12242
+ 30393	-12245
+ 30392	-12248
+ 30390	-12251
+ 30389	-12254
+ 30388	-12257
+ 30387	-12260
+ 30386	-12263
+ 30385	-12266
+ 30383	-12269
+ 30382	-12272
+ 30381	-12274
+ 30380	-12277
+ 30379	-12280
+ 30378	-12283
+ 30376	-12286
+ 30375	-12289
+ 30374	-12292
+ 30373	-12295
+ 30372	-12298
+ 30370	-12301
+ 30369	-12304
+ 30368	-12306
+ 30367	-12309
+ 30366	-12312
+ 30365	-12315
+ 30363	-12318
+ 30362	-12321
+ 30361	-12324
+ 30360	-12327
+ 30359	-12330
+ 30357	-12333
+ 30356	-12336
+ 30355	-12338
+ 30354	-12341
+ 30353	-12344
+ 30352	-12347
+ 30350	-12350
+ 30349	-12353
+ 30348	-12356
+ 30347	-12359
+ 30346	-12362
+ 30344	-12365
+ 30343	-12368
+ 30342	-12370
+ 30341	-12373
+ 30340	-12376
+ 30339	-12379
+ 30337	-12382
+ 30336	-12385
+ 30335	-12388
+ 30334	-12391
+ 30333	-12394
+ 30331	-12397
+ 30330	-12400
+ 30329	-12402
+ 30328	-12405
+ 30327	-12408
+ 30325	-12411
+ 30324	-12414
+ 30323	-12417
+ 30322	-12420
+ 30321	-12423
+ 30320	-12426
+ 30318	-12429
+ 30317	-12432
+ 30316	-12434
+ 30315	-12437
+ 30314	-12440
+ 30312	-12443
+ 30311	-12446
+ 30310	-12449
+ 30309	-12452
+ 30308	-12455
+ 30306	-12458
+ 30305	-12461
+ 30304	-12464
+ 30303	-12466
+ 30302	-12469
+ 30300	-12472
+ 30299	-12475
+ 30298	-12478
+ 30297	-12481
+ 30296	-12484
+ 30294	-12487
+ 30293	-12490
+ 30292	-12493
+ 30291	-12495
+ 30290	-12498
+ 30288	-12501
+ 30287	-12504
+ 30286	-12507
+ 30285	-12510
+ 30284	-12513
+ 30282	-12516
+ 30281	-12519
+ 30280	-12522
+ 30279	-12524
+ 30278	-12527
+ 30276	-12530
+ 30275	-12533
+ 30274	-12536
+ 30273	-12539
+ 30272	-12542
+ 30270	-12545
+ 30269	-12548
+ 30268	-12551
+ 30267	-12554
+ 30266	-12556
+ 30264	-12559
+ 30263	-12562
+ 30262	-12565
+ 30261	-12568
+ 30260	-12571
+ 30258	-12574
+ 30257	-12577
+ 30256	-12580
+ 30255	-12583
+ 30254	-12585
+ 30252	-12588
+ 30251	-12591
+ 30250	-12594
+ 30249	-12597
+ 30248	-12600
+ 30246	-12603
+ 30245	-12606
+ 30244	-12609
+ 30243	-12612
+ 30241	-12614
+ 30240	-12617
+ 30239	-12620
+ 30238	-12623
+ 30237	-12626
+ 30235	-12629
+ 30234	-12632
+ 30233	-12635
+ 30232	-12638
+ 30231	-12641
+ 30229	-12643
+ 30228	-12646
+ 30227	-12649
+ 30226	-12652
+ 30225	-12655
+ 30223	-12658
+ 30222	-12661
+ 30221	-12664
+ 30220	-12667
+ 30218	-12669
+ 30217	-12672
+ 30216	-12675
+ 30215	-12678
+ 30214	-12681
+ 30212	-12684
+ 30211	-12687
+ 30210	-12690
+ 30209	-12693
+ 30208	-12696
+ 30206	-12698
+ 30205	-12701
+ 30204	-12704
+ 30203	-12707
+ 30201	-12710
+ 30200	-12713
+ 30199	-12716
+ 30198	-12719
+ 30197	-12722
+ 30195	-12725
+ 30194	-12727
+ 30193	-12730
+ 30192	-12733
+ 30190	-12736
+ 30189	-12739
+ 30188	-12742
+ 30187	-12745
+ 30186	-12748
+ 30184	-12751
+ 30183	-12753
+ 30182	-12756
+ 30181	-12759
+ 30179	-12762
+ 30178	-12765
+ 30177	-12768
+ 30176	-12771
+ 30175	-12774
+ 30173	-12777
+ 30172	-12779
+ 30171	-12782
+ 30170	-12785
+ 30168	-12788
+ 30167	-12791
+ 30166	-12794
+ 30165	-12797
+ 30164	-12800
+ 30162	-12803
+ 30161	-12806
+ 30160	-12808
+ 30159	-12811
+ 30157	-12814
+ 30156	-12817
+ 30155	-12820
+ 30154	-12823
+ 30152	-12826
+ 30151	-12829
+ 30150	-12832
+ 30149	-12834
+ 30148	-12837
+ 30146	-12840
+ 30145	-12843
+ 30144	-12846
+ 30143	-12849
+ 30141	-12852
+ 30140	-12855
+ 30139	-12858
+ 30138	-12860
+ 30136	-12863
+ 30135	-12866
+ 30134	-12869
+ 30133	-12872
+ 30132	-12875
+ 30130	-12878
+ 30129	-12881
+ 30128	-12884
+ 30127	-12886
+ 30125	-12889
+ 30124	-12892
+ 30123	-12895
+ 30122	-12898
+ 30120	-12901
+ 30119	-12904
+ 30118	-12907
+ 30117	-12910
+ 30115	-12912
+ 30114	-12915
+ 30113	-12918
+ 30112	-12921
+ 30110	-12924
+ 30109	-12927
+ 30108	-12930
+ 30107	-12933
+ 30106	-12936
+ 30104	-12938
+ 30103	-12941
+ 30102	-12944
+ 30101	-12947
+ 30099	-12950
+ 30098	-12953
+ 30097	-12956
+ 30096	-12959
+ 30094	-12961
+ 30093	-12964
+ 30092	-12967
+ 30091	-12970
+ 30089	-12973
+ 30088	-12976
+ 30087	-12979
+ 30086	-12982
+ 30084	-12985
+ 30083	-12987
+ 30082	-12990
+ 30081	-12993
+ 30079	-12996
+ 30078	-12999
+ 30077	-13002
+ 30076	-13005
+ 30074	-13008
+ 30073	-13011
+ 30072	-13013
+ 30071	-13016
+ 30069	-13019
+ 30068	-13022
+ 30067	-13025
+ 30066	-13028
+ 30064	-13031
+ 30063	-13034
+ 30062	-13036
+ 30061	-13039
+ 30059	-13042
+ 30058	-13045
+ 30057	-13048
+ 30056	-13051
+ 30054	-13054
+ 30053	-13057
+ 30052	-13060
+ 30051	-13062
+ 30049	-13065
+ 30048	-13068
+ 30047	-13071
+ 30046	-13074
+ 30044	-13077
+ 30043	-13080
+ 30042	-13083
+ 30041	-13085
+ 30039	-13088
+ 30038	-13091
+ 30037	-13094
+ 30036	-13097
+ 30034	-13100
+ 30033	-13103
+ 30032	-13106
+ 30031	-13108
+ 30029	-13111
+ 30028	-13114
+ 30027	-13117
+ 30026	-13120
+ 30024	-13123
+ 30023	-13126
+ 30022	-13129
+ 30021	-13132
+ 30019	-13134
+ 30018	-13137
+ 30017	-13140
+ 30016	-13143
+ 30014	-13146
+ 30013	-13149
+ 30012	-13152
+ 30010	-13155
+ 30009	-13157
+ 30008	-13160
+ 30007	-13163
+ 30005	-13166
+ 30004	-13169
+ 30003	-13172
+ 30002	-13175
+ 30000	-13178
+ 29999	-13180
+ 29998	-13183
+ 29997	-13186
+ 29995	-13189
+ 29994	-13192
+ 29993	-13195
+ 29992	-13198
+ 29990	-13201
+ 29989	-13203
+ 29988	-13206
+ 29986	-13209
+ 29985	-13212
+ 29984	-13215
+ 29983	-13218
+ 29981	-13221
+ 29980	-13224
+ 29979	-13226
+ 29978	-13229
+ 29976	-13232
+ 29975	-13235
+ 29974	-13238
+ 29973	-13241
+ 29971	-13244
+ 29970	-13247
+ 29969	-13249
+ 29967	-13252
+ 29966	-13255
+ 29965	-13258
+ 29964	-13261
+ 29962	-13264
+ 29961	-13267
+ 29960	-13270
+ 29959	-13272
+ 29957	-13275
+ 29956	-13278
+ 29955	-13281
+ 29953	-13284
+ 29952	-13287
+ 29951	-13290
+ 29950	-13292
+ 29948	-13295
+ 29947	-13298
+ 29946	-13301
+ 29945	-13304
+ 29943	-13307
+ 29942	-13310
+ 29941	-13313
+ 29939	-13315
+ 29938	-13318
+ 29937	-13321
+ 29936	-13324
+ 29934	-13327
+ 29933	-13330
+ 29932	-13333
+ 29930	-13336
+ 29929	-13338
+ 29928	-13341
+ 29927	-13344
+ 29925	-13347
+ 29924	-13350
+ 29923	-13353
+ 29922	-13356
+ 29920	-13359
+ 29919	-13361
+ 29918	-13364
+ 29916	-13367
+ 29915	-13370
+ 29914	-13373
+ 29913	-13376
+ 29911	-13379
+ 29910	-13381
+ 29909	-13384
+ 29907	-13387
+ 29906	-13390
+ 29905	-13393
+ 29904	-13396
+ 29902	-13399
+ 29901	-13402
+ 29900	-13404
+ 29898	-13407
+ 29897	-13410
+ 29896	-13413
+ 29895	-13416
+ 29893	-13419
+ 29892	-13422
+ 29891	-13424
+ 29889	-13427
+ 29888	-13430
+ 29887	-13433
+ 29886	-13436
+ 29884	-13439
+ 29883	-13442
+ 29882	-13445
+ 29880	-13447
+ 29879	-13450
+ 29878	-13453
+ 29877	-13456
+ 29875	-13459
+ 29874	-13462
+ 29873	-13465
+ 29871	-13467
+ 29870	-13470
+ 29869	-13473
+ 29868	-13476
+ 29866	-13479
+ 29865	-13482
+ 29864	-13485
+ 29862	-13487
+ 29861	-13490
+ 29860	-13493
+ 29858	-13496
+ 29857	-13499
+ 29856	-13502
+ 29855	-13505
+ 29853	-13507
+ 29852	-13510
+ 29851	-13513
+ 29849	-13516
+ 29848	-13519
+ 29847	-13522
+ 29845	-13525
+ 29844	-13528
+ 29843	-13530
+ 29842	-13533
+ 29840	-13536
+ 29839	-13539
+ 29838	-13542
+ 29836	-13545
+ 29835	-13548
+ 29834	-13550
+ 29833	-13553
+ 29831	-13556
+ 29830	-13559
+ 29829	-13562
+ 29827	-13565
+ 29826	-13568
+ 29825	-13570
+ 29823	-13573
+ 29822	-13576
+ 29821	-13579
+ 29820	-13582
+ 29818	-13585
+ 29817	-13588
+ 29816	-13590
+ 29814	-13593
+ 29813	-13596
+ 29812	-13599
+ 29810	-13602
+ 29809	-13605
+ 29808	-13608
+ 29806	-13610
+ 29805	-13613
+ 29804	-13616
+ 29803	-13619
+ 29801	-13622
+ 29800	-13625
+ 29799	-13628
+ 29797	-13630
+ 29796	-13633
+ 29795	-13636
+ 29793	-13639
+ 29792	-13642
+ 29791	-13645
+ 29789	-13648
+ 29788	-13650
+ 29787	-13653
+ 29786	-13656
+ 29784	-13659
+ 29783	-13662
+ 29782	-13665
+ 29780	-13668
+ 29779	-13670
+ 29778	-13673
+ 29776	-13676
+ 29775	-13679
+ 29774	-13682
+ 29772	-13685
+ 29771	-13688
+ 29770	-13690
+ 29769	-13693
+ 29767	-13696
+ 29766	-13699
+ 29765	-13702
+ 29763	-13705
+ 29762	-13708
+ 29761	-13710
+ 29759	-13713
+ 29758	-13716
+ 29757	-13719
+ 29755	-13722
+ 29754	-13725
+ 29753	-13728
+ 29751	-13730
+ 29750	-13733
+ 29749	-13736
+ 29747	-13739
+ 29746	-13742
+ 29745	-13745
+ 29744	-13747
+ 29742	-13750
+ 29741	-13753
+ 29740	-13756
+ 29738	-13759
+ 29737	-13762
+ 29736	-13765
+ 29734	-13767
+ 29733	-13770
+ 29732	-13773
+ 29730	-13776
+ 29729	-13779
+ 29728	-13782
+ 29726	-13785
+ 29725	-13787
+ 29724	-13790
+ 29722	-13793
+ 29721	-13796
+ 29720	-13799
+ 29718	-13802
+ 29717	-13804
+ 29716	-13807
+ 29714	-13810
+ 29713	-13813
+ 29712	-13816
+ 29710	-13819
+ 29709	-13822
+ 29708	-13824
+ 29707	-13827
+ 29705	-13830
+ 29704	-13833
+ 29703	-13836
+ 29701	-13839
+ 29700	-13841
+ 29699	-13844
+ 29697	-13847
+ 29696	-13850
+ 29695	-13853
+ 29693	-13856
+ 29692	-13859
+ 29691	-13861
+ 29689	-13864
+ 29688	-13867
+ 29687	-13870
+ 29685	-13873
+ 29684	-13876
+ 29683	-13879
+ 29681	-13881
+ 29680	-13884
+ 29679	-13887
+ 29677	-13890
+ 29676	-13893
+ 29675	-13896
+ 29673	-13898
+ 29672	-13901
+ 29671	-13904
+ 29669	-13907
+ 29668	-13910
+ 29667	-13913
+ 29665	-13915
+ 29664	-13918
+ 29663	-13921
+ 29661	-13924
+ 29660	-13927
+ 29659	-13930
+ 29657	-13933
+ 29656	-13935
+ 29655	-13938
+ 29653	-13941
+ 29652	-13944
+ 29651	-13947
+ 29649	-13950
+ 29648	-13952
+ 29647	-13955
+ 29645	-13958
+ 29644	-13961
+ 29643	-13964
+ 29641	-13967
+ 29640	-13970
+ 29639	-13972
+ 29637	-13975
+ 29636	-13978
+ 29635	-13981
+ 29633	-13984
+ 29632	-13987
+ 29631	-13989
+ 29629	-13992
+ 29628	-13995
+ 29626	-13998
+ 29625	-14001
+ 29624	-14004
+ 29622	-14006
+ 29621	-14009
+ 29620	-14012
+ 29618	-14015
+ 29617	-14018
+ 29616	-14021
+ 29614	-14023
+ 29613	-14026
+ 29612	-14029
+ 29610	-14032
+ 29609	-14035
+ 29608	-14038
+ 29606	-14041
+ 29605	-14043
+ 29604	-14046
+ 29602	-14049
+ 29601	-14052
+ 29600	-14055
+ 29598	-14058
+ 29597	-14060
+ 29596	-14063
+ 29594	-14066
+ 29593	-14069
+ 29591	-14072
+ 29590	-14075
+ 29589	-14077
+ 29587	-14080
+ 29586	-14083
+ 29585	-14086
+ 29583	-14089
+ 29582	-14092
+ 29581	-14094
+ 29579	-14097
+ 29578	-14100
+ 29577	-14103
+ 29575	-14106
+ 29574	-14109
+ 29573	-14111
+ 29571	-14114
+ 29570	-14117
+ 29569	-14120
+ 29567	-14123
+ 29566	-14126
+ 29564	-14128
+ 29563	-14131
+ 29562	-14134
+ 29560	-14137
+ 29559	-14140
+ 29558	-14143
+ 29556	-14145
+ 29555	-14148
+ 29554	-14151
+ 29552	-14154
+ 29551	-14157
+ 29550	-14160
+ 29548	-14162
+ 29547	-14165
+ 29545	-14168
+ 29544	-14171
+ 29543	-14174
+ 29541	-14177
+ 29540	-14179
+ 29539	-14182
+ 29537	-14185
+ 29536	-14188
+ 29535	-14191
+ 29533	-14194
+ 29532	-14196
+ 29531	-14199
+ 29529	-14202
+ 29528	-14205
+ 29526	-14208
+ 29525	-14211
+ 29524	-14213
+ 29522	-14216
+ 29521	-14219
+ 29520	-14222
+ 29518	-14225
+ 29517	-14228
+ 29516	-14230
+ 29514	-14233
+ 29513	-14236
+ 29511	-14239
+ 29510	-14242
+ 29509	-14245
+ 29507	-14247
+ 29506	-14250
+ 29505	-14253
+ 29503	-14256
+ 29502	-14259
+ 29500	-14261
+ 29499	-14264
+ 29498	-14267
+ 29496	-14270
+ 29495	-14273
+ 29494	-14276
+ 29492	-14278
+ 29491	-14281
+ 29490	-14284
+ 29488	-14287
+ 29487	-14290
+ 29485	-14293
+ 29484	-14295
+ 29483	-14298
+ 29481	-14301
+ 29480	-14304
+ 29479	-14307
+ 29477	-14310
+ 29476	-14312
+ 29474	-14315
+ 29473	-14318
+ 29472	-14321
+ 29470	-14324
+ 29469	-14327
+ 29468	-14329
+ 29466	-14332
+ 29465	-14335
+ 29463	-14338
+ 29462	-14341
+ 29461	-14343
+ 29459	-14346
+ 29458	-14349
+ 29457	-14352
+ 29455	-14355
+ 29454	-14358
+ 29452	-14360
+ 29451	-14363
+ 29450	-14366
+ 29448	-14369
+ 29447	-14372
+ 29446	-14375
+ 29444	-14377
+ 29443	-14380
+ 29441	-14383
+ 29440	-14386
+ 29439	-14389
+ 29437	-14391
+ 29436	-14394
+ 29435	-14397
+ 29433	-14400
+ 29432	-14403
+ 29430	-14406
+ 29429	-14408
+ 29428	-14411
+ 29426	-14414
+ 29425	-14417
+ 29424	-14420
+ 29422	-14422
+ 29421	-14425
+ 29419	-14428
+ 29418	-14431
+ 29417	-14434
+ 29415	-14437
+ 29414	-14439
+ 29412	-14442
+ 29411	-14445
+ 29410	-14448
+ 29408	-14451
+ 29407	-14454
+ 29406	-14456
+ 29404	-14459
+ 29403	-14462
+ 29401	-14465
+ 29400	-14468
+ 29399	-14470
+ 29397	-14473
+ 29396	-14476
+ 29394	-14479
+ 29393	-14482
+ 29392	-14485
+ 29390	-14487
+ 29389	-14490
+ 29387	-14493
+ 29386	-14496
+ 29385	-14499
+ 29383	-14501
+ 29382	-14504
+ 29381	-14507
+ 29379	-14510
+ 29378	-14513
+ 29376	-14516
+ 29375	-14518
+ 29374	-14521
+ 29372	-14524
+ 29371	-14527
+ 29369	-14530
+ 29368	-14532
+ 29367	-14535
+ 29365	-14538
+ 29364	-14541
+ 29362	-14544
+ 29361	-14546
+ 29360	-14549
+ 29358	-14552
+ 29357	-14555
+ 29355	-14558
+ 29354	-14561
+ 29353	-14563
+ 29351	-14566
+ 29350	-14569
+ 29348	-14572
+ 29347	-14575
+ 29346	-14577
+ 29344	-14580
+ 29343	-14583
+ 29341	-14586
+ 29340	-14589
+ 29339	-14591
+ 29337	-14594
+ 29336	-14597
+ 29334	-14600
+ 29333	-14603
+ 29332	-14606
+ 29330	-14608
+ 29329	-14611
+ 29327	-14614
+ 29326	-14617
+ 29325	-14620
+ 29323	-14622
+ 29322	-14625
+ 29320	-14628
+ 29319	-14631
+ 29318	-14634
+ 29316	-14636
+ 29315	-14639
+ 29313	-14642
+ 29312	-14645
+ 29311	-14648
+ 29309	-14651
+ 29308	-14653
+ 29306	-14656
+ 29305	-14659
+ 29304	-14662
+ 29302	-14665
+ 29301	-14667
+ 29299	-14670
+ 29298	-14673
+ 29297	-14676
+ 29295	-14679
+ 29294	-14681
+ 29292	-14684
+ 29291	-14687
+ 29290	-14690
+ 29288	-14693
+ 29287	-14695
+ 29285	-14698
+ 29284	-14701
+ 29282	-14704
+ 29281	-14707
+ 29280	-14710
+ 29278	-14712
+ 29277	-14715
+ 29275	-14718
+ 29274	-14721
+ 29273	-14724
+ 29271	-14726
+ 29270	-14729
+ 29268	-14732
+ 29267	-14735
+ 29266	-14738
+ 29264	-14740
+ 29263	-14743
+ 29261	-14746
+ 29260	-14749
+ 29258	-14752
+ 29257	-14754
+ 29256	-14757
+ 29254	-14760
+ 29253	-14763
+ 29251	-14766
+ 29250	-14768
+ 29249	-14771
+ 29247	-14774
+ 29246	-14777
+ 29244	-14780
+ 29243	-14782
+ 29242	-14785
+ 29240	-14788
+ 29239	-14791
+ 29237	-14794
+ 29236	-14796
+ 29234	-14799
+ 29233	-14802
+ 29232	-14805
+ 29230	-14808
+ 29229	-14810
+ 29227	-14813
+ 29226	-14816
+ 29224	-14819
+ 29223	-14822
+ 29222	-14824
+ 29220	-14827
+ 29219	-14830
+ 29217	-14833
+ 29216	-14836
+ 29215	-14838
+ 29213	-14841
+ 29212	-14844
+ 29210	-14847
+ 29209	-14850
+ 29207	-14852
+ 29206	-14855
+ 29205	-14858
+ 29203	-14861
+ 29202	-14864
+ 29200	-14866
+ 29199	-14869
+ 29197	-14872
+ 29196	-14875
+ 29195	-14878
+ 29193	-14880
+ 29192	-14883
+ 29190	-14886
+ 29189	-14889
+ 29187	-14892
+ 29186	-14894
+ 29185	-14897
+ 29183	-14900
+ 29182	-14903
+ 29180	-14906
+ 29179	-14908
+ 29177	-14911
+ 29176	-14914
+ 29175	-14917
+ 29173	-14920
+ 29172	-14922
+ 29170	-14925
+ 29169	-14928
+ 29167	-14931
+ 29166	-14934
+ 29165	-14936
+ 29163	-14939
+ 29162	-14942
+ 29160	-14945
+ 29159	-14948
+ 29157	-14950
+ 29156	-14953
+ 29155	-14956
+ 29153	-14959
+ 29152	-14962
+ 29150	-14964
+ 29149	-14967
+ 29147	-14970
+ 29146	-14973
+ 29144	-14976
+ 29143	-14978
+ 29142	-14981
+ 29140	-14984
+ 29139	-14987
+ 29137	-14990
+ 29136	-14992
+ 29134	-14995
+ 29133	-14998
+ 29132	-15001
+ 29130	-15004
+ 29129	-15006
+ 29127	-15009
+ 29126	-15012
+ 29124	-15015
+ 29123	-15017
+ 29121	-15020
+ 29120	-15023
+ 29119	-15026
+ 29117	-15029
+ 29116	-15031
+ 29114	-15034
+ 29113	-15037
+ 29111	-15040
+ 29110	-15043
+ 29109	-15045
+ 29107	-15048
+ 29106	-15051
+ 29104	-15054
+ 29103	-15057
+ 29101	-15059
+ 29100	-15062
+ 29098	-15065
+ 29097	-15068
+ 29096	-15070
+ 29094	-15073
+ 29093	-15076
+ 29091	-15079
+ 29090	-15082
+ 29088	-15084
+ 29087	-15087
+ 29085	-15090
+ 29084	-15093
+ 29083	-15096
+ 29081	-15098
+ 29080	-15101
+ 29078	-15104
+ 29077	-15107
+ 29075	-15110
+ 29074	-15112
+ 29072	-15115
+ 29071	-15118
+ 29069	-15121
+ 29068	-15123
+ 29067	-15126
+ 29065	-15129
+ 29064	-15132
+ 29062	-15135
+ 29061	-15137
+ 29059	-15140
+ 29058	-15143
+ 29056	-15146
+ 29055	-15149
+ 29054	-15151
+ 29052	-15154
+ 29051	-15157
+ 29049	-15160
+ 29048	-15162
+ 29046	-15165
+ 29045	-15168
+ 29043	-15171
+ 29042	-15174
+ 29040	-15176
+ 29039	-15179
+ 29038	-15182
+ 29036	-15185
+ 29035	-15188
+ 29033	-15190
+ 29032	-15193
+ 29030	-15196
+ 29029	-15199
+ 29027	-15201
+ 29026	-15204
+ 29024	-15207
+ 29023	-15210
+ 29021	-15213
+ 29020	-15215
+ 29019	-15218
+ 29017	-15221
+ 29016	-15224
+ 29014	-15226
+ 29013	-15229
+ 29011	-15232
+ 29010	-15235
+ 29008	-15238
+ 29007	-15240
+ 29005	-15243
+ 29004	-15246
+ 29003	-15249
+ 29001	-15252
+ 29000	-15254
+ 28998	-15257
+ 28997	-15260
+ 28995	-15263
+ 28994	-15265
+ 28992	-15268
+ 28991	-15271
+ 28989	-15274
+ 28988	-15277
+ 28986	-15279
+ 28985	-15282
+ 28983	-15285
+ 28982	-15288
+ 28981	-15290
+ 28979	-15293
+ 28978	-15296
+ 28976	-15299
+ 28975	-15302
+ 28973	-15304
+ 28972	-15307
+ 28970	-15310
+ 28969	-15313
+ 28967	-15315
+ 28966	-15318
+ 28964	-15321
+ 28963	-15324
+ 28961	-15327
+ 28960	-15329
+ 28959	-15332
+ 28957	-15335
+ 28956	-15338
+ 28954	-15340
+ 28953	-15343
+ 28951	-15346
+ 28950	-15349
+ 28948	-15352
+ 28947	-15354
+ 28945	-15357
+ 28944	-15360
+ 28942	-15363
+ 28941	-15365
+ 28939	-15368
+ 28938	-15371
+ 28936	-15374
+ 28935	-15376
+ 28933	-15379
+ 28932	-15382
+ 28931	-15385
+ 28929	-15388
+ 28928	-15390
+ 28926	-15393
+ 28925	-15396
+ 28923	-15399
+ 28922	-15401
+ 28920	-15404
+ 28919	-15407
+ 28917	-15410
+ 28916	-15413
+ 28914	-15415
+ 28913	-15418
+ 28911	-15421
+ 28910	-15424
+ 28908	-15426
+ 28907	-15429
+ 28905	-15432
+ 28904	-15435
+ 28902	-15437
+ 28901	-15440
+ 28900	-15443
+ 28898	-15446
+ 28897	-15449
+ 28895	-15451
+ 28894	-15454
+ 28892	-15457
+ 28891	-15460
+ 28889	-15462
+ 28888	-15465
+ 28886	-15468
+ 28885	-15471
+ 28883	-15473
+ 28882	-15476
+ 28880	-15479
+ 28879	-15482
+ 28877	-15485
+ 28876	-15487
+ 28874	-15490
+ 28873	-15493
+ 28871	-15496
+ 28870	-15498
+ 28868	-15501
+ 28867	-15504
+ 28865	-15507
+ 28864	-15509
+ 28862	-15512
+ 28861	-15515
+ 28859	-15518
+ 28858	-15521
+ 28856	-15523
+ 28855	-15526
+ 28853	-15529
+ 28852	-15532
+ 28851	-15534
+ 28849	-15537
+ 28848	-15540
+ 28846	-15543
+ 28845	-15545
+ 28843	-15548
+ 28842	-15551
+ 28840	-15554
+ 28839	-15556
+ 28837	-15559
+ 28836	-15562
+ 28834	-15565
+ 28833	-15568
+ 28831	-15570
+ 28830	-15573
+ 28828	-15576
+ 28827	-15579
+ 28825	-15581
+ 28824	-15584
+ 28822	-15587
+ 28821	-15590
+ 28819	-15592
+ 28818	-15595
+ 28816	-15598
+ 28815	-15601
+ 28813	-15603
+ 28812	-15606
+ 28810	-15609
+ 28809	-15612
+ 28807	-15615
+ 28806	-15617
+ 28804	-15620
+ 28803	-15623
+ 28801	-15626
+ 28800	-15628
+ 28798	-15631
+ 28797	-15634
+ 28795	-15637
+ 28794	-15639
+ 28792	-15642
+ 28791	-15645
+ 28789	-15648
+ 28788	-15650
+ 28786	-15653
+ 28785	-15656
+ 28783	-15659
+ 28782	-15661
+ 28780	-15664
+ 28779	-15667
+ 28777	-15670
+ 28776	-15672
+ 28774	-15675
+ 28773	-15678
+ 28771	-15681
+ 28770	-15684
+ 28768	-15686
+ 28767	-15689
+ 28765	-15692
+ 28764	-15695
+ 28762	-15697
+ 28761	-15700
+ 28759	-15703
+ 28758	-15706
+ 28756	-15708
+ 28755	-15711
+ 28753	-15714
+ 28752	-15717
+ 28750	-15719
+ 28749	-15722
+ 28747	-15725
+ 28746	-15728
+ 28744	-15730
+ 28743	-15733
+ 28741	-15736
+ 28740	-15739
+ 28738	-15741
+ 28737	-15744
+ 28735	-15747
+ 28734	-15750
+ 28732	-15752
+ 28731	-15755
+ 28729	-15758
+ 28727	-15761
+ 28726	-15763
+ 28724	-15766
+ 28723	-15769
+ 28721	-15772
+ 28720	-15774
+ 28718	-15777
+ 28717	-15780
+ 28715	-15783
+ 28714	-15785
+ 28712	-15788
+ 28711	-15791
+ 28709	-15794
+ 28708	-15796
+ 28706	-15799
+ 28705	-15802
+ 28703	-15805
+ 28702	-15807
+ 28700	-15810
+ 28699	-15813
+ 28697	-15816
+ 28696	-15818
+ 28694	-15821
+ 28693	-15824
+ 28691	-15827
+ 28690	-15829
+ 28688	-15832
+ 28687	-15835
+ 28685	-15838
+ 28684	-15840
+ 28682	-15843
+ 28681	-15846
+ 28679	-15849
+ 28677	-15851
+ 28676	-15854
+ 28674	-15857
+ 28673	-15860
+ 28671	-15862
+ 28670	-15865
+ 28668	-15868
+ 28667	-15871
+ 28665	-15873
+ 28664	-15876
+ 28662	-15879
+ 28661	-15882
+ 28659	-15884
+ 28658	-15887
+ 28656	-15890
+ 28655	-15893
+ 28653	-15895
+ 28652	-15898
+ 28650	-15901
+ 28649	-15904
+ 28647	-15906
+ 28646	-15909
+ 28644	-15912
+ 28642	-15915
+ 28641	-15917
+ 28639	-15920
+ 28638	-15923
+ 28636	-15926
+ 28635	-15928
+ 28633	-15931
+ 28632	-15934
+ 28630	-15937
+ 28629	-15939
+ 28627	-15942
+ 28626	-15945
+ 28624	-15948
+ 28623	-15950
+ 28621	-15953
+ 28620	-15956
+ 28618	-15959
+ 28616	-15961
+ 28615	-15964
+ 28613	-15967
+ 28612	-15970
+ 28610	-15972
+ 28609	-15975
+ 28607	-15978
+ 28606	-15981
+ 28604	-15983
+ 28603	-15986
+ 28601	-15989
+ 28600	-15992
+ 28598	-15994
+ 28597	-15997
+ 28595	-16000
+ 28594	-16002
+ 28592	-16005
+ 28590	-16008
+ 28589	-16011
+ 28587	-16013
+ 28586	-16016
+ 28584	-16019
+ 28583	-16022
+ 28581	-16024
+ 28580	-16027
+ 28578	-16030
+ 28577	-16033
+ 28575	-16035
+ 28574	-16038
+ 28572	-16041
+ 28570	-16044
+ 28569	-16046
+ 28567	-16049
+ 28566	-16052
+ 28564	-16055
+ 28563	-16057
+ 28561	-16060
+ 28560	-16063
+ 28558	-16065
+ 28557	-16068
+ 28555	-16071
+ 28554	-16074
+ 28552	-16076
+ 28550	-16079
+ 28549	-16082
+ 28547	-16085
+ 28546	-16087
+ 28544	-16090
+ 28543	-16093
+ 28541	-16096
+ 28540	-16098
+ 28538	-16101
+ 28537	-16104
+ 28535	-16107
+ 28533	-16109
+ 28532	-16112
+ 28530	-16115
+ 28529	-16117
+ 28527	-16120
+ 28526	-16123
+ 28524	-16126
+ 28523	-16128
+ 28521	-16131
+ 28520	-16134
+ 28518	-16137
+ 28516	-16139
+ 28515	-16142
+ 28513	-16145
+ 28512	-16148
+ 28510	-16150
+ 28509	-16153
+ 28507	-16156
+ 28506	-16159
+ 28504	-16161
+ 28503	-16164
+ 28501	-16167
+ 28499	-16169
+ 28498	-16172
+ 28496	-16175
+ 28495	-16178
+ 28493	-16180
+ 28492	-16183
+ 28490	-16186
+ 28489	-16189
+ 28487	-16191
+ 28485	-16194
+ 28484	-16197
+ 28482	-16199
+ 28481	-16202
+ 28479	-16205
+ 28478	-16208
+ 28476	-16210
+ 28475	-16213
+ 28473	-16216
+ 28471	-16219
+ 28470	-16221
+ 28468	-16224
+ 28467	-16227
+ 28465	-16230
+ 28464	-16232
+ 28462	-16235
+ 28461	-16238
+ 28459	-16240
+ 28457	-16243
+ 28456	-16246
+ 28454	-16249
+ 28453	-16251
+ 28451	-16254
+ 28450	-16257
+ 28448	-16260
+ 28447	-16262
+ 28445	-16265
+ 28443	-16268
+ 28442	-16270
+ 28440	-16273
+ 28439	-16276
+ 28437	-16279
+ 28436	-16281
+ 28434	-16284
+ 28433	-16287
+ 28431	-16290
+ 28429	-16292
+ 28428	-16295
+ 28426	-16298
+ 28425	-16300
+ 28423	-16303
+ 28422	-16306
+ 28420	-16309
+ 28418	-16311
+ 28417	-16314
+ 28415	-16317
+ 28414	-16319
+ 28412	-16322
+ 28411	-16325
+ 28409	-16328
+ 28408	-16330
+ 28406	-16333
+ 28404	-16336
+ 28403	-16339
+ 28401	-16341
+ 28400	-16344
+ 28398	-16347
+ 28397	-16349
+ 28395	-16352
+ 28393	-16355
+ 28392	-16358
+ 28390	-16360
+ 28389	-16363
+ 28387	-16366
+ 28386	-16368
+ 28384	-16371
+ 28382	-16374
+ 28381	-16377
+ 28379	-16379
+ 28378	-16382
+ 28376	-16386
+ 28375	-16389
+ 28373	-16391
+ 28371	-16394
+ 28370	-16397
+ 28368	-16399
+ 28367	-16402
+ 28365	-16405
+ 28364	-16408
+ 28362	-16410
+ 28360	-16413
+ 28359	-16416
+ 28357	-16418
+ 28356	-16421
+ 28354	-16424
+ 28353	-16427
+ 28351	-16429
+ 28349	-16432
+ 28348	-16435
+ 28346	-16437
+ 28345	-16440
+ 28343	-16443
+ 28342	-16446
+ 28340	-16448
+ 28338	-16451
+ 28337	-16454
+ 28335	-16456
+ 28334	-16459
+ 28332	-16462
+ 28330	-16465
+ 28329	-16467
+ 28327	-16470
+ 28326	-16473
+ 28324	-16476
+ 28323	-16478
+ 28321	-16481
+ 28319	-16484
+ 28318	-16486
+ 28316	-16489
+ 28315	-16492
+ 28313	-16495
+ 28312	-16497
+ 28310	-16500
+ 28308	-16503
+ 28307	-16505
+ 28305	-16508
+ 28304	-16511
+ 28302	-16514
+ 28300	-16516
+ 28299	-16519
+ 28297	-16522
+ 28296	-16524
+ 28294	-16527
+ 28293	-16530
+ 28291	-16532
+ 28289	-16535
+ 28288	-16538
+ 28286	-16541
+ 28285	-16543
+ 28283	-16546
+ 28281	-16549
+ 28280	-16551
+ 28278	-16554
+ 28277	-16557
+ 28275	-16560
+ 28273	-16562
+ 28272	-16565
+ 28270	-16568
+ 28269	-16570
+ 28267	-16573
+ 28266	-16576
+ 28264	-16579
+ 28262	-16581
+ 28261	-16584
+ 28259	-16587
+ 28258	-16589
+ 28256	-16592
+ 28254	-16595
+ 28253	-16598
+ 28251	-16600
+ 28250	-16603
+ 28248	-16606
+ 28246	-16608
+ 28245	-16611
+ 28243	-16614
+ 28242	-16617
+ 28240	-16619
+ 28238	-16622
+ 28237	-16625
+ 28235	-16627
+ 28234	-16630
+ 28232	-16633
+ 28231	-16635
+ 28229	-16638
+ 28227	-16641
+ 28226	-16644
+ 28224	-16646
+ 28223	-16649
+ 28221	-16652
+ 28219	-16654
+ 28218	-16657
+ 28216	-16660
+ 28215	-16663
+ 28213	-16665
+ 28211	-16668
+ 28210	-16671
+ 28208	-16673
+ 28207	-16676
+ 28205	-16679
+ 28203	-16681
+ 28202	-16684
+ 28200	-16687
+ 28199	-16690
+ 28197	-16692
+ 28195	-16695
+ 28194	-16698
+ 28192	-16700
+ 28191	-16703
+ 28189	-16706
+ 28187	-16708
+ 28186	-16711
+ 28184	-16714
+ 28183	-16717
+ 28181	-16719
+ 28179	-16722
+ 28178	-16725
+ 28176	-16727
+ 28175	-16730
+ 28173	-16733
+ 28171	-16735
+ 28170	-16738
+ 28168	-16741
+ 28167	-16744
+ 28165	-16746
+ 28163	-16749
+ 28162	-16752
+ 28160	-16754
+ 28158	-16757
+ 28157	-16760
+ 28155	-16762
+ 28154	-16765
+ 28152	-16768
+ 28150	-16771
+ 28149	-16773
+ 28147	-16776
+ 28146	-16779
+ 28144	-16781
+ 28142	-16784
+ 28141	-16787
+ 28139	-16789
+ 28138	-16792
+ 28136	-16795
+ 28134	-16798
+ 28133	-16800
+ 28131	-16803
+ 28130	-16806
+ 28128	-16808
+ 28126	-16811
+ 28125	-16814
+ 28123	-16816
+ 28121	-16819
+ 28120	-16822
+ 28118	-16825
+ 28117	-16827
+ 28115	-16830
+ 28113	-16833
+ 28112	-16835
+ 28110	-16838
+ 28109	-16841
+ 28107	-16843
+ 28105	-16846
+ 28104	-16849
+ 28102	-16851
+ 28100	-16854
+ 28099	-16857
+ 28097	-16860
+ 28096	-16862
+ 28094	-16865
+ 28092	-16868
+ 28091	-16870
+ 28089	-16873
+ 28088	-16876
+ 28086	-16878
+ 28084	-16881
+ 28083	-16884
+ 28081	-16886
+ 28079	-16889
+ 28078	-16892
+ 28076	-16895
+ 28075	-16897
+ 28073	-16900
+ 28071	-16903
+ 28070	-16905
+ 28068	-16908
+ 28067	-16911
+ 28065	-16913
+ 28063	-16916
+ 28062	-16919
+ 28060	-16921
+ 28058	-16924
+ 28057	-16927
+ 28055	-16930
+ 28054	-16932
+ 28052	-16935
+ 28050	-16938
+ 28049	-16940
+ 28047	-16943
+ 28045	-16946
+ 28044	-16948
+ 28042	-16951
+ 28041	-16954
+ 28039	-16956
+ 28037	-16959
+ 28036	-16962
+ 28034	-16964
+ 28032	-16967
+ 28031	-16970
+ 28029	-16973
+ 28028	-16975
+ 28026	-16978
+ 28024	-16981
+ 28023	-16983
+ 28021	-16986
+ 28019	-16989
+ 28018	-16991
+ 28016	-16994
+ 28014	-16997
+ 28013	-16999
+ 28011	-17002
+ 28010	-17005
+ 28008	-17007
+ 28006	-17010
+ 28005	-17013
+ 28003	-17016
+ 28001	-17018
+ 28000	-17021
+ 27998	-17024
+ 27997	-17026
+ 27995	-17029
+ 27993	-17032
+ 27992	-17034
+ 27990	-17037
+ 27988	-17040
+ 27987	-17042
+ 27985	-17045
+ 27983	-17048
+ 27982	-17050
+ 27980	-17053
+ 27979	-17056
+ 27977	-17058
+ 27975	-17061
+ 27974	-17064
+ 27972	-17067
+ 27970	-17069
+ 27969	-17072
+ 27967	-17075
+ 27965	-17077
+ 27964	-17080
+ 27962	-17083
+ 27961	-17085
+ 27959	-17088
+ 27957	-17091
+ 27956	-17093
+ 27954	-17096
+ 27952	-17099
+ 27951	-17101
+ 27949	-17104
+ 27947	-17107
+ 27946	-17109
+ 27944	-17112
+ 27943	-17115
+ 27941	-17117
+ 27939	-17120
+ 27938	-17123
+ 27936	-17125
+ 27934	-17128
+ 27933	-17131
+ 27931	-17134
+ 27929	-17136
+ 27928	-17139
+ 27926	-17142
+ 27924	-17144
+ 27923	-17147
+ 27921	-17150
+ 27920	-17152
+ 27918	-17155
+ 27916	-17158
+ 27915	-17160
+ 27913	-17163
+ 27911	-17166
+ 27910	-17168
+ 27908	-17171
+ 27906	-17174
+ 27905	-17176
+ 27903	-17179
+ 27901	-17182
+ 27900	-17184
+ 27898	-17187
+ 27897	-17190
+ 27895	-17192
+ 27893	-17195
+ 27892	-17198
+ 27890	-17200
+ 27888	-17203
+ 27887	-17206
+ 27885	-17208
+ 27883	-17211
+ 27882	-17214
+ 27880	-17216
+ 27878	-17219
+ 27877	-17222
+ 27875	-17224
+ 27873	-17227
+ 27872	-17230
+ 27870	-17232
+ 27868	-17235
+ 27867	-17238
+ 27865	-17240
+ 27863	-17243
+ 27862	-17246
+ 27860	-17249
+ 27859	-17251
+ 27857	-17254
+ 27855	-17257
+ 27854	-17259
+ 27852	-17262
+ 27850	-17265
+ 27849	-17267
+ 27847	-17270
+ 27845	-17273
+ 27844	-17275
+ 27842	-17278
+ 27840	-17281
+ 27839	-17283
+ 27837	-17286
+ 27835	-17289
+ 27834	-17291
+ 27832	-17294
+ 27830	-17297
+ 27829	-17299
+ 27827	-17302
+ 27825	-17305
+ 27824	-17307
+ 27822	-17310
+ 27820	-17313
+ 27819	-17315
+ 27817	-17318
+ 27815	-17321
+ 27814	-17323
+ 27812	-17326
+ 27810	-17329
+ 27809	-17331
+ 27807	-17334
+ 27805	-17337
+ 27804	-17339
+ 27802	-17342
+ 27800	-17345
+ 27799	-17347
+ 27797	-17350
+ 27796	-17353
+ 27794	-17355
+ 27792	-17358
+ 27791	-17361
+ 27789	-17363
+ 27787	-17366
+ 27786	-17369
+ 27784	-17371
+ 27782	-17374
+ 27781	-17377
+ 27779	-17379
+ 27777	-17382
+ 27776	-17385
+ 27774	-17387
+ 27772	-17390
+ 27771	-17393
+ 27769	-17395
+ 27767	-17398
+ 27766	-17400
+ 27764	-17403
+ 27762	-17406
+ 27761	-17408
+ 27759	-17411
+ 27757	-17414
+ 27756	-17416
+ 27754	-17419
+ 27752	-17422
+ 27750	-17424
+ 27749	-17427
+ 27747	-17430
+ 27745	-17432
+ 27744	-17435
+ 27742	-17438
+ 27740	-17440
+ 27739	-17443
+ 27737	-17446
+ 27735	-17448
+ 27734	-17451
+ 27732	-17454
+ 27730	-17456
+ 27729	-17459
+ 27727	-17462
+ 27725	-17464
+ 27724	-17467
+ 27722	-17470
+ 27720	-17472
+ 27719	-17475
+ 27717	-17478
+ 27715	-17480
+ 27714	-17483
+ 27712	-17486
+ 27710	-17488
+ 27709	-17491
+ 27707	-17494
+ 27705	-17496
+ 27704	-17499
+ 27702	-17502
+ 27700	-17504
+ 27699	-17507
+ 27697	-17509
+ 27695	-17512
+ 27694	-17515
+ 27692	-17517
+ 27690	-17520
+ 27689	-17523
+ 27687	-17525
+ 27685	-17528
+ 27683	-17531
+ 27682	-17533
+ 27680	-17536
+ 27678	-17539
+ 27677	-17541
+ 27675	-17544
+ 27673	-17547
+ 27672	-17549
+ 27670	-17552
+ 27668	-17555
+ 27667	-17557
+ 27665	-17560
+ 27663	-17563
+ 27662	-17565
+ 27660	-17568
+ 27658	-17571
+ 27657	-17573
+ 27655	-17576
+ 27653	-17578
+ 27651	-17581
+ 27650	-17584
+ 27648	-17586
+ 27646	-17589
+ 27645	-17592
+ 27643	-17594
+ 27641	-17597
+ 27640	-17600
+ 27638	-17602
+ 27636	-17605
+ 27635	-17608
+ 27633	-17610
+ 27631	-17613
+ 27630	-17616
+ 27628	-17618
+ 27626	-17621
+ 27624	-17624
+ 27623	-17626
+ 27621	-17629
+ 27619	-17631
+ 27618	-17634
+ 27616	-17637
+ 27614	-17639
+ 27613	-17642
+ 27611	-17645
+ 27609	-17647
+ 27608	-17650
+ 27606	-17653
+ 27604	-17655
+ 27603	-17658
+ 27601	-17661
+ 27599	-17663
+ 27597	-17666
+ 27596	-17669
+ 27594	-17671
+ 27592	-17674
+ 27591	-17676
+ 27589	-17679
+ 27587	-17682
+ 27586	-17684
+ 27584	-17687
+ 27582	-17690
+ 27580	-17692
+ 27579	-17695
+ 27577	-17698
+ 27575	-17700
+ 27574	-17703
+ 27572	-17706
+ 27570	-17708
+ 27569	-17711
+ 27567	-17713
+ 27565	-17716
+ 27564	-17719
+ 27562	-17721
+ 27560	-17724
+ 27558	-17727
+ 27557	-17729
+ 27555	-17732
+ 27553	-17735
+ 27552	-17737
+ 27550	-17740
+ 27548	-17743
+ 27547	-17745
+ 27545	-17748
+ 27543	-17750
+ 27541	-17753
+ 27540	-17756
+ 27538	-17758
+ 27536	-17761
+ 27535	-17764
+ 27533	-17766
+ 27531	-17769
+ 27529	-17772
+ 27528	-17774
+ 27526	-17777
+ 27524	-17779
+ 27523	-17782
+ 27521	-17785
+ 27519	-17787
+ 27518	-17790
+ 27516	-17793
+ 27514	-17795
+ 27512	-17798
+ 27511	-17801
+ 27509	-17803
+ 27507	-17806
+ 27506	-17809
+ 27504	-17811
+ 27502	-17814
+ 27500	-17816
+ 27499	-17819
+ 27497	-17822
+ 27495	-17824
+ 27494	-17827
+ 27492	-17830
+ 27490	-17832
+ 27489	-17835
+ 27487	-17838
+ 27485	-17840
+ 27483	-17843
+ 27482	-17845
+ 27480	-17848
+ 27478	-17851
+ 27477	-17853
+ 27475	-17856
+ 27473	-17859
+ 27471	-17861
+ 27470	-17864
+ 27468	-17866
+ 27466	-17869
+ 27465	-17872
+ 27463	-17874
+ 27461	-17877
+ 27459	-17880
+ 27458	-17882
+ 27456	-17885
+ 27454	-17888
+ 27453	-17890
+ 27451	-17893
+ 27449	-17895
+ 27447	-17898
+ 27446	-17901
+ 27444	-17903
+ 27442	-17906
+ 27441	-17909
+ 27439	-17911
+ 27437	-17914
+ 27435	-17916
+ 27434	-17919
+ 27432	-17922
+ 27430	-17924
+ 27429	-17927
+ 27427	-17930
+ 27425	-17932
+ 27423	-17935
+ 27422	-17938
+ 27420	-17940
+ 27418	-17943
+ 27416	-17945
+ 27415	-17948
+ 27413	-17951
+ 27411	-17953
+ 27410	-17956
+ 27408	-17959
+ 27406	-17961
+ 27404	-17964
+ 27403	-17966
+ 27401	-17969
+ 27399	-17972
+ 27398	-17974
+ 27396	-17977
+ 27394	-17980
+ 27392	-17982
+ 27391	-17985
+ 27389	-17987
+ 27387	-17990
+ 27385	-17993
+ 27384	-17995
+ 27382	-17998
+ 27380	-18001
+ 27379	-18003
+ 27377	-18006
+ 27375	-18008
+ 27373	-18011
+ 27372	-18014
+ 27370	-18016
+ 27368	-18019
+ 27366	-18022
+ 27365	-18024
+ 27363	-18027
+ 27361	-18029
+ 27360	-18032
+ 27358	-18035
+ 27356	-18037
+ 27354	-18040
+ 27353	-18043
+ 27351	-18045
+ 27349	-18048
+ 27347	-18050
+ 27346	-18053
+ 27344	-18056
+ 27342	-18058
+ 27341	-18061
+ 27339	-18064
+ 27337	-18066
+ 27335	-18069
+ 27334	-18071
+ 27332	-18074
+ 27330	-18077
+ 27328	-18079
+ 27327	-18082
+ 27325	-18084
+ 27323	-18087
+ 27321	-18090
+ 27320	-18092
+ 27318	-18095
+ 27316	-18098
+ 27315	-18100
+ 27313	-18103
+ 27311	-18105
+ 27309	-18108
+ 27308	-18111
+ 27306	-18113
+ 27304	-18116
+ 27302	-18119
+ 27301	-18121
+ 27299	-18124
+ 27297	-18126
+ 27295	-18129
+ 27294	-18132
+ 27292	-18134
+ 27290	-18137
+ 27288	-18139
+ 27287	-18142
+ 27285	-18145
+ 27283	-18147
+ 27282	-18150
+ 27280	-18153
+ 27278	-18155
+ 27276	-18158
+ 27275	-18160
+ 27273	-18163
+ 27271	-18166
+ 27269	-18168
+ 27268	-18171
+ 27266	-18173
+ 27264	-18176
+ 27262	-18179
+ 27261	-18181
+ 27259	-18184
+ 27257	-18187
+ 27255	-18189
+ 27254	-18192
+ 27252	-18194
+ 27250	-18197
+ 27248	-18200
+ 27247	-18202
+ 27245	-18205
+ 27243	-18207
+ 27241	-18210
+ 27240	-18213
+ 27238	-18215
+ 27236	-18218
+ 27234	-18220
+ 27233	-18223
+ 27231	-18226
+ 27229	-18228
+ 27227	-18231
+ 27226	-18234
+ 27224	-18236
+ 27222	-18239
+ 27220	-18241
+ 27219	-18244
+ 27217	-18247
+ 27215	-18249
+ 27213	-18252
+ 27212	-18254
+ 27210	-18257
+ 27208	-18260
+ 27206	-18262
+ 27205	-18265
+ 27203	-18267
+ 27201	-18270
+ 27199	-18273
+ 27198	-18275
+ 27196	-18278
+ 27194	-18280
+ 27192	-18283
+ 27191	-18286
+ 27189	-18288
+ 27187	-18291
+ 27185	-18294
+ 27184	-18296
+ 27182	-18299
+ 27180	-18301
+ 27178	-18304
+ 27177	-18307
+ 27175	-18309
+ 27173	-18312
+ 27171	-18314
+ 27170	-18317
+ 27168	-18320
+ 27166	-18322
+ 27164	-18325
+ 27163	-18327
+ 27161	-18330
+ 27159	-18333
+ 27157	-18335
+ 27156	-18338
+ 27154	-18340
+ 27152	-18343
+ 27150	-18346
+ 27149	-18348
+ 27147	-18351
+ 27145	-18353
+ 27143	-18356
+ 27142	-18359
+ 27140	-18361
+ 27138	-18364
+ 27136	-18366
+ 27134	-18369
+ 27133	-18372
+ 27131	-18374
+ 27129	-18377
+ 27127	-18379
+ 27126	-18382
+ 27124	-18385
+ 27122	-18387
+ 27120	-18390
+ 27119	-18392
+ 27117	-18395
+ 27115	-18398
+ 27113	-18400
+ 27112	-18403
+ 27110	-18405
+ 27108	-18408
+ 27106	-18411
+ 27105	-18413
+ 27103	-18416
+ 27101	-18418
+ 27099	-18421
+ 27097	-18424
+ 27096	-18426
+ 27094	-18429
+ 27092	-18431
+ 27090	-18434
+ 27089	-18437
+ 27087	-18439
+ 27085	-18442
+ 27083	-18444
+ 27082	-18447
+ 27080	-18450
+ 27078	-18452
+ 27076	-18455
+ 27074	-18457
+ 27073	-18460
+ 27071	-18463
+ 27069	-18465
+ 27067	-18468
+ 27066	-18470
+ 27064	-18473
+ 27062	-18476
+ 27060	-18478
+ 27059	-18481
+ 27057	-18483
+ 27055	-18486
+ 27053	-18489
+ 27051	-18491
+ 27050	-18494
+ 27048	-18496
+ 27046	-18499
+ 27044	-18501
+ 27043	-18504
+ 27041	-18507
+ 27039	-18509
+ 27037	-18512
+ 27035	-18514
+ 27034	-18517
+ 27032	-18520
+ 27030	-18522
+ 27028	-18525
+ 27027	-18527
+ 27025	-18530
+ 27023	-18533
+ 27021	-18535
+ 27019	-18538
+ 27018	-18540
+ 27016	-18543
+ 27014	-18546
+ 27012	-18548
+ 27011	-18551
+ 27009	-18553
+ 27007	-18556
+ 27005	-18558
+ 27003	-18561
+ 27002	-18564
+ 27000	-18566
+ 26998	-18569
+ 26996	-18571
+ 26995	-18574
+ 26993	-18577
+ 26991	-18579
+ 26989	-18582
+ 26987	-18584
+ 26986	-18587
+ 26984	-18590
+ 26982	-18592
+ 26980	-18595
+ 26979	-18597
+ 26977	-18600
+ 26975	-18602
+ 26973	-18605
+ 26971	-18608
+ 26970	-18610
+ 26968	-18613
+ 26966	-18615
+ 26964	-18618
+ 26962	-18621
+ 26961	-18623
+ 26959	-18626
+ 26957	-18628
+ 26955	-18631
+ 26954	-18633
+ 26952	-18636
+ 26950	-18639
+ 26948	-18641
+ 26946	-18644
+ 26945	-18646
+ 26943	-18649
+ 26941	-18652
+ 26939	-18654
+ 26937	-18657
+ 26936	-18659
+ 26934	-18662
+ 26932	-18664
+ 26930	-18667
+ 26929	-18670
+ 26927	-18672
+ 26925	-18675
+ 26923	-18677
+ 26921	-18680
+ 26920	-18683
+ 26918	-18685
+ 26916	-18688
+ 26914	-18690
+ 26912	-18693
+ 26911	-18695
+ 26909	-18698
+ 26907	-18701
+ 26905	-18703
+ 26903	-18706
+ 26902	-18708
+ 26900	-18711
+ 26898	-18714
+ 26896	-18716
+ 26894	-18719
+ 26893	-18721
+ 26891	-18724
+ 26889	-18726
+ 26887	-18729
+ 26886	-18732
+ 26884	-18734
+ 26882	-18737
+ 26880	-18739
+ 26878	-18742
+ 26877	-18744
+ 26875	-18747
+ 26873	-18750
+ 26871	-18752
+ 26869	-18755
+ 26868	-18757
+ 26866	-18760
+ 26864	-18762
+ 26862	-18765
+ 26860	-18768
+ 26859	-18770
+ 26857	-18773
+ 26855	-18775
+ 26853	-18778
+ 26851	-18781
+ 26850	-18783
+ 26848	-18786
+ 26846	-18788
+ 26844	-18791
+ 26842	-18793
+ 26841	-18796
+ 26839	-18799
+ 26837	-18801
+ 26835	-18804
+ 26833	-18806
+ 26832	-18809
+ 26830	-18811
+ 26828	-18814
+ 26826	-18817
+ 26824	-18819
+ 26823	-18822
+ 26821	-18824
+ 26819	-18827
+ 26817	-18829
+ 26815	-18832
+ 26813	-18835
+ 26812	-18837
+ 26810	-18840
+ 26808	-18842
+ 26806	-18845
+ 26804	-18847
+ 26803	-18850
+ 26801	-18853
+ 26799	-18855
+ 26797	-18858
+ 26795	-18860
+ 26794	-18863
+ 26792	-18865
+ 26790	-18868
+ 26788	-18870
+ 26786	-18873
+ 26785	-18876
+ 26783	-18878
+ 26781	-18881
+ 26779	-18883
+ 26777	-18886
+ 26776	-18888
+ 26774	-18891
+ 26772	-18894
+ 26770	-18896
+ 26768	-18899
+ 26766	-18901
+ 26765	-18904
+ 26763	-18906
+ 26761	-18909
+ 26759	-18912
+ 26757	-18914
+ 26756	-18917
+ 26754	-18919
+ 26752	-18922
+ 26750	-18924
+ 26748	-18927
+ 26747	-18930
+ 26745	-18932
+ 26743	-18935
+ 26741	-18937
+ 26739	-18940
+ 26737	-18942
+ 26736	-18945
+ 26734	-18947
+ 26732	-18950
+ 26730	-18953
+ 26728	-18955
+ 26727	-18958
+ 26725	-18960
+ 26723	-18963
+ 26721	-18965
+ 26719	-18968
+ 26717	-18971
+ 26716	-18973
+ 26714	-18976
+ 26712	-18978
+ 26710	-18981
+ 26708	-18983
+ 26707	-18986
+ 26705	-18988
+ 26703	-18991
+ 26701	-18994
+ 26699	-18996
+ 26697	-18999
+ 26696	-19001
+ 26694	-19004
+ 26692	-19006
+ 26690	-19009
+ 26688	-19011
+ 26686	-19014
+ 26685	-19017
+ 26683	-19019
+ 26681	-19022
+ 26679	-19024
+ 26677	-19027
+ 26676	-19029
+ 26674	-19032
+ 26672	-19035
+ 26670	-19037
+ 26668	-19040
+ 26666	-19042
+ 26665	-19045
+ 26663	-19047
+ 26661	-19050
+ 26659	-19052
+ 26657	-19055
+ 26655	-19058
+ 26654	-19060
+ 26652	-19063
+ 26650	-19065
+ 26648	-19068
+ 26646	-19070
+ 26644	-19073
+ 26643	-19075
+ 26641	-19078
+ 26639	-19081
+ 26637	-19083
+ 26635	-19086
+ 26634	-19088
+ 26632	-19091
+ 26630	-19093
+ 26628	-19096
+ 26626	-19098
+ 26624	-19101
+ 26623	-19103
+ 26621	-19106
+ 26619	-19109
+ 26617	-19111
+ 26615	-19114
+ 26613	-19116
+ 26612	-19119
+ 26610	-19121
+ 26608	-19124
+ 26606	-19126
+ 26604	-19129
+ 26602	-19132
+ 26601	-19134
+ 26599	-19137
+ 26597	-19139
+ 26595	-19142
+ 26593	-19144
+ 26591	-19147
+ 26590	-19149
+ 26588	-19152
+ 26586	-19154
+ 26584	-19157
+ 26582	-19160
+ 26580	-19162
+ 26579	-19165
+ 26577	-19167
+ 26575	-19170
+ 26573	-19172
+ 26571	-19175
+ 26569	-19177
+ 26567	-19180
+ 26566	-19183
+ 26564	-19185
+ 26562	-19188
+ 26560	-19190
+ 26558	-19193
+ 26556	-19195
+ 26555	-19198
+ 26553	-19200
+ 26551	-19203
+ 26549	-19205
+ 26547	-19208
+ 26545	-19211
+ 26544	-19213
+ 26542	-19216
+ 26540	-19218
+ 26538	-19221
+ 26536	-19223
+ 26534	-19226
+ 26532	-19228
+ 26531	-19231
+ 26529	-19233
+ 26527	-19236
+ 26525	-19239
+ 26523	-19241
+ 26521	-19244
+ 26520	-19246
+ 26518	-19249
+ 26516	-19251
+ 26514	-19254
+ 26512	-19256
+ 26510	-19259
+ 26509	-19261
+ 26507	-19264
+ 26505	-19266
+ 26503	-19269
+ 26501	-19272
+ 26499	-19274
+ 26497	-19277
+ 26496	-19279
+ 26494	-19282
+ 26492	-19284
+ 26490	-19287
+ 26488	-19289
+ 26486	-19292
+ 26484	-19294
+ 26483	-19297
+ 26481	-19299
+ 26479	-19302
+ 26477	-19305
+ 26475	-19307
+ 26473	-19310
+ 26472	-19312
+ 26470	-19315
+ 26468	-19317
+ 26466	-19320
+ 26464	-19322
+ 26462	-19325
+ 26460	-19327
+ 26459	-19330
+ 26457	-19332
+ 26455	-19335
+ 26453	-19338
+ 26451	-19340
+ 26449	-19343
+ 26447	-19345
+ 26446	-19348
+ 26444	-19350
+ 26442	-19353
+ 26440	-19355
+ 26438	-19358
+ 26436	-19360
+ 26434	-19363
+ 26433	-19365
+ 26431	-19368
+ 26429	-19371
+ 26427	-19373
+ 26425	-19376
+ 26423	-19378
+ 26421	-19381
+ 26420	-19383
+ 26418	-19386
+ 26416	-19388
+ 26414	-19391
+ 26412	-19393
+ 26410	-19396
+ 26408	-19398
+ 26407	-19401
+ 26405	-19403
+ 26403	-19406
+ 26401	-19408
+ 26399	-19411
+ 26397	-19414
+ 26395	-19416
+ 26394	-19419
+ 26392	-19421
+ 26390	-19424
+ 26388	-19426
+ 26386	-19429
+ 26384	-19431
+ 26382	-19434
+ 26381	-19436
+ 26379	-19439
+ 26377	-19441
+ 26375	-19444
+ 26373	-19446
+ 26371	-19449
+ 26369	-19451
+ 26367	-19454
+ 26366	-19457
+ 26364	-19459
+ 26362	-19462
+ 26360	-19464
+ 26358	-19467
+ 26356	-19469
+ 26354	-19472
+ 26353	-19474
+ 26351	-19477
+ 26349	-19479
+ 26347	-19482
+ 26345	-19484
+ 26343	-19487
+ 26341	-19489
+ 26339	-19492
+ 26338	-19494
+ 26336	-19497
+ 26334	-19499
+ 26332	-19502
+ 26330	-19505
+ 26328	-19507
+ 26326	-19510
+ 26325	-19512
+ 26323	-19515
+ 26321	-19517
+ 26319	-19520
+ 26317	-19522
+ 26315	-19525
+ 26313	-19527
+ 26311	-19530
+ 26310	-19532
+ 26308	-19535
+ 26306	-19537
+ 26304	-19540
+ 26302	-19542
+ 26300	-19545
+ 26298	-19547
+ 26296	-19550
+ 26295	-19552
+ 26293	-19555
+ 26291	-19558
+ 26289	-19560
+ 26287	-19563
+ 26285	-19565
+ 26283	-19568
+ 26281	-19570
+ 26280	-19573
+ 26278	-19575
+ 26276	-19578
+ 26274	-19580
+ 26272	-19583
+ 26270	-19585
+ 26268	-19588
+ 26266	-19590
+ 26265	-19593
+ 26263	-19595
+ 26261	-19598
+ 26259	-19600
+ 26257	-19603
+ 26255	-19605
+ 26253	-19608
+ 26251	-19610
+ 26249	-19613
+ 26248	-19615
+ 26246	-19618
+ 26244	-19620
+ 26242	-19623
+ 26240	-19625
+ 26238	-19628
+ 26236	-19631
+ 26234	-19633
+ 26233	-19636
+ 26231	-19638
+ 26229	-19641
+ 26227	-19643
+ 26225	-19646
+ 26223	-19648
+ 26221	-19651
+ 26219	-19653
+ 26217	-19656
+ 26216	-19658
+ 26214	-19661
+ 26212	-19663
+ 26210	-19666
+ 26208	-19668
+ 26206	-19671
+ 26204	-19673
+ 26202	-19676
+ 26201	-19678
+ 26199	-19681
+ 26197	-19683
+ 26195	-19686
+ 26193	-19688
+ 26191	-19691
+ 26189	-19693
+ 26187	-19696
+ 26185	-19698
+ 26184	-19701
+ 26182	-19703
+ 26180	-19706
+ 26178	-19708
+ 26176	-19711
+ 26174	-19713
+ 26172	-19716
+ 26170	-19718
+ 26168	-19721
+ 26167	-19723
+ 26165	-19726
+ 26163	-19728
+ 26161	-19731
+ 26159	-19734
+ 26157	-19736
+ 26155	-19739
+ 26153	-19741
+ 26151	-19744
+ 26150	-19746
+ 26148	-19749
+ 26146	-19751
+ 26144	-19754
+ 26142	-19756
+ 26140	-19759
+ 26138	-19761
+ 26136	-19764
+ 26134	-19766
+ 26132	-19769
+ 26131	-19771
+ 26129	-19774
+ 26127	-19776
+ 26125	-19779
+ 26123	-19781
+ 26121	-19784
+ 26119	-19786
+ 26117	-19789
+ 26115	-19791
+ 26113	-19794
+ 26112	-19796
+ 26110	-19799
+ 26108	-19801
+ 26106	-19804
+ 26104	-19806
+ 26102	-19809
+ 26100	-19811
+ 26098	-19814
+ 26096	-19816
+ 26095	-19819
+ 26093	-19821
+ 26091	-19824
+ 26089	-19826
+ 26087	-19829
+ 26085	-19831
+ 26083	-19834
+ 26081	-19836
+ 26079	-19839
+ 26077	-19841
+ 26075	-19844
+ 26074	-19846
+ 26072	-19849
+ 26070	-19851
+ 26068	-19854
+ 26066	-19856
+ 26064	-19859
+ 26062	-19861
+ 26060	-19864
+ 26058	-19866
+ 26056	-19869
+ 26055	-19871
+ 26053	-19874
+ 26051	-19876
+ 26049	-19879
+ 26047	-19881
+ 26045	-19884
+ 26043	-19886
+ 26041	-19889
+ 26039	-19891
+ 26037	-19894
+ 26035	-19896
+ 26034	-19899
+ 26032	-19901
+ 26030	-19904
+ 26028	-19906
+ 26026	-19909
+ 26024	-19911
+ 26022	-19914
+ 26020	-19916
+ 26018	-19919
+ 26016	-19921
+ 26014	-19924
+ 26013	-19926
+ 26011	-19929
+ 26009	-19931
+ 26007	-19934
+ 26005	-19936
+ 26003	-19939
+ 26001	-19941
+ 25999	-19944
+ 25997	-19946
+ 25995	-19949
+ 25993	-19951
+ 25992	-19953
+ 25990	-19956
+ 25988	-19958
+ 25986	-19961
+ 25984	-19963
+ 25982	-19966
+ 25980	-19968
+ 25978	-19971
+ 25976	-19973
+ 25974	-19976
+ 25972	-19978
+ 25970	-19981
+ 25969	-19983
+ 25967	-19986
+ 25965	-19988
+ 25963	-19991
+ 25961	-19993
+ 25959	-19996
+ 25957	-19998
+ 25955	-20001
+ 25953	-20003
+ 25951	-20006
+ 25949	-20008
+ 25947	-20011
+ 25946	-20013
+ 25944	-20016
+ 25942	-20018
+ 25940	-20021
+ 25938	-20023
+ 25936	-20026
+ 25934	-20028
+ 25932	-20031
+ 25930	-20033
+ 25928	-20036
+ 25926	-20038
+ 25924	-20041
+ 25923	-20043
+ 25921	-20046
+ 25919	-20048
+ 25917	-20051
+ 25915	-20053
+ 25913	-20056
+ 25911	-20058
+ 25909	-20060
+ 25907	-20063
+ 25905	-20065
+ 25903	-20068
+ 25901	-20070
+ 25899	-20073
+ 25898	-20075
+ 25896	-20078
+ 25894	-20080
+ 25892	-20083
+ 25890	-20085
+ 25888	-20088
+ 25886	-20090
+ 25884	-20093
+ 25882	-20095
+ 25880	-20098
+ 25878	-20100
+ 25876	-20103
+ 25874	-20105
+ 25872	-20108
+ 25871	-20110
+ 25869	-20113
+ 25867	-20115
+ 25865	-20118
+ 25863	-20120
+ 25861	-20123
+ 25859	-20125
+ 25857	-20127
+ 25855	-20130
+ 25853	-20132
+ 25851	-20135
+ 25849	-20137
+ 25847	-20140
+ 25845	-20142
+ 25844	-20145
+ 25842	-20147
+ 25840	-20150
+ 25838	-20152
+ 25836	-20155
+ 25834	-20157
+ 25832	-20160
+ 25830	-20162
+ 25828	-20165
+ 25826	-20167
+ 25824	-20170
+ 25822	-20172
+ 25820	-20175
+ 25818	-20177
+ 25816	-20179
+ 25815	-20182
+ 25813	-20184
+ 25811	-20187
+ 25809	-20189
+ 25807	-20192
+ 25805	-20194
+ 25803	-20197
+ 25801	-20199
+ 25799	-20202
+ 25797	-20204
+ 25795	-20207
+ 25793	-20209
+ 25791	-20212
+ 25789	-20214
+ 25787	-20217
+ 25786	-20219
+ 25784	-20222
+ 25782	-20224
+ 25780	-20226
+ 25778	-20229
+ 25776	-20231
+ 25774	-20234
+ 25772	-20236
+ 25770	-20239
+ 25768	-20241
+ 25766	-20244
+ 25764	-20246
+ 25762	-20249
+ 25760	-20251
+ 25758	-20254
+ 25756	-20256
+ 25754	-20259
+ 25753	-20261
+ 25751	-20264
+ 25749	-20266
+ 25747	-20268
+ 25745	-20271
+ 25743	-20273
+ 25741	-20276
+ 25739	-20278
+ 25737	-20281
+ 25735	-20283
+ 25733	-20286
+ 25731	-20288
+ 25729	-20291
+ 25727	-20293
+ 25725	-20296
+ 25723	-20298
+ 25721	-20301
+ 25719	-20303
+ 25718	-20305
+ 25716	-20308
+ 25714	-20310
+ 25712	-20313
+ 25710	-20315
+ 25708	-20318
+ 25706	-20320
+ 25704	-20323
+ 25702	-20325
+ 25700	-20328
+ 25698	-20330
+ 25696	-20333
+ 25694	-20335
+ 25692	-20338
+ 25690	-20340
+ 25688	-20342
+ 25686	-20345
+ 25684	-20347
+ 25682	-20350
+ 25680	-20352
+ 25679	-20355
+ 25677	-20357
+ 25675	-20360
+ 25673	-20362
+ 25671	-20365
+ 25669	-20367
+ 25667	-20370
+ 25665	-20372
+ 25663	-20374
+ 25661	-20377
+ 25659	-20379
+ 25657	-20382
+ 25655	-20384
+ 25653	-20387
+ 25651	-20389
+ 25649	-20392
+ 25647	-20394
+ 25645	-20397
+ 25643	-20399
+ 25641	-20401
+ 25639	-20404
+ 25638	-20406
+ 25636	-20409
+ 25634	-20411
+ 25632	-20414
+ 25630	-20416
+ 25628	-20419
+ 25626	-20421
+ 25624	-20424
+ 25622	-20426
+ 25620	-20429
+ 25618	-20431
+ 25616	-20433
+ 25614	-20436
+ 25612	-20438
+ 25610	-20441
+ 25608	-20443
+ 25606	-20446
+ 25604	-20448
+ 25602	-20451
+ 25600	-20453
+ 25598	-20456
+ 25596	-20458
+ 25594	-20460
+ 25592	-20463
+ 25590	-20465
+ 25589	-20468
+ 25587	-20470
+ 25585	-20473
+ 25583	-20475
+ 25581	-20478
+ 25579	-20480
+ 25577	-20483
+ 25575	-20485
+ 25573	-20487
+ 25571	-20490
+ 25569	-20492
+ 25567	-20495
+ 25565	-20497
+ 25563	-20500
+ 25561	-20502
+ 25559	-20505
+ 25557	-20507
+ 25555	-20509
+ 25553	-20512
+ 25551	-20514
+ 25549	-20517
+ 25547	-20519
+ 25545	-20522
+ 25543	-20524
+ 25541	-20527
+ 25539	-20529
+ 25537	-20532
+ 25535	-20534
+ 25533	-20536
+ 25532	-20539
+ 25530	-20541
+ 25528	-20544
+ 25526	-20546
+ 25524	-20549
+ 25522	-20551
+ 25520	-20554
+ 25518	-20556
+ 25516	-20558
+ 25514	-20561
+ 25512	-20563
+ 25510	-20566
+ 25508	-20568
+ 25506	-20571
+ 25504	-20573
+ 25502	-20576
+ 25500	-20578
+ 25498	-20580
+ 25496	-20583
+ 25494	-20585
+ 25492	-20588
+ 25490	-20590
+ 25488	-20593
+ 25486	-20595
+ 25484	-20598
+ 25482	-20600
+ 25480	-20602
+ 25478	-20605
+ 25476	-20607
+ 25474	-20610
+ 25472	-20612
+ 25470	-20615
+ 25468	-20617
+ 25466	-20620
+ 25464	-20622
+ 25462	-20624
+ 25460	-20627
+ 25459	-20629
+ 25457	-20632
+ 25455	-20634
+ 25453	-20637
+ 25451	-20639
+ 25449	-20641
+ 25447	-20644
+ 25445	-20646
+ 25443	-20649
+ 25441	-20651
+ 25439	-20654
+ 25437	-20656
+ 25435	-20659
+ 25433	-20661
+ 25431	-20663
+ 25429	-20666
+ 25427	-20668
+ 25425	-20671
+ 25423	-20673
+ 25421	-20676
+ 25419	-20678
+ 25417	-20680
+ 25415	-20683
+ 25413	-20685
+ 25411	-20688
+ 25409	-20690
+ 25407	-20693
+ 25405	-20695
+ 25403	-20698
+ 25401	-20700
+ 25399	-20702
+ 25397	-20705
+ 25395	-20707
+ 25393	-20710
+ 25391	-20712
+ 25389	-20715
+ 25387	-20717
+ 25385	-20719
+ 25383	-20722
+ 25381	-20724
+ 25379	-20727
+ 25377	-20729
+ 25375	-20732
+ 25373	-20734
+ 25371	-20736
+ 25369	-20739
+ 25367	-20741
+ 25365	-20744
+ 25363	-20746
+ 25361	-20749
+ 25359	-20751
+ 25357	-20754
+ 25355	-20756
+ 25353	-20758
+ 25351	-20761
+ 25349	-20763
+ 25347	-20766
+ 25345	-20768
+ 25343	-20771
+ 25341	-20773
+ 25339	-20775
+ 25337	-20778
+ 25335	-20780
+ 25333	-20783
+ 25331	-20785
+ 25329	-20788
+ 25327	-20790
+ 25325	-20792
+ 25323	-20795
+ 25321	-20797
+ 25319	-20800
+ 25317	-20802
+ 25316	-20805
+ 25314	-20807
+ 25312	-20809
+ 25310	-20812
+ 25308	-20814
+ 25306	-20817
+ 25304	-20819
+ 25302	-20822
+ 25300	-20824
+ 25298	-20826
+ 25296	-20829
+ 25294	-20831
+ 25292	-20834
+ 25290	-20836
+ 25288	-20838
+ 25286	-20841
+ 25284	-20843
+ 25282	-20846
+ 25280	-20848
+ 25278	-20851
+ 25276	-20853
+ 25274	-20855
+ 25272	-20858
+ 25270	-20860
+ 25268	-20863
+ 25266	-20865
+ 25264	-20868
+ 25262	-20870
+ 25260	-20872
+ 25258	-20875
+ 25256	-20877
+ 25254	-20880
+ 25252	-20882
+ 25250	-20885
+ 25248	-20887
+ 25246	-20889
+ 25244	-20892
+ 25242	-20894
+ 25240	-20897
+ 25238	-20899
+ 25236	-20901
+ 25234	-20904
+ 25232	-20906
+ 25230	-20909
+ 25228	-20911
+ 25226	-20914
+ 25224	-20916
+ 25222	-20918
+ 25220	-20921
+ 25217	-20923
+ 25215	-20926
+ 25213	-20928
+ 25211	-20930
+ 25209	-20933
+ 25207	-20935
+ 25205	-20938
+ 25203	-20940
+ 25201	-20943
+ 25199	-20945
+ 25197	-20947
+ 25195	-20950
+ 25193	-20952
+ 25191	-20955
+ 25189	-20957
+ 25187	-20959
+ 25185	-20962
+ 25183	-20964
+ 25181	-20967
+ 25179	-20969
+ 25177	-20972
+ 25175	-20974
+ 25173	-20976
+ 25171	-20979
+ 25169	-20981
+ 25167	-20984
+ 25165	-20986
+ 25163	-20988
+ 25161	-20991
+ 25159	-20993
+ 25157	-20996
+ 25155	-20998
+ 25153	-21000
+ 25151	-21003
+ 25149	-21005
+ 25147	-21008
+ 25145	-21010
+ 25143	-21013
+ 25141	-21015
+ 25139	-21017
+ 25137	-21020
+ 25135	-21022
+ 25133	-21025
+ 25131	-21027
+ 25129	-21029
+ 25127	-21032
+ 25125	-21034
+ 25123	-21037
+ 25121	-21039
+ 25119	-21041
+ 25117	-21044
+ 25115	-21046
+ 25113	-21049
+ 25111	-21051
+ 25109	-21053
+ 25107	-21056
+ 25105	-21058
+ 25103	-21061
+ 25101	-21063
+ 25099	-21066
+ 25097	-21068
+ 25095	-21070
+ 25093	-21073
+ 25091	-21075
+ 25089	-21078
+ 25087	-21080
+ 25085	-21082
+ 25083	-21085
+ 25081	-21087
+ 25079	-21090
+ 25077	-21092
+ 25074	-21094
+ 25072	-21097
+ 25070	-21099
+ 25068	-21102
+ 25066	-21104
+ 25064	-21106
+ 25062	-21109
+ 25060	-21111
+ 25058	-21114
+ 25056	-21116
+ 25054	-21118
+ 25052	-21121
+ 25050	-21123
+ 25048	-21126
+ 25046	-21128
+ 25044	-21130
+ 25042	-21133
+ 25040	-21135
+ 25038	-21138
+ 25036	-21140
+ 25034	-21142
+ 25032	-21145
+ 25030	-21147
+ 25028	-21150
+ 25026	-21152
+ 25024	-21154
+ 25022	-21157
+ 25020	-21159
+ 25018	-21162
+ 25016	-21164
+ 25014	-21166
+ 25012	-21169
+ 25010	-21171
+ 25008	-21174
+ 25006	-21176
+ 25004	-21178
+ 25002	-21181
+ 25000	-21183
+ 24997	-21186
+ 24995	-21188
+ 24993	-21190
+ 24991	-21193
+ 24989	-21195
+ 24987	-21198
+ 24985	-21200
+ 24983	-21202
+ 24981	-21205
+ 24979	-21207
+ 24977	-21210
+ 24975	-21212
+ 24973	-21214
+ 24971	-21217
+ 24969	-21219
+ 24967	-21222
+ 24965	-21224
+ 24963	-21226
+ 24961	-21229
+ 24959	-21231
+ 24957	-21233
+ 24955	-21236
+ 24953	-21238
+ 24951	-21241
+ 24949	-21243
+ 24947	-21245
+ 24945	-21248
+ 24943	-21250
+ 24941	-21253
+ 24938	-21255
+ 24936	-21257
+ 24934	-21260
+ 24932	-21262
+ 24930	-21265
+ 24928	-21267
+ 24926	-21269
+ 24924	-21272
+ 24922	-21274
+ 24920	-21277
+ 24918	-21279
+ 24916	-21281
+ 24914	-21284
+ 24912	-21286
+ 24910	-21288
+ 24908	-21291
+ 24906	-21293
+ 24904	-21296
+ 24902	-21298
+ 24900	-21300
+ 24898	-21303
+ 24896	-21305
+ 24894	-21308
+ 24892	-21310
+ 24890	-21312
+ 24887	-21315
+ 24885	-21317
+ 24883	-21319
+ 24881	-21322
+ 24879	-21324
+ 24877	-21327
+ 24875	-21329
+ 24873	-21331
+ 24871	-21334
+ 24869	-21336
+ 24867	-21339
+ 24865	-21341
+ 24863	-21343
+ 24861	-21346
+ 24859	-21348
+ 24857	-21350
+ 24855	-21353
+ 24853	-21355
+ 24851	-21358
+ 24849	-21360
+ 24847	-21362
+ 24845	-21365
+ 24842	-21367
+ 24840	-21370
+ 24838	-21372
+ 24836	-21374
+ 24834	-21377
+ 24832	-21379
+ 24830	-21381
+ 24828	-21384
+ 24826	-21386
+ 24824	-21389
+ 24822	-21391
+ 24820	-21393
+ 24818	-21396
+ 24816	-21398
+ 24814	-21400
+ 24812	-21403
+ 24810	-21405
+ 24808	-21408
+ 24806	-21410
+ 24803	-21412
+ 24801	-21415
+ 24799	-21417
+ 24797	-21420
+ 24795	-21422
+ 24793	-21424
+ 24791	-21427
+ 24789	-21429
+ 24787	-21431
+ 24785	-21434
+ 24783	-21436
+ 24781	-21439
+ 24779	-21441
+ 24777	-21443
+ 24775	-21446
+ 24773	-21448
+ 24771	-21450
+ 24769	-21453
+ 24767	-21455
+ 24764	-21458
+ 24762	-21460
+ 24760	-21462
+ 24758	-21465
+ 24756	-21467
+ 24754	-21469
+ 24752	-21472
+ 24750	-21474
+ 24748	-21477
+ 24746	-21479
+ 24744	-21481
+ 24742	-21484
+ 24740	-21486
+ 24738	-21488
+ 24736	-21491
+ 24734	-21493
+ 24732	-21495
+ 24729	-21498
+ 24727	-21500
+ 24725	-21503
+ 24723	-21505
+ 24721	-21507
+ 24719	-21510
+ 24717	-21512
+ 24715	-21514
+ 24713	-21517
+ 24711	-21519
+ 24709	-21522
+ 24707	-21524
+ 24705	-21526
+ 24703	-21529
+ 24701	-21531
+ 24699	-21533
+ 24696	-21536
+ 24694	-21538
+ 24692	-21540
+ 24690	-21543
+ 24688	-21545
+ 24686	-21548
+ 24684	-21550
+ 24682	-21552
+ 24680	-21555
+ 24678	-21557
+ 24676	-21559
+ 24674	-21562
+ 24672	-21564
+ 24670	-21567
+ 24668	-21569
+ 24665	-21571
+ 24663	-21574
+ 24661	-21576
+ 24659	-21578
+ 24657	-21581
+ 24655	-21583
+ 24653	-21585
+ 24651	-21588
+ 24649	-21590
+ 24647	-21593
+ 24645	-21595
+ 24643	-21597
+ 24641	-21600
+ 24639	-21602
+ 24636	-21604
+ 24634	-21607
+ 24632	-21609
+ 24630	-21611
+ 24628	-21614
+ 24626	-21616
+ 24624	-21619
+ 24622	-21621
+ 24620	-21623
+ 24618	-21626
+ 24616	-21628
+ 24614	-21630
+ 24612	-21633
+ 24610	-21635
+ 24607	-21637
+ 24605	-21640
+ 24603	-21642
+ 24601	-21644
+ 24599	-21647
+ 24597	-21649
+ 24595	-21652
+ 24593	-21654
+ 24591	-21656
+ 24589	-21659
+ 24587	-21661
+ 24585	-21663
+ 24583	-21666
+ 24580	-21668
+ 24578	-21670
+ 24576	-21673
+ 24574	-21675
+ 24572	-21677
+ 24570	-21680
+ 24568	-21682
+ 24566	-21685
+ 24564	-21687
+ 24562	-21689
+ 24560	-21692
+ 24558	-21694
+ 24556	-21696
+ 24553	-21699
+ 24551	-21701
+ 24549	-21703
+ 24547	-21706
+ 24545	-21708
+ 24543	-21710
+ 24541	-21713
+ 24539	-21715
+ 24537	-21717
+ 24535	-21720
+ 24533	-21722
+ 24531	-21725
+ 24528	-21727
+ 24526	-21729
+ 24524	-21732
+ 24522	-21734
+ 24520	-21736
+ 24518	-21739
+ 24516	-21741
+ 24514	-21743
+ 24512	-21746
+ 24510	-21748
+ 24508	-21750
+ 24506	-21753
+ 24503	-21755
+ 24501	-21757
+ 24499	-21760
+ 24497	-21762
+ 24495	-21764
+ 24493	-21767
+ 24491	-21769
+ 24489	-21772
+ 24487	-21774
+ 24485	-21776
+ 24483	-21779
+ 24480	-21781
+ 24478	-21783
+ 24476	-21786
+ 24474	-21788
+ 24472	-21790
+ 24470	-21793
+ 24468	-21795
+ 24466	-21797
+ 24464	-21800
+ 24462	-21802
+ 24460	-21804
+ 24458	-21807
+ 24455	-21809
+ 24453	-21811
+ 24451	-21814
+ 24449	-21816
+ 24447	-21818
+ 24445	-21821
+ 24443	-21823
+ 24441	-21825
+ 24439	-21828
+ 24437	-21830
+ 24435	-21833
+ 24432	-21835
+ 24430	-21837
+ 24428	-21840
+ 24426	-21842
+ 24424	-21844
+ 24422	-21847
+ 24420	-21849
+ 24418	-21851
+ 24416	-21854
+ 24414	-21856
+ 24411	-21858
+ 24409	-21861
+ 24407	-21863
+ 24405	-21865
+ 24403	-21868
+ 24401	-21870
+ 24399	-21872
+ 24397	-21875
+ 24395	-21877
+ 24393	-21879
+ 24391	-21882
+ 24388	-21884
+ 24386	-21886
+ 24384	-21889
+ 24382	-21891
+ 24380	-21893
+ 24378	-21896
+ 24376	-21898
+ 24374	-21900
+ 24372	-21903
+ 24370	-21905
+ 24367	-21907
+ 24365	-21910
+ 24363	-21912
+ 24361	-21914
+ 24359	-21917
+ 24357	-21919
+ 24355	-21921
+ 24353	-21924
+ 24351	-21926
+ 24349	-21928
+ 24346	-21931
+ 24344	-21933
+ 24342	-21935
+ 24340	-21938
+ 24338	-21940
+ 24336	-21942
+ 24334	-21945
+ 24332	-21947
+ 24330	-21949
+ 24327	-21952
+ 24325	-21954
+ 24323	-21956
+ 24321	-21959
+ 24319	-21961
+ 24317	-21963
+ 24315	-21966
+ 24313	-21968
+ 24311	-21970
+ 24309	-21973
+ 24306	-21975
+ 24304	-21977
+ 24302	-21980
+ 24300	-21982
+ 24298	-21984
+ 24296	-21987
+ 24294	-21989
+ 24292	-21991
+ 24290	-21994
+ 24287	-21996
+ 24285	-21998
+ 24283	-22001
+ 24281	-22003
+ 24279	-22005
+ 24277	-22008
+ 24275	-22010
+ 24273	-22012
+ 24271	-22015
+ 24268	-22017
+ 24266	-22019
+ 24264	-22022
+ 24262	-22024
+ 24260	-22026
+ 24258	-22029
+ 24256	-22031
+ 24254	-22033
+ 24252	-22036
+ 24249	-22038
+ 24247	-22040
+ 24245	-22043
+ 24243	-22045
+ 24241	-22047
+ 24239	-22049
+ 24237	-22052
+ 24235	-22054
+ 24233	-22056
+ 24230	-22059
+ 24228	-22061
+ 24226	-22063
+ 24224	-22066
+ 24222	-22068
+ 24220	-22070
+ 24218	-22073
+ 24216	-22075
+ 24213	-22077
+ 24211	-22080
+ 24209	-22082
+ 24207	-22084
+ 24205	-22087
+ 24203	-22089
+ 24201	-22091
+ 24199	-22094
+ 24197	-22096
+ 24194	-22098
+ 24192	-22101
+ 24190	-22103
+ 24188	-22105
+ 24186	-22108
+ 24184	-22110
+ 24182	-22112
+ 24180	-22114
+ 24177	-22117
+ 24175	-22119
+ 24173	-22121
+ 24171	-22124
+ 24169	-22126
+ 24167	-22128
+ 24165	-22131
+ 24163	-22133
+ 24161	-22135
+ 24158	-22138
+ 24156	-22140
+ 24154	-22142
+ 24152	-22145
+ 24150	-22147
+ 24148	-22149
+ 24146	-22152
+ 24144	-22154
+ 24141	-22156
+ 24139	-22158
+ 24137	-22161
+ 24135	-22163
+ 24133	-22165
+ 24131	-22168
+ 24129	-22170
+ 24127	-22172
+ 24124	-22175
+ 24122	-22177
+ 24120	-22179
+ 24118	-22182
+ 24116	-22184
+ 24114	-22186
+ 24112	-22189
+ 24110	-22191
+ 24107	-22193
+ 24105	-22195
+ 24103	-22198
+ 24101	-22200
+ 24099	-22202
+ 24097	-22205
+ 24095	-22207
+ 24092	-22209
+ 24090	-22212
+ 24088	-22214
+ 24086	-22216
+ 24084	-22219
+ 24082	-22221
+ 24080	-22223
+ 24078	-22226
+ 24075	-22228
+ 24073	-22230
+ 24071	-22232
+ 24069	-22235
+ 24067	-22237
+ 24065	-22239
+ 24063	-22242
+ 24061	-22244
+ 24058	-22246
+ 24056	-22249
+ 24054	-22251
+ 24052	-22253
+ 24050	-22256
+ 24048	-22258
+ 24046	-22260
+ 24043	-22262
+ 24041	-22265
+ 24039	-22267
+ 24037	-22269
+ 24035	-22272
+ 24033	-22274
+ 24031	-22276
+ 24029	-22279
+ 24026	-22281
+ 24024	-22283
+ 24022	-22285
+ 24020	-22288
+ 24018	-22290
+ 24016	-22292
+ 24014	-22295
+ 24011	-22297
+ 24009	-22299
+ 24007	-22302
+ 24005	-22304
+ 24003	-22306
+ 24001	-22308
+ 23999	-22311
+ 23996	-22313
+ 23994	-22315
+ 23992	-22318
+ 23990	-22320
+ 23988	-22322
+ 23986	-22325
+ 23984	-22327
+ 23981	-22329
+ 23979	-22331
+ 23977	-22334
+ 23975	-22336
+ 23973	-22338
+ 23971	-22341
+ 23969	-22343
+ 23966	-22345
+ 23964	-22348
+ 23962	-22350
+ 23960	-22352
+ 23958	-22354
+ 23956	-22357
+ 23954	-22359
+ 23951	-22361
+ 23949	-22364
+ 23947	-22366
+ 23945	-22368
+ 23943	-22371
+ 23941	-22373
+ 23939	-22375
+ 23936	-22377
+ 23934	-22380
+ 23932	-22382
+ 23930	-22384
+ 23928	-22387
+ 23926	-22389
+ 23924	-22391
+ 23921	-22393
+ 23919	-22396
+ 23917	-22398
+ 23915	-22400
+ 23913	-22403
+ 23911	-22405
+ 23909	-22407
+ 23906	-22410
+ 23904	-22412
+ 23902	-22414
+ 23900	-22416
+ 23898	-22419
+ 23896	-22421
+ 23894	-22423
+ 23891	-22426
+ 23889	-22428
+ 23887	-22430
+ 23885	-22432
+ 23883	-22435
+ 23881	-22437
+ 23878	-22439
+ 23876	-22442
+ 23874	-22444
+ 23872	-22446
+ 23870	-22448
+ 23868	-22451
+ 23866	-22453
+ 23863	-22455
+ 23861	-22458
+ 23859	-22460
+ 23857	-22462
+ 23855	-22464
+ 23853	-22467
+ 23850	-22469
+ 23848	-22471
+ 23846	-22474
+ 23844	-22476
+ 23842	-22478
+ 23840	-22480
+ 23838	-22483
+ 23835	-22485
+ 23833	-22487
+ 23831	-22490
+ 23829	-22492
+ 23827	-22494
+ 23825	-22496
+ 23822	-22499
+ 23820	-22501
+ 23818	-22503
+ 23816	-22506
+ 23814	-22508
+ 23812	-22510
+ 23810	-22512
+ 23807	-22515
+ 23805	-22517
+ 23803	-22519
+ 23801	-22522
+ 23799	-22524
+ 23797	-22526
+ 23794	-22528
+ 23792	-22531
+ 23790	-22533
+ 23788	-22535
+ 23786	-22538
+ 23784	-22540
+ 23781	-22542
+ 23779	-22544
+ 23777	-22547
+ 23775	-22549
+ 23773	-22551
+ 23771	-22553
+ 23768	-22556
+ 23766	-22558
+ 23764	-22560
+ 23762	-22563
+ 23760	-22565
+ 23758	-22567
+ 23755	-22569
+ 23753	-22572
+ 23751	-22574
+ 23749	-22576
+ 23747	-22579
+ 23745	-22581
+ 23743	-22583
+ 23740	-22585
+ 23738	-22588
+ 23736	-22590
+ 23734	-22592
+ 23732	-22594
+ 23730	-22597
+ 23727	-22599
+ 23725	-22601
+ 23723	-22604
+ 23721	-22606
+ 23719	-22608
+ 23717	-22610
+ 23714	-22613
+ 23712	-22615
+ 23710	-22617
+ 23708	-22619
+ 23706	-22622
+ 23704	-22624
+ 23701	-22626
+ 23699	-22629
+ 23697	-22631
+ 23695	-22633
+ 23693	-22635
+ 23690	-22638
+ 23688	-22640
+ 23686	-22642
+ 23684	-22644
+ 23682	-22647
+ 23680	-22649
+ 23677	-22651
+ 23675	-22654
+ 23673	-22656
+ 23671	-22658
+ 23669	-22660
+ 23667	-22663
+ 23664	-22665
+ 23662	-22667
+ 23660	-22669
+ 23658	-22672
+ 23656	-22674
+ 23654	-22676
+ 23651	-22679
+ 23649	-22681
+ 23647	-22683
+ 23645	-22685
+ 23643	-22688
+ 23641	-22690
+ 23638	-22692
+ 23636	-22694
+ 23634	-22697
+ 23632	-22699
+ 23630	-22701
+ 23627	-22703
+ 23625	-22706
+ 23623	-22708
+ 23621	-22710
+ 23619	-22713
+ 23617	-22715
+ 23614	-22717
+ 23612	-22719
+ 23610	-22722
+ 23608	-22724
+ 23606	-22726
+ 23603	-22728
+ 23601	-22731
+ 23599	-22733
+ 23597	-22735
+ 23595	-22737
+ 23593	-22740
+ 23590	-22742
+ 23588	-22744
+ 23586	-22746
+ 23584	-22749
+ 23582	-22751
+ 23580	-22753
+ 23577	-22755
+ 23575	-22758
+ 23573	-22760
+ 23571	-22762
+ 23569	-22765
+ 23566	-22767
+ 23564	-22769
+ 23562	-22771
+ 23560	-22774
+ 23558	-22776
+ 23556	-22778
+ 23553	-22780
+ 23551	-22783
+ 23549	-22785
+ 23547	-22787
+ 23545	-22789
+ 23542	-22792
+ 23540	-22794
+ 23538	-22796
+ 23536	-22798
+ 23534	-22801
+ 23531	-22803
+ 23529	-22805
+ 23527	-22807
+ 23525	-22810
+ 23523	-22812
+ 23521	-22814
+ 23518	-22816
+ 23516	-22819
+ 23514	-22821
+ 23512	-22823
+ 23510	-22825
+ 23507	-22828
+ 23505	-22830
+ 23503	-22832
+ 23501	-22834
+ 23499	-22837
+ 23496	-22839
+ 23494	-22841
+ 23492	-22843
+ 23490	-22846
+ 23488	-22848
+ 23486	-22850
+ 23483	-22852
+ 23481	-22855
+ 23479	-22857
+ 23477	-22859
+ 23475	-22862
+ 23472	-22864
+ 23470	-22866
+ 23468	-22868
+ 23466	-22871
+ 23464	-22873
+ 23461	-22875
+ 23459	-22877
+ 23457	-22879
+ 23455	-22882
+ 23453	-22884
+ 23450	-22886
+ 23448	-22888
+ 23446	-22891
+ 23444	-22893
+ 23442	-22895
+ 23439	-22897
+ 23437	-22900
+ 23435	-22902
+ 23433	-22904
+ 23431	-22906
+ 23428	-22909
+ 23426	-22911
+ 23424	-22913
+ 23422	-22915
+ 23420	-22918
+ 23418	-22920
+ 23415	-22922
+ 23413	-22924
+ 23411	-22927
+ 23409	-22929
+ 23407	-22931
+ 23404	-22933
+ 23402	-22936
+ 23400	-22938
+ 23398	-22940
+ 23396	-22942
+ 23393	-22945
+ 23391	-22947
+ 23389	-22949
+ 23387	-22951
+ 23385	-22954
+ 23382	-22956
+ 23380	-22958
+ 23378	-22960
+ 23376	-22963
+ 23374	-22965
+ 23371	-22967
+ 23369	-22969
+ 23367	-22972
+ 23365	-22974
+ 23363	-22976
+ 23360	-22978
+ 23358	-22980
+ 23356	-22983
+ 23354	-22985
+ 23351	-22987
+ 23349	-22989
+ 23347	-22992
+ 23345	-22994
+ 23343	-22996
+ 23340	-22998
+ 23338	-23001
+ 23336	-23003
+ 23334	-23005
+ 23332	-23007
+ 23329	-23010
+ 23327	-23012
+ 23325	-23014
+ 23323	-23016
+ 23321	-23019
+ 23318	-23021
+ 23316	-23023
+ 23314	-23025
+ 23312	-23027
+ 23310	-23030
+ 23307	-23032
+ 23305	-23034
+ 23303	-23036
+ 23301	-23039
+ 23299	-23041
+ 23296	-23043
+ 23294	-23045
+ 23292	-23048
+ 23290	-23050
+ 23287	-23052
+ 23285	-23054
+ 23283	-23056
+ 23281	-23059
+ 23279	-23061
+ 23276	-23063
+ 23274	-23065
+ 23272	-23068
+ 23270	-23070
+ 23268	-23072
+ 23265	-23074
+ 23263	-23077
+ 23261	-23079
+ 23259	-23081
+ 23257	-23083
+ 23254	-23085
+ 23252	-23088
+ 23250	-23090
+ 23248	-23092
+ 23245	-23094
+ 23243	-23097
+ 23241	-23099
+ 23239	-23101
+ 23237	-23103
+ 23234	-23106
+ 23232	-23108
+ 23230	-23110
+ 23228	-23112
+ 23226	-23114
+ 23223	-23117
+ 23221	-23119
+ 23219	-23121
+ 23217	-23123
+ 23214	-23126
+ 23212	-23128
+ 23210	-23130
+ 23208	-23132
+ 23206	-23134
+ 23203	-23137
+ 23201	-23139
+ 23199	-23141
+ 23197	-23143
+ 23194	-23146
+ 23192	-23148
+ 23190	-23150
+ 23188	-23152
+ 23186	-23155
+ 23183	-23157
+ 23181	-23159
+ 23179	-23161
+ 23177	-23163
+ 23175	-23166
+ 23172	-23168
+ 23170	-23170
+ 23168	-23172
+ 23166	-23175
+ 23163	-23177
+ 23161	-23179
+ 23159	-23181
+ 23157	-23183
+ 23155	-23186
+ 23152	-23188
+ 23150	-23190
+ 23148	-23192
+ 23146	-23194
+ 23143	-23197
+ 23141	-23199
+ 23139	-23201
+ 23137	-23203
+ 23134	-23206
+ 23132	-23208
+ 23130	-23210
+ 23128	-23212
+ 23126	-23214
+ 23123	-23217
+ 23121	-23219
+ 23119	-23221
+ 23117	-23223
+ 23114	-23226
+ 23112	-23228
+ 23110	-23230
+ 23108	-23232
+ 23106	-23234
+ 23103	-23237
+ 23101	-23239
+ 23099	-23241
+ 23097	-23243
+ 23094	-23245
+ 23092	-23248
+ 23090	-23250
+ 23088	-23252
+ 23085	-23254
+ 23083	-23257
+ 23081	-23259
+ 23079	-23261
+ 23077	-23263
+ 23074	-23265
+ 23072	-23268
+ 23070	-23270
+ 23068	-23272
+ 23065	-23274
+ 23063	-23276
+ 23061	-23279
+ 23059	-23281
+ 23056	-23283
+ 23054	-23285
+ 23052	-23287
+ 23050	-23290
+ 23048	-23292
+ 23045	-23294
+ 23043	-23296
+ 23041	-23299
+ 23039	-23301
+ 23036	-23303
+ 23034	-23305
+ 23032	-23307
+ 23030	-23310
+ 23027	-23312
+ 23025	-23314
+ 23023	-23316
+ 23021	-23318
+ 23019	-23321
+ 23016	-23323
+ 23014	-23325
+ 23012	-23327
+ 23010	-23329
+ 23007	-23332
+ 23005	-23334
+ 23003	-23336
+ 23001	-23338
+ 22998	-23340
+ 22996	-23343
+ 22994	-23345
+ 22992	-23347
+ 22989	-23349
+ 22987	-23351
+ 22985	-23354
+ 22983	-23356
+ 22980	-23358
+ 22978	-23360
+ 22976	-23363
+ 22974	-23365
+ 22972	-23367
+ 22969	-23369
+ 22967	-23371
+ 22965	-23374
+ 22963	-23376
+ 22960	-23378
+ 22958	-23380
+ 22956	-23382
+ 22954	-23385
+ 22951	-23387
+ 22949	-23389
+ 22947	-23391
+ 22945	-23393
+ 22942	-23396
+ 22940	-23398
+ 22938	-23400
+ 22936	-23402
+ 22933	-23404
+ 22931	-23407
+ 22929	-23409
+ 22927	-23411
+ 22924	-23413
+ 22922	-23415
+ 22920	-23418
+ 22918	-23420
+ 22915	-23422
+ 22913	-23424
+ 22911	-23426
+ 22909	-23428
+ 22906	-23431
+ 22904	-23433
+ 22902	-23435
+ 22900	-23437
+ 22897	-23439
+ 22895	-23442
+ 22893	-23444
+ 22891	-23446
+ 22888	-23448
+ 22886	-23450
+ 22884	-23453
+ 22882	-23455
+ 22879	-23457
+ 22877	-23459
+ 22875	-23461
+ 22873	-23464
+ 22871	-23466
+ 22868	-23468
+ 22866	-23470
+ 22864	-23472
+ 22862	-23475
+ 22859	-23477
+ 22857	-23479
+ 22855	-23481
+ 22852	-23483
+ 22850	-23486
+ 22848	-23488
+ 22846	-23490
+ 22843	-23492
+ 22841	-23494
+ 22839	-23496
+ 22837	-23499
+ 22834	-23501
+ 22832	-23503
+ 22830	-23505
+ 22828	-23507
+ 22825	-23510
+ 22823	-23512
+ 22821	-23514
+ 22819	-23516
+ 22816	-23518
+ 22814	-23521
+ 22812	-23523
+ 22810	-23525
+ 22807	-23527
+ 22805	-23529
+ 22803	-23531
+ 22801	-23534
+ 22798	-23536
+ 22796	-23538
+ 22794	-23540
+ 22792	-23542
+ 22789	-23545
+ 22787	-23547
+ 22785	-23549
+ 22783	-23551
+ 22780	-23553
+ 22778	-23556
+ 22776	-23558
+ 22774	-23560
+ 22771	-23562
+ 22769	-23564
+ 22767	-23566
+ 22765	-23569
+ 22762	-23571
+ 22760	-23573
+ 22758	-23575
+ 22755	-23577
+ 22753	-23580
+ 22751	-23582
+ 22749	-23584
+ 22746	-23586
+ 22744	-23588
+ 22742	-23590
+ 22740	-23593
+ 22737	-23595
+ 22735	-23597
+ 22733	-23599
+ 22731	-23601
+ 22728	-23603
+ 22726	-23606
+ 22724	-23608
+ 22722	-23610
+ 22719	-23612
+ 22717	-23614
+ 22715	-23617
+ 22713	-23619
+ 22710	-23621
+ 22708	-23623
+ 22706	-23625
+ 22703	-23627
+ 22701	-23630
+ 22699	-23632
+ 22697	-23634
+ 22694	-23636
+ 22692	-23638
+ 22690	-23641
+ 22688	-23643
+ 22685	-23645
+ 22683	-23647
+ 22681	-23649
+ 22679	-23651
+ 22676	-23654
+ 22674	-23656
+ 22672	-23658
+ 22669	-23660
+ 22667	-23662
+ 22665	-23664
+ 22663	-23667
+ 22660	-23669
+ 22658	-23671
+ 22656	-23673
+ 22654	-23675
+ 22651	-23677
+ 22649	-23680
+ 22647	-23682
+ 22644	-23684
+ 22642	-23686
+ 22640	-23688
+ 22638	-23690
+ 22635	-23693
+ 22633	-23695
+ 22631	-23697
+ 22629	-23699
+ 22626	-23701
+ 22624	-23704
+ 22622	-23706
+ 22619	-23708
+ 22617	-23710
+ 22615	-23712
+ 22613	-23714
+ 22610	-23717
+ 22608	-23719
+ 22606	-23721
+ 22604	-23723
+ 22601	-23725
+ 22599	-23727
+ 22597	-23730
+ 22594	-23732
+ 22592	-23734
+ 22590	-23736
+ 22588	-23738
+ 22585	-23740
+ 22583	-23743
+ 22581	-23745
+ 22579	-23747
+ 22576	-23749
+ 22574	-23751
+ 22572	-23753
+ 22569	-23755
+ 22567	-23758
+ 22565	-23760
+ 22563	-23762
+ 22560	-23764
+ 22558	-23766
+ 22556	-23768
+ 22553	-23771
+ 22551	-23773
+ 22549	-23775
+ 22547	-23777
+ 22544	-23779
+ 22542	-23781
+ 22540	-23784
+ 22538	-23786
+ 22535	-23788
+ 22533	-23790
+ 22531	-23792
+ 22528	-23794
+ 22526	-23797
+ 22524	-23799
+ 22522	-23801
+ 22519	-23803
+ 22517	-23805
+ 22515	-23807
+ 22512	-23810
+ 22510	-23812
+ 22508	-23814
+ 22506	-23816
+ 22503	-23818
+ 22501	-23820
+ 22499	-23822
+ 22496	-23825
+ 22494	-23827
+ 22492	-23829
+ 22490	-23831
+ 22487	-23833
+ 22485	-23835
+ 22483	-23838
+ 22480	-23840
+ 22478	-23842
+ 22476	-23844
+ 22474	-23846
+ 22471	-23848
+ 22469	-23850
+ 22467	-23853
+ 22464	-23855
+ 22462	-23857
+ 22460	-23859
+ 22458	-23861
+ 22455	-23863
+ 22453	-23866
+ 22451	-23868
+ 22448	-23870
+ 22446	-23872
+ 22444	-23874
+ 22442	-23876
+ 22439	-23878
+ 22437	-23881
+ 22435	-23883
+ 22432	-23885
+ 22430	-23887
+ 22428	-23889
+ 22426	-23891
+ 22423	-23894
+ 22421	-23896
+ 22419	-23898
+ 22416	-23900
+ 22414	-23902
+ 22412	-23904
+ 22410	-23906
+ 22407	-23909
+ 22405	-23911
+ 22403	-23913
+ 22400	-23915
+ 22398	-23917
+ 22396	-23919
+ 22393	-23921
+ 22391	-23924
+ 22389	-23926
+ 22387	-23928
+ 22384	-23930
+ 22382	-23932
+ 22380	-23934
+ 22377	-23936
+ 22375	-23939
+ 22373	-23941
+ 22371	-23943
+ 22368	-23945
+ 22366	-23947
+ 22364	-23949
+ 22361	-23951
+ 22359	-23954
+ 22357	-23956
+ 22354	-23958
+ 22352	-23960
+ 22350	-23962
+ 22348	-23964
+ 22345	-23966
+ 22343	-23969
+ 22341	-23971
+ 22338	-23973
+ 22336	-23975
+ 22334	-23977
+ 22331	-23979
+ 22329	-23981
+ 22327	-23984
+ 22325	-23986
+ 22322	-23988
+ 22320	-23990
+ 22318	-23992
+ 22315	-23994
+ 22313	-23996
+ 22311	-23999
+ 22308	-24001
+ 22306	-24003
+ 22304	-24005
+ 22302	-24007
+ 22299	-24009
+ 22297	-24011
+ 22295	-24014
+ 22292	-24016
+ 22290	-24018
+ 22288	-24020
+ 22285	-24022
+ 22283	-24024
+ 22281	-24026
+ 22279	-24029
+ 22276	-24031
+ 22274	-24033
+ 22272	-24035
+ 22269	-24037
+ 22267	-24039
+ 22265	-24041
+ 22262	-24043
+ 22260	-24046
+ 22258	-24048
+ 22256	-24050
+ 22253	-24052
+ 22251	-24054
+ 22249	-24056
+ 22246	-24058
+ 22244	-24061
+ 22242	-24063
+ 22239	-24065
+ 22237	-24067
+ 22235	-24069
+ 22232	-24071
+ 22230	-24073
+ 22228	-24075
+ 22226	-24078
+ 22223	-24080
+ 22221	-24082
+ 22219	-24084
+ 22216	-24086
+ 22214	-24088
+ 22212	-24090
+ 22209	-24092
+ 22207	-24095
+ 22205	-24097
+ 22202	-24099
+ 22200	-24101
+ 22198	-24103
+ 22195	-24105
+ 22193	-24107
+ 22191	-24110
+ 22189	-24112
+ 22186	-24114
+ 22184	-24116
+ 22182	-24118
+ 22179	-24120
+ 22177	-24122
+ 22175	-24124
+ 22172	-24127
+ 22170	-24129
+ 22168	-24131
+ 22165	-24133
+ 22163	-24135
+ 22161	-24137
+ 22158	-24139
+ 22156	-24141
+ 22154	-24144
+ 22152	-24146
+ 22149	-24148
+ 22147	-24150
+ 22145	-24152
+ 22142	-24154
+ 22140	-24156
+ 22138	-24158
+ 22135	-24161
+ 22133	-24163
+ 22131	-24165
+ 22128	-24167
+ 22126	-24169
+ 22124	-24171
+ 22121	-24173
+ 22119	-24175
+ 22117	-24177
+ 22114	-24180
+ 22112	-24182
+ 22110	-24184
+ 22108	-24186
+ 22105	-24188
+ 22103	-24190
+ 22101	-24192
+ 22098	-24194
+ 22096	-24197
+ 22094	-24199
+ 22091	-24201
+ 22089	-24203
+ 22087	-24205
+ 22084	-24207
+ 22082	-24209
+ 22080	-24211
+ 22077	-24213
+ 22075	-24216
+ 22073	-24218
+ 22070	-24220
+ 22068	-24222
+ 22066	-24224
+ 22063	-24226
+ 22061	-24228
+ 22059	-24230
+ 22056	-24233
+ 22054	-24235
+ 22052	-24237
+ 22049	-24239
+ 22047	-24241
+ 22045	-24243
+ 22043	-24245
+ 22040	-24247
+ 22038	-24249
+ 22036	-24252
+ 22033	-24254
+ 22031	-24256
+ 22029	-24258
+ 22026	-24260
+ 22024	-24262
+ 22022	-24264
+ 22019	-24266
+ 22017	-24268
+ 22015	-24271
+ 22012	-24273
+ 22010	-24275
+ 22008	-24277
+ 22005	-24279
+ 22003	-24281
+ 22001	-24283
+ 21998	-24285
+ 21996	-24287
+ 21994	-24290
+ 21991	-24292
+ 21989	-24294
+ 21987	-24296
+ 21984	-24298
+ 21982	-24300
+ 21980	-24302
+ 21977	-24304
+ 21975	-24306
+ 21973	-24309
+ 21970	-24311
+ 21968	-24313
+ 21966	-24315
+ 21963	-24317
+ 21961	-24319
+ 21959	-24321
+ 21956	-24323
+ 21954	-24325
+ 21952	-24327
+ 21949	-24330
+ 21947	-24332
+ 21945	-24334
+ 21942	-24336
+ 21940	-24338
+ 21938	-24340
+ 21935	-24342
+ 21933	-24344
+ 21931	-24346
+ 21928	-24349
+ 21926	-24351
+ 21924	-24353
+ 21921	-24355
+ 21919	-24357
+ 21917	-24359
+ 21914	-24361
+ 21912	-24363
+ 21910	-24365
+ 21907	-24367
+ 21905	-24370
+ 21903	-24372
+ 21900	-24374
+ 21898	-24376
+ 21896	-24378
+ 21893	-24380
+ 21891	-24382
+ 21889	-24384
+ 21886	-24386
+ 21884	-24388
+ 21882	-24391
+ 21879	-24393
+ 21877	-24395
+ 21875	-24397
+ 21872	-24399
+ 21870	-24401
+ 21868	-24403
+ 21865	-24405
+ 21863	-24407
+ 21861	-24409
+ 21858	-24411
+ 21856	-24414
+ 21854	-24416
+ 21851	-24418
+ 21849	-24420
+ 21847	-24422
+ 21844	-24424
+ 21842	-24426
+ 21840	-24428
+ 21837	-24430
+ 21835	-24432
+ 21833	-24435
+ 21830	-24437
+ 21828	-24439
+ 21825	-24441
+ 21823	-24443
+ 21821	-24445
+ 21818	-24447
+ 21816	-24449
+ 21814	-24451
+ 21811	-24453
+ 21809	-24455
+ 21807	-24458
+ 21804	-24460
+ 21802	-24462
+ 21800	-24464
+ 21797	-24466
+ 21795	-24468
+ 21793	-24470
+ 21790	-24472
+ 21788	-24474
+ 21786	-24476
+ 21783	-24478
+ 21781	-24480
+ 21779	-24483
+ 21776	-24485
+ 21774	-24487
+ 21772	-24489
+ 21769	-24491
+ 21767	-24493
+ 21764	-24495
+ 21762	-24497
+ 21760	-24499
+ 21757	-24501
+ 21755	-24503
+ 21753	-24506
+ 21750	-24508
+ 21748	-24510
+ 21746	-24512
+ 21743	-24514
+ 21741	-24516
+ 21739	-24518
+ 21736	-24520
+ 21734	-24522
+ 21732	-24524
+ 21729	-24526
+ 21727	-24528
+ 21725	-24531
+ 21722	-24533
+ 21720	-24535
+ 21717	-24537
+ 21715	-24539
+ 21713	-24541
+ 21710	-24543
+ 21708	-24545
+ 21706	-24547
+ 21703	-24549
+ 21701	-24551
+ 21699	-24553
+ 21696	-24556
+ 21694	-24558
+ 21692	-24560
+ 21689	-24562
+ 21687	-24564
+ 21685	-24566
+ 21682	-24568
+ 21680	-24570
+ 21677	-24572
+ 21675	-24574
+ 21673	-24576
+ 21670	-24578
+ 21668	-24580
+ 21666	-24583
+ 21663	-24585
+ 21661	-24587
+ 21659	-24589
+ 21656	-24591
+ 21654	-24593
+ 21652	-24595
+ 21649	-24597
+ 21647	-24599
+ 21644	-24601
+ 21642	-24603
+ 21640	-24605
+ 21637	-24607
+ 21635	-24610
+ 21633	-24612
+ 21630	-24614
+ 21628	-24616
+ 21626	-24618
+ 21623	-24620
+ 21621	-24622
+ 21619	-24624
+ 21616	-24626
+ 21614	-24628
+ 21611	-24630
+ 21609	-24632
+ 21607	-24634
+ 21604	-24636
+ 21602	-24639
+ 21600	-24641
+ 21597	-24643
+ 21595	-24645
+ 21593	-24647
+ 21590	-24649
+ 21588	-24651
+ 21585	-24653
+ 21583	-24655
+ 21581	-24657
+ 21578	-24659
+ 21576	-24661
+ 21574	-24663
+ 21571	-24665
+ 21569	-24668
+ 21567	-24670
+ 21564	-24672
+ 21562	-24674
+ 21559	-24676
+ 21557	-24678
+ 21555	-24680
+ 21552	-24682
+ 21550	-24684
+ 21548	-24686
+ 21545	-24688
+ 21543	-24690
+ 21540	-24692
+ 21538	-24694
+ 21536	-24696
+ 21533	-24699
+ 21531	-24701
+ 21529	-24703
+ 21526	-24705
+ 21524	-24707
+ 21522	-24709
+ 21519	-24711
+ 21517	-24713
+ 21514	-24715
+ 21512	-24717
+ 21510	-24719
+ 21507	-24721
+ 21505	-24723
+ 21503	-24725
+ 21500	-24727
+ 21498	-24729
+ 21495	-24732
+ 21493	-24734
+ 21491	-24736
+ 21488	-24738
+ 21486	-24740
+ 21484	-24742
+ 21481	-24744
+ 21479	-24746
+ 21477	-24748
+ 21474	-24750
+ 21472	-24752
+ 21469	-24754
+ 21467	-24756
+ 21465	-24758
+ 21462	-24760
+ 21460	-24762
+ 21458	-24764
+ 21455	-24767
+ 21453	-24769
+ 21450	-24771
+ 21448	-24773
+ 21446	-24775
+ 21443	-24777
+ 21441	-24779
+ 21439	-24781
+ 21436	-24783
+ 21434	-24785
+ 21431	-24787
+ 21429	-24789
+ 21427	-24791
+ 21424	-24793
+ 21422	-24795
+ 21420	-24797
+ 21417	-24799
+ 21415	-24801
+ 21412	-24803
+ 21410	-24806
+ 21408	-24808
+ 21405	-24810
+ 21403	-24812
+ 21400	-24814
+ 21398	-24816
+ 21396	-24818
+ 21393	-24820
+ 21391	-24822
+ 21389	-24824
+ 21386	-24826
+ 21384	-24828
+ 21381	-24830
+ 21379	-24832
+ 21377	-24834
+ 21374	-24836
+ 21372	-24838
+ 21370	-24840
+ 21367	-24842
+ 21365	-24845
+ 21362	-24847
+ 21360	-24849
+ 21358	-24851
+ 21355	-24853
+ 21353	-24855
+ 21350	-24857
+ 21348	-24859
+ 21346	-24861
+ 21343	-24863
+ 21341	-24865
+ 21339	-24867
+ 21336	-24869
+ 21334	-24871
+ 21331	-24873
+ 21329	-24875
+ 21327	-24877
+ 21324	-24879
+ 21322	-24881
+ 21319	-24883
+ 21317	-24885
+ 21315	-24887
+ 21312	-24890
+ 21310	-24892
+ 21308	-24894
+ 21305	-24896
+ 21303	-24898
+ 21300	-24900
+ 21298	-24902
+ 21296	-24904
+ 21293	-24906
+ 21291	-24908
+ 21288	-24910
+ 21286	-24912
+ 21284	-24914
+ 21281	-24916
+ 21279	-24918
+ 21277	-24920
+ 21274	-24922
+ 21272	-24924
+ 21269	-24926
+ 21267	-24928
+ 21265	-24930
+ 21262	-24932
+ 21260	-24934
+ 21257	-24936
+ 21255	-24938
+ 21253	-24941
+ 21250	-24943
+ 21248	-24945
+ 21245	-24947
+ 21243	-24949
+ 21241	-24951
+ 21238	-24953
+ 21236	-24955
+ 21233	-24957
+ 21231	-24959
+ 21229	-24961
+ 21226	-24963
+ 21224	-24965
+ 21222	-24967
+ 21219	-24969
+ 21217	-24971
+ 21214	-24973
+ 21212	-24975
+ 21210	-24977
+ 21207	-24979
+ 21205	-24981
+ 21202	-24983
+ 21200	-24985
+ 21198	-24987
+ 21195	-24989
+ 21193	-24991
+ 21190	-24993
+ 21188	-24995
+ 21186	-24997
+ 21183	-25000
+ 21181	-25002
+ 21178	-25004
+ 21176	-25006
+ 21174	-25008
+ 21171	-25010
+ 21169	-25012
+ 21166	-25014
+ 21164	-25016
+ 21162	-25018
+ 21159	-25020
+ 21157	-25022
+ 21154	-25024
+ 21152	-25026
+ 21150	-25028
+ 21147	-25030
+ 21145	-25032
+ 21142	-25034
+ 21140	-25036
+ 21138	-25038
+ 21135	-25040
+ 21133	-25042
+ 21130	-25044
+ 21128	-25046
+ 21126	-25048
+ 21123	-25050
+ 21121	-25052
+ 21118	-25054
+ 21116	-25056
+ 21114	-25058
+ 21111	-25060
+ 21109	-25062
+ 21106	-25064
+ 21104	-25066
+ 21102	-25068
+ 21099	-25070
+ 21097	-25072
+ 21094	-25074
+ 21092	-25077
+ 21090	-25079
+ 21087	-25081
+ 21085	-25083
+ 21082	-25085
+ 21080	-25087
+ 21078	-25089
+ 21075	-25091
+ 21073	-25093
+ 21070	-25095
+ 21068	-25097
+ 21066	-25099
+ 21063	-25101
+ 21061	-25103
+ 21058	-25105
+ 21056	-25107
+ 21053	-25109
+ 21051	-25111
+ 21049	-25113
+ 21046	-25115
+ 21044	-25117
+ 21041	-25119
+ 21039	-25121
+ 21037	-25123
+ 21034	-25125
+ 21032	-25127
+ 21029	-25129
+ 21027	-25131
+ 21025	-25133
+ 21022	-25135
+ 21020	-25137
+ 21017	-25139
+ 21015	-25141
+ 21013	-25143
+ 21010	-25145
+ 21008	-25147
+ 21005	-25149
+ 21003	-25151
+ 21000	-25153
+ 20998	-25155
+ 20996	-25157
+ 20993	-25159
+ 20991	-25161
+ 20988	-25163
+ 20986	-25165
+ 20984	-25167
+ 20981	-25169
+ 20979	-25171
+ 20976	-25173
+ 20974	-25175
+ 20972	-25177
+ 20969	-25179
+ 20967	-25181
+ 20964	-25183
+ 20962	-25185
+ 20959	-25187
+ 20957	-25189
+ 20955	-25191
+ 20952	-25193
+ 20950	-25195
+ 20947	-25197
+ 20945	-25199
+ 20943	-25201
+ 20940	-25203
+ 20938	-25205
+ 20935	-25207
+ 20933	-25209
+ 20930	-25211
+ 20928	-25213
+ 20926	-25215
+ 20923	-25217
+ 20921	-25220
+ 20918	-25222
+ 20916	-25224
+ 20914	-25226
+ 20911	-25228
+ 20909	-25230
+ 20906	-25232
+ 20904	-25234
+ 20901	-25236
+ 20899	-25238
+ 20897	-25240
+ 20894	-25242
+ 20892	-25244
+ 20889	-25246
+ 20887	-25248
+ 20885	-25250
+ 20882	-25252
+ 20880	-25254
+ 20877	-25256
+ 20875	-25258
+ 20872	-25260
+ 20870	-25262
+ 20868	-25264
+ 20865	-25266
+ 20863	-25268
+ 20860	-25270
+ 20858	-25272
+ 20855	-25274
+ 20853	-25276
+ 20851	-25278
+ 20848	-25280
+ 20846	-25282
+ 20843	-25284
+ 20841	-25286
+ 20838	-25288
+ 20836	-25290
+ 20834	-25292
+ 20831	-25294
+ 20829	-25296
+ 20826	-25298
+ 20824	-25300
+ 20822	-25302
+ 20819	-25304
+ 20817	-25306
+ 20814	-25308
+ 20812	-25310
+ 20809	-25312
+ 20807	-25314
+ 20805	-25316
+ 20802	-25317
+ 20800	-25319
+ 20797	-25321
+ 20795	-25323
+ 20792	-25325
+ 20790	-25327
+ 20788	-25329
+ 20785	-25331
+ 20783	-25333
+ 20780	-25335
+ 20778	-25337
+ 20775	-25339
+ 20773	-25341
+ 20771	-25343
+ 20768	-25345
+ 20766	-25347
+ 20763	-25349
+ 20761	-25351
+ 20758	-25353
+ 20756	-25355
+ 20754	-25357
+ 20751	-25359
+ 20749	-25361
+ 20746	-25363
+ 20744	-25365
+ 20741	-25367
+ 20739	-25369
+ 20736	-25371
+ 20734	-25373
+ 20732	-25375
+ 20729	-25377
+ 20727	-25379
+ 20724	-25381
+ 20722	-25383
+ 20719	-25385
+ 20717	-25387
+ 20715	-25389
+ 20712	-25391
+ 20710	-25393
+ 20707	-25395
+ 20705	-25397
+ 20702	-25399
+ 20700	-25401
+ 20698	-25403
+ 20695	-25405
+ 20693	-25407
+ 20690	-25409
+ 20688	-25411
+ 20685	-25413
+ 20683	-25415
+ 20680	-25417
+ 20678	-25419
+ 20676	-25421
+ 20673	-25423
+ 20671	-25425
+ 20668	-25427
+ 20666	-25429
+ 20663	-25431
+ 20661	-25433
+ 20659	-25435
+ 20656	-25437
+ 20654	-25439
+ 20651	-25441
+ 20649	-25443
+ 20646	-25445
+ 20644	-25447
+ 20641	-25449
+ 20639	-25451
+ 20637	-25453
+ 20634	-25455
+ 20632	-25457
+ 20629	-25459
+ 20627	-25460
+ 20624	-25462
+ 20622	-25464
+ 20620	-25466
+ 20617	-25468
+ 20615	-25470
+ 20612	-25472
+ 20610	-25474
+ 20607	-25476
+ 20605	-25478
+ 20602	-25480
+ 20600	-25482
+ 20598	-25484
+ 20595	-25486
+ 20593	-25488
+ 20590	-25490
+ 20588	-25492
+ 20585	-25494
+ 20583	-25496
+ 20580	-25498
+ 20578	-25500
+ 20576	-25502
+ 20573	-25504
+ 20571	-25506
+ 20568	-25508
+ 20566	-25510
+ 20563	-25512
+ 20561	-25514
+ 20558	-25516
+ 20556	-25518
+ 20554	-25520
+ 20551	-25522
+ 20549	-25524
+ 20546	-25526
+ 20544	-25528
+ 20541	-25530
+ 20539	-25532
+ 20536	-25533
+ 20534	-25535
+ 20532	-25537
+ 20529	-25539
+ 20527	-25541
+ 20524	-25543
+ 20522	-25545
+ 20519	-25547
+ 20517	-25549
+ 20514	-25551
+ 20512	-25553
+ 20509	-25555
+ 20507	-25557
+ 20505	-25559
+ 20502	-25561
+ 20500	-25563
+ 20497	-25565
+ 20495	-25567
+ 20492	-25569
+ 20490	-25571
+ 20487	-25573
+ 20485	-25575
+ 20483	-25577
+ 20480	-25579
+ 20478	-25581
+ 20475	-25583
+ 20473	-25585
+ 20470	-25587
+ 20468	-25589
+ 20465	-25590
+ 20463	-25592
+ 20460	-25594
+ 20458	-25596
+ 20456	-25598
+ 20453	-25600
+ 20451	-25602
+ 20448	-25604
+ 20446	-25606
+ 20443	-25608
+ 20441	-25610
+ 20438	-25612
+ 20436	-25614
+ 20433	-25616
+ 20431	-25618
+ 20429	-25620
+ 20426	-25622
+ 20424	-25624
+ 20421	-25626
+ 20419	-25628
+ 20416	-25630
+ 20414	-25632
+ 20411	-25634
+ 20409	-25636
+ 20406	-25638
+ 20404	-25639
+ 20401	-25641
+ 20399	-25643
+ 20397	-25645
+ 20394	-25647
+ 20392	-25649
+ 20389	-25651
+ 20387	-25653
+ 20384	-25655
+ 20382	-25657
+ 20379	-25659
+ 20377	-25661
+ 20374	-25663
+ 20372	-25665
+ 20370	-25667
+ 20367	-25669
+ 20365	-25671
+ 20362	-25673
+ 20360	-25675
+ 20357	-25677
+ 20355	-25679
+ 20352	-25680
+ 20350	-25682
+ 20347	-25684
+ 20345	-25686
+ 20342	-25688
+ 20340	-25690
+ 20338	-25692
+ 20335	-25694
+ 20333	-25696
+ 20330	-25698
+ 20328	-25700
+ 20325	-25702
+ 20323	-25704
+ 20320	-25706
+ 20318	-25708
+ 20315	-25710
+ 20313	-25712
+ 20310	-25714
+ 20308	-25716
+ 20305	-25718
+ 20303	-25719
+ 20301	-25721
+ 20298	-25723
+ 20296	-25725
+ 20293	-25727
+ 20291	-25729
+ 20288	-25731
+ 20286	-25733
+ 20283	-25735
+ 20281	-25737
+ 20278	-25739
+ 20276	-25741
+ 20273	-25743
+ 20271	-25745
+ 20268	-25747
+ 20266	-25749
+ 20264	-25751
+ 20261	-25753
+ 20259	-25754
+ 20256	-25756
+ 20254	-25758
+ 20251	-25760
+ 20249	-25762
+ 20246	-25764
+ 20244	-25766
+ 20241	-25768
+ 20239	-25770
+ 20236	-25772
+ 20234	-25774
+ 20231	-25776
+ 20229	-25778
+ 20226	-25780
+ 20224	-25782
+ 20222	-25784
+ 20219	-25786
+ 20217	-25787
+ 20214	-25789
+ 20212	-25791
+ 20209	-25793
+ 20207	-25795
+ 20204	-25797
+ 20202	-25799
+ 20199	-25801
+ 20197	-25803
+ 20194	-25805
+ 20192	-25807
+ 20189	-25809
+ 20187	-25811
+ 20184	-25813
+ 20182	-25815
+ 20179	-25816
+ 20177	-25818
+ 20175	-25820
+ 20172	-25822
+ 20170	-25824
+ 20167	-25826
+ 20165	-25828
+ 20162	-25830
+ 20160	-25832
+ 20157	-25834
+ 20155	-25836
+ 20152	-25838
+ 20150	-25840
+ 20147	-25842
+ 20145	-25844
+ 20142	-25845
+ 20140	-25847
+ 20137	-25849
+ 20135	-25851
+ 20132	-25853
+ 20130	-25855
+ 20127	-25857
+ 20125	-25859
+ 20123	-25861
+ 20120	-25863
+ 20118	-25865
+ 20115	-25867
+ 20113	-25869
+ 20110	-25871
+ 20108	-25872
+ 20105	-25874
+ 20103	-25876
+ 20100	-25878
+ 20098	-25880
+ 20095	-25882
+ 20093	-25884
+ 20090	-25886
+ 20088	-25888
+ 20085	-25890
+ 20083	-25892
+ 20080	-25894
+ 20078	-25896
+ 20075	-25898
+ 20073	-25899
+ 20070	-25901
+ 20068	-25903
+ 20065	-25905
+ 20063	-25907
+ 20060	-25909
+ 20058	-25911
+ 20056	-25913
+ 20053	-25915
+ 20051	-25917
+ 20048	-25919
+ 20046	-25921
+ 20043	-25923
+ 20041	-25924
+ 20038	-25926
+ 20036	-25928
+ 20033	-25930
+ 20031	-25932
+ 20028	-25934
+ 20026	-25936
+ 20023	-25938
+ 20021	-25940
+ 20018	-25942
+ 20016	-25944
+ 20013	-25946
+ 20011	-25947
+ 20008	-25949
+ 20006	-25951
+ 20003	-25953
+ 20001	-25955
+ 19998	-25957
+ 19996	-25959
+ 19993	-25961
+ 19991	-25963
+ 19988	-25965
+ 19986	-25967
+ 19983	-25969
+ 19981	-25970
+ 19978	-25972
+ 19976	-25974
+ 19973	-25976
+ 19971	-25978
+ 19968	-25980
+ 19966	-25982
+ 19963	-25984
+ 19961	-25986
+ 19958	-25988
+ 19956	-25990
+ 19953	-25992
+ 19951	-25993
+ 19949	-25995
+ 19946	-25997
+ 19944	-25999
+ 19941	-26001
+ 19939	-26003
+ 19936	-26005
+ 19934	-26007
+ 19931	-26009
+ 19929	-26011
+ 19926	-26013
+ 19924	-26014
+ 19921	-26016
+ 19919	-26018
+ 19916	-26020
+ 19914	-26022
+ 19911	-26024
+ 19909	-26026
+ 19906	-26028
+ 19904	-26030
+ 19901	-26032
+ 19899	-26034
+ 19896	-26035
+ 19894	-26037
+ 19891	-26039
+ 19889	-26041
+ 19886	-26043
+ 19884	-26045
+ 19881	-26047
+ 19879	-26049
+ 19876	-26051
+ 19874	-26053
+ 19871	-26055
+ 19869	-26056
+ 19866	-26058
+ 19864	-26060
+ 19861	-26062
+ 19859	-26064
+ 19856	-26066
+ 19854	-26068
+ 19851	-26070
+ 19849	-26072
+ 19846	-26074
+ 19844	-26075
+ 19841	-26077
+ 19839	-26079
+ 19836	-26081
+ 19834	-26083
+ 19831	-26085
+ 19829	-26087
+ 19826	-26089
+ 19824	-26091
+ 19821	-26093
+ 19819	-26095
+ 19816	-26096
+ 19814	-26098
+ 19811	-26100
+ 19809	-26102
+ 19806	-26104
+ 19804	-26106
+ 19801	-26108
+ 19799	-26110
+ 19796	-26112
+ 19794	-26113
+ 19791	-26115
+ 19789	-26117
+ 19786	-26119
+ 19784	-26121
+ 19781	-26123
+ 19779	-26125
+ 19776	-26127
+ 19774	-26129
+ 19771	-26131
+ 19769	-26132
+ 19766	-26134
+ 19764	-26136
+ 19761	-26138
+ 19759	-26140
+ 19756	-26142
+ 19754	-26144
+ 19751	-26146
+ 19749	-26148
+ 19746	-26150
+ 19744	-26151
+ 19741	-26153
+ 19739	-26155
+ 19736	-26157
+ 19734	-26159
+ 19731	-26161
+ 19728	-26163
+ 19726	-26165
+ 19723	-26167
+ 19721	-26168
+ 19718	-26170
+ 19716	-26172
+ 19713	-26174
+ 19711	-26176
+ 19708	-26178
+ 19706	-26180
+ 19703	-26182
+ 19701	-26184
+ 19698	-26185
+ 19696	-26187
+ 19693	-26189
+ 19691	-26191
+ 19688	-26193
+ 19686	-26195
+ 19683	-26197
+ 19681	-26199
+ 19678	-26201
+ 19676	-26202
+ 19673	-26204
+ 19671	-26206
+ 19668	-26208
+ 19666	-26210
+ 19663	-26212
+ 19661	-26214
+ 19658	-26216
+ 19656	-26217
+ 19653	-26219
+ 19651	-26221
+ 19648	-26223
+ 19646	-26225
+ 19643	-26227
+ 19641	-26229
+ 19638	-26231
+ 19636	-26233
+ 19633	-26234
+ 19631	-26236
+ 19628	-26238
+ 19625	-26240
+ 19623	-26242
+ 19620	-26244
+ 19618	-26246
+ 19615	-26248
+ 19613	-26249
+ 19610	-26251
+ 19608	-26253
+ 19605	-26255
+ 19603	-26257
+ 19600	-26259
+ 19598	-26261
+ 19595	-26263
+ 19593	-26265
+ 19590	-26266
+ 19588	-26268
+ 19585	-26270
+ 19583	-26272
+ 19580	-26274
+ 19578	-26276
+ 19575	-26278
+ 19573	-26280
+ 19570	-26281
+ 19568	-26283
+ 19565	-26285
+ 19563	-26287
+ 19560	-26289
+ 19558	-26291
+ 19555	-26293
+ 19552	-26295
+ 19550	-26296
+ 19547	-26298
+ 19545	-26300
+ 19542	-26302
+ 19540	-26304
+ 19537	-26306
+ 19535	-26308
+ 19532	-26310
+ 19530	-26311
+ 19527	-26313
+ 19525	-26315
+ 19522	-26317
+ 19520	-26319
+ 19517	-26321
+ 19515	-26323
+ 19512	-26325
+ 19510	-26326
+ 19507	-26328
+ 19505	-26330
+ 19502	-26332
+ 19499	-26334
+ 19497	-26336
+ 19494	-26338
+ 19492	-26339
+ 19489	-26341
+ 19487	-26343
+ 19484	-26345
+ 19482	-26347
+ 19479	-26349
+ 19477	-26351
+ 19474	-26353
+ 19472	-26354
+ 19469	-26356
+ 19467	-26358
+ 19464	-26360
+ 19462	-26362
+ 19459	-26364
+ 19457	-26366
+ 19454	-26367
+ 19451	-26369
+ 19449	-26371
+ 19446	-26373
+ 19444	-26375
+ 19441	-26377
+ 19439	-26379
+ 19436	-26381
+ 19434	-26382
+ 19431	-26384
+ 19429	-26386
+ 19426	-26388
+ 19424	-26390
+ 19421	-26392
+ 19419	-26394
+ 19416	-26395
+ 19414	-26397
+ 19411	-26399
+ 19408	-26401
+ 19406	-26403
+ 19403	-26405
+ 19401	-26407
+ 19398	-26408
+ 19396	-26410
+ 19393	-26412
+ 19391	-26414
+ 19388	-26416
+ 19386	-26418
+ 19383	-26420
+ 19381	-26421
+ 19378	-26423
+ 19376	-26425
+ 19373	-26427
+ 19371	-26429
+ 19368	-26431
+ 19365	-26433
+ 19363	-26434
+ 19360	-26436
+ 19358	-26438
+ 19355	-26440
+ 19353	-26442
+ 19350	-26444
+ 19348	-26446
+ 19345	-26447
+ 19343	-26449
+ 19340	-26451
+ 19338	-26453
+ 19335	-26455
+ 19332	-26457
+ 19330	-26459
+ 19327	-26460
+ 19325	-26462
+ 19322	-26464
+ 19320	-26466
+ 19317	-26468
+ 19315	-26470
+ 19312	-26472
+ 19310	-26473
+ 19307	-26475
+ 19305	-26477
+ 19302	-26479
+ 19299	-26481
+ 19297	-26483
+ 19294	-26484
+ 19292	-26486
+ 19289	-26488
+ 19287	-26490
+ 19284	-26492
+ 19282	-26494
+ 19279	-26496
+ 19277	-26497
+ 19274	-26499
+ 19272	-26501
+ 19269	-26503
+ 19266	-26505
+ 19264	-26507
+ 19261	-26509
+ 19259	-26510
+ 19256	-26512
+ 19254	-26514
+ 19251	-26516
+ 19249	-26518
+ 19246	-26520
+ 19244	-26521
+ 19241	-26523
+ 19239	-26525
+ 19236	-26527
+ 19233	-26529
+ 19231	-26531
+ 19228	-26532
+ 19226	-26534
+ 19223	-26536
+ 19221	-26538
+ 19218	-26540
+ 19216	-26542
+ 19213	-26544
+ 19211	-26545
+ 19208	-26547
+ 19205	-26549
+ 19203	-26551
+ 19200	-26553
+ 19198	-26555
+ 19195	-26556
+ 19193	-26558
+ 19190	-26560
+ 19188	-26562
+ 19185	-26564
+ 19183	-26566
+ 19180	-26567
+ 19177	-26569
+ 19175	-26571
+ 19172	-26573
+ 19170	-26575
+ 19167	-26577
+ 19165	-26579
+ 19162	-26580
+ 19160	-26582
+ 19157	-26584
+ 19154	-26586
+ 19152	-26588
+ 19149	-26590
+ 19147	-26591
+ 19144	-26593
+ 19142	-26595
+ 19139	-26597
+ 19137	-26599
+ 19134	-26601
+ 19132	-26602
+ 19129	-26604
+ 19126	-26606
+ 19124	-26608
+ 19121	-26610
+ 19119	-26612
+ 19116	-26613
+ 19114	-26615
+ 19111	-26617
+ 19109	-26619
+ 19106	-26621
+ 19103	-26623
+ 19101	-26624
+ 19098	-26626
+ 19096	-26628
+ 19093	-26630
+ 19091	-26632
+ 19088	-26634
+ 19086	-26635
+ 19083	-26637
+ 19081	-26639
+ 19078	-26641
+ 19075	-26643
+ 19073	-26644
+ 19070	-26646
+ 19068	-26648
+ 19065	-26650
+ 19063	-26652
+ 19060	-26654
+ 19058	-26655
+ 19055	-26657
+ 19052	-26659
+ 19050	-26661
+ 19047	-26663
+ 19045	-26665
+ 19042	-26666
+ 19040	-26668
+ 19037	-26670
+ 19035	-26672
+ 19032	-26674
+ 19029	-26676
+ 19027	-26677
+ 19024	-26679
+ 19022	-26681
+ 19019	-26683
+ 19017	-26685
+ 19014	-26686
+ 19011	-26688
+ 19009	-26690
+ 19006	-26692
+ 19004	-26694
+ 19001	-26696
+ 18999	-26697
+ 18996	-26699
+ 18994	-26701
+ 18991	-26703
+ 18988	-26705
+ 18986	-26707
+ 18983	-26708
+ 18981	-26710
+ 18978	-26712
+ 18976	-26714
+ 18973	-26716
+ 18971	-26717
+ 18968	-26719
+ 18965	-26721
+ 18963	-26723
+ 18960	-26725
+ 18958	-26727
+ 18955	-26728
+ 18953	-26730
+ 18950	-26732
+ 18947	-26734
+ 18945	-26736
+ 18942	-26737
+ 18940	-26739
+ 18937	-26741
+ 18935	-26743
+ 18932	-26745
+ 18930	-26747
+ 18927	-26748
+ 18924	-26750
+ 18922	-26752
+ 18919	-26754
+ 18917	-26756
+ 18914	-26757
+ 18912	-26759
+ 18909	-26761
+ 18906	-26763
+ 18904	-26765
+ 18901	-26766
+ 18899	-26768
+ 18896	-26770
+ 18894	-26772
+ 18891	-26774
+ 18888	-26776
+ 18886	-26777
+ 18883	-26779
+ 18881	-26781
+ 18878	-26783
+ 18876	-26785
+ 18873	-26786
+ 18870	-26788
+ 18868	-26790
+ 18865	-26792
+ 18863	-26794
+ 18860	-26795
+ 18858	-26797
+ 18855	-26799
+ 18853	-26801
+ 18850	-26803
+ 18847	-26804
+ 18845	-26806
+ 18842	-26808
+ 18840	-26810
+ 18837	-26812
+ 18835	-26813
+ 18832	-26815
+ 18829	-26817
+ 18827	-26819
+ 18824	-26821
+ 18822	-26823
+ 18819	-26824
+ 18817	-26826
+ 18814	-26828
+ 18811	-26830
+ 18809	-26832
+ 18806	-26833
+ 18804	-26835
+ 18801	-26837
+ 18799	-26839
+ 18796	-26841
+ 18793	-26842
+ 18791	-26844
+ 18788	-26846
+ 18786	-26848
+ 18783	-26850
+ 18781	-26851
+ 18778	-26853
+ 18775	-26855
+ 18773	-26857
+ 18770	-26859
+ 18768	-26860
+ 18765	-26862
+ 18762	-26864
+ 18760	-26866
+ 18757	-26868
+ 18755	-26869
+ 18752	-26871
+ 18750	-26873
+ 18747	-26875
+ 18744	-26877
+ 18742	-26878
+ 18739	-26880
+ 18737	-26882
+ 18734	-26884
+ 18732	-26886
+ 18729	-26887
+ 18726	-26889
+ 18724	-26891
+ 18721	-26893
+ 18719	-26894
+ 18716	-26896
+ 18714	-26898
+ 18711	-26900
+ 18708	-26902
+ 18706	-26903
+ 18703	-26905
+ 18701	-26907
+ 18698	-26909
+ 18695	-26911
+ 18693	-26912
+ 18690	-26914
+ 18688	-26916
+ 18685	-26918
+ 18683	-26920
+ 18680	-26921
+ 18677	-26923
+ 18675	-26925
+ 18672	-26927
+ 18670	-26929
+ 18667	-26930
+ 18664	-26932
+ 18662	-26934
+ 18659	-26936
+ 18657	-26937
+ 18654	-26939
+ 18652	-26941
+ 18649	-26943
+ 18646	-26945
+ 18644	-26946
+ 18641	-26948
+ 18639	-26950
+ 18636	-26952
+ 18633	-26954
+ 18631	-26955
+ 18628	-26957
+ 18626	-26959
+ 18623	-26961
+ 18621	-26962
+ 18618	-26964
+ 18615	-26966
+ 18613	-26968
+ 18610	-26970
+ 18608	-26971
+ 18605	-26973
+ 18602	-26975
+ 18600	-26977
+ 18597	-26979
+ 18595	-26980
+ 18592	-26982
+ 18590	-26984
+ 18587	-26986
+ 18584	-26987
+ 18582	-26989
+ 18579	-26991
+ 18577	-26993
+ 18574	-26995
+ 18571	-26996
+ 18569	-26998
+ 18566	-27000
+ 18564	-27002
+ 18561	-27003
+ 18558	-27005
+ 18556	-27007
+ 18553	-27009
+ 18551	-27011
+ 18548	-27012
+ 18546	-27014
+ 18543	-27016
+ 18540	-27018
+ 18538	-27019
+ 18535	-27021
+ 18533	-27023
+ 18530	-27025
+ 18527	-27027
+ 18525	-27028
+ 18522	-27030
+ 18520	-27032
+ 18517	-27034
+ 18514	-27035
+ 18512	-27037
+ 18509	-27039
+ 18507	-27041
+ 18504	-27043
+ 18501	-27044
+ 18499	-27046
+ 18496	-27048
+ 18494	-27050
+ 18491	-27051
+ 18489	-27053
+ 18486	-27055
+ 18483	-27057
+ 18481	-27059
+ 18478	-27060
+ 18476	-27062
+ 18473	-27064
+ 18470	-27066
+ 18468	-27067
+ 18465	-27069
+ 18463	-27071
+ 18460	-27073
+ 18457	-27074
+ 18455	-27076
+ 18452	-27078
+ 18450	-27080
+ 18447	-27082
+ 18444	-27083
+ 18442	-27085
+ 18439	-27087
+ 18437	-27089
+ 18434	-27090
+ 18431	-27092
+ 18429	-27094
+ 18426	-27096
+ 18424	-27097
+ 18421	-27099
+ 18418	-27101
+ 18416	-27103
+ 18413	-27105
+ 18411	-27106
+ 18408	-27108
+ 18405	-27110
+ 18403	-27112
+ 18400	-27113
+ 18398	-27115
+ 18395	-27117
+ 18392	-27119
+ 18390	-27120
+ 18387	-27122
+ 18385	-27124
+ 18382	-27126
+ 18379	-27127
+ 18377	-27129
+ 18374	-27131
+ 18372	-27133
+ 18369	-27134
+ 18366	-27136
+ 18364	-27138
+ 18361	-27140
+ 18359	-27142
+ 18356	-27143
+ 18353	-27145
+ 18351	-27147
+ 18348	-27149
+ 18346	-27150
+ 18343	-27152
+ 18340	-27154
+ 18338	-27156
+ 18335	-27157
+ 18333	-27159
+ 18330	-27161
+ 18327	-27163
+ 18325	-27164
+ 18322	-27166
+ 18320	-27168
+ 18317	-27170
+ 18314	-27171
+ 18312	-27173
+ 18309	-27175
+ 18307	-27177
+ 18304	-27178
+ 18301	-27180
+ 18299	-27182
+ 18296	-27184
+ 18294	-27185
+ 18291	-27187
+ 18288	-27189
+ 18286	-27191
+ 18283	-27192
+ 18280	-27194
+ 18278	-27196
+ 18275	-27198
+ 18273	-27199
+ 18270	-27201
+ 18267	-27203
+ 18265	-27205
+ 18262	-27206
+ 18260	-27208
+ 18257	-27210
+ 18254	-27212
+ 18252	-27213
+ 18249	-27215
+ 18247	-27217
+ 18244	-27219
+ 18241	-27220
+ 18239	-27222
+ 18236	-27224
+ 18234	-27226
+ 18231	-27227
+ 18228	-27229
+ 18226	-27231
+ 18223	-27233
+ 18220	-27234
+ 18218	-27236
+ 18215	-27238
+ 18213	-27240
+ 18210	-27241
+ 18207	-27243
+ 18205	-27245
+ 18202	-27247
+ 18200	-27248
+ 18197	-27250
+ 18194	-27252
+ 18192	-27254
+ 18189	-27255
+ 18187	-27257
+ 18184	-27259
+ 18181	-27261
+ 18179	-27262
+ 18176	-27264
+ 18173	-27266
+ 18171	-27268
+ 18168	-27269
+ 18166	-27271
+ 18163	-27273
+ 18160	-27275
+ 18158	-27276
+ 18155	-27278
+ 18153	-27280
+ 18150	-27282
+ 18147	-27283
+ 18145	-27285
+ 18142	-27287
+ 18139	-27288
+ 18137	-27290
+ 18134	-27292
+ 18132	-27294
+ 18129	-27295
+ 18126	-27297
+ 18124	-27299
+ 18121	-27301
+ 18119	-27302
+ 18116	-27304
+ 18113	-27306
+ 18111	-27308
+ 18108	-27309
+ 18105	-27311
+ 18103	-27313
+ 18100	-27315
+ 18098	-27316
+ 18095	-27318
+ 18092	-27320
+ 18090	-27321
+ 18087	-27323
+ 18084	-27325
+ 18082	-27327
+ 18079	-27328
+ 18077	-27330
+ 18074	-27332
+ 18071	-27334
+ 18069	-27335
+ 18066	-27337
+ 18064	-27339
+ 18061	-27341
+ 18058	-27342
+ 18056	-27344
+ 18053	-27346
+ 18050	-27347
+ 18048	-27349
+ 18045	-27351
+ 18043	-27353
+ 18040	-27354
+ 18037	-27356
+ 18035	-27358
+ 18032	-27360
+ 18029	-27361
+ 18027	-27363
+ 18024	-27365
+ 18022	-27366
+ 18019	-27368
+ 18016	-27370
+ 18014	-27372
+ 18011	-27373
+ 18008	-27375
+ 18006	-27377
+ 18003	-27379
+ 18001	-27380
+ 17998	-27382
+ 17995	-27384
+ 17993	-27385
+ 17990	-27387
+ 17987	-27389
+ 17985	-27391
+ 17982	-27392
+ 17980	-27394
+ 17977	-27396
+ 17974	-27398
+ 17972	-27399
+ 17969	-27401
+ 17966	-27403
+ 17964	-27404
+ 17961	-27406
+ 17959	-27408
+ 17956	-27410
+ 17953	-27411
+ 17951	-27413
+ 17948	-27415
+ 17945	-27416
+ 17943	-27418
+ 17940	-27420
+ 17938	-27422
+ 17935	-27423
+ 17932	-27425
+ 17930	-27427
+ 17927	-27429
+ 17924	-27430
+ 17922	-27432
+ 17919	-27434
+ 17916	-27435
+ 17914	-27437
+ 17911	-27439
+ 17909	-27441
+ 17906	-27442
+ 17903	-27444
+ 17901	-27446
+ 17898	-27447
+ 17895	-27449
+ 17893	-27451
+ 17890	-27453
+ 17888	-27454
+ 17885	-27456
+ 17882	-27458
+ 17880	-27459
+ 17877	-27461
+ 17874	-27463
+ 17872	-27465
+ 17869	-27466
+ 17866	-27468
+ 17864	-27470
+ 17861	-27471
+ 17859	-27473
+ 17856	-27475
+ 17853	-27477
+ 17851	-27478
+ 17848	-27480
+ 17845	-27482
+ 17843	-27483
+ 17840	-27485
+ 17838	-27487
+ 17835	-27489
+ 17832	-27490
+ 17830	-27492
+ 17827	-27494
+ 17824	-27495
+ 17822	-27497
+ 17819	-27499
+ 17816	-27500
+ 17814	-27502
+ 17811	-27504
+ 17809	-27506
+ 17806	-27507
+ 17803	-27509
+ 17801	-27511
+ 17798	-27512
+ 17795	-27514
+ 17793	-27516
+ 17790	-27518
+ 17787	-27519
+ 17785	-27521
+ 17782	-27523
+ 17779	-27524
+ 17777	-27526
+ 17774	-27528
+ 17772	-27529
+ 17769	-27531
+ 17766	-27533
+ 17764	-27535
+ 17761	-27536
+ 17758	-27538
+ 17756	-27540
+ 17753	-27541
+ 17750	-27543
+ 17748	-27545
+ 17745	-27547
+ 17743	-27548
+ 17740	-27550
+ 17737	-27552
+ 17735	-27553
+ 17732	-27555
+ 17729	-27557
+ 17727	-27558
+ 17724	-27560
+ 17721	-27562
+ 17719	-27564
+ 17716	-27565
+ 17713	-27567
+ 17711	-27569
+ 17708	-27570
+ 17706	-27572
+ 17703	-27574
+ 17700	-27575
+ 17698	-27577
+ 17695	-27579
+ 17692	-27580
+ 17690	-27582
+ 17687	-27584
+ 17684	-27586
+ 17682	-27587
+ 17679	-27589
+ 17676	-27591
+ 17674	-27592
+ 17671	-27594
+ 17669	-27596
+ 17666	-27597
+ 17663	-27599
+ 17661	-27601
+ 17658	-27603
+ 17655	-27604
+ 17653	-27606
+ 17650	-27608
+ 17647	-27609
+ 17645	-27611
+ 17642	-27613
+ 17639	-27614
+ 17637	-27616
+ 17634	-27618
+ 17631	-27619
+ 17629	-27621
+ 17626	-27623
+ 17624	-27624
+ 17621	-27626
+ 17618	-27628
+ 17616	-27630
+ 17613	-27631
+ 17610	-27633
+ 17608	-27635
+ 17605	-27636
+ 17602	-27638
+ 17600	-27640
+ 17597	-27641
+ 17594	-27643
+ 17592	-27645
+ 17589	-27646
+ 17586	-27648
+ 17584	-27650
+ 17581	-27651
+ 17578	-27653
+ 17576	-27655
+ 17573	-27657
+ 17571	-27658
+ 17568	-27660
+ 17565	-27662
+ 17563	-27663
+ 17560	-27665
+ 17557	-27667
+ 17555	-27668
+ 17552	-27670
+ 17549	-27672
+ 17547	-27673
+ 17544	-27675
+ 17541	-27677
+ 17539	-27678
+ 17536	-27680
+ 17533	-27682
+ 17531	-27683
+ 17528	-27685
+ 17525	-27687
+ 17523	-27689
+ 17520	-27690
+ 17517	-27692
+ 17515	-27694
+ 17512	-27695
+ 17509	-27697
+ 17507	-27699
+ 17504	-27700
+ 17502	-27702
+ 17499	-27704
+ 17496	-27705
+ 17494	-27707
+ 17491	-27709
+ 17488	-27710
+ 17486	-27712
+ 17483	-27714
+ 17480	-27715
+ 17478	-27717
+ 17475	-27719
+ 17472	-27720
+ 17470	-27722
+ 17467	-27724
+ 17464	-27725
+ 17462	-27727
+ 17459	-27729
+ 17456	-27730
+ 17454	-27732
+ 17451	-27734
+ 17448	-27735
+ 17446	-27737
+ 17443	-27739
+ 17440	-27740
+ 17438	-27742
+ 17435	-27744
+ 17432	-27745
+ 17430	-27747
+ 17427	-27749
+ 17424	-27750
+ 17422	-27752
+ 17419	-27754
+ 17416	-27756
+ 17414	-27757
+ 17411	-27759
+ 17408	-27761
+ 17406	-27762
+ 17403	-27764
+ 17400	-27766
+ 17398	-27767
+ 17395	-27769
+ 17393	-27771
+ 17390	-27772
+ 17387	-27774
+ 17385	-27776
+ 17382	-27777
+ 17379	-27779
+ 17377	-27781
+ 17374	-27782
+ 17371	-27784
+ 17369	-27786
+ 17366	-27787
+ 17363	-27789
+ 17361	-27791
+ 17358	-27792
+ 17355	-27794
+ 17353	-27796
+ 17350	-27797
+ 17347	-27799
+ 17345	-27800
+ 17342	-27802
+ 17339	-27804
+ 17337	-27805
+ 17334	-27807
+ 17331	-27809
+ 17329	-27810
+ 17326	-27812
+ 17323	-27814
+ 17321	-27815
+ 17318	-27817
+ 17315	-27819
+ 17313	-27820
+ 17310	-27822
+ 17307	-27824
+ 17305	-27825
+ 17302	-27827
+ 17299	-27829
+ 17297	-27830
+ 17294	-27832
+ 17291	-27834
+ 17289	-27835
+ 17286	-27837
+ 17283	-27839
+ 17281	-27840
+ 17278	-27842
+ 17275	-27844
+ 17273	-27845
+ 17270	-27847
+ 17267	-27849
+ 17265	-27850
+ 17262	-27852
+ 17259	-27854
+ 17257	-27855
+ 17254	-27857
+ 17251	-27859
+ 17249	-27860
+ 17246	-27862
+ 17243	-27863
+ 17240	-27865
+ 17238	-27867
+ 17235	-27868
+ 17232	-27870
+ 17230	-27872
+ 17227	-27873
+ 17224	-27875
+ 17222	-27877
+ 17219	-27878
+ 17216	-27880
+ 17214	-27882
+ 17211	-27883
+ 17208	-27885
+ 17206	-27887
+ 17203	-27888
+ 17200	-27890
+ 17198	-27892
+ 17195	-27893
+ 17192	-27895
+ 17190	-27897
+ 17187	-27898
+ 17184	-27900
+ 17182	-27901
+ 17179	-27903
+ 17176	-27905
+ 17174	-27906
+ 17171	-27908
+ 17168	-27910
+ 17166	-27911
+ 17163	-27913
+ 17160	-27915
+ 17158	-27916
+ 17155	-27918
+ 17152	-27920
+ 17150	-27921
+ 17147	-27923
+ 17144	-27924
+ 17142	-27926
+ 17139	-27928
+ 17136	-27929
+ 17134	-27931
+ 17131	-27933
+ 17128	-27934
+ 17125	-27936
+ 17123	-27938
+ 17120	-27939
+ 17117	-27941
+ 17115	-27943
+ 17112	-27944
+ 17109	-27946
+ 17107	-27947
+ 17104	-27949
+ 17101	-27951
+ 17099	-27952
+ 17096	-27954
+ 17093	-27956
+ 17091	-27957
+ 17088	-27959
+ 17085	-27961
+ 17083	-27962
+ 17080	-27964
+ 17077	-27965
+ 17075	-27967
+ 17072	-27969
+ 17069	-27970
+ 17067	-27972
+ 17064	-27974
+ 17061	-27975
+ 17058	-27977
+ 17056	-27979
+ 17053	-27980
+ 17050	-27982
+ 17048	-27983
+ 17045	-27985
+ 17042	-27987
+ 17040	-27988
+ 17037	-27990
+ 17034	-27992
+ 17032	-27993
+ 17029	-27995
+ 17026	-27997
+ 17024	-27998
+ 17021	-28000
+ 17018	-28001
+ 17016	-28003
+ 17013	-28005
+ 17010	-28006
+ 17007	-28008
+ 17005	-28010
+ 17002	-28011
+ 16999	-28013
+ 16997	-28014
+ 16994	-28016
+ 16991	-28018
+ 16989	-28019
+ 16986	-28021
+ 16983	-28023
+ 16981	-28024
+ 16978	-28026
+ 16975	-28028
+ 16973	-28029
+ 16970	-28031
+ 16967	-28032
+ 16964	-28034
+ 16962	-28036
+ 16959	-28037
+ 16956	-28039
+ 16954	-28041
+ 16951	-28042
+ 16948	-28044
+ 16946	-28045
+ 16943	-28047
+ 16940	-28049
+ 16938	-28050
+ 16935	-28052
+ 16932	-28054
+ 16930	-28055
+ 16927	-28057
+ 16924	-28058
+ 16921	-28060
+ 16919	-28062
+ 16916	-28063
+ 16913	-28065
+ 16911	-28067
+ 16908	-28068
+ 16905	-28070
+ 16903	-28071
+ 16900	-28073
+ 16897	-28075
+ 16895	-28076
+ 16892	-28078
+ 16889	-28079
+ 16886	-28081
+ 16884	-28083
+ 16881	-28084
+ 16878	-28086
+ 16876	-28088
+ 16873	-28089
+ 16870	-28091
+ 16868	-28092
+ 16865	-28094
+ 16862	-28096
+ 16860	-28097
+ 16857	-28099
+ 16854	-28100
+ 16851	-28102
+ 16849	-28104
+ 16846	-28105
+ 16843	-28107
+ 16841	-28109
+ 16838	-28110
+ 16835	-28112
+ 16833	-28113
+ 16830	-28115
+ 16827	-28117
+ 16825	-28118
+ 16822	-28120
+ 16819	-28121
+ 16816	-28123
+ 16814	-28125
+ 16811	-28126
+ 16808	-28128
+ 16806	-28130
+ 16803	-28131
+ 16800	-28133
+ 16798	-28134
+ 16795	-28136
+ 16792	-28138
+ 16789	-28139
+ 16787	-28141
+ 16784	-28142
+ 16781	-28144
+ 16779	-28146
+ 16776	-28147
+ 16773	-28149
+ 16771	-28150
+ 16768	-28152
+ 16765	-28154
+ 16762	-28155
+ 16760	-28157
+ 16757	-28158
+ 16754	-28160
+ 16752	-28162
+ 16749	-28163
+ 16746	-28165
+ 16744	-28167
+ 16741	-28168
+ 16738	-28170
+ 16735	-28171
+ 16733	-28173
+ 16730	-28175
+ 16727	-28176
+ 16725	-28178
+ 16722	-28179
+ 16719	-28181
+ 16717	-28183
+ 16714	-28184
+ 16711	-28186
+ 16708	-28187
+ 16706	-28189
+ 16703	-28191
+ 16700	-28192
+ 16698	-28194
+ 16695	-28195
+ 16692	-28197
+ 16690	-28199
+ 16687	-28200
+ 16684	-28202
+ 16681	-28203
+ 16679	-28205
+ 16676	-28207
+ 16673	-28208
+ 16671	-28210
+ 16668	-28211
+ 16665	-28213
+ 16663	-28215
+ 16660	-28216
+ 16657	-28218
+ 16654	-28219
+ 16652	-28221
+ 16649	-28223
+ 16646	-28224
+ 16644	-28226
+ 16641	-28227
+ 16638	-28229
+ 16635	-28231
+ 16633	-28232
+ 16630	-28234
+ 16627	-28235
+ 16625	-28237
+ 16622	-28238
+ 16619	-28240
+ 16617	-28242
+ 16614	-28243
+ 16611	-28245
+ 16608	-28246
+ 16606	-28248
+ 16603	-28250
+ 16600	-28251
+ 16598	-28253
+ 16595	-28254
+ 16592	-28256
+ 16589	-28258
+ 16587	-28259
+ 16584	-28261
+ 16581	-28262
+ 16579	-28264
+ 16576	-28266
+ 16573	-28267
+ 16570	-28269
+ 16568	-28270
+ 16565	-28272
+ 16562	-28273
+ 16560	-28275
+ 16557	-28277
+ 16554	-28278
+ 16551	-28280
+ 16549	-28281
+ 16546	-28283
+ 16543	-28285
+ 16541	-28286
+ 16538	-28288
+ 16535	-28289
+ 16532	-28291
+ 16530	-28293
+ 16527	-28294
+ 16524	-28296
+ 16522	-28297
+ 16519	-28299
+ 16516	-28300
+ 16514	-28302
+ 16511	-28304
+ 16508	-28305
+ 16505	-28307
+ 16503	-28308
+ 16500	-28310
+ 16497	-28312
+ 16495	-28313
+ 16492	-28315
+ 16489	-28316
+ 16486	-28318
+ 16484	-28319
+ 16481	-28321
+ 16478	-28323
+ 16476	-28324
+ 16473	-28326
+ 16470	-28327
+ 16467	-28329
+ 16465	-28330
+ 16462	-28332
+ 16459	-28334
+ 16456	-28335
+ 16454	-28337
+ 16451	-28338
+ 16448	-28340
+ 16446	-28342
+ 16443	-28343
+ 16440	-28345
+ 16437	-28346
+ 16435	-28348
+ 16432	-28349
+ 16429	-28351
+ 16427	-28353
+ 16424	-28354
+ 16421	-28356
+ 16418	-28357
+ 16416	-28359
+ 16413	-28360
+ 16410	-28362
+ 16408	-28364
+ 16405	-28365
+ 16402	-28367
+ 16399	-28368
+ 16397	-28370
+ 16394	-28371
+ 16391	-28373
+ 16389	-28375
+ 16386	-28376
+ 16382	-28378
+ 16379	-28379
+ 16377	-28381
+ 16374	-28382
+ 16371	-28384
+ 16368	-28386
+ 16366	-28387
+ 16363	-28389
+ 16360	-28390
+ 16358	-28392
+ 16355	-28393
+ 16352	-28395
+ 16349	-28397
+ 16347	-28398
+ 16344	-28400
+ 16341	-28401
+ 16339	-28403
+ 16336	-28404
+ 16333	-28406
+ 16330	-28408
+ 16328	-28409
+ 16325	-28411
+ 16322	-28412
+ 16319	-28414
+ 16317	-28415
+ 16314	-28417
+ 16311	-28418
+ 16309	-28420
+ 16306	-28422
+ 16303	-28423
+ 16300	-28425
+ 16298	-28426
+ 16295	-28428
+ 16292	-28429
+ 16290	-28431
+ 16287	-28433
+ 16284	-28434
+ 16281	-28436
+ 16279	-28437
+ 16276	-28439
+ 16273	-28440
+ 16270	-28442
+ 16268	-28443
+ 16265	-28445
+ 16262	-28447
+ 16260	-28448
+ 16257	-28450
+ 16254	-28451
+ 16251	-28453
+ 16249	-28454
+ 16246	-28456
+ 16243	-28457
+ 16240	-28459
+ 16238	-28461
+ 16235	-28462
+ 16232	-28464
+ 16230	-28465
+ 16227	-28467
+ 16224	-28468
+ 16221	-28470
+ 16219	-28471
+ 16216	-28473
+ 16213	-28475
+ 16210	-28476
+ 16208	-28478
+ 16205	-28479
+ 16202	-28481
+ 16199	-28482
+ 16197	-28484
+ 16194	-28485
+ 16191	-28487
+ 16189	-28489
+ 16186	-28490
+ 16183	-28492
+ 16180	-28493
+ 16178	-28495
+ 16175	-28496
+ 16172	-28498
+ 16169	-28499
+ 16167	-28501
+ 16164	-28503
+ 16161	-28504
+ 16159	-28506
+ 16156	-28507
+ 16153	-28509
+ 16150	-28510
+ 16148	-28512
+ 16145	-28513
+ 16142	-28515
+ 16139	-28516
+ 16137	-28518
+ 16134	-28520
+ 16131	-28521
+ 16128	-28523
+ 16126	-28524
+ 16123	-28526
+ 16120	-28527
+ 16117	-28529
+ 16115	-28530
+ 16112	-28532
+ 16109	-28533
+ 16107	-28535
+ 16104	-28537
+ 16101	-28538
+ 16098	-28540
+ 16096	-28541
+ 16093	-28543
+ 16090	-28544
+ 16087	-28546
+ 16085	-28547
+ 16082	-28549
+ 16079	-28550
+ 16076	-28552
+ 16074	-28554
+ 16071	-28555
+ 16068	-28557
+ 16065	-28558
+ 16063	-28560
+ 16060	-28561
+ 16057	-28563
+ 16055	-28564
+ 16052	-28566
+ 16049	-28567
+ 16046	-28569
+ 16044	-28570
+ 16041	-28572
+ 16038	-28574
+ 16035	-28575
+ 16033	-28577
+ 16030	-28578
+ 16027	-28580
+ 16024	-28581
+ 16022	-28583
+ 16019	-28584
+ 16016	-28586
+ 16013	-28587
+ 16011	-28589
+ 16008	-28590
+ 16005	-28592
+ 16002	-28594
+ 16000	-28595
+ 15997	-28597
+ 15994	-28598
+ 15992	-28600
+ 15989	-28601
+ 15986	-28603
+ 15983	-28604
+ 15981	-28606
+ 15978	-28607
+ 15975	-28609
+ 15972	-28610
+ 15970	-28612
+ 15967	-28613
+ 15964	-28615
+ 15961	-28616
+ 15959	-28618
+ 15956	-28620
+ 15953	-28621
+ 15950	-28623
+ 15948	-28624
+ 15945	-28626
+ 15942	-28627
+ 15939	-28629
+ 15937	-28630
+ 15934	-28632
+ 15931	-28633
+ 15928	-28635
+ 15926	-28636
+ 15923	-28638
+ 15920	-28639
+ 15917	-28641
+ 15915	-28642
+ 15912	-28644
+ 15909	-28646
+ 15906	-28647
+ 15904	-28649
+ 15901	-28650
+ 15898	-28652
+ 15895	-28653
+ 15893	-28655
+ 15890	-28656
+ 15887	-28658
+ 15884	-28659
+ 15882	-28661
+ 15879	-28662
+ 15876	-28664
+ 15873	-28665
+ 15871	-28667
+ 15868	-28668
+ 15865	-28670
+ 15862	-28671
+ 15860	-28673
+ 15857	-28674
+ 15854	-28676
+ 15851	-28677
+ 15849	-28679
+ 15846	-28681
+ 15843	-28682
+ 15840	-28684
+ 15838	-28685
+ 15835	-28687
+ 15832	-28688
+ 15829	-28690
+ 15827	-28691
+ 15824	-28693
+ 15821	-28694
+ 15818	-28696
+ 15816	-28697
+ 15813	-28699
+ 15810	-28700
+ 15807	-28702
+ 15805	-28703
+ 15802	-28705
+ 15799	-28706
+ 15796	-28708
+ 15794	-28709
+ 15791	-28711
+ 15788	-28712
+ 15785	-28714
+ 15783	-28715
+ 15780	-28717
+ 15777	-28718
+ 15774	-28720
+ 15772	-28721
+ 15769	-28723
+ 15766	-28724
+ 15763	-28726
+ 15761	-28727
+ 15758	-28729
+ 15755	-28731
+ 15752	-28732
+ 15750	-28734
+ 15747	-28735
+ 15744	-28737
+ 15741	-28738
+ 15739	-28740
+ 15736	-28741
+ 15733	-28743
+ 15730	-28744
+ 15728	-28746
+ 15725	-28747
+ 15722	-28749
+ 15719	-28750
+ 15717	-28752
+ 15714	-28753
+ 15711	-28755
+ 15708	-28756
+ 15706	-28758
+ 15703	-28759
+ 15700	-28761
+ 15697	-28762
+ 15695	-28764
+ 15692	-28765
+ 15689	-28767
+ 15686	-28768
+ 15684	-28770
+ 15681	-28771
+ 15678	-28773
+ 15675	-28774
+ 15672	-28776
+ 15670	-28777
+ 15667	-28779
+ 15664	-28780
+ 15661	-28782
+ 15659	-28783
+ 15656	-28785
+ 15653	-28786
+ 15650	-28788
+ 15648	-28789
+ 15645	-28791
+ 15642	-28792
+ 15639	-28794
+ 15637	-28795
+ 15634	-28797
+ 15631	-28798
+ 15628	-28800
+ 15626	-28801
+ 15623	-28803
+ 15620	-28804
+ 15617	-28806
+ 15615	-28807
+ 15612	-28809
+ 15609	-28810
+ 15606	-28812
+ 15603	-28813
+ 15601	-28815
+ 15598	-28816
+ 15595	-28818
+ 15592	-28819
+ 15590	-28821
+ 15587	-28822
+ 15584	-28824
+ 15581	-28825
+ 15579	-28827
+ 15576	-28828
+ 15573	-28830
+ 15570	-28831
+ 15568	-28833
+ 15565	-28834
+ 15562	-28836
+ 15559	-28837
+ 15556	-28839
+ 15554	-28840
+ 15551	-28842
+ 15548	-28843
+ 15545	-28845
+ 15543	-28846
+ 15540	-28848
+ 15537	-28849
+ 15534	-28851
+ 15532	-28852
+ 15529	-28853
+ 15526	-28855
+ 15523	-28856
+ 15521	-28858
+ 15518	-28859
+ 15515	-28861
+ 15512	-28862
+ 15509	-28864
+ 15507	-28865
+ 15504	-28867
+ 15501	-28868
+ 15498	-28870
+ 15496	-28871
+ 15493	-28873
+ 15490	-28874
+ 15487	-28876
+ 15485	-28877
+ 15482	-28879
+ 15479	-28880
+ 15476	-28882
+ 15473	-28883
+ 15471	-28885
+ 15468	-28886
+ 15465	-28888
+ 15462	-28889
+ 15460	-28891
+ 15457	-28892
+ 15454	-28894
+ 15451	-28895
+ 15449	-28897
+ 15446	-28898
+ 15443	-28900
+ 15440	-28901
+ 15437	-28902
+ 15435	-28904
+ 15432	-28905
+ 15429	-28907
+ 15426	-28908
+ 15424	-28910
+ 15421	-28911
+ 15418	-28913
+ 15415	-28914
+ 15413	-28916
+ 15410	-28917
+ 15407	-28919
+ 15404	-28920
+ 15401	-28922
+ 15399	-28923
+ 15396	-28925
+ 15393	-28926
+ 15390	-28928
+ 15388	-28929
+ 15385	-28931
+ 15382	-28932
+ 15379	-28933
+ 15376	-28935
+ 15374	-28936
+ 15371	-28938
+ 15368	-28939
+ 15365	-28941
+ 15363	-28942
+ 15360	-28944
+ 15357	-28945
+ 15354	-28947
+ 15352	-28948
+ 15349	-28950
+ 15346	-28951
+ 15343	-28953
+ 15340	-28954
+ 15338	-28956
+ 15335	-28957
+ 15332	-28959
+ 15329	-28960
+ 15327	-28961
+ 15324	-28963
+ 15321	-28964
+ 15318	-28966
+ 15315	-28967
+ 15313	-28969
+ 15310	-28970
+ 15307	-28972
+ 15304	-28973
+ 15302	-28975
+ 15299	-28976
+ 15296	-28978
+ 15293	-28979
+ 15290	-28981
+ 15288	-28982
+ 15285	-28983
+ 15282	-28985
+ 15279	-28986
+ 15277	-28988
+ 15274	-28989
+ 15271	-28991
+ 15268	-28992
+ 15265	-28994
+ 15263	-28995
+ 15260	-28997
+ 15257	-28998
+ 15254	-29000
+ 15252	-29001
+ 15249	-29003
+ 15246	-29004
+ 15243	-29005
+ 15240	-29007
+ 15238	-29008
+ 15235	-29010
+ 15232	-29011
+ 15229	-29013
+ 15226	-29014
+ 15224	-29016
+ 15221	-29017
+ 15218	-29019
+ 15215	-29020
+ 15213	-29021
+ 15210	-29023
+ 15207	-29024
+ 15204	-29026
+ 15201	-29027
+ 15199	-29029
+ 15196	-29030
+ 15193	-29032
+ 15190	-29033
+ 15188	-29035
+ 15185	-29036
+ 15182	-29038
+ 15179	-29039
+ 15176	-29040
+ 15174	-29042
+ 15171	-29043
+ 15168	-29045
+ 15165	-29046
+ 15162	-29048
+ 15160	-29049
+ 15157	-29051
+ 15154	-29052
+ 15151	-29054
+ 15149	-29055
+ 15146	-29056
+ 15143	-29058
+ 15140	-29059
+ 15137	-29061
+ 15135	-29062
+ 15132	-29064
+ 15129	-29065
+ 15126	-29067
+ 15123	-29068
+ 15121	-29069
+ 15118	-29071
+ 15115	-29072
+ 15112	-29074
+ 15110	-29075
+ 15107	-29077
+ 15104	-29078
+ 15101	-29080
+ 15098	-29081
+ 15096	-29083
+ 15093	-29084
+ 15090	-29085
+ 15087	-29087
+ 15084	-29088
+ 15082	-29090
+ 15079	-29091
+ 15076	-29093
+ 15073	-29094
+ 15070	-29096
+ 15068	-29097
+ 15065	-29098
+ 15062	-29100
+ 15059	-29101
+ 15057	-29103
+ 15054	-29104
+ 15051	-29106
+ 15048	-29107
+ 15045	-29109
+ 15043	-29110
+ 15040	-29111
+ 15037	-29113
+ 15034	-29114
+ 15031	-29116
+ 15029	-29117
+ 15026	-29119
+ 15023	-29120
+ 15020	-29121
+ 15017	-29123
+ 15015	-29124
+ 15012	-29126
+ 15009	-29127
+ 15006	-29129
+ 15004	-29130
+ 15001	-29132
+ 14998	-29133
+ 14995	-29134
+ 14992	-29136
+ 14990	-29137
+ 14987	-29139
+ 14984	-29140
+ 14981	-29142
+ 14978	-29143
+ 14976	-29144
+ 14973	-29146
+ 14970	-29147
+ 14967	-29149
+ 14964	-29150
+ 14962	-29152
+ 14959	-29153
+ 14956	-29155
+ 14953	-29156
+ 14950	-29157
+ 14948	-29159
+ 14945	-29160
+ 14942	-29162
+ 14939	-29163
+ 14936	-29165
+ 14934	-29166
+ 14931	-29167
+ 14928	-29169
+ 14925	-29170
+ 14922	-29172
+ 14920	-29173
+ 14917	-29175
+ 14914	-29176
+ 14911	-29177
+ 14908	-29179
+ 14906	-29180
+ 14903	-29182
+ 14900	-29183
+ 14897	-29185
+ 14894	-29186
+ 14892	-29187
+ 14889	-29189
+ 14886	-29190
+ 14883	-29192
+ 14880	-29193
+ 14878	-29195
+ 14875	-29196
+ 14872	-29197
+ 14869	-29199
+ 14866	-29200
+ 14864	-29202
+ 14861	-29203
+ 14858	-29205
+ 14855	-29206
+ 14852	-29207
+ 14850	-29209
+ 14847	-29210
+ 14844	-29212
+ 14841	-29213
+ 14838	-29215
+ 14836	-29216
+ 14833	-29217
+ 14830	-29219
+ 14827	-29220
+ 14824	-29222
+ 14822	-29223
+ 14819	-29224
+ 14816	-29226
+ 14813	-29227
+ 14810	-29229
+ 14808	-29230
+ 14805	-29232
+ 14802	-29233
+ 14799	-29234
+ 14796	-29236
+ 14794	-29237
+ 14791	-29239
+ 14788	-29240
+ 14785	-29242
+ 14782	-29243
+ 14780	-29244
+ 14777	-29246
+ 14774	-29247
+ 14771	-29249
+ 14768	-29250
+ 14766	-29251
+ 14763	-29253
+ 14760	-29254
+ 14757	-29256
+ 14754	-29257
+ 14752	-29258
+ 14749	-29260
+ 14746	-29261
+ 14743	-29263
+ 14740	-29264
+ 14738	-29266
+ 14735	-29267
+ 14732	-29268
+ 14729	-29270
+ 14726	-29271
+ 14724	-29273
+ 14721	-29274
+ 14718	-29275
+ 14715	-29277
+ 14712	-29278
+ 14710	-29280
+ 14707	-29281
+ 14704	-29282
+ 14701	-29284
+ 14698	-29285
+ 14695	-29287
+ 14693	-29288
+ 14690	-29290
+ 14687	-29291
+ 14684	-29292
+ 14681	-29294
+ 14679	-29295
+ 14676	-29297
+ 14673	-29298
+ 14670	-29299
+ 14667	-29301
+ 14665	-29302
+ 14662	-29304
+ 14659	-29305
+ 14656	-29306
+ 14653	-29308
+ 14651	-29309
+ 14648	-29311
+ 14645	-29312
+ 14642	-29313
+ 14639	-29315
+ 14636	-29316
+ 14634	-29318
+ 14631	-29319
+ 14628	-29320
+ 14625	-29322
+ 14622	-29323
+ 14620	-29325
+ 14617	-29326
+ 14614	-29327
+ 14611	-29329
+ 14608	-29330
+ 14606	-29332
+ 14603	-29333
+ 14600	-29334
+ 14597	-29336
+ 14594	-29337
+ 14591	-29339
+ 14589	-29340
+ 14586	-29341
+ 14583	-29343
+ 14580	-29344
+ 14577	-29346
+ 14575	-29347
+ 14572	-29348
+ 14569	-29350
+ 14566	-29351
+ 14563	-29353
+ 14561	-29354
+ 14558	-29355
+ 14555	-29357
+ 14552	-29358
+ 14549	-29360
+ 14546	-29361
+ 14544	-29362
+ 14541	-29364
+ 14538	-29365
+ 14535	-29367
+ 14532	-29368
+ 14530	-29369
+ 14527	-29371
+ 14524	-29372
+ 14521	-29374
+ 14518	-29375
+ 14516	-29376
+ 14513	-29378
+ 14510	-29379
+ 14507	-29381
+ 14504	-29382
+ 14501	-29383
+ 14499	-29385
+ 14496	-29386
+ 14493	-29387
+ 14490	-29389
+ 14487	-29390
+ 14485	-29392
+ 14482	-29393
+ 14479	-29394
+ 14476	-29396
+ 14473	-29397
+ 14470	-29399
+ 14468	-29400
+ 14465	-29401
+ 14462	-29403
+ 14459	-29404
+ 14456	-29406
+ 14454	-29407
+ 14451	-29408
+ 14448	-29410
+ 14445	-29411
+ 14442	-29412
+ 14439	-29414
+ 14437	-29415
+ 14434	-29417
+ 14431	-29418
+ 14428	-29419
+ 14425	-29421
+ 14422	-29422
+ 14420	-29424
+ 14417	-29425
+ 14414	-29426
+ 14411	-29428
+ 14408	-29429
+ 14406	-29430
+ 14403	-29432
+ 14400	-29433
+ 14397	-29435
+ 14394	-29436
+ 14391	-29437
+ 14389	-29439
+ 14386	-29440
+ 14383	-29441
+ 14380	-29443
+ 14377	-29444
+ 14375	-29446
+ 14372	-29447
+ 14369	-29448
+ 14366	-29450
+ 14363	-29451
+ 14360	-29452
+ 14358	-29454
+ 14355	-29455
+ 14352	-29457
+ 14349	-29458
+ 14346	-29459
+ 14343	-29461
+ 14341	-29462
+ 14338	-29463
+ 14335	-29465
+ 14332	-29466
+ 14329	-29468
+ 14327	-29469
+ 14324	-29470
+ 14321	-29472
+ 14318	-29473
+ 14315	-29474
+ 14312	-29476
+ 14310	-29477
+ 14307	-29479
+ 14304	-29480
+ 14301	-29481
+ 14298	-29483
+ 14295	-29484
+ 14293	-29485
+ 14290	-29487
+ 14287	-29488
+ 14284	-29490
+ 14281	-29491
+ 14278	-29492
+ 14276	-29494
+ 14273	-29495
+ 14270	-29496
+ 14267	-29498
+ 14264	-29499
+ 14261	-29500
+ 14259	-29502
+ 14256	-29503
+ 14253	-29505
+ 14250	-29506
+ 14247	-29507
+ 14245	-29509
+ 14242	-29510
+ 14239	-29511
+ 14236	-29513
+ 14233	-29514
+ 14230	-29516
+ 14228	-29517
+ 14225	-29518
+ 14222	-29520
+ 14219	-29521
+ 14216	-29522
+ 14213	-29524
+ 14211	-29525
+ 14208	-29526
+ 14205	-29528
+ 14202	-29529
+ 14199	-29531
+ 14196	-29532
+ 14194	-29533
+ 14191	-29535
+ 14188	-29536
+ 14185	-29537
+ 14182	-29539
+ 14179	-29540
+ 14177	-29541
+ 14174	-29543
+ 14171	-29544
+ 14168	-29545
+ 14165	-29547
+ 14162	-29548
+ 14160	-29550
+ 14157	-29551
+ 14154	-29552
+ 14151	-29554
+ 14148	-29555
+ 14145	-29556
+ 14143	-29558
+ 14140	-29559
+ 14137	-29560
+ 14134	-29562
+ 14131	-29563
+ 14128	-29564
+ 14126	-29566
+ 14123	-29567
+ 14120	-29569
+ 14117	-29570
+ 14114	-29571
+ 14111	-29573
+ 14109	-29574
+ 14106	-29575
+ 14103	-29577
+ 14100	-29578
+ 14097	-29579
+ 14094	-29581
+ 14092	-29582
+ 14089	-29583
+ 14086	-29585
+ 14083	-29586
+ 14080	-29587
+ 14077	-29589
+ 14075	-29590
+ 14072	-29591
+ 14069	-29593
+ 14066	-29594
+ 14063	-29596
+ 14060	-29597
+ 14058	-29598
+ 14055	-29600
+ 14052	-29601
+ 14049	-29602
+ 14046	-29604
+ 14043	-29605
+ 14041	-29606
+ 14038	-29608
+ 14035	-29609
+ 14032	-29610
+ 14029	-29612
+ 14026	-29613
+ 14023	-29614
+ 14021	-29616
+ 14018	-29617
+ 14015	-29618
+ 14012	-29620
+ 14009	-29621
+ 14006	-29622
+ 14004	-29624
+ 14001	-29625
+ 13998	-29626
+ 13995	-29628
+ 13992	-29629
+ 13989	-29631
+ 13987	-29632
+ 13984	-29633
+ 13981	-29635
+ 13978	-29636
+ 13975	-29637
+ 13972	-29639
+ 13970	-29640
+ 13967	-29641
+ 13964	-29643
+ 13961	-29644
+ 13958	-29645
+ 13955	-29647
+ 13952	-29648
+ 13950	-29649
+ 13947	-29651
+ 13944	-29652
+ 13941	-29653
+ 13938	-29655
+ 13935	-29656
+ 13933	-29657
+ 13930	-29659
+ 13927	-29660
+ 13924	-29661
+ 13921	-29663
+ 13918	-29664
+ 13915	-29665
+ 13913	-29667
+ 13910	-29668
+ 13907	-29669
+ 13904	-29671
+ 13901	-29672
+ 13898	-29673
+ 13896	-29675
+ 13893	-29676
+ 13890	-29677
+ 13887	-29679
+ 13884	-29680
+ 13881	-29681
+ 13879	-29683
+ 13876	-29684
+ 13873	-29685
+ 13870	-29687
+ 13867	-29688
+ 13864	-29689
+ 13861	-29691
+ 13859	-29692
+ 13856	-29693
+ 13853	-29695
+ 13850	-29696
+ 13847	-29697
+ 13844	-29699
+ 13841	-29700
+ 13839	-29701
+ 13836	-29703
+ 13833	-29704
+ 13830	-29705
+ 13827	-29707
+ 13824	-29708
+ 13822	-29709
+ 13819	-29710
+ 13816	-29712
+ 13813	-29713
+ 13810	-29714
+ 13807	-29716
+ 13804	-29717
+ 13802	-29718
+ 13799	-29720
+ 13796	-29721
+ 13793	-29722
+ 13790	-29724
+ 13787	-29725
+ 13785	-29726
+ 13782	-29728
+ 13779	-29729
+ 13776	-29730
+ 13773	-29732
+ 13770	-29733
+ 13767	-29734
+ 13765	-29736
+ 13762	-29737
+ 13759	-29738
+ 13756	-29740
+ 13753	-29741
+ 13750	-29742
+ 13747	-29744
+ 13745	-29745
+ 13742	-29746
+ 13739	-29747
+ 13736	-29749
+ 13733	-29750
+ 13730	-29751
+ 13728	-29753
+ 13725	-29754
+ 13722	-29755
+ 13719	-29757
+ 13716	-29758
+ 13713	-29759
+ 13710	-29761
+ 13708	-29762
+ 13705	-29763
+ 13702	-29765
+ 13699	-29766
+ 13696	-29767
+ 13693	-29769
+ 13690	-29770
+ 13688	-29771
+ 13685	-29772
+ 13682	-29774
+ 13679	-29775
+ 13676	-29776
+ 13673	-29778
+ 13670	-29779
+ 13668	-29780
+ 13665	-29782
+ 13662	-29783
+ 13659	-29784
+ 13656	-29786
+ 13653	-29787
+ 13650	-29788
+ 13648	-29789
+ 13645	-29791
+ 13642	-29792
+ 13639	-29793
+ 13636	-29795
+ 13633	-29796
+ 13630	-29797
+ 13628	-29799
+ 13625	-29800
+ 13622	-29801
+ 13619	-29803
+ 13616	-29804
+ 13613	-29805
+ 13610	-29806
+ 13608	-29808
+ 13605	-29809
+ 13602	-29810
+ 13599	-29812
+ 13596	-29813
+ 13593	-29814
+ 13590	-29816
+ 13588	-29817
+ 13585	-29818
+ 13582	-29820
+ 13579	-29821
+ 13576	-29822
+ 13573	-29823
+ 13570	-29825
+ 13568	-29826
+ 13565	-29827
+ 13562	-29829
+ 13559	-29830
+ 13556	-29831
+ 13553	-29833
+ 13550	-29834
+ 13548	-29835
+ 13545	-29836
+ 13542	-29838
+ 13539	-29839
+ 13536	-29840
+ 13533	-29842
+ 13530	-29843
+ 13528	-29844
+ 13525	-29845
+ 13522	-29847
+ 13519	-29848
+ 13516	-29849
+ 13513	-29851
+ 13510	-29852
+ 13507	-29853
+ 13505	-29855
+ 13502	-29856
+ 13499	-29857
+ 13496	-29858
+ 13493	-29860
+ 13490	-29861
+ 13487	-29862
+ 13485	-29864
+ 13482	-29865
+ 13479	-29866
+ 13476	-29868
+ 13473	-29869
+ 13470	-29870
+ 13467	-29871
+ 13465	-29873
+ 13462	-29874
+ 13459	-29875
+ 13456	-29877
+ 13453	-29878
+ 13450	-29879
+ 13447	-29880
+ 13445	-29882
+ 13442	-29883
+ 13439	-29884
+ 13436	-29886
+ 13433	-29887
+ 13430	-29888
+ 13427	-29889
+ 13424	-29891
+ 13422	-29892
+ 13419	-29893
+ 13416	-29895
+ 13413	-29896
+ 13410	-29897
+ 13407	-29898
+ 13404	-29900
+ 13402	-29901
+ 13399	-29902
+ 13396	-29904
+ 13393	-29905
+ 13390	-29906
+ 13387	-29907
+ 13384	-29909
+ 13381	-29910
+ 13379	-29911
+ 13376	-29913
+ 13373	-29914
+ 13370	-29915
+ 13367	-29916
+ 13364	-29918
+ 13361	-29919
+ 13359	-29920
+ 13356	-29922
+ 13353	-29923
+ 13350	-29924
+ 13347	-29925
+ 13344	-29927
+ 13341	-29928
+ 13338	-29929
+ 13336	-29930
+ 13333	-29932
+ 13330	-29933
+ 13327	-29934
+ 13324	-29936
+ 13321	-29937
+ 13318	-29938
+ 13315	-29939
+ 13313	-29941
+ 13310	-29942
+ 13307	-29943
+ 13304	-29945
+ 13301	-29946
+ 13298	-29947
+ 13295	-29948
+ 13292	-29950
+ 13290	-29951
+ 13287	-29952
+ 13284	-29953
+ 13281	-29955
+ 13278	-29956
+ 13275	-29957
+ 13272	-29959
+ 13270	-29960
+ 13267	-29961
+ 13264	-29962
+ 13261	-29964
+ 13258	-29965
+ 13255	-29966
+ 13252	-29967
+ 13249	-29969
+ 13247	-29970
+ 13244	-29971
+ 13241	-29973
+ 13238	-29974
+ 13235	-29975
+ 13232	-29976
+ 13229	-29978
+ 13226	-29979
+ 13224	-29980
+ 13221	-29981
+ 13218	-29983
+ 13215	-29984
+ 13212	-29985
+ 13209	-29986
+ 13206	-29988
+ 13203	-29989
+ 13201	-29990
+ 13198	-29992
+ 13195	-29993
+ 13192	-29994
+ 13189	-29995
+ 13186	-29997
+ 13183	-29998
+ 13180	-29999
+ 13178	-30000
+ 13175	-30002
+ 13172	-30003
+ 13169	-30004
+ 13166	-30005
+ 13163	-30007
+ 13160	-30008
+ 13157	-30009
+ 13155	-30010
+ 13152	-30012
+ 13149	-30013
+ 13146	-30014
+ 13143	-30016
+ 13140	-30017
+ 13137	-30018
+ 13134	-30019
+ 13132	-30021
+ 13129	-30022
+ 13126	-30023
+ 13123	-30024
+ 13120	-30026
+ 13117	-30027
+ 13114	-30028
+ 13111	-30029
+ 13108	-30031
+ 13106	-30032
+ 13103	-30033
+ 13100	-30034
+ 13097	-30036
+ 13094	-30037
+ 13091	-30038
+ 13088	-30039
+ 13085	-30041
+ 13083	-30042
+ 13080	-30043
+ 13077	-30044
+ 13074	-30046
+ 13071	-30047
+ 13068	-30048
+ 13065	-30049
+ 13062	-30051
+ 13060	-30052
+ 13057	-30053
+ 13054	-30054
+ 13051	-30056
+ 13048	-30057
+ 13045	-30058
+ 13042	-30059
+ 13039	-30061
+ 13036	-30062
+ 13034	-30063
+ 13031	-30064
+ 13028	-30066
+ 13025	-30067
+ 13022	-30068
+ 13019	-30069
+ 13016	-30071
+ 13013	-30072
+ 13011	-30073
+ 13008	-30074
+ 13005	-30076
+ 13002	-30077
+ 12999	-30078
+ 12996	-30079
+ 12993	-30081
+ 12990	-30082
+ 12987	-30083
+ 12985	-30084
+ 12982	-30086
+ 12979	-30087
+ 12976	-30088
+ 12973	-30089
+ 12970	-30091
+ 12967	-30092
+ 12964	-30093
+ 12961	-30094
+ 12959	-30096
+ 12956	-30097
+ 12953	-30098
+ 12950	-30099
+ 12947	-30101
+ 12944	-30102
+ 12941	-30103
+ 12938	-30104
+ 12936	-30106
+ 12933	-30107
+ 12930	-30108
+ 12927	-30109
+ 12924	-30110
+ 12921	-30112
+ 12918	-30113
+ 12915	-30114
+ 12912	-30115
+ 12910	-30117
+ 12907	-30118
+ 12904	-30119
+ 12901	-30120
+ 12898	-30122
+ 12895	-30123
+ 12892	-30124
+ 12889	-30125
+ 12886	-30127
+ 12884	-30128
+ 12881	-30129
+ 12878	-30130
+ 12875	-30132
+ 12872	-30133
+ 12869	-30134
+ 12866	-30135
+ 12863	-30136
+ 12860	-30138
+ 12858	-30139
+ 12855	-30140
+ 12852	-30141
+ 12849	-30143
+ 12846	-30144
+ 12843	-30145
+ 12840	-30146
+ 12837	-30148
+ 12834	-30149
+ 12832	-30150
+ 12829	-30151
+ 12826	-30152
+ 12823	-30154
+ 12820	-30155
+ 12817	-30156
+ 12814	-30157
+ 12811	-30159
+ 12808	-30160
+ 12806	-30161
+ 12803	-30162
+ 12800	-30164
+ 12797	-30165
+ 12794	-30166
+ 12791	-30167
+ 12788	-30168
+ 12785	-30170
+ 12782	-30171
+ 12779	-30172
+ 12777	-30173
+ 12774	-30175
+ 12771	-30176
+ 12768	-30177
+ 12765	-30178
+ 12762	-30179
+ 12759	-30181
+ 12756	-30182
+ 12753	-30183
+ 12751	-30184
+ 12748	-30186
+ 12745	-30187
+ 12742	-30188
+ 12739	-30189
+ 12736	-30190
+ 12733	-30192
+ 12730	-30193
+ 12727	-30194
+ 12725	-30195
+ 12722	-30197
+ 12719	-30198
+ 12716	-30199
+ 12713	-30200
+ 12710	-30201
+ 12707	-30203
+ 12704	-30204
+ 12701	-30205
+ 12698	-30206
+ 12696	-30208
+ 12693	-30209
+ 12690	-30210
+ 12687	-30211
+ 12684	-30212
+ 12681	-30214
+ 12678	-30215
+ 12675	-30216
+ 12672	-30217
+ 12669	-30218
+ 12667	-30220
+ 12664	-30221
+ 12661	-30222
+ 12658	-30223
+ 12655	-30225
+ 12652	-30226
+ 12649	-30227
+ 12646	-30228
+ 12643	-30229
+ 12641	-30231
+ 12638	-30232
+ 12635	-30233
+ 12632	-30234
+ 12629	-30235
+ 12626	-30237
+ 12623	-30238
+ 12620	-30239
+ 12617	-30240
+ 12614	-30241
+ 12612	-30243
+ 12609	-30244
+ 12606	-30245
+ 12603	-30246
+ 12600	-30248
+ 12597	-30249
+ 12594	-30250
+ 12591	-30251
+ 12588	-30252
+ 12585	-30254
+ 12583	-30255
+ 12580	-30256
+ 12577	-30257
+ 12574	-30258
+ 12571	-30260
+ 12568	-30261
+ 12565	-30262
+ 12562	-30263
+ 12559	-30264
+ 12556	-30266
+ 12554	-30267
+ 12551	-30268
+ 12548	-30269
+ 12545	-30270
+ 12542	-30272
+ 12539	-30273
+ 12536	-30274
+ 12533	-30275
+ 12530	-30276
+ 12527	-30278
+ 12524	-30279
+ 12522	-30280
+ 12519	-30281
+ 12516	-30282
+ 12513	-30284
+ 12510	-30285
+ 12507	-30286
+ 12504	-30287
+ 12501	-30288
+ 12498	-30290
+ 12495	-30291
+ 12493	-30292
+ 12490	-30293
+ 12487	-30294
+ 12484	-30296
+ 12481	-30297
+ 12478	-30298
+ 12475	-30299
+ 12472	-30300
+ 12469	-30302
+ 12466	-30303
+ 12464	-30304
+ 12461	-30305
+ 12458	-30306
+ 12455	-30308
+ 12452	-30309
+ 12449	-30310
+ 12446	-30311
+ 12443	-30312
+ 12440	-30314
+ 12437	-30315
+ 12434	-30316
+ 12432	-30317
+ 12429	-30318
+ 12426	-30320
+ 12423	-30321
+ 12420	-30322
+ 12417	-30323
+ 12414	-30324
+ 12411	-30325
+ 12408	-30327
+ 12405	-30328
+ 12402	-30329
+ 12400	-30330
+ 12397	-30331
+ 12394	-30333
+ 12391	-30334
+ 12388	-30335
+ 12385	-30336
+ 12382	-30337
+ 12379	-30339
+ 12376	-30340
+ 12373	-30341
+ 12370	-30342
+ 12368	-30343
+ 12365	-30344
+ 12362	-30346
+ 12359	-30347
+ 12356	-30348
+ 12353	-30349
+ 12350	-30350
+ 12347	-30352
+ 12344	-30353
+ 12341	-30354
+ 12338	-30355
+ 12336	-30356
+ 12333	-30357
+ 12330	-30359
+ 12327	-30360
+ 12324	-30361
+ 12321	-30362
+ 12318	-30363
+ 12315	-30365
+ 12312	-30366
+ 12309	-30367
+ 12306	-30368
+ 12304	-30369
+ 12301	-30370
+ 12298	-30372
+ 12295	-30373
+ 12292	-30374
+ 12289	-30375
+ 12286	-30376
+ 12283	-30378
+ 12280	-30379
+ 12277	-30380
+ 12274	-30381
+ 12272	-30382
+ 12269	-30383
+ 12266	-30385
+ 12263	-30386
+ 12260	-30387
+ 12257	-30388
+ 12254	-30389
+ 12251	-30390
+ 12248	-30392
+ 12245	-30393
+ 12242	-30394
+ 12239	-30395
+ 12237	-30396
+ 12234	-30398
+ 12231	-30399
+ 12228	-30400
+ 12225	-30401
+ 12222	-30402
+ 12219	-30403
+ 12216	-30405
+ 12213	-30406
+ 12210	-30407
+ 12207	-30408
+ 12204	-30409
+ 12202	-30410
+ 12199	-30412
+ 12196	-30413
+ 12193	-30414
+ 12190	-30415
+ 12187	-30416
+ 12184	-30417
+ 12181	-30419
+ 12178	-30420
+ 12175	-30421
+ 12172	-30422
+ 12169	-30423
+ 12167	-30424
+ 12164	-30426
+ 12161	-30427
+ 12158	-30428
+ 12155	-30429
+ 12152	-30430
+ 12149	-30431
+ 12146	-30433
+ 12143	-30434
+ 12140	-30435
+ 12137	-30436
+ 12134	-30437
+ 12132	-30438
+ 12129	-30440
+ 12126	-30441
+ 12123	-30442
+ 12120	-30443
+ 12117	-30444
+ 12114	-30445
+ 12111	-30447
+ 12108	-30448
+ 12105	-30449
+ 12102	-30450
+ 12099	-30451
+ 12097	-30452
+ 12094	-30454
+ 12091	-30455
+ 12088	-30456
+ 12085	-30457
+ 12082	-30458
+ 12079	-30459
+ 12076	-30460
+ 12073	-30462
+ 12070	-30463
+ 12067	-30464
+ 12064	-30465
+ 12062	-30466
+ 12059	-30467
+ 12056	-30469
+ 12053	-30470
+ 12050	-30471
+ 12047	-30472
+ 12044	-30473
+ 12041	-30474
+ 12038	-30475
+ 12035	-30477
+ 12032	-30478
+ 12029	-30479
+ 12026	-30480
+ 12024	-30481
+ 12021	-30482
+ 12018	-30484
+ 12015	-30485
+ 12012	-30486
+ 12009	-30487
+ 12006	-30488
+ 12003	-30489
+ 12000	-30490
+ 11997	-30492
+ 11994	-30493
+ 11991	-30494
+ 11988	-30495
+ 11986	-30496
+ 11983	-30497
+ 11980	-30499
+ 11977	-30500
+ 11974	-30501
+ 11971	-30502
+ 11968	-30503
+ 11965	-30504
+ 11962	-30505
+ 11959	-30507
+ 11956	-30508
+ 11953	-30509
+ 11950	-30510
+ 11948	-30511
+ 11945	-30512
+ 11942	-30513
+ 11939	-30515
+ 11936	-30516
+ 11933	-30517
+ 11930	-30518
+ 11927	-30519
+ 11924	-30520
+ 11921	-30521
+ 11918	-30523
+ 11915	-30524
+ 11912	-30525
+ 11909	-30526
+ 11907	-30527
+ 11904	-30528
+ 11901	-30529
+ 11898	-30531
+ 11895	-30532
+ 11892	-30533
+ 11889	-30534
+ 11886	-30535
+ 11883	-30536
+ 11880	-30537
+ 11877	-30539
+ 11874	-30540
+ 11871	-30541
+ 11868	-30542
+ 11866	-30543
+ 11863	-30544
+ 11860	-30545
+ 11857	-30547
+ 11854	-30548
+ 11851	-30549
+ 11848	-30550
+ 11845	-30551
+ 11842	-30552
+ 11839	-30553
+ 11836	-30554
+ 11833	-30556
+ 11830	-30557
+ 11827	-30558
+ 11825	-30559
+ 11822	-30560
+ 11819	-30561
+ 11816	-30562
+ 11813	-30564
+ 11810	-30565
+ 11807	-30566
+ 11804	-30567
+ 11801	-30568
+ 11798	-30569
+ 11795	-30570
+ 11792	-30571
+ 11789	-30573
+ 11786	-30574
+ 11784	-30575
+ 11781	-30576
+ 11778	-30577
+ 11775	-30578
+ 11772	-30579
+ 11769	-30580
+ 11766	-30582
+ 11763	-30583
+ 11760	-30584
+ 11757	-30585
+ 11754	-30586
+ 11751	-30587
+ 11748	-30588
+ 11745	-30589
+ 11742	-30591
+ 11740	-30592
+ 11737	-30593
+ 11734	-30594
+ 11731	-30595
+ 11728	-30596
+ 11725	-30597
+ 11722	-30598
+ 11719	-30600
+ 11716	-30601
+ 11713	-30602
+ 11710	-30603
+ 11707	-30604
+ 11704	-30605
+ 11701	-30606
+ 11698	-30607
+ 11696	-30609
+ 11693	-30610
+ 11690	-30611
+ 11687	-30612
+ 11684	-30613
+ 11681	-30614
+ 11678	-30615
+ 11675	-30616
+ 11672	-30618
+ 11669	-30619
+ 11666	-30620
+ 11663	-30621
+ 11660	-30622
+ 11657	-30623
+ 11654	-30624
+ 11652	-30625
+ 11649	-30627
+ 11646	-30628
+ 11643	-30629
+ 11640	-30630
+ 11637	-30631
+ 11634	-30632
+ 11631	-30633
+ 11628	-30634
+ 11625	-30635
+ 11622	-30637
+ 11619	-30638
+ 11616	-30639
+ 11613	-30640
+ 11610	-30641
+ 11607	-30642
+ 11605	-30643
+ 11602	-30644
+ 11599	-30645
+ 11596	-30647
+ 11593	-30648
+ 11590	-30649
+ 11587	-30650
+ 11584	-30651
+ 11581	-30652
+ 11578	-30653
+ 11575	-30654
+ 11572	-30655
+ 11569	-30657
+ 11566	-30658
+ 11563	-30659
+ 11560	-30660
+ 11558	-30661
+ 11555	-30662
+ 11552	-30663
+ 11549	-30664
+ 11546	-30665
+ 11543	-30667
+ 11540	-30668
+ 11537	-30669
+ 11534	-30670
+ 11531	-30671
+ 11528	-30672
+ 11525	-30673
+ 11522	-30674
+ 11519	-30675
+ 11516	-30676
+ 11513	-30678
+ 11510	-30679
+ 11508	-30680
+ 11505	-30681
+ 11502	-30682
+ 11499	-30683
+ 11496	-30684
+ 11493	-30685
+ 11490	-30686
+ 11487	-30688
+ 11484	-30689
+ 11481	-30690
+ 11478	-30691
+ 11475	-30692
+ 11472	-30693
+ 11469	-30694
+ 11466	-30695
+ 11463	-30696
+ 11460	-30697
+ 11457	-30698
+ 11455	-30700
+ 11452	-30701
+ 11449	-30702
+ 11446	-30703
+ 11443	-30704
+ 11440	-30705
+ 11437	-30706
+ 11434	-30707
+ 11431	-30708
+ 11428	-30709
+ 11425	-30711
+ 11422	-30712
+ 11419	-30713
+ 11416	-30714
+ 11413	-30715
+ 11410	-30716
+ 11407	-30717
+ 11405	-30718
+ 11402	-30719
+ 11399	-30720
+ 11396	-30722
+ 11393	-30723
+ 11390	-30724
+ 11387	-30725
+ 11384	-30726
+ 11381	-30727
+ 11378	-30728
+ 11375	-30729
+ 11372	-30730
+ 11369	-30731
+ 11366	-30732
+ 11363	-30734
+ 11360	-30735
+ 11357	-30736
+ 11354	-30737
+ 11351	-30738
+ 11349	-30739
+ 11346	-30740
+ 11343	-30741
+ 11340	-30742
+ 11337	-30743
+ 11334	-30744
+ 11331	-30745
+ 11328	-30747
+ 11325	-30748
+ 11322	-30749
+ 11319	-30750
+ 11316	-30751
+ 11313	-30752
+ 11310	-30753
+ 11307	-30754
+ 11304	-30755
+ 11301	-30756
+ 11298	-30757
+ 11295	-30758
+ 11293	-30760
+ 11290	-30761
+ 11287	-30762
+ 11284	-30763
+ 11281	-30764
+ 11278	-30765
+ 11275	-30766
+ 11272	-30767
+ 11269	-30768
+ 11266	-30769
+ 11263	-30770
+ 11260	-30771
+ 11257	-30773
+ 11254	-30774
+ 11251	-30775
+ 11248	-30776
+ 11245	-30777
+ 11242	-30778
+ 11239	-30779
+ 11236	-30780
+ 11234	-30781
+ 11231	-30782
+ 11228	-30783
+ 11225	-30784
+ 11222	-30785
+ 11219	-30787
+ 11216	-30788
+ 11213	-30789
+ 11210	-30790
+ 11207	-30791
+ 11204	-30792
+ 11201	-30793
+ 11198	-30794
+ 11195	-30795
+ 11192	-30796
+ 11189	-30797
+ 11186	-30798
+ 11183	-30799
+ 11180	-30801
+ 11177	-30802
+ 11174	-30803
+ 11172	-30804
+ 11169	-30805
+ 11166	-30806
+ 11163	-30807
+ 11160	-30808
+ 11157	-30809
+ 11154	-30810
+ 11151	-30811
+ 11148	-30812
+ 11145	-30813
+ 11142	-30814
+ 11139	-30815
+ 11136	-30817
+ 11133	-30818
+ 11130	-30819
+ 11127	-30820
+ 11124	-30821
+ 11121	-30822
+ 11118	-30823
+ 11115	-30824
+ 11112	-30825
+ 11109	-30826
+ 11107	-30827
+ 11104	-30828
+ 11101	-30829
+ 11098	-30830
+ 11095	-30831
+ 11092	-30833
+ 11089	-30834
+ 11086	-30835
+ 11083	-30836
+ 11080	-30837
+ 11077	-30838
+ 11074	-30839
+ 11071	-30840
+ 11068	-30841
+ 11065	-30842
+ 11062	-30843
+ 11059	-30844
+ 11056	-30845
+ 11053	-30846
+ 11050	-30847
+ 11047	-30848
+ 11044	-30850
+ 11041	-30851
+ 11039	-30852
+ 11036	-30853
+ 11033	-30854
+ 11030	-30855
+ 11027	-30856
+ 11024	-30857
+ 11021	-30858
+ 11018	-30859
+ 11015	-30860
+ 11012	-30861
+ 11009	-30862
+ 11006	-30863
+ 11003	-30864
+ 11000	-30865
+ 10997	-30866
+ 10994	-30867
+ 10991	-30869
+ 10988	-30870
+ 10985	-30871
+ 10982	-30872
+ 10979	-30873
+ 10976	-30874
+ 10973	-30875
+ 10970	-30876
+ 10968	-30877
+ 10965	-30878
+ 10962	-30879
+ 10959	-30880
+ 10956	-30881
+ 10953	-30882
+ 10950	-30883
+ 10947	-30884
+ 10944	-30885
+ 10941	-30886
+ 10938	-30887
+ 10935	-30888
+ 10932	-30890
+ 10929	-30891
+ 10926	-30892
+ 10923	-30893
+ 10920	-30894
+ 10917	-30895
+ 10914	-30896
+ 10911	-30897
+ 10908	-30898
+ 10905	-30899
+ 10902	-30900
+ 10899	-30901
+ 10896	-30902
+ 10893	-30903
+ 10891	-30904
+ 10888	-30905
+ 10885	-30906
+ 10882	-30907
+ 10879	-30908
+ 10876	-30909
+ 10873	-30910
+ 10870	-30911
+ 10867	-30913
+ 10864	-30914
+ 10861	-30915
+ 10858	-30916
+ 10855	-30917
+ 10852	-30918
+ 10849	-30919
+ 10846	-30920
+ 10843	-30921
+ 10840	-30922
+ 10837	-30923
+ 10834	-30924
+ 10831	-30925
+ 10828	-30926
+ 10825	-30927
+ 10822	-30928
+ 10819	-30929
+ 10816	-30930
+ 10813	-30931
+ 10810	-30932
+ 10808	-30933
+ 10805	-30934
+ 10802	-30935
+ 10799	-30936
+ 10796	-30937
+ 10793	-30938
+ 10790	-30940
+ 10787	-30941
+ 10784	-30942
+ 10781	-30943
+ 10778	-30944
+ 10775	-30945
+ 10772	-30946
+ 10769	-30947
+ 10766	-30948
+ 10763	-30949
+ 10760	-30950
+ 10757	-30951
+ 10754	-30952
+ 10751	-30953
+ 10748	-30954
+ 10745	-30955
+ 10742	-30956
+ 10739	-30957
+ 10736	-30958
+ 10733	-30959
+ 10730	-30960
+ 10727	-30961
+ 10724	-30962
+ 10721	-30963
+ 10719	-30964
+ 10716	-30965
+ 10713	-30966
+ 10710	-30967
+ 10707	-30968
+ 10704	-30969
+ 10701	-30970
+ 10698	-30971
+ 10695	-30972
+ 10692	-30974
+ 10689	-30975
+ 10686	-30976
+ 10683	-30977
+ 10680	-30978
+ 10677	-30979
+ 10674	-30980
+ 10671	-30981
+ 10668	-30982
+ 10665	-30983
+ 10662	-30984
+ 10659	-30985
+ 10656	-30986
+ 10653	-30987
+ 10650	-30988
+ 10647	-30989
+ 10644	-30990
+ 10641	-30991
+ 10638	-30992
+ 10635	-30993
+ 10632	-30994
+ 10629	-30995
+ 10626	-30996
+ 10623	-30997
+ 10620	-30998
+ 10618	-30999
+ 10615	-31000
+ 10612	-31001
+ 10609	-31002
+ 10606	-31003
+ 10603	-31004
+ 10600	-31005
+ 10597	-31006
+ 10594	-31007
+ 10591	-31008
+ 10588	-31009
+ 10585	-31010
+ 10582	-31011
+ 10579	-31012
+ 10576	-31013
+ 10573	-31014
+ 10570	-31015
+ 10567	-31016
+ 10564	-31017
+ 10561	-31018
+ 10558	-31019
+ 10555	-31020
+ 10552	-31021
+ 10549	-31022
+ 10546	-31023
+ 10543	-31024
+ 10540	-31025
+ 10537	-31026
+ 10534	-31027
+ 10531	-31028
+ 10528	-31029
+ 10525	-31030
+ 10522	-31031
+ 10519	-31032
+ 10516	-31033
+ 10513	-31035
+ 10510	-31036
+ 10507	-31037
+ 10505	-31038
+ 10502	-31039
+ 10499	-31040
+ 10496	-31041
+ 10493	-31042
+ 10490	-31043
+ 10487	-31044
+ 10484	-31045
+ 10481	-31046
+ 10478	-31047
+ 10475	-31048
+ 10472	-31049
+ 10469	-31050
+ 10466	-31051
+ 10463	-31052
+ 10460	-31053
+ 10457	-31054
+ 10454	-31055
+ 10451	-31056
+ 10448	-31057
+ 10445	-31058
+ 10442	-31059
+ 10439	-31060
+ 10436	-31061
+ 10433	-31062
+ 10430	-31063
+ 10427	-31064
+ 10424	-31065
+ 10421	-31066
+ 10418	-31067
+ 10415	-31068
+ 10412	-31069
+ 10409	-31070
+ 10406	-31071
+ 10403	-31072
+ 10400	-31073
+ 10397	-31074
+ 10394	-31075
+ 10391	-31076
+ 10388	-31077
+ 10385	-31078
+ 10382	-31079
+ 10379	-31080
+ 10376	-31081
+ 10373	-31082
+ 10371	-31083
+ 10368	-31084
+ 10365	-31085
+ 10362	-31086
+ 10359	-31087
+ 10356	-31088
+ 10353	-31089
+ 10350	-31090
+ 10347	-31091
+ 10344	-31091
+ 10341	-31092
+ 10338	-31093
+ 10335	-31094
+ 10332	-31095
+ 10329	-31096
+ 10326	-31097
+ 10323	-31098
+ 10320	-31099
+ 10317	-31100
+ 10314	-31101
+ 10311	-31102
+ 10308	-31103
+ 10305	-31104
+ 10302	-31105
+ 10299	-31106
+ 10296	-31107
+ 10293	-31108
+ 10290	-31109
+ 10287	-31110
+ 10284	-31111
+ 10281	-31112
+ 10278	-31113
+ 10275	-31114
+ 10272	-31115
+ 10269	-31116
+ 10266	-31117
+ 10263	-31118
+ 10260	-31119
+ 10257	-31120
+ 10254	-31121
+ 10251	-31122
+ 10248	-31123
+ 10245	-31124
+ 10242	-31125
+ 10239	-31126
+ 10236	-31127
+ 10233	-31128
+ 10230	-31129
+ 10227	-31130
+ 10224	-31131
+ 10221	-31132
+ 10218	-31133
+ 10215	-31134
+ 10212	-31135
+ 10209	-31136
+ 10206	-31137
+ 10203	-31138
+ 10201	-31139
+ 10198	-31140
+ 10195	-31141
+ 10192	-31142
+ 10189	-31143
+ 10186	-31144
+ 10183	-31145
+ 10180	-31146
+ 10177	-31147
+ 10174	-31148
+ 10171	-31149
+ 10168	-31150
+ 10165	-31150
+ 10162	-31151
+ 10159	-31152
+ 10156	-31153
+ 10153	-31154
+ 10150	-31155
+ 10147	-31156
+ 10144	-31157
+ 10141	-31158
+ 10138	-31159
+ 10135	-31160
+ 10132	-31161
+ 10129	-31162
+ 10126	-31163
+ 10123	-31164
+ 10120	-31165
+ 10117	-31166
+ 10114	-31167
+ 10111	-31168
+ 10108	-31169
+ 10105	-31170
+ 10102	-31171
+ 10099	-31172
+ 10096	-31173
+ 10093	-31174
+ 10090	-31175
+ 10087	-31176
+ 10084	-31177
+ 10081	-31178
+ 10078	-31179
+ 10075	-31180
+ 10072	-31181
+ 10069	-31182
+ 10066	-31182
+ 10063	-31183
+ 10060	-31184
+ 10057	-31185
+ 10054	-31186
+ 10051	-31187
+ 10048	-31188
+ 10045	-31189
+ 10042	-31190
+ 10039	-31191
+ 10036	-31192
+ 10033	-31193
+ 10030	-31194
+ 10027	-31195
+ 10024	-31196
+ 10021	-31197
+ 10018	-31198
+ 10015	-31199
+ 10012	-31200
+ 10009	-31201
+ 10006	-31202
+ 10003	-31203
+ 10000	-31204
+  9997	-31205
+  9994	-31206
+  9991	-31207
+  9988	-31207
+  9985	-31208
+  9982	-31209
+  9979	-31210
+  9976	-31211
+  9973	-31212
+  9970	-31213
+  9967	-31214
+  9964	-31215
+  9961	-31216
+  9958	-31217
+  9955	-31218
+  9952	-31219
+  9949	-31220
+  9946	-31221
+  9943	-31222
+  9940	-31223
+  9937	-31224
+  9934	-31225
+  9931	-31226
+  9928	-31227
+  9925	-31228
+  9922	-31228
+  9919	-31229
+  9916	-31230
+  9913	-31231
+  9910	-31232
+  9908	-31233
+  9905	-31234
+  9902	-31235
+  9899	-31236
+  9896	-31237
+  9893	-31238
+  9890	-31239
+  9887	-31240
+  9884	-31241
+  9881	-31242
+  9878	-31243
+  9875	-31244
+  9872	-31245
+  9869	-31246
+  9866	-31246
+  9863	-31247
+  9860	-31248
+  9857	-31249
+  9854	-31250
+  9851	-31251
+  9848	-31252
+  9845	-31253
+  9842	-31254
+  9839	-31255
+  9836	-31256
+  9833	-31257
+  9830	-31258
+  9827	-31259
+  9824	-31260
+  9821	-31261
+  9818	-31262
+  9815	-31263
+  9812	-31263
+  9809	-31264
+  9806	-31265
+  9803	-31266
+  9800	-31267
+  9797	-31268
+  9794	-31269
+  9791	-31270
+  9788	-31271
+  9785	-31272
+  9782	-31273
+  9779	-31274
+  9776	-31275
+  9773	-31276
+  9770	-31277
+  9767	-31278
+  9764	-31278
+  9761	-31279
+  9758	-31280
+  9755	-31281
+  9752	-31282
+  9749	-31283
+  9746	-31284
+  9743	-31285
+  9740	-31286
+  9737	-31287
+  9734	-31288
+  9731	-31289
+  9728	-31290
+  9725	-31291
+  9722	-31292
+  9719	-31293
+  9716	-31293
+  9713	-31294
+  9710	-31295
+  9707	-31296
+  9704	-31297
+  9701	-31298
+  9698	-31299
+  9695	-31300
+  9692	-31301
+  9689	-31302
+  9686	-31303
+  9683	-31304
+  9680	-31305
+  9677	-31306
+  9674	-31306
+  9671	-31307
+  9668	-31308
+  9665	-31309
+  9662	-31310
+  9659	-31311
+  9656	-31312
+  9653	-31313
+  9650	-31314
+  9647	-31315
+  9644	-31316
+  9641	-31317
+  9638	-31318
+  9635	-31318
+  9632	-31319
+  9629	-31320
+  9626	-31321
+  9623	-31322
+  9620	-31323
+  9617	-31324
+  9614	-31325
+  9611	-31326
+  9608	-31327
+  9605	-31328
+  9602	-31329
+  9599	-31330
+  9596	-31330
+  9593	-31331
+  9590	-31332
+  9587	-31333
+  9584	-31334
+  9581	-31335
+  9578	-31336
+  9575	-31337
+  9572	-31338
+  9569	-31339
+  9566	-31340
+  9563	-31341
+  9560	-31341
+  9557	-31342
+  9554	-31343
+  9551	-31344
+  9548	-31345
+  9545	-31346
+  9542	-31347
+  9539	-31348
+  9536	-31349
+  9533	-31350
+  9530	-31351
+  9526	-31352
+  9523	-31352
+  9520	-31353
+  9517	-31354
+  9514	-31355
+  9511	-31356
+  9508	-31357
+  9505	-31358
+  9502	-31359
+  9499	-31360
+  9496	-31361
+  9493	-31362
+  9490	-31362
+  9487	-31363
+  9484	-31364
+  9481	-31365
+  9478	-31366
+  9475	-31367
+  9472	-31368
+  9469	-31369
+  9466	-31370
+  9463	-31371
+  9460	-31372
+  9457	-31372
+  9454	-31373
+  9451	-31374
+  9448	-31375
+  9445	-31376
+  9442	-31377
+  9439	-31378
+  9436	-31379
+  9433	-31380
+  9430	-31381
+  9427	-31382
+  9424	-31382
+  9421	-31383
+  9418	-31384
+  9415	-31385
+  9412	-31386
+  9409	-31387
+  9406	-31388
+  9403	-31389
+  9400	-31390
+  9397	-31391
+  9394	-31391
+  9391	-31392
+  9388	-31393
+  9385	-31394
+  9382	-31395
+  9379	-31396
+  9376	-31397
+  9373	-31398
+  9370	-31399
+  9367	-31400
+  9364	-31400
+  9361	-31401
+  9358	-31402
+  9355	-31403
+  9352	-31404
+  9349	-31405
+  9346	-31406
+  9343	-31407
+  9340	-31408
+  9337	-31409
+  9334	-31409
+  9331	-31410
+  9328	-31411
+  9325	-31412
+  9322	-31413
+  9319	-31414
+  9316	-31415
+  9313	-31416
+  9310	-31417
+  9307	-31417
+  9304	-31418
+  9301	-31419
+  9298	-31420
+  9295	-31421
+  9292	-31422
+  9289	-31423
+  9286	-31424
+  9283	-31425
+  9280	-31425
+  9277	-31426
+  9274	-31427
+  9271	-31428
+  9268	-31429
+  9265	-31430
+  9262	-31431
+  9259	-31432
+  9256	-31433
+  9253	-31433
+  9250	-31434
+  9247	-31435
+  9244	-31436
+  9241	-31437
+  9238	-31438
+  9235	-31439
+  9232	-31440
+  9229	-31441
+  9225	-31441
+  9222	-31442
+  9219	-31443
+  9216	-31444
+  9213	-31445
+  9210	-31446
+  9207	-31447
+  9204	-31448
+  9201	-31449
+  9198	-31449
+  9195	-31450
+  9192	-31451
+  9189	-31452
+  9186	-31453
+  9183	-31454
+  9180	-31455
+  9177	-31456
+  9174	-31456
+  9171	-31457
+  9168	-31458
+  9165	-31459
+  9162	-31460
+  9159	-31461
+  9156	-31462
+  9153	-31463
+  9150	-31463
+  9147	-31464
+  9144	-31465
+  9141	-31466
+  9138	-31467
+  9135	-31468
+  9132	-31469
+  9129	-31470
+  9126	-31470
+  9123	-31471
+  9120	-31472
+  9117	-31473
+  9114	-31474
+  9111	-31475
+  9108	-31476
+  9105	-31477
+  9102	-31477
+  9099	-31478
+  9096	-31479
+  9093	-31480
+  9090	-31481
+  9087	-31482
+  9084	-31483
+  9081	-31484
+  9078	-31484
+  9075	-31485
+  9072	-31486
+  9069	-31487
+  9066	-31488
+  9063	-31489
+  9060	-31490
+  9057	-31491
+  9054	-31491
+  9051	-31492
+  9048	-31493
+  9044	-31494
+  9041	-31495
+  9038	-31496
+  9035	-31497
+  9032	-31497
+  9029	-31498
+  9026	-31499
+  9023	-31500
+  9020	-31501
+  9017	-31502
+  9014	-31503
+  9011	-31504
+  9008	-31504
+  9005	-31505
+  9002	-31506
+  8999	-31507
+  8996	-31508
+  8993	-31509
+  8990	-31510
+  8987	-31510
+  8984	-31511
+  8981	-31512
+  8978	-31513
+  8975	-31514
+  8972	-31515
+  8969	-31516
+  8966	-31516
+  8963	-31517
+  8960	-31518
+  8957	-31519
+  8954	-31520
+  8951	-31521
+  8948	-31522
+  8945	-31522
+  8942	-31523
+  8939	-31524
+  8936	-31525
+  8933	-31526
+  8930	-31527
+  8927	-31528
+  8924	-31528
+  8921	-31529
+  8918	-31530
+  8915	-31531
+  8912	-31532
+  8909	-31533
+  8906	-31534
+  8902	-31534
+  8899	-31535
+  8896	-31536
+  8893	-31537
+  8890	-31538
+  8887	-31539
+  8884	-31540
+  8881	-31540
+  8878	-31541
+  8875	-31542
+  8872	-31543
+  8869	-31544
+  8866	-31545
+  8863	-31545
+  8860	-31546
+  8857	-31547
+  8854	-31548
+  8851	-31549
+  8848	-31550
+  8845	-31551
+  8842	-31551
+  8839	-31552
+  8836	-31553
+  8833	-31554
+  8830	-31555
+  8827	-31556
+  8824	-31557
+  8821	-31557
+  8818	-31558
+  8815	-31559
+  8812	-31560
+  8809	-31561
+  8806	-31562
+  8803	-31562
+  8800	-31563
+  8797	-31564
+  8794	-31565
+  8791	-31566
+  8788	-31567
+  8785	-31567
+  8781	-31568
+  8778	-31569
+  8775	-31570
+  8772	-31571
+  8769	-31572
+  8766	-31573
+  8763	-31573
+  8760	-31574
+  8757	-31575
+  8754	-31576
+  8751	-31577
+  8748	-31578
+  8745	-31578
+  8742	-31579
+  8739	-31580
+  8736	-31581
+  8733	-31582
+  8730	-31583
+  8727	-31583
+  8724	-31584
+  8721	-31585
+  8718	-31586
+  8715	-31587
+  8712	-31588
+  8709	-31588
+  8706	-31589
+  8703	-31590
+  8700	-31591
+  8697	-31592
+  8694	-31593
+  8691	-31593
+  8688	-31594
+  8685	-31595
+  8682	-31596
+  8679	-31597
+  8676	-31598
+  8672	-31598
+  8669	-31599
+  8666	-31600
+  8663	-31601
+  8660	-31602
+  8657	-31603
+  8654	-31603
+  8651	-31604
+  8648	-31605
+  8645	-31606
+  8642	-31607
+  8639	-31608
+  8636	-31608
+  8633	-31609
+  8630	-31610
+  8627	-31611
+  8624	-31612
+  8621	-31613
+  8618	-31613
+  8615	-31614
+  8612	-31615
+  8609	-31616
+  8606	-31617
+  8603	-31617
+  8600	-31618
+  8597	-31619
+  8594	-31620
+  8591	-31621
+  8588	-31622
+  8585	-31622
+  8582	-31623
+  8579	-31624
+  8576	-31625
+  8572	-31626
+  8569	-31627
+  8566	-31627
+  8563	-31628
+  8560	-31629
+  8557	-31630
+  8554	-31631
+  8551	-31631
+  8548	-31632
+  8545	-31633
+  8542	-31634
+  8539	-31635
+  8536	-31636
+  8533	-31636
+  8530	-31637
+  8527	-31638
+  8524	-31639
+  8521	-31640
+  8518	-31640
+  8515	-31641
+  8512	-31642
+  8509	-31643
+  8506	-31644
+  8503	-31645
+  8500	-31645
+  8497	-31646
+  8494	-31647
+  8491	-31648
+  8488	-31649
+  8485	-31649
+  8481	-31650
+  8478	-31651
+  8475	-31652
+  8472	-31653
+  8469	-31654
+  8466	-31654
+  8463	-31655
+  8460	-31656
+  8457	-31657
+  8454	-31658
+  8451	-31658
+  8448	-31659
+  8445	-31660
+  8442	-31661
+  8439	-31662
+  8436	-31662
+  8433	-31663
+  8430	-31664
+  8427	-31665
+  8424	-31666
+  8421	-31666
+  8418	-31667
+  8415	-31668
+  8412	-31669
+  8409	-31670
+  8406	-31670
+  8403	-31671
+  8400	-31672
+  8396	-31673
+  8393	-31674
+  8390	-31675
+  8387	-31675
+  8384	-31676
+  8381	-31677
+  8378	-31678
+  8375	-31679
+  8372	-31679
+  8369	-31680
+  8366	-31681
+  8363	-31682
+  8360	-31683
+  8357	-31683
+  8354	-31684
+  8351	-31685
+  8348	-31686
+  8345	-31687
+  8342	-31687
+  8339	-31688
+  8336	-31689
+  8333	-31690
+  8330	-31691
+  8327	-31691
+  8324	-31692
+  8321	-31693
+  8318	-31694
+  8314	-31695
+  8311	-31695
+  8308	-31696
+  8305	-31697
+  8302	-31698
+  8299	-31699
+  8296	-31699
+  8293	-31700
+  8290	-31701
+  8287	-31702
+  8284	-31702
+  8281	-31703
+  8278	-31704
+  8275	-31705
+  8272	-31706
+  8269	-31706
+  8266	-31707
+  8263	-31708
+  8260	-31709
+  8257	-31710
+  8254	-31710
+  8251	-31711
+  8248	-31712
+  8245	-31713
+  8242	-31714
+  8238	-31714
+  8235	-31715
+  8232	-31716
+  8229	-31717
+  8226	-31718
+  8223	-31718
+  8220	-31719
+  8217	-31720
+  8214	-31721
+  8211	-31721
+  8208	-31722
+  8205	-31723
+  8202	-31724
+  8199	-31725
+  8196	-31725
+  8193	-31726
+  8190	-31727
+  8187	-31728
+  8184	-31729
+  8181	-31729
+  8178	-31730
+  8175	-31731
+  8172	-31732
+  8169	-31732
+  8165	-31733
+  8162	-31734
+  8159	-31735
+  8156	-31736
+  8153	-31736
+  8150	-31737
+  8147	-31738
+  8144	-31739
+  8141	-31740
+  8138	-31740
+  8135	-31741
+  8132	-31742
+  8129	-31743
+  8126	-31743
+  8123	-31744
+  8120	-31745
+  8117	-31746
+  8114	-31747
+  8111	-31747
+  8108	-31748
+  8105	-31749
+  8102	-31750
+  8099	-31750
+  8095	-31751
+  8092	-31752
+  8089	-31753
+  8086	-31754
+  8083	-31754
+  8080	-31755
+  8077	-31756
+  8074	-31757
+  8071	-31757
+  8068	-31758
+  8065	-31759
+  8062	-31760
+  8059	-31760
+  8056	-31761
+  8053	-31762
+  8050	-31763
+  8047	-31764
+  8044	-31764
+  8041	-31765
+  8038	-31766
+  8035	-31767
+  8032	-31767
+  8029	-31768
+  8025	-31769
+  8022	-31770
+  8019	-31770
+  8016	-31771
+  8013	-31772
+  8010	-31773
+  8007	-31774
+  8004	-31774
+  8001	-31775
+  7998	-31776
+  7995	-31777
+  7992	-31777
+  7989	-31778
+  7986	-31779
+  7983	-31780
+  7980	-31780
+  7977	-31781
+  7974	-31782
+  7971	-31783
+  7968	-31784
+  7965	-31784
+  7961	-31785
+  7958	-31786
+  7955	-31787
+  7952	-31787
+  7949	-31788
+  7946	-31789
+  7943	-31790
+  7940	-31790
+  7937	-31791
+  7934	-31792
+  7931	-31793
+  7928	-31793
+  7925	-31794
+  7922	-31795
+  7919	-31796
+  7916	-31796
+  7913	-31797
+  7910	-31798
+  7907	-31799
+  7904	-31799
+  7901	-31800
+  7897	-31801
+  7894	-31802
+  7891	-31803
+  7888	-31803
+  7885	-31804
+  7882	-31805
+  7879	-31806
+  7876	-31806
+  7873	-31807
+  7870	-31808
+  7867	-31809
+  7864	-31809
+  7861	-31810
+  7858	-31811
+  7855	-31812
+  7852	-31812
+  7849	-31813
+  7846	-31814
+  7843	-31815
+  7840	-31815
+  7836	-31816
+  7833	-31817
+  7830	-31818
+  7827	-31818
+  7824	-31819
+  7821	-31820
+  7818	-31821
+  7815	-31821
+  7812	-31822
+  7809	-31823
+  7806	-31824
+  7803	-31824
+  7800	-31825
+  7797	-31826
+  7794	-31827
+  7791	-31827
+  7788	-31828
+  7785	-31829
+  7782	-31830
+  7779	-31830
+  7775	-31831
+  7772	-31832
+  7769	-31833
+  7766	-31833
+  7763	-31834
+  7760	-31835
+  7757	-31836
+  7754	-31836
+  7751	-31837
+  7748	-31838
+  7745	-31839
+  7742	-31839
+  7739	-31840
+  7736	-31841
+  7733	-31841
+  7730	-31842
+  7727	-31843
+  7724	-31844
+  7721	-31844
+  7717	-31845
+  7714	-31846
+  7711	-31847
+  7708	-31847
+  7705	-31848
+  7702	-31849
+  7699	-31850
+  7696	-31850
+  7693	-31851
+  7690	-31852
+  7687	-31853
+  7684	-31853
+  7681	-31854
+  7678	-31855
+  7675	-31856
+  7672	-31856
+  7669	-31857
+  7666	-31858
+  7663	-31858
+  7659	-31859
+  7656	-31860
+  7653	-31861
+  7650	-31861
+  7647	-31862
+  7644	-31863
+  7641	-31864
+  7638	-31864
+  7635	-31865
+  7632	-31866
+  7629	-31867
+  7626	-31867
+  7623	-31868
+  7620	-31869
+  7617	-31869
+  7614	-31870
+  7611	-31871
+  7608	-31872
+  7604	-31872
+  7601	-31873
+  7598	-31874
+  7595	-31875
+  7592	-31875
+  7589	-31876
+  7586	-31877
+  7583	-31877
+  7580	-31878
+  7577	-31879
+  7574	-31880
+  7571	-31880
+  7568	-31881
+  7565	-31882
+  7562	-31883
+  7559	-31883
+  7556	-31884
+  7553	-31885
+  7549	-31885
+  7546	-31886
+  7543	-31887
+  7540	-31888
+  7537	-31888
+  7534	-31889
+  7531	-31890
+  7528	-31890
+  7525	-31891
+  7522	-31892
+  7519	-31893
+  7516	-31893
+  7513	-31894
+  7510	-31895
+  7507	-31896
+  7504	-31896
+  7501	-31897
+  7497	-31898
+  7494	-31898
+  7491	-31899
+  7488	-31900
+  7485	-31901
+  7482	-31901
+  7479	-31902
+  7476	-31903
+  7473	-31903
+  7470	-31904
+  7467	-31905
+  7464	-31906
+  7461	-31906
+  7458	-31907
+  7455	-31908
+  7452	-31908
+  7449	-31909
+  7445	-31910
+  7442	-31911
+  7439	-31911
+  7436	-31912
+  7433	-31913
+  7430	-31913
+  7427	-31914
+  7424	-31915
+  7421	-31916
+  7418	-31916
+  7415	-31917
+  7412	-31918
+  7409	-31918
+  7406	-31919
+  7403	-31920
+  7400	-31921
+  7397	-31921
+  7393	-31922
+  7390	-31923
+  7387	-31923
+  7384	-31924
+  7381	-31925
+  7378	-31925
+  7375	-31926
+  7372	-31927
+  7369	-31928
+  7366	-31928
+  7363	-31929
+  7360	-31930
+  7357	-31930
+  7354	-31931
+  7351	-31932
+  7348	-31933
+  7344	-31933
+  7341	-31934
+  7338	-31935
+  7335	-31935
+  7332	-31936
+  7329	-31937
+  7326	-31937
+  7323	-31938
+  7320	-31939
+  7317	-31940
+  7314	-31940
+  7311	-31941
+  7308	-31942
+  7305	-31942
+  7302	-31943
+  7299	-31944
+  7295	-31944
+  7292	-31945
+  7289	-31946
+  7286	-31947
+  7283	-31947
+  7280	-31948
+  7277	-31949
+  7274	-31949
+  7271	-31950
+  7268	-31951
+  7265	-31951
+  7262	-31952
+  7259	-31953
+  7256	-31954
+  7253	-31954
+  7250	-31955
+  7246	-31956
+  7243	-31956
+  7240	-31957
+  7237	-31958
+  7234	-31958
+  7231	-31959
+  7228	-31960
+  7225	-31960
+  7222	-31961
+  7219	-31962
+  7216	-31963
+  7213	-31963
+  7210	-31964
+  7207	-31965
+  7204	-31965
+  7201	-31966
+  7197	-31967
+  7194	-31967
+  7191	-31968
+  7188	-31969
+  7185	-31969
+  7182	-31970
+  7179	-31971
+  7176	-31972
+  7173	-31972
+  7170	-31973
+  7167	-31974
+  7164	-31974
+  7161	-31975
+  7158	-31976
+  7155	-31976
+  7151	-31977
+  7148	-31978
+  7145	-31978
+  7142	-31979
+  7139	-31980
+  7136	-31980
+  7133	-31981
+  7130	-31982
+  7127	-31983
+  7124	-31983
+  7121	-31984
+  7118	-31985
+  7115	-31985
+  7112	-31986
+  7109	-31987
+  7105	-31987
+  7102	-31988
+  7099	-31989
+  7096	-31989
+  7093	-31990
+  7090	-31991
+  7087	-31991
+  7084	-31992
+  7081	-31993
+  7078	-31993
+  7075	-31994
+  7072	-31995
+  7069	-31995
+  7066	-31996
+  7063	-31997
+  7059	-31997
+  7056	-31998
+  7053	-31999
+  7050	-32000
+  7047	-32000
+  7044	-32001
+  7041	-32002
+  7038	-32002
+  7035	-32003
+  7032	-32004
+  7029	-32004
+  7026	-32005
+  7023	-32006
+  7020	-32006
+  7017	-32007
+  7013	-32008
+  7010	-32008
+  7007	-32009
+  7004	-32010
+  7001	-32010
+  6998	-32011
+  6995	-32012
+  6992	-32012
+  6989	-32013
+  6986	-32014
+  6983	-32014
+  6980	-32015
+  6977	-32016
+  6974	-32016
+  6970	-32017
+  6967	-32018
+  6964	-32018
+  6961	-32019
+  6958	-32020
+  6955	-32020
+  6952	-32021
+  6949	-32022
+  6946	-32022
+  6943	-32023
+  6940	-32024
+  6937	-32024
+  6934	-32025
+  6931	-32026
+  6928	-32026
+  6924	-32027
+  6921	-32028
+  6918	-32028
+  6915	-32029
+  6912	-32030
+  6909	-32030
+  6906	-32031
+  6903	-32032
+  6900	-32032
+  6897	-32033
+  6894	-32034
+  6891	-32034
+  6888	-32035
+  6885	-32036
+  6881	-32036
+  6878	-32037
+  6875	-32038
+  6872	-32038
+  6869	-32039
+  6866	-32040
+  6863	-32040
+  6860	-32041
+  6857	-32042
+  6854	-32042
+  6851	-32043
+  6848	-32043
+  6845	-32044
+  6842	-32045
+  6838	-32045
+  6835	-32046
+  6832	-32047
+  6829	-32047
+  6826	-32048
+  6823	-32049
+  6820	-32049
+  6817	-32050
+  6814	-32051
+  6811	-32051
+  6808	-32052
+  6805	-32053
+  6802	-32053
+  6799	-32054
+  6795	-32055
+  6792	-32055
+  6789	-32056
+  6786	-32057
+  6783	-32057
+  6780	-32058
+  6777	-32058
+  6774	-32059
+  6771	-32060
+  6768	-32060
+  6765	-32061
+  6762	-32062
+  6759	-32062
+  6755	-32063
+  6752	-32064
+  6749	-32064
+  6746	-32065
+  6743	-32066
+  6740	-32066
+  6737	-32067
+  6734	-32068
+  6731	-32068
+  6728	-32069
+  6725	-32070
+  6722	-32070
+  6719	-32071
+  6716	-32071
+  6712	-32072
+  6709	-32073
+  6706	-32073
+  6703	-32074
+  6700	-32075
+  6697	-32075
+  6694	-32076
+  6691	-32077
+  6688	-32077
+  6685	-32078
+  6682	-32078
+  6679	-32079
+  6676	-32080
+  6672	-32080
+  6669	-32081
+  6666	-32082
+  6663	-32082
+  6660	-32083
+  6657	-32084
+  6654	-32084
+  6651	-32085
+  6648	-32086
+  6645	-32086
+  6642	-32087
+  6639	-32087
+  6636	-32088
+  6632	-32089
+  6629	-32089
+  6626	-32090
+  6623	-32091
+  6620	-32091
+  6617	-32092
+  6614	-32093
+  6611	-32093
+  6608	-32094
+  6605	-32094
+  6602	-32095
+  6599	-32096
+  6596	-32096
+  6592	-32097
+  6589	-32098
+  6586	-32098
+  6583	-32099
+  6580	-32099
+  6577	-32100
+  6574	-32101
+  6571	-32101
+  6568	-32102
+  6565	-32103
+  6562	-32103
+  6559	-32104
+  6556	-32105
+  6552	-32105
+  6549	-32106
+  6546	-32106
+  6543	-32107
+  6540	-32108
+  6537	-32108
+  6534	-32109
+  6531	-32110
+  6528	-32110
+  6525	-32111
+  6522	-32111
+  6519	-32112
+  6516	-32113
+  6512	-32113
+  6509	-32114
+  6506	-32115
+  6503	-32115
+  6500	-32116
+  6497	-32116
+  6494	-32117
+  6491	-32118
+  6488	-32118
+  6485	-32119
+  6482	-32120
+  6479	-32120
+  6475	-32121
+  6472	-32121
+  6469	-32122
+  6466	-32123
+  6463	-32123
+  6460	-32124
+  6457	-32124
+  6454	-32125
+  6451	-32126
+  6448	-32126
+  6445	-32127
+  6442	-32128
+  6439	-32128
+  6435	-32129
+  6432	-32129
+  6429	-32130
+  6426	-32131
+  6423	-32131
+  6420	-32132
+  6417	-32132
+  6414	-32133
+  6411	-32134
+  6408	-32134
+  6405	-32135
+  6402	-32136
+  6398	-32136
+  6395	-32137
+  6392	-32137
+  6389	-32138
+  6386	-32139
+  6383	-32139
+  6380	-32140
+  6377	-32140
+  6374	-32141
+  6371	-32142
+  6368	-32142
+  6365	-32143
+  6362	-32144
+  6358	-32144
+  6355	-32145
+  6352	-32145
+  6349	-32146
+  6346	-32147
+  6343	-32147
+  6340	-32148
+  6337	-32148
+  6334	-32149
+  6331	-32150
+  6328	-32150
+  6325	-32151
+  6321	-32151
+  6318	-32152
+  6315	-32153
+  6312	-32153
+  6309	-32154
+  6306	-32154
+  6303	-32155
+  6300	-32156
+  6297	-32156
+  6294	-32157
+  6291	-32157
+  6288	-32158
+  6284	-32159
+  6281	-32159
+  6278	-32160
+  6275	-32160
+  6272	-32161
+  6269	-32162
+  6266	-32162
+  6263	-32163
+  6260	-32163
+  6257	-32164
+  6254	-32165
+  6251	-32165
+  6247	-32166
+  6244	-32166
+  6241	-32167
+  6238	-32168
+  6235	-32168
+  6232	-32169
+  6229	-32169
+  6226	-32170
+  6223	-32171
+  6220	-32171
+  6217	-32172
+  6214	-32172
+  6210	-32173
+  6207	-32174
+  6204	-32174
+  6201	-32175
+  6198	-32175
+  6195	-32176
+  6192	-32177
+  6189	-32177
+  6186	-32178
+  6183	-32178
+  6180	-32179
+  6177	-32180
+  6173	-32180
+  6170	-32181
+  6167	-32181
+  6164	-32182
+  6161	-32183
+  6158	-32183
+  6155	-32184
+  6152	-32184
+  6149	-32185
+  6146	-32185
+  6143	-32186
+  6139	-32187
+  6136	-32187
+  6133	-32188
+  6130	-32188
+  6127	-32189
+  6124	-32190
+  6121	-32190
+  6118	-32191
+  6115	-32191
+  6112	-32192
+  6109	-32193
+  6106	-32193
+  6102	-32194
+  6099	-32194
+  6096	-32195
+  6093	-32195
+  6090	-32196
+  6087	-32197
+  6084	-32197
+  6081	-32198
+  6078	-32198
+  6075	-32199
+  6072	-32200
+  6069	-32200
+  6065	-32201
+  6062	-32201
+  6059	-32202
+  6056	-32202
+  6053	-32203
+  6050	-32204
+  6047	-32204
+  6044	-32205
+  6041	-32205
+  6038	-32206
+  6035	-32207
+  6031	-32207
+  6028	-32208
+  6025	-32208
+  6022	-32209
+  6019	-32209
+  6016	-32210
+  6013	-32211
+  6010	-32211
+  6007	-32212
+  6004	-32212
+  6001	-32213
+  5997	-32213
+  5994	-32214
+  5991	-32215
+  5988	-32215
+  5985	-32216
+  5982	-32216
+  5979	-32217
+  5976	-32217
+  5973	-32218
+  5970	-32219
+  5967	-32219
+  5964	-32220
+  5960	-32220
+  5957	-32221
+  5954	-32221
+  5951	-32222
+  5948	-32223
+  5945	-32223
+  5942	-32224
+  5939	-32224
+  5936	-32225
+  5933	-32225
+  5930	-32226
+  5926	-32227
+  5923	-32227
+  5920	-32228
+  5917	-32228
+  5914	-32229
+  5911	-32229
+  5908	-32230
+  5905	-32231
+  5902	-32231
+  5899	-32232
+  5896	-32232
+  5892	-32233
+  5889	-32233
+  5886	-32234
+  5883	-32235
+  5880	-32235
+  5877	-32236
+  5874	-32236
+  5871	-32237
+  5868	-32237
+  5865	-32238
+  5862	-32238
+  5858	-32239
+  5855	-32240
+  5852	-32240
+  5849	-32241
+  5846	-32241
+  5843	-32242
+  5840	-32242
+  5837	-32243
+  5834	-32243
+  5831	-32244
+  5828	-32245
+  5824	-32245
+  5821	-32246
+  5818	-32246
+  5815	-32247
+  5812	-32247
+  5809	-32248
+  5806	-32249
+  5803	-32249
+  5800	-32250
+  5797	-32250
+  5794	-32251
+  5790	-32251
+  5787	-32252
+  5784	-32252
+  5781	-32253
+  5778	-32254
+  5775	-32254
+  5772	-32255
+  5769	-32255
+  5766	-32256
+  5763	-32256
+  5760	-32257
+  5756	-32257
+  5753	-32258
+  5750	-32258
+  5747	-32259
+  5744	-32260
+  5741	-32260
+  5738	-32261
+  5735	-32261
+  5732	-32262
+  5729	-32262
+  5726	-32263
+  5722	-32263
+  5719	-32264
+  5716	-32265
+  5713	-32265
+  5710	-32266
+  5707	-32266
+  5704	-32267
+  5701	-32267
+  5698	-32268
+  5695	-32268
+  5691	-32269
+  5688	-32269
+  5685	-32270
+  5682	-32271
+  5679	-32271
+  5676	-32272
+  5673	-32272
+  5670	-32273
+  5667	-32273
+  5664	-32274
+  5661	-32274
+  5657	-32275
+  5654	-32275
+  5651	-32276
+  5648	-32277
+  5645	-32277
+  5642	-32278
+  5639	-32278
+  5636	-32279
+  5633	-32279
+  5630	-32280
+  5626	-32280
+  5623	-32281
+  5620	-32281
+  5617	-32282
+  5614	-32282
+  5611	-32283
+  5608	-32284
+  5605	-32284
+  5602	-32285
+  5599	-32285
+  5596	-32286
+  5592	-32286
+  5589	-32287
+  5586	-32287
+  5583	-32288
+  5580	-32288
+  5577	-32289
+  5574	-32289
+  5571	-32290
+  5568	-32290
+  5565	-32291
+  5561	-32292
+  5558	-32292
+  5555	-32293
+  5552	-32293
+  5549	-32294
+  5546	-32294
+  5543	-32295
+  5540	-32295
+  5537	-32296
+  5534	-32296
+  5531	-32297
+  5527	-32297
+  5524	-32298
+  5521	-32298
+  5518	-32299
+  5515	-32300
+  5512	-32300
+  5509	-32301
+  5506	-32301
+  5503	-32302
+  5500	-32302
+  5496	-32303
+  5493	-32303
+  5490	-32304
+  5487	-32304
+  5484	-32305
+  5481	-32305
+  5478	-32306
+  5475	-32306
+  5472	-32307
+  5469	-32307
+  5466	-32308
+  5462	-32308
+  5459	-32309
+  5456	-32310
+  5453	-32310
+  5450	-32311
+  5447	-32311
+  5444	-32312
+  5441	-32312
+  5438	-32313
+  5435	-32313
+  5431	-32314
+  5428	-32314
+  5425	-32315
+  5422	-32315
+  5419	-32316
+  5416	-32316
+  5413	-32317
+  5410	-32317
+  5407	-32318
+  5404	-32318
+  5400	-32319
+  5397	-32319
+  5394	-32320
+  5391	-32320
+  5388	-32321
+  5385	-32321
+  5382	-32322
+  5379	-32323
+  5376	-32323
+  5373	-32324
+  5369	-32324
+  5366	-32325
+  5363	-32325
+  5360	-32326
+  5357	-32326
+  5354	-32327
+  5351	-32327
+  5348	-32328
+  5345	-32328
+  5342	-32329
+  5338	-32329
+  5335	-32330
+  5332	-32330
+  5329	-32331
+  5326	-32331
+  5323	-32332
+  5320	-32332
+  5317	-32333
+  5314	-32333
+  5311	-32334
+  5307	-32334
+  5304	-32335
+  5301	-32335
+  5298	-32336
+  5295	-32336
+  5292	-32337
+  5289	-32337
+  5286	-32338
+  5283	-32338
+  5280	-32339
+  5276	-32339
+  5273	-32340
+  5270	-32340
+  5267	-32341
+  5264	-32341
+  5261	-32342
+  5258	-32342
+  5255	-32343
+  5252	-32343
+  5249	-32344
+  5245	-32344
+  5242	-32345
+  5239	-32345
+  5236	-32346
+  5233	-32346
+  5230	-32347
+  5227	-32347
+  5224	-32348
+  5221	-32348
+  5218	-32349
+  5214	-32349
+  5211	-32350
+  5208	-32350
+  5205	-32351
+  5202	-32351
+  5199	-32352
+  5196	-32352
+  5193	-32353
+  5190	-32353
+  5187	-32354
+  5183	-32354
+  5180	-32355
+  5177	-32355
+  5174	-32356
+  5171	-32356
+  5168	-32357
+  5165	-32357
+  5162	-32358
+  5159	-32358
+  5156	-32359
+  5152	-32359
+  5149	-32360
+  5146	-32360
+  5143	-32361
+  5140	-32361
+  5137	-32362
+  5134	-32362
+  5131	-32363
+  5128	-32363
+  5124	-32364
+  5121	-32364
+  5118	-32365
+  5115	-32365
+  5112	-32366
+  5109	-32366
+  5106	-32367
+  5103	-32367
+  5100	-32368
+  5097	-32368
+  5093	-32369
+  5090	-32369
+  5087	-32370
+  5084	-32370
+  5081	-32371
+  5078	-32371
+  5075	-32372
+  5072	-32372
+  5069	-32373
+  5066	-32373
+  5062	-32374
+  5059	-32374
+  5056	-32375
+  5053	-32375
+  5050	-32375
+  5047	-32376
+  5044	-32376
+  5041	-32377
+  5038	-32377
+  5034	-32378
+  5031	-32378
+  5028	-32379
+  5025	-32379
+  5022	-32380
+  5019	-32380
+  5016	-32381
+  5013	-32381
+  5010	-32382
+  5007	-32382
+  5003	-32383
+  5000	-32383
+  4997	-32384
+  4994	-32384
+  4991	-32385
+  4988	-32385
+  4985	-32386
+  4982	-32386
+  4979	-32387
+  4976	-32387
+  4972	-32388
+  4969	-32388
+  4966	-32388
+  4963	-32389
+  4960	-32389
+  4957	-32390
+  4954	-32390
+  4951	-32391
+  4948	-32391
+  4944	-32392
+  4941	-32392
+  4938	-32393
+  4935	-32393
+  4932	-32394
+  4929	-32394
+  4926	-32395
+  4923	-32395
+  4920	-32396
+  4917	-32396
+  4913	-32397
+  4910	-32397
+  4907	-32397
+  4904	-32398
+  4901	-32398
+  4898	-32399
+  4895	-32399
+  4892	-32400
+  4889	-32400
+  4885	-32401
+  4882	-32401
+  4879	-32402
+  4876	-32402
+  4873	-32403
+  4870	-32403
+  4867	-32404
+  4864	-32404
+  4861	-32404
+  4857	-32405
+  4854	-32405
+  4851	-32406
+  4848	-32406
+  4845	-32407
+  4842	-32407
+  4839	-32408
+  4836	-32408
+  4833	-32409
+  4830	-32409
+  4826	-32410
+  4823	-32410
+  4820	-32411
+  4817	-32411
+  4814	-32411
+  4811	-32412
+  4808	-32412
+  4805	-32413
+  4802	-32413
+  4798	-32414
+  4795	-32414
+  4792	-32415
+  4789	-32415
+  4786	-32416
+  4783	-32416
+  4780	-32416
+  4777	-32417
+  4774	-32417
+  4770	-32418
+  4767	-32418
+  4764	-32419
+  4761	-32419
+  4758	-32420
+  4755	-32420
+  4752	-32421
+  4749	-32421
+  4746	-32422
+  4743	-32422
+  4739	-32422
+  4736	-32423
+  4733	-32423
+  4730	-32424
+  4727	-32424
+  4724	-32425
+  4721	-32425
+  4718	-32426
+  4715	-32426
+  4711	-32427
+  4708	-32427
+  4705	-32427
+  4702	-32428
+  4699	-32428
+  4696	-32429
+  4693	-32429
+  4690	-32430
+  4687	-32430
+  4683	-32431
+  4680	-32431
+  4677	-32431
+  4674	-32432
+  4671	-32432
+  4668	-32433
+  4665	-32433
+  4662	-32434
+  4659	-32434
+  4655	-32435
+  4652	-32435
+  4649	-32435
+  4646	-32436
+  4643	-32436
+  4640	-32437
+  4637	-32437
+  4634	-32438
+  4631	-32438
+  4627	-32439
+  4624	-32439
+  4621	-32439
+  4618	-32440
+  4615	-32440
+  4612	-32441
+  4609	-32441
+  4606	-32442
+  4603	-32442
+  4599	-32443
+  4596	-32443
+  4593	-32443
+  4590	-32444
+  4587	-32444
+  4584	-32445
+  4581	-32445
+  4578	-32446
+  4575	-32446
+  4571	-32447
+  4568	-32447
+  4565	-32447
+  4562	-32448
+  4559	-32448
+  4556	-32449
+  4553	-32449
+  4550	-32450
+  4547	-32450
+  4543	-32450
+  4540	-32451
+  4537	-32451
+  4534	-32452
+  4531	-32452
+  4528	-32453
+  4525	-32453
+  4522	-32454
+  4519	-32454
+  4515	-32454
+  4512	-32455
+  4509	-32455
+  4506	-32456
+  4503	-32456
+  4500	-32457
+  4497	-32457
+  4494	-32457
+  4491	-32458
+  4487	-32458
+  4484	-32459
+  4481	-32459
+  4478	-32460
+  4475	-32460
+  4472	-32460
+  4469	-32461
+  4466	-32461
+  4463	-32462
+  4459	-32462
+  4456	-32463
+  4453	-32463
+  4450	-32463
+  4447	-32464
+  4444	-32464
+  4441	-32465
+  4438	-32465
+  4435	-32466
+  4431	-32466
+  4428	-32466
+  4425	-32467
+  4422	-32467
+  4419	-32468
+  4416	-32468
+  4413	-32468
+  4410	-32469
+  4407	-32469
+  4403	-32470
+  4400	-32470
+  4397	-32471
+  4394	-32471
+  4391	-32471
+  4388	-32472
+  4385	-32472
+  4382	-32473
+  4379	-32473
+  4375	-32474
+  4372	-32474
+  4369	-32474
+  4366	-32475
+  4363	-32475
+  4360	-32476
+  4357	-32476
+  4354	-32476
+  4351	-32477
+  4347	-32477
+  4344	-32478
+  4341	-32478
+  4338	-32479
+  4335	-32479
+  4332	-32479
+  4329	-32480
+  4326	-32480
+  4322	-32481
+  4319	-32481
+  4316	-32481
+  4313	-32482
+  4310	-32482
+  4307	-32483
+  4304	-32483
+  4301	-32484
+  4298	-32484
+  4294	-32484
+  4291	-32485
+  4288	-32485
+  4285	-32486
+  4282	-32486
+  4279	-32486
+  4276	-32487
+  4273	-32487
+  4270	-32488
+  4266	-32488
+  4263	-32488
+  4260	-32489
+  4257	-32489
+  4254	-32490
+  4251	-32490
+  4248	-32490
+  4245	-32491
+  4242	-32491
+  4238	-32492
+  4235	-32492
+  4232	-32493
+  4229	-32493
+  4226	-32493
+  4223	-32494
+  4220	-32494
+  4217	-32495
+  4213	-32495
+  4210	-32495
+  4207	-32496
+  4204	-32496
+  4201	-32497
+  4198	-32497
+  4195	-32497
+  4192	-32498
+  4189	-32498
+  4185	-32499
+  4182	-32499
+  4179	-32499
+  4176	-32500
+  4173	-32500
+  4170	-32501
+  4167	-32501
+  4164	-32501
+  4161	-32502
+  4157	-32502
+  4154	-32503
+  4151	-32503
+  4148	-32503
+  4145	-32504
+  4142	-32504
+  4139	-32505
+  4136	-32505
+  4132	-32505
+  4129	-32506
+  4126	-32506
+  4123	-32507
+  4120	-32507
+  4117	-32507
+  4114	-32508
+  4111	-32508
+  4108	-32509
+  4104	-32509
+  4101	-32509
+  4098	-32510
+  4095	-32510
+  4092	-32510
+  4089	-32511
+  4086	-32511
+  4083	-32512
+  4079	-32512
+  4076	-32512
+  4073	-32513
+  4070	-32513
+  4067	-32514
+  4064	-32514
+  4061	-32514
+  4058	-32515
+  4055	-32515
+  4051	-32516
+  4048	-32516
+  4045	-32516
+  4042	-32517
+  4039	-32517
+  4036	-32517
+  4033	-32518
+  4030	-32518
+  4026	-32519
+  4023	-32519
+  4020	-32519
+  4017	-32520
+  4014	-32520
+  4011	-32521
+  4008	-32521
+  4005	-32521
+  4002	-32522
+  3998	-32522
+  3995	-32523
+  3992	-32523
+  3989	-32523
+  3986	-32524
+  3983	-32524
+  3980	-32524
+  3977	-32525
+  3973	-32525
+  3970	-32526
+  3967	-32526
+  3964	-32526
+  3961	-32527
+  3958	-32527
+  3955	-32527
+  3952	-32528
+  3949	-32528
+  3945	-32529
+  3942	-32529
+  3939	-32529
+  3936	-32530
+  3933	-32530
+  3930	-32530
+  3927	-32531
+  3924	-32531
+  3920	-32532
+  3917	-32532
+  3914	-32532
+  3911	-32533
+  3908	-32533
+  3905	-32533
+  3902	-32534
+  3899	-32534
+  3896	-32535
+  3892	-32535
+  3889	-32535
+  3886	-32536
+  3883	-32536
+  3880	-32536
+  3877	-32537
+  3874	-32537
+  3871	-32538
+  3867	-32538
+  3864	-32538
+  3861	-32539
+  3858	-32539
+  3855	-32539
+  3852	-32540
+  3849	-32540
+  3846	-32541
+  3842	-32541
+  3839	-32541
+  3836	-32542
+  3833	-32542
+  3830	-32542
+  3827	-32543
+  3824	-32543
+  3821	-32543
+  3818	-32544
+  3814	-32544
+  3811	-32545
+  3808	-32545
+  3805	-32545
+  3802	-32546
+  3799	-32546
+  3796	-32546
+  3793	-32547
+  3789	-32547
+  3786	-32547
+  3783	-32548
+  3780	-32548
+  3777	-32549
+  3774	-32549
+  3771	-32549
+  3768	-32550
+  3764	-32550
+  3761	-32550
+  3758	-32551
+  3755	-32551
+  3752	-32551
+  3749	-32552
+  3746	-32552
+  3743	-32553
+  3740	-32553
+  3736	-32553
+  3733	-32554
+  3730	-32554
+  3727	-32554
+  3724	-32555
+  3721	-32555
+  3718	-32555
+  3715	-32556
+  3711	-32556
+  3708	-32556
+  3705	-32557
+  3702	-32557
+  3699	-32558
+  3696	-32558
+  3693	-32558
+  3690	-32559
+  3686	-32559
+  3683	-32559
+  3680	-32560
+  3677	-32560
+  3674	-32560
+  3671	-32561
+  3668	-32561
+  3665	-32561
+  3661	-32562
+  3658	-32562
+  3655	-32562
+  3652	-32563
+  3649	-32563
+  3646	-32564
+  3643	-32564
+  3640	-32564
+  3637	-32565
+  3633	-32565
+  3630	-32565
+  3627	-32566
+  3624	-32566
+  3621	-32566
+  3618	-32567
+  3615	-32567
+  3612	-32567
+  3608	-32568
+  3605	-32568
+  3602	-32568
+  3599	-32569
+  3596	-32569
+  3593	-32569
+  3590	-32570
+  3587	-32570
+  3583	-32570
+  3580	-32571
+  3577	-32571
+  3574	-32571
+  3571	-32572
+  3568	-32572
+  3565	-32573
+  3562	-32573
+  3558	-32573
+  3555	-32574
+  3552	-32574
+  3549	-32574
+  3546	-32575
+  3543	-32575
+  3540	-32575
+  3537	-32576
+  3533	-32576
+  3530	-32576
+  3527	-32577
+  3524	-32577
+  3521	-32577
+  3518	-32578
+  3515	-32578
+  3512	-32578
+  3508	-32579
+  3505	-32579
+  3502	-32579
+  3499	-32580
+  3496	-32580
+  3493	-32580
+  3490	-32581
+  3487	-32581
+  3484	-32581
+  3480	-32582
+  3477	-32582
+  3474	-32582
+  3471	-32583
+  3468	-32583
+  3465	-32583
+  3462	-32584
+  3459	-32584
+  3455	-32584
+  3452	-32585
+  3449	-32585
+  3446	-32585
+  3443	-32586
+  3440	-32586
+  3437	-32586
+  3434	-32587
+  3430	-32587
+  3427	-32587
+  3424	-32588
+  3421	-32588
+  3418	-32588
+  3415	-32589
+  3412	-32589
+  3409	-32589
+  3405	-32590
+  3402	-32590
+  3399	-32590
+  3396	-32591
+  3393	-32591
+  3390	-32591
+  3387	-32592
+  3384	-32592
+  3380	-32592
+  3377	-32592
+  3374	-32593
+  3371	-32593
+  3368	-32593
+  3365	-32594
+  3362	-32594
+  3359	-32594
+  3355	-32595
+  3352	-32595
+  3349	-32595
+  3346	-32596
+  3343	-32596
+  3340	-32596
+  3337	-32597
+  3334	-32597
+  3330	-32597
+  3327	-32598
+  3324	-32598
+  3321	-32598
+  3318	-32599
+  3315	-32599
+  3312	-32599
+  3309	-32600
+  3305	-32600
+  3302	-32600
+  3299	-32600
+  3296	-32601
+  3293	-32601
+  3290	-32601
+  3287	-32602
+  3284	-32602
+  3280	-32602
+  3277	-32603
+  3274	-32603
+  3271	-32603
+  3268	-32604
+  3265	-32604
+  3262	-32604
+  3259	-32605
+  3255	-32605
+  3252	-32605
+  3249	-32606
+  3246	-32606
+  3243	-32606
+  3240	-32606
+  3237	-32607
+  3234	-32607
+  3230	-32607
+  3227	-32608
+  3224	-32608
+  3221	-32608
+  3218	-32609
+  3215	-32609
+  3212	-32609
+  3209	-32610
+  3205	-32610
+  3202	-32610
+  3199	-32610
+  3196	-32611
+  3193	-32611
+  3190	-32611
+  3187	-32612
+  3183	-32612
+  3180	-32612
+  3177	-32613
+  3174	-32613
+  3171	-32613
+  3168	-32614
+  3165	-32614
+  3162	-32614
+  3158	-32614
+  3155	-32615
+  3152	-32615
+  3149	-32615
+  3146	-32616
+  3143	-32616
+  3140	-32616
+  3137	-32617
+  3133	-32617
+  3130	-32617
+  3127	-32617
+  3124	-32618
+  3121	-32618
+  3118	-32618
+  3115	-32619
+  3112	-32619
+  3108	-32619
+  3105	-32620
+  3102	-32620
+  3099	-32620
+  3096	-32620
+  3093	-32621
+  3090	-32621
+  3087	-32621
+  3083	-32622
+  3080	-32622
+  3077	-32622
+  3074	-32622
+  3071	-32623
+  3068	-32623
+  3065	-32623
+  3062	-32624
+  3058	-32624
+  3055	-32624
+  3052	-32625
+  3049	-32625
+  3046	-32625
+  3043	-32625
+  3040	-32626
+  3037	-32626
+  3033	-32626
+  3030	-32627
+  3027	-32627
+  3024	-32627
+  3021	-32627
+  3018	-32628
+  3015	-32628
+  3011	-32628
+  3008	-32629
+  3005	-32629
+  3002	-32629
+  2999	-32629
+  2996	-32630
+  2993	-32630
+  2990	-32630
+  2986	-32631
+  2983	-32631
+  2980	-32631
+  2977	-32631
+  2974	-32632
+  2971	-32632
+  2968	-32632
+  2965	-32633
+  2961	-32633
+  2958	-32633
+  2955	-32633
+  2952	-32634
+  2949	-32634
+  2946	-32634
+  2943	-32635
+  2940	-32635
+  2936	-32635
+  2933	-32635
+  2930	-32636
+  2927	-32636
+  2924	-32636
+  2921	-32637
+  2918	-32637
+  2915	-32637
+  2911	-32637
+  2908	-32638
+  2905	-32638
+  2902	-32638
+  2899	-32639
+  2896	-32639
+  2893	-32639
+  2889	-32639
+  2886	-32640
+  2883	-32640
+  2880	-32640
+  2877	-32640
+  2874	-32641
+  2871	-32641
+  2868	-32641
+  2864	-32642
+  2861	-32642
+  2858	-32642
+  2855	-32642
+  2852	-32643
+  2849	-32643
+  2846	-32643
+  2843	-32643
+  2839	-32644
+  2836	-32644
+  2833	-32644
+  2830	-32645
+  2827	-32645
+  2824	-32645
+  2821	-32645
+  2817	-32646
+  2814	-32646
+  2811	-32646
+  2808	-32646
+  2805	-32647
+  2802	-32647
+  2799	-32647
+  2796	-32648
+  2792	-32648
+  2789	-32648
+  2786	-32648
+  2783	-32649
+  2780	-32649
+  2777	-32649
+  2774	-32649
+  2771	-32650
+  2767	-32650
+  2764	-32650
+  2761	-32650
+  2758	-32651
+  2755	-32651
+  2752	-32651
+  2749	-32652
+  2746	-32652
+  2742	-32652
+  2739	-32652
+  2736	-32653
+  2733	-32653
+  2730	-32653
+  2727	-32653
+  2724	-32654
+  2720	-32654
+  2717	-32654
+  2714	-32654
+  2711	-32655
+  2708	-32655
+  2705	-32655
+  2702	-32655
+  2699	-32656
+  2695	-32656
+  2692	-32656
+  2689	-32656
+  2686	-32657
+  2683	-32657
+  2680	-32657
+  2677	-32657
+  2673	-32658
+  2670	-32658
+  2667	-32658
+  2664	-32659
+  2661	-32659
+  2658	-32659
+  2655	-32659
+  2652	-32660
+  2648	-32660
+  2645	-32660
+  2642	-32660
+  2639	-32661
+  2636	-32661
+  2633	-32661
+  2630	-32661
+  2627	-32662
+  2623	-32662
+  2620	-32662
+  2617	-32662
+  2614	-32663
+  2611	-32663
+  2608	-32663
+  2605	-32663
+  2601	-32664
+  2598	-32664
+  2595	-32664
+  2592	-32664
+  2589	-32665
+  2586	-32665
+  2583	-32665
+  2580	-32665
+  2576	-32666
+  2573	-32666
+  2570	-32666
+  2567	-32666
+  2564	-32667
+  2561	-32667
+  2558	-32667
+  2555	-32667
+  2551	-32668
+  2548	-32668
+  2545	-32668
+  2542	-32668
+  2539	-32668
+  2536	-32669
+  2533	-32669
+  2529	-32669
+  2526	-32669
+  2523	-32670
+  2520	-32670
+  2517	-32670
+  2514	-32670
+  2511	-32671
+  2508	-32671
+  2504	-32671
+  2501	-32671
+  2498	-32672
+  2495	-32672
+  2492	-32672
+  2489	-32672
+  2486	-32673
+  2482	-32673
+  2479	-32673
+  2476	-32673
+  2473	-32674
+  2470	-32674
+  2467	-32674
+  2464	-32674
+  2461	-32674
+  2457	-32675
+  2454	-32675
+  2451	-32675
+  2448	-32675
+  2445	-32676
+  2442	-32676
+  2439	-32676
+  2435	-32676
+  2432	-32677
+  2429	-32677
+  2426	-32677
+  2423	-32677
+  2420	-32678
+  2417	-32678
+  2414	-32678
+  2410	-32678
+  2407	-32678
+  2404	-32679
+  2401	-32679
+  2398	-32679
+  2395	-32679
+  2392	-32680
+  2388	-32680
+  2385	-32680
+  2382	-32680
+  2379	-32681
+  2376	-32681
+  2373	-32681
+  2370	-32681
+  2367	-32681
+  2363	-32682
+  2360	-32682
+  2357	-32682
+  2354	-32682
+  2351	-32683
+  2348	-32683
+  2345	-32683
+  2341	-32683
+  2338	-32683
+  2335	-32684
+  2332	-32684
+  2329	-32684
+  2326	-32684
+  2323	-32685
+  2320	-32685
+  2316	-32685
+  2313	-32685
+  2310	-32685
+  2307	-32686
+  2304	-32686
+  2301	-32686
+  2298	-32686
+  2294	-32687
+  2291	-32687
+  2288	-32687
+  2285	-32687
+  2282	-32687
+  2279	-32688
+  2276	-32688
+  2273	-32688
+  2269	-32688
+  2266	-32689
+  2263	-32689
+  2260	-32689
+  2257	-32689
+  2254	-32689
+  2251	-32690
+  2247	-32690
+  2244	-32690
+  2241	-32690
+  2238	-32690
+  2235	-32691
+  2232	-32691
+  2229	-32691
+  2226	-32691
+  2222	-32692
+  2219	-32692
+  2216	-32692
+  2213	-32692
+  2210	-32692
+  2207	-32693
+  2204	-32693
+  2200	-32693
+  2197	-32693
+  2194	-32693
+  2191	-32694
+  2188	-32694
+  2185	-32694
+  2182	-32694
+  2179	-32694
+  2175	-32695
+  2172	-32695
+  2169	-32695
+  2166	-32695
+  2163	-32696
+  2160	-32696
+  2157	-32696
+  2153	-32696
+  2150	-32696
+  2147	-32697
+  2144	-32697
+  2141	-32697
+  2138	-32697
+  2135	-32697
+  2132	-32698
+  2128	-32698
+  2125	-32698
+  2122	-32698
+  2119	-32698
+  2116	-32699
+  2113	-32699
+  2110	-32699
+  2106	-32699
+  2103	-32699
+  2100	-32700
+  2097	-32700
+  2094	-32700
+  2091	-32700
+  2088	-32700
+  2084	-32701
+  2081	-32701
+  2078	-32701
+  2075	-32701
+  2072	-32701
+  2069	-32702
+  2066	-32702
+  2063	-32702
+  2059	-32702
+  2056	-32702
+  2053	-32703
+  2050	-32703
+  2047	-32703
+  2044	-32703
+  2041	-32703
+  2037	-32704
+  2034	-32704
+  2031	-32704
+  2028	-32704
+  2025	-32704
+  2022	-32705
+  2019	-32705
+  2016	-32705
+  2012	-32705
+  2009	-32705
+  2006	-32706
+  2003	-32706
+  2000	-32706
+  1997	-32706
+  1994	-32706
+  1990	-32706
+  1987	-32707
+  1984	-32707
+  1981	-32707
+  1978	-32707
+  1975	-32707
+  1972	-32708
+  1968	-32708
+  1965	-32708
+  1962	-32708
+  1959	-32708
+  1956	-32709
+  1953	-32709
+  1950	-32709
+  1947	-32709
+  1943	-32709
+  1940	-32710
+  1937	-32710
+  1934	-32710
+  1931	-32710
+  1928	-32710
+  1925	-32710
+  1921	-32711
+  1918	-32711
+  1915	-32711
+  1912	-32711
+  1909	-32711
+  1906	-32712
+  1903	-32712
+  1899	-32712
+  1896	-32712
+  1893	-32712
+  1890	-32712
+  1887	-32713
+  1884	-32713
+  1881	-32713
+  1878	-32713
+  1874	-32713
+  1871	-32714
+  1868	-32714
+  1865	-32714
+  1862	-32714
+  1859	-32714
+  1856	-32714
+  1852	-32715
+  1849	-32715
+  1846	-32715
+  1843	-32715
+  1840	-32715
+  1837	-32715
+  1834	-32716
+  1830	-32716
+  1827	-32716
+  1824	-32716
+  1821	-32716
+  1818	-32717
+  1815	-32717
+  1812	-32717
+  1809	-32717
+  1805	-32717
+  1802	-32717
+  1799	-32718
+  1796	-32718
+  1793	-32718
+  1790	-32718
+  1787	-32718
+  1783	-32718
+  1780	-32719
+  1777	-32719
+  1774	-32719
+  1771	-32719
+  1768	-32719
+  1765	-32719
+  1761	-32720
+  1758	-32720
+  1755	-32720
+  1752	-32720
+  1749	-32720
+  1746	-32720
+  1743	-32721
+  1740	-32721
+  1736	-32721
+  1733	-32721
+  1730	-32721
+  1727	-32721
+  1724	-32722
+  1721	-32722
+  1718	-32722
+  1714	-32722
+  1711	-32722
+  1708	-32722
+  1705	-32723
+  1702	-32723
+  1699	-32723
+  1696	-32723
+  1692	-32723
+  1689	-32723
+  1686	-32724
+  1683	-32724
+  1680	-32724
+  1677	-32724
+  1674	-32724
+  1671	-32724
+  1667	-32725
+  1664	-32725
+  1661	-32725
+  1658	-32725
+  1655	-32725
+  1652	-32725
+  1649	-32726
+  1645	-32726
+  1642	-32726
+  1639	-32726
+  1636	-32726
+  1633	-32726
+  1630	-32726
+  1627	-32727
+  1623	-32727
+  1620	-32727
+  1617	-32727
+  1614	-32727
+  1611	-32727
+  1608	-32728
+  1605	-32728
+  1601	-32728
+  1598	-32728
+  1595	-32728
+  1592	-32728
+  1589	-32728
+  1586	-32729
+  1583	-32729
+  1580	-32729
+  1576	-32729
+  1573	-32729
+  1570	-32729
+  1567	-32730
+  1564	-32730
+  1561	-32730
+  1558	-32730
+  1554	-32730
+  1551	-32730
+  1548	-32730
+  1545	-32731
+  1542	-32731
+  1539	-32731
+  1536	-32731
+  1532	-32731
+  1529	-32731
+  1526	-32731
+  1523	-32732
+  1520	-32732
+  1517	-32732
+  1514	-32732
+  1510	-32732
+  1507	-32732
+  1504	-32732
+  1501	-32733
+  1498	-32733
+  1495	-32733
+  1492	-32733
+  1489	-32733
+  1485	-32733
+  1482	-32733
+  1479	-32734
+  1476	-32734
+  1473	-32734
+  1470	-32734
+  1467	-32734
+  1463	-32734
+  1460	-32734
+  1457	-32735
+  1454	-32735
+  1451	-32735
+  1448	-32735
+  1445	-32735
+  1441	-32735
+  1438	-32735
+  1435	-32736
+  1432	-32736
+  1429	-32736
+  1426	-32736
+  1423	-32736
+  1419	-32736
+  1416	-32736
+  1413	-32737
+  1410	-32737
+  1407	-32737
+  1404	-32737
+  1401	-32737
+  1397	-32737
+  1394	-32737
+  1391	-32737
+  1388	-32738
+  1385	-32738
+  1382	-32738
+  1379	-32738
+  1376	-32738
+  1372	-32738
+  1369	-32738
+  1366	-32739
+  1363	-32739
+  1360	-32739
+  1357	-32739
+  1354	-32739
+  1350	-32739
+  1347	-32739
+  1344	-32739
+  1341	-32740
+  1338	-32740
+  1335	-32740
+  1332	-32740
+  1328	-32740
+  1325	-32740
+  1322	-32740
+  1319	-32740
+  1316	-32741
+  1313	-32741
+  1310	-32741
+  1306	-32741
+  1303	-32741
+  1300	-32741
+  1297	-32741
+  1294	-32741
+  1291	-32742
+  1288	-32742
+  1285	-32742
+  1281	-32742
+  1278	-32742
+  1275	-32742
+  1272	-32742
+  1269	-32742
+  1266	-32743
+  1263	-32743
+  1259	-32743
+  1256	-32743
+  1253	-32743
+  1250	-32743
+  1247	-32743
+  1244	-32743
+  1241	-32744
+  1237	-32744
+  1234	-32744
+  1231	-32744
+  1228	-32744
+  1225	-32744
+  1222	-32744
+  1219	-32744
+  1215	-32744
+  1212	-32745
+  1209	-32745
+  1206	-32745
+  1203	-32745
+  1200	-32745
+  1197	-32745
+  1193	-32745
+  1190	-32745
+  1187	-32745
+  1184	-32746
+  1181	-32746
+  1178	-32746
+  1175	-32746
+  1171	-32746
+  1168	-32746
+  1165	-32746
+  1162	-32746
+  1159	-32746
+  1156	-32747
+  1153	-32747
+  1150	-32747
+  1146	-32747
+  1143	-32747
+  1140	-32747
+  1137	-32747
+  1134	-32747
+  1131	-32747
+  1128	-32748
+  1124	-32748
+  1121	-32748
+  1118	-32748
+  1115	-32748
+  1112	-32748
+  1109	-32748
+  1106	-32748
+  1102	-32748
+  1099	-32749
+  1096	-32749
+  1093	-32749
+  1090	-32749
+  1087	-32749
+  1084	-32749
+  1080	-32749
+  1077	-32749
+  1074	-32749
+  1071	-32749
+  1068	-32750
+  1065	-32750
+  1062	-32750
+  1058	-32750
+  1055	-32750
+  1052	-32750
+  1049	-32750
+  1046	-32750
+  1043	-32750
+  1040	-32751
+  1036	-32751
+  1033	-32751
+  1030	-32751
+  1027	-32751
+  1024	-32751
+  1021	-32751
+  1018	-32751
+  1015	-32751
+  1011	-32751
+  1008	-32751
+  1005	-32752
+  1002	-32752
+   999	-32752
+   996	-32752
+   993	-32752
+   989	-32752
+   986	-32752
+   983	-32752
+   980	-32752
+   977	-32752
+   974	-32753
+   971	-32753
+   967	-32753
+   964	-32753
+   961	-32753
+   958	-32753
+   955	-32753
+   952	-32753
+   949	-32753
+   945	-32753
+   942	-32753
+   939	-32754
+   936	-32754
+   933	-32754
+   930	-32754
+   927	-32754
+   923	-32754
+   920	-32754
+   917	-32754
+   914	-32754
+   911	-32754
+   908	-32754
+   905	-32755
+   901	-32755
+   898	-32755
+   895	-32755
+   892	-32755
+   889	-32755
+   886	-32755
+   883	-32755
+   879	-32755
+   876	-32755
+   873	-32755
+   870	-32755
+   867	-32756
+   864	-32756
+   861	-32756
+   858	-32756
+   854	-32756
+   851	-32756
+   848	-32756
+   845	-32756
+   842	-32756
+   839	-32756
+   836	-32756
+   832	-32756
+   829	-32757
+   826	-32757
+   823	-32757
+   820	-32757
+   817	-32757
+   814	-32757
+   810	-32757
+   807	-32757
+   804	-32757
+   801	-32757
+   798	-32757
+   795	-32757
+   792	-32757
+   788	-32758
+   785	-32758
+   782	-32758
+   779	-32758
+   776	-32758
+   773	-32758
+   770	-32758
+   766	-32758
+   763	-32758
+   760	-32758
+   757	-32758
+   754	-32758
+   751	-32758
+   748	-32758
+   744	-32759
+   741	-32759
+   738	-32759
+   735	-32759
+   732	-32759
+   729	-32759
+   726	-32759
+   722	-32759
+   719	-32759
+   716	-32759
+   713	-32759
+   710	-32759
+   707	-32759
+   704	-32759
+   700	-32760
+   697	-32760
+   694	-32760
+   691	-32760
+   688	-32760
+   685	-32760
+   682	-32760
+   678	-32760
+   675	-32760
+   672	-32760
+   669	-32760
+   666	-32760
+   663	-32760
+   660	-32760
+   657	-32760
+   653	-32760
+   650	-32761
+   647	-32761
+   644	-32761
+   641	-32761
+   638	-32761
+   635	-32761
+   631	-32761
+   628	-32761
+   625	-32761
+   622	-32761
+   619	-32761
+   616	-32761
+   613	-32761
+   609	-32761
+   606	-32761
+   603	-32761
+   600	-32762
+   597	-32762
+   594	-32762
+   591	-32762
+   587	-32762
+   584	-32762
+   581	-32762
+   578	-32762
+   575	-32762
+   572	-32762
+   569	-32762
+   565	-32762
+   562	-32762
+   559	-32762
+   556	-32762
+   553	-32762
+   550	-32762
+   547	-32762
+   543	-32762
+   540	-32763
+   537	-32763
+   534	-32763
+   531	-32763
+   528	-32763
+   525	-32763
+   521	-32763
+   518	-32763
+   515	-32763
+   512	-32763
+   509	-32763
+   506	-32763
+   503	-32763
+   499	-32763
+   496	-32763
+   493	-32763
+   490	-32763
+   487	-32763
+   484	-32763
+   481	-32763
+   477	-32764
+   474	-32764
+   471	-32764
+   468	-32764
+   465	-32764
+   462	-32764
+   459	-32764
+   455	-32764
+   452	-32764
+   449	-32764
+   446	-32764
+   443	-32764
+   440	-32764
+   437	-32764
+   434	-32764
+   430	-32764
+   427	-32764
+   424	-32764
+   421	-32764
+   418	-32764
+   415	-32764
+   412	-32764
+   408	-32764
+   405	-32764
+   402	-32765
+   399	-32765
+   396	-32765
+   393	-32765
+   390	-32765
+   386	-32765
+   383	-32765
+   380	-32765
+   377	-32765
+   374	-32765
+   371	-32765
+   368	-32765
+   364	-32765
+   361	-32765
+   358	-32765
+   355	-32765
+   352	-32765
+   349	-32765
+   346	-32765
+   342	-32765
+   339	-32765
+   336	-32765
+   333	-32765
+   330	-32765
+   327	-32765
+   324	-32765
+   320	-32765
+   317	-32765
+   314	-32765
+   311	-32766
+   308	-32766
+   305	-32766
+   302	-32766
+   298	-32766
+   295	-32766
+   292	-32766
+   289	-32766
+   286	-32766
+   283	-32766
+   280	-32766
+   276	-32766
+   273	-32766
+   270	-32766
+   267	-32766
+   264	-32766
+   261	-32766
+   258	-32766
+   254	-32766
+   251	-32766
+   248	-32766
+   245	-32766
+   242	-32766
+   239	-32766
+   236	-32766
+   232	-32766
+   229	-32766
+   226	-32766
+   223	-32766
+   220	-32766
+   217	-32766
+   214	-32766
+   210	-32766
+   207	-32766
+   204	-32766
+   201	-32766
+   198	-32766
+   195	-32766
+   192	-32766
+   188	-32766
+   185	-32766
+   182	-32766
+   179	-32767
+   176	-32767
+   173	-32767
+   170	-32767
+   166	-32767
+   163	-32767
+   160	-32767
+   157	-32767
+   154	-32767
+   151	-32767
+   148	-32767
+   145	-32767
+   141	-32767
+   138	-32767
+   135	-32767
+   132	-32767
+   129	-32767
+   126	-32767
+   123	-32767
+   119	-32767
+   116	-32767
+   113	-32767
+   110	-32767
+   107	-32767
+   104	-32767
+   101	-32767
+    97	-32767
+    94	-32767
+    91	-32767
+    88	-32767
+    85	-32767
+    82	-32767
+    79	-32767
+    75	-32767
+    72	-32767
+    69	-32767
+    66	-32767
+    63	-32767
+    60	-32767
+    57	-32767
+    53	-32767
+    50	-32767
+    47	-32767
+    44	-32767
+    41	-32767
+    38	-32767
+    35	-32767
+    31	-32767
+    28	-32767
+    25	-32767
+    22	-32767
+    19	-32767
+    16	-32767
+    13	-32767
+     9	-32767
+     6	-32767
+     3	-32767
+ 32767	     0
+ 32767	    -9
+ 32767	   -19
+ 32767	   -28
+ 32767	   -38
+ 32767	   -47
+ 32767	   -57
+ 32767	   -66
+ 32767	   -75
+ 32767	   -85
+ 32767	   -94
+ 32767	  -104
+ 32767	  -113
+ 32767	  -123
+ 32767	  -132
+ 32767	  -141
+ 32767	  -151
+ 32767	  -160
+ 32767	  -170
+ 32767	  -179
+ 32766	  -188
+ 32766	  -198
+ 32766	  -207
+ 32766	  -217
+ 32766	  -226
+ 32766	  -236
+ 32766	  -245
+ 32766	  -254
+ 32766	  -264
+ 32766	  -273
+ 32766	  -283
+ 32766	  -292
+ 32766	  -302
+ 32766	  -311
+ 32765	  -320
+ 32765	  -330
+ 32765	  -339
+ 32765	  -349
+ 32765	  -358
+ 32765	  -368
+ 32765	  -377
+ 32765	  -386
+ 32765	  -396
+ 32764	  -405
+ 32764	  -415
+ 32764	  -424
+ 32764	  -434
+ 32764	  -443
+ 32764	  -452
+ 32764	  -462
+ 32764	  -471
+ 32763	  -481
+ 32763	  -490
+ 32763	  -499
+ 32763	  -509
+ 32763	  -518
+ 32763	  -528
+ 32763	  -537
+ 32762	  -547
+ 32762	  -556
+ 32762	  -565
+ 32762	  -575
+ 32762	  -584
+ 32762	  -594
+ 32761	  -603
+ 32761	  -613
+ 32761	  -622
+ 32761	  -631
+ 32761	  -641
+ 32761	  -650
+ 32760	  -660
+ 32760	  -669
+ 32760	  -678
+ 32760	  -688
+ 32760	  -697
+ 32759	  -707
+ 32759	  -716
+ 32759	  -726
+ 32759	  -735
+ 32759	  -744
+ 32758	  -754
+ 32758	  -763
+ 32758	  -773
+ 32758	  -782
+ 32757	  -792
+ 32757	  -801
+ 32757	  -810
+ 32757	  -820
+ 32757	  -829
+ 32756	  -839
+ 32756	  -848
+ 32756	  -858
+ 32756	  -867
+ 32755	  -876
+ 32755	  -886
+ 32755	  -895
+ 32755	  -905
+ 32754	  -914
+ 32754	  -923
+ 32754	  -933
+ 32753	  -942
+ 32753	  -952
+ 32753	  -961
+ 32753	  -971
+ 32752	  -980
+ 32752	  -989
+ 32752	  -999
+ 32751	 -1008
+ 32751	 -1018
+ 32751	 -1027
+ 32751	 -1036
+ 32750	 -1046
+ 32750	 -1055
+ 32750	 -1065
+ 32749	 -1074
+ 32749	 -1084
+ 32749	 -1093
+ 32748	 -1102
+ 32748	 -1112
+ 32748	 -1121
+ 32747	 -1131
+ 32747	 -1140
+ 32747	 -1150
+ 32746	 -1159
+ 32746	 -1168
+ 32746	 -1178
+ 32745	 -1187
+ 32745	 -1197
+ 32745	 -1206
+ 32744	 -1215
+ 32744	 -1225
+ 32744	 -1234
+ 32743	 -1244
+ 32743	 -1253
+ 32743	 -1263
+ 32742	 -1272
+ 32742	 -1281
+ 32742	 -1291
+ 32741	 -1300
+ 32741	 -1310
+ 32740	 -1319
+ 32740	 -1328
+ 32740	 -1338
+ 32739	 -1347
+ 32739	 -1357
+ 32739	 -1366
+ 32738	 -1376
+ 32738	 -1385
+ 32737	 -1394
+ 32737	 -1404
+ 32737	 -1413
+ 32736	 -1423
+ 32736	 -1432
+ 32735	 -1441
+ 32735	 -1451
+ 32734	 -1460
+ 32734	 -1470
+ 32734	 -1479
+ 32733	 -1489
+ 32733	 -1498
+ 32732	 -1507
+ 32732	 -1517
+ 32731	 -1526
+ 32731	 -1536
+ 32731	 -1545
+ 32730	 -1554
+ 32730	 -1564
+ 32729	 -1573
+ 32729	 -1583
+ 32728	 -1592
+ 32728	 -1601
+ 32727	 -1611
+ 32727	 -1620
+ 32726	 -1630
+ 32726	 -1639
+ 32726	 -1649
+ 32725	 -1658
+ 32725	 -1667
+ 32724	 -1677
+ 32724	 -1686
+ 32723	 -1696
+ 32723	 -1705
+ 32722	 -1714
+ 32722	 -1724
+ 32721	 -1733
+ 32721	 -1743
+ 32720	 -1752
+ 32720	 -1761
+ 32719	 -1771
+ 32719	 -1780
+ 32718	 -1790
+ 32718	 -1799
+ 32717	 -1809
+ 32717	 -1818
+ 32716	 -1827
+ 32715	 -1837
+ 32715	 -1846
+ 32714	 -1856
+ 32714	 -1865
+ 32713	 -1874
+ 32713	 -1884
+ 32712	 -1893
+ 32712	 -1903
+ 32711	 -1912
+ 32711	 -1921
+ 32710	 -1931
+ 32710	 -1940
+ 32709	 -1950
+ 32708	 -1959
+ 32708	 -1968
+ 32707	 -1978
+ 32707	 -1987
+ 32706	 -1997
+ 32706	 -2006
+ 32705	 -2016
+ 32704	 -2025
+ 32704	 -2034
+ 32703	 -2044
+ 32703	 -2053
+ 32702	 -2063
+ 32701	 -2072
+ 32701	 -2081
+ 32700	 -2091
+ 32700	 -2100
+ 32699	 -2110
+ 32698	 -2119
+ 32698	 -2128
+ 32697	 -2138
+ 32697	 -2147
+ 32696	 -2157
+ 32695	 -2166
+ 32695	 -2175
+ 32694	 -2185
+ 32693	 -2194
+ 32693	 -2204
+ 32692	 -2213
+ 32692	 -2222
+ 32691	 -2232
+ 32690	 -2241
+ 32690	 -2251
+ 32689	 -2260
+ 32688	 -2269
+ 32688	 -2279
+ 32687	 -2288
+ 32686	 -2298
+ 32686	 -2307
+ 32685	 -2316
+ 32684	 -2326
+ 32684	 -2335
+ 32683	 -2345
+ 32682	 -2354
+ 32682	 -2363
+ 32681	 -2373
+ 32680	 -2382
+ 32680	 -2392
+ 32679	 -2401
+ 32678	 -2410
+ 32678	 -2420
+ 32677	 -2429
+ 32676	 -2439
+ 32675	 -2448
+ 32675	 -2457
+ 32674	 -2467
+ 32673	 -2476
+ 32673	 -2486
+ 32672	 -2495
+ 32671	 -2504
+ 32670	 -2514
+ 32670	 -2523
+ 32669	 -2533
+ 32668	 -2542
+ 32668	 -2551
+ 32667	 -2561
+ 32666	 -2570
+ 32665	 -2580
+ 32665	 -2589
+ 32664	 -2598
+ 32663	 -2608
+ 32662	 -2617
+ 32662	 -2627
+ 32661	 -2636
+ 32660	 -2645
+ 32659	 -2655
+ 32659	 -2664
+ 32658	 -2673
+ 32657	 -2683
+ 32656	 -2692
+ 32655	 -2702
+ 32655	 -2711
+ 32654	 -2720
+ 32653	 -2730
+ 32652	 -2739
+ 32652	 -2749
+ 32651	 -2758
+ 32650	 -2767
+ 32649	 -2777
+ 32648	 -2786
+ 32648	 -2796
+ 32647	 -2805
+ 32646	 -2814
+ 32645	 -2824
+ 32644	 -2833
+ 32643	 -2843
+ 32643	 -2852
+ 32642	 -2861
+ 32641	 -2871
+ 32640	 -2880
+ 32639	 -2889
+ 32639	 -2899
+ 32638	 -2908
+ 32637	 -2918
+ 32636	 -2927
+ 32635	 -2936
+ 32634	 -2946
+ 32633	 -2955
+ 32633	 -2965
+ 32632	 -2974
+ 32631	 -2983
+ 32630	 -2993
+ 32629	 -3002
+ 32628	 -3011
+ 32627	 -3021
+ 32627	 -3030
+ 32626	 -3040
+ 32625	 -3049
+ 32624	 -3058
+ 32623	 -3068
+ 32622	 -3077
+ 32621	 -3087
+ 32620	 -3096
+ 32620	 -3105
+ 32619	 -3115
+ 32618	 -3124
+ 32617	 -3133
+ 32616	 -3143
+ 32615	 -3152
+ 32614	 -3162
+ 32613	 -3171
+ 32612	 -3180
+ 32611	 -3190
+ 32610	 -3199
+ 32610	 -3209
+ 32609	 -3218
+ 32608	 -3227
+ 32607	 -3237
+ 32606	 -3246
+ 32605	 -3255
+ 32604	 -3265
+ 32603	 -3274
+ 32602	 -3284
+ 32601	 -3293
+ 32600	 -3302
+ 32599	 -3312
+ 32598	 -3321
+ 32597	 -3330
+ 32596	 -3340
+ 32595	 -3349
+ 32594	 -3359
+ 32593	 -3368
+ 32592	 -3377
+ 32592	 -3387
+ 32591	 -3396
+ 32590	 -3405
+ 32589	 -3415
+ 32588	 -3424
+ 32587	 -3434
+ 32586	 -3443
+ 32585	 -3452
+ 32584	 -3462
+ 32583	 -3471
+ 32582	 -3480
+ 32581	 -3490
+ 32580	 -3499
+ 32579	 -3508
+ 32578	 -3518
+ 32577	 -3527
+ 32576	 -3537
+ 32575	 -3546
+ 32574	 -3555
+ 32573	 -3565
+ 32571	 -3574
+ 32570	 -3583
+ 32569	 -3593
+ 32568	 -3602
+ 32567	 -3612
+ 32566	 -3621
+ 32565	 -3630
+ 32564	 -3640
+ 32563	 -3649
+ 32562	 -3658
+ 32561	 -3668
+ 32560	 -3677
+ 32559	 -3686
+ 32558	 -3696
+ 32557	 -3705
+ 32556	 -3715
+ 32555	 -3724
+ 32554	 -3733
+ 32553	 -3743
+ 32551	 -3752
+ 32550	 -3761
+ 32549	 -3771
+ 32548	 -3780
+ 32547	 -3789
+ 32546	 -3799
+ 32545	 -3808
+ 32544	 -3818
+ 32543	 -3827
+ 32542	 -3836
+ 32541	 -3846
+ 32539	 -3855
+ 32538	 -3864
+ 32537	 -3874
+ 32536	 -3883
+ 32535	 -3892
+ 32534	 -3902
+ 32533	 -3911
+ 32532	 -3920
+ 32530	 -3930
+ 32529	 -3939
+ 32528	 -3949
+ 32527	 -3958
+ 32526	 -3967
+ 32525	 -3977
+ 32524	 -3986
+ 32523	 -3995
+ 32521	 -4005
+ 32520	 -4014
+ 32519	 -4023
+ 32518	 -4033
+ 32517	 -4042
+ 32516	 -4051
+ 32514	 -4061
+ 32513	 -4070
+ 32512	 -4079
+ 32511	 -4089
+ 32510	 -4098
+ 32509	 -4108
+ 32507	 -4117
+ 32506	 -4126
+ 32505	 -4136
+ 32504	 -4145
+ 32503	 -4154
+ 32501	 -4164
+ 32500	 -4173
+ 32499	 -4182
+ 32498	 -4192
+ 32497	 -4201
+ 32495	 -4210
+ 32494	 -4220
+ 32493	 -4229
+ 32492	 -4238
+ 32490	 -4248
+ 32489	 -4257
+ 32488	 -4266
+ 32487	 -4276
+ 32486	 -4285
+ 32484	 -4294
+ 32483	 -4304
+ 32482	 -4313
+ 32481	 -4322
+ 32479	 -4332
+ 32478	 -4341
+ 32477	 -4351
+ 32476	 -4360
+ 32474	 -4369
+ 32473	 -4379
+ 32472	 -4388
+ 32471	 -4397
+ 32469	 -4407
+ 32468	 -4416
+ 32467	 -4425
+ 32466	 -4435
+ 32464	 -4444
+ 32463	 -4453
+ 32462	 -4463
+ 32460	 -4472
+ 32459	 -4481
+ 32458	 -4491
+ 32457	 -4500
+ 32455	 -4509
+ 32454	 -4519
+ 32453	 -4528
+ 32451	 -4537
+ 32450	 -4547
+ 32449	 -4556
+ 32447	 -4565
+ 32446	 -4575
+ 32445	 -4584
+ 32443	 -4593
+ 32442	 -4603
+ 32441	 -4612
+ 32439	 -4621
+ 32438	 -4631
+ 32437	 -4640
+ 32435	 -4649
+ 32434	 -4659
+ 32433	 -4668
+ 32431	 -4677
+ 32430	 -4687
+ 32429	 -4696
+ 32427	 -4705
+ 32426	 -4715
+ 32425	 -4724
+ 32423	 -4733
+ 32422	 -4743
+ 32421	 -4752
+ 32419	 -4761
+ 32418	 -4770
+ 32416	 -4780
+ 32415	 -4789
+ 32414	 -4798
+ 32412	 -4808
+ 32411	 -4817
+ 32410	 -4826
+ 32408	 -4836
+ 32407	 -4845
+ 32405	 -4854
+ 32404	 -4864
+ 32403	 -4873
+ 32401	 -4882
+ 32400	 -4892
+ 32398	 -4901
+ 32397	 -4910
+ 32396	 -4920
+ 32394	 -4929
+ 32393	 -4938
+ 32391	 -4948
+ 32390	 -4957
+ 32388	 -4966
+ 32387	 -4976
+ 32386	 -4985
+ 32384	 -4994
+ 32383	 -5003
+ 32381	 -5013
+ 32380	 -5022
+ 32378	 -5031
+ 32377	 -5041
+ 32375	 -5050
+ 32374	 -5059
+ 32373	 -5069
+ 32371	 -5078
+ 32370	 -5087
+ 32368	 -5097
+ 32367	 -5106
+ 32365	 -5115
+ 32364	 -5124
+ 32362	 -5134
+ 32361	 -5143
+ 32359	 -5152
+ 32358	 -5162
+ 32356	 -5171
+ 32355	 -5180
+ 32353	 -5190
+ 32352	 -5199
+ 32350	 -5208
+ 32349	 -5218
+ 32347	 -5227
+ 32346	 -5236
+ 32344	 -5245
+ 32343	 -5255
+ 32341	 -5264
+ 32340	 -5273
+ 32338	 -5283
+ 32337	 -5292
+ 32335	 -5301
+ 32334	 -5311
+ 32332	 -5320
+ 32331	 -5329
+ 32329	 -5338
+ 32328	 -5348
+ 32326	 -5357
+ 32325	 -5366
+ 32323	 -5376
+ 32321	 -5385
+ 32320	 -5394
+ 32318	 -5404
+ 32317	 -5413
+ 32315	 -5422
+ 32314	 -5431
+ 32312	 -5441
+ 32311	 -5450
+ 32309	 -5459
+ 32307	 -5469
+ 32306	 -5478
+ 32304	 -5487
+ 32303	 -5496
+ 32301	 -5506
+ 32300	 -5515
+ 32298	 -5524
+ 32296	 -5534
+ 32295	 -5543
+ 32293	 -5552
+ 32292	 -5561
+ 32290	 -5571
+ 32288	 -5580
+ 32287	 -5589
+ 32285	 -5599
+ 32284	 -5608
+ 32282	 -5617
+ 32280	 -5626
+ 32279	 -5636
+ 32277	 -5645
+ 32275	 -5654
+ 32274	 -5664
+ 32272	 -5673
+ 32271	 -5682
+ 32269	 -5691
+ 32267	 -5701
+ 32266	 -5710
+ 32264	 -5719
+ 32262	 -5729
+ 32261	 -5738
+ 32259	 -5747
+ 32257	 -5756
+ 32256	 -5766
+ 32254	 -5775
+ 32252	 -5784
+ 32251	 -5794
+ 32249	 -5803
+ 32247	 -5812
+ 32246	 -5821
+ 32244	 -5831
+ 32242	 -5840
+ 32241	 -5849
+ 32239	 -5858
+ 32237	 -5868
+ 32236	 -5877
+ 32234	 -5886
+ 32232	 -5896
+ 32231	 -5905
+ 32229	 -5914
+ 32227	 -5923
+ 32225	 -5933
+ 32224	 -5942
+ 32222	 -5951
+ 32220	 -5960
+ 32219	 -5970
+ 32217	 -5979
+ 32215	 -5988
+ 32213	 -5997
+ 32212	 -6007
+ 32210	 -6016
+ 32208	 -6025
+ 32207	 -6035
+ 32205	 -6044
+ 32203	 -6053
+ 32201	 -6062
+ 32200	 -6072
+ 32198	 -6081
+ 32196	 -6090
+ 32194	 -6099
+ 32193	 -6109
+ 32191	 -6118
+ 32189	 -6127
+ 32187	 -6136
+ 32185	 -6146
+ 32184	 -6155
+ 32182	 -6164
+ 32180	 -6173
+ 32178	 -6183
+ 32177	 -6192
+ 32175	 -6201
+ 32173	 -6210
+ 32171	 -6220
+ 32169	 -6229
+ 32168	 -6238
+ 32166	 -6247
+ 32164	 -6257
+ 32162	 -6266
+ 32160	 -6275
+ 32159	 -6284
+ 32157	 -6294
+ 32155	 -6303
+ 32153	 -6312
+ 32151	 -6321
+ 32150	 -6331
+ 32148	 -6340
+ 32146	 -6349
+ 32144	 -6358
+ 32142	 -6368
+ 32140	 -6377
+ 32139	 -6386
+ 32137	 -6395
+ 32135	 -6405
+ 32133	 -6414
+ 32131	 -6423
+ 32129	 -6432
+ 32128	 -6442
+ 32126	 -6451
+ 32124	 -6460
+ 32122	 -6469
+ 32120	 -6479
+ 32118	 -6488
+ 32116	 -6497
+ 32115	 -6506
+ 32113	 -6516
+ 32111	 -6525
+ 32109	 -6534
+ 32107	 -6543
+ 32105	 -6552
+ 32103	 -6562
+ 32101	 -6571
+ 32099	 -6580
+ 32098	 -6589
+ 32096	 -6599
+ 32094	 -6608
+ 32092	 -6617
+ 32090	 -6626
+ 32088	 -6636
+ 32086	 -6645
+ 32084	 -6654
+ 32082	 -6663
+ 32080	 -6672
+ 32078	 -6682
+ 32077	 -6691
+ 32075	 -6700
+ 32073	 -6709
+ 32071	 -6719
+ 32069	 -6728
+ 32067	 -6737
+ 32065	 -6746
+ 32063	 -6755
+ 32061	 -6765
+ 32059	 -6774
+ 32057	 -6783
+ 32055	 -6792
+ 32053	 -6802
+ 32051	 -6811
+ 32049	 -6820
+ 32047	 -6829
+ 32045	 -6838
+ 32043	 -6848
+ 32042	 -6857
+ 32040	 -6866
+ 32038	 -6875
+ 32036	 -6885
+ 32034	 -6894
+ 32032	 -6903
+ 32030	 -6912
+ 32028	 -6921
+ 32026	 -6931
+ 32024	 -6940
+ 32022	 -6949
+ 32020	 -6958
+ 32018	 -6967
+ 32016	 -6977
+ 32014	 -6986
+ 32012	 -6995
+ 32010	 -7004
+ 32008	 -7013
+ 32006	 -7023
+ 32004	 -7032
+ 32002	 -7041
+ 32000	 -7050
+ 31997	 -7059
+ 31995	 -7069
+ 31993	 -7078
+ 31991	 -7087
+ 31989	 -7096
+ 31987	 -7105
+ 31985	 -7115
+ 31983	 -7124
+ 31981	 -7133
+ 31979	 -7142
+ 31977	 -7151
+ 31975	 -7161
+ 31973	 -7170
+ 31971	 -7179
+ 31969	 -7188
+ 31967	 -7197
+ 31965	 -7207
+ 31963	 -7216
+ 31960	 -7225
+ 31958	 -7234
+ 31956	 -7243
+ 31954	 -7253
+ 31952	 -7262
+ 31950	 -7271
+ 31948	 -7280
+ 31946	 -7289
+ 31944	 -7299
+ 31942	 -7308
+ 31940	 -7317
+ 31937	 -7326
+ 31935	 -7335
+ 31933	 -7344
+ 31931	 -7354
+ 31929	 -7363
+ 31927	 -7372
+ 31925	 -7381
+ 31923	 -7390
+ 31921	 -7400
+ 31918	 -7409
+ 31916	 -7418
+ 31914	 -7427
+ 31912	 -7436
+ 31910	 -7445
+ 31908	 -7455
+ 31906	 -7464
+ 31903	 -7473
+ 31901	 -7482
+ 31899	 -7491
+ 31897	 -7501
+ 31895	 -7510
+ 31893	 -7519
+ 31890	 -7528
+ 31888	 -7537
+ 31886	 -7546
+ 31884	 -7556
+ 31882	 -7565
+ 31880	 -7574
+ 31877	 -7583
+ 31875	 -7592
+ 31873	 -7601
+ 31871	 -7611
+ 31869	 -7620
+ 31867	 -7629
+ 31864	 -7638
+ 31862	 -7647
+ 31860	 -7656
+ 31858	 -7666
+ 31856	 -7675
+ 31853	 -7684
+ 31851	 -7693
+ 31849	 -7702
+ 31847	 -7711
+ 31844	 -7721
+ 31842	 -7730
+ 31840	 -7739
+ 31838	 -7748
+ 31836	 -7757
+ 31833	 -7766
+ 31831	 -7775
+ 31829	 -7785
+ 31827	 -7794
+ 31824	 -7803
+ 31822	 -7812
+ 31820	 -7821
+ 31818	 -7830
+ 31815	 -7840
+ 31813	 -7849
+ 31811	 -7858
+ 31809	 -7867
+ 31806	 -7876
+ 31804	 -7885
+ 31802	 -7894
+ 31799	 -7904
+ 31797	 -7913
+ 31795	 -7922
+ 31793	 -7931
+ 31790	 -7940
+ 31788	 -7949
+ 31786	 -7958
+ 31784	 -7968
+ 31781	 -7977
+ 31779	 -7986
+ 31777	 -7995
+ 31774	 -8004
+ 31772	 -8013
+ 31770	 -8022
+ 31767	 -8032
+ 31765	 -8041
+ 31763	 -8050
+ 31760	 -8059
+ 31758	 -8068
+ 31756	 -8077
+ 31754	 -8086
+ 31751	 -8095
+ 31749	 -8105
+ 31747	 -8114
+ 31744	 -8123
+ 31742	 -8132
+ 31740	 -8141
+ 31737	 -8150
+ 31735	 -8159
+ 31732	 -8169
+ 31730	 -8178
+ 31728	 -8187
+ 31725	 -8196
+ 31723	 -8205
+ 31721	 -8214
+ 31718	 -8223
+ 31716	 -8232
+ 31714	 -8242
+ 31711	 -8251
+ 31709	 -8260
+ 31706	 -8269
+ 31704	 -8278
+ 31702	 -8287
+ 31699	 -8296
+ 31697	 -8305
+ 31695	 -8314
+ 31692	 -8324
+ 31690	 -8333
+ 31687	 -8342
+ 31685	 -8351
+ 31683	 -8360
+ 31680	 -8369
+ 31678	 -8378
+ 31675	 -8387
+ 31673	 -8396
+ 31670	 -8406
+ 31668	 -8415
+ 31666	 -8424
+ 31663	 -8433
+ 31661	 -8442
+ 31658	 -8451
+ 31656	 -8460
+ 31654	 -8469
+ 31651	 -8478
+ 31649	 -8488
+ 31646	 -8497
+ 31644	 -8506
+ 31641	 -8515
+ 31639	 -8524
+ 31636	 -8533
+ 31634	 -8542
+ 31631	 -8551
+ 31629	 -8560
+ 31627	 -8569
+ 31624	 -8579
+ 31622	 -8588
+ 31619	 -8597
+ 31617	 -8606
+ 31614	 -8615
+ 31612	 -8624
+ 31609	 -8633
+ 31607	 -8642
+ 31604	 -8651
+ 31602	 -8660
+ 31599	 -8669
+ 31597	 -8679
+ 31594	 -8688
+ 31592	 -8697
+ 31589	 -8706
+ 31587	 -8715
+ 31584	 -8724
+ 31582	 -8733
+ 31579	 -8742
+ 31577	 -8751
+ 31574	 -8760
+ 31572	 -8769
+ 31569	 -8778
+ 31567	 -8788
+ 31564	 -8797
+ 31562	 -8806
+ 31559	 -8815
+ 31557	 -8824
+ 31554	 -8833
+ 31551	 -8842
+ 31549	 -8851
+ 31546	 -8860
+ 31544	 -8869
+ 31541	 -8878
+ 31539	 -8887
+ 31536	 -8896
+ 31534	 -8906
+ 31531	 -8915
+ 31528	 -8924
+ 31526	 -8933
+ 31523	 -8942
+ 31521	 -8951
+ 31518	 -8960
+ 31516	 -8969
+ 31513	 -8978
+ 31510	 -8987
+ 31508	 -8996
+ 31505	 -9005
+ 31503	 -9014
+ 31500	 -9023
+ 31497	 -9032
+ 31495	 -9041
+ 31492	 -9051
+ 31490	 -9060
+ 31487	 -9069
+ 31484	 -9078
+ 31482	 -9087
+ 31479	 -9096
+ 31477	 -9105
+ 31474	 -9114
+ 31471	 -9123
+ 31469	 -9132
+ 31466	 -9141
+ 31463	 -9150
+ 31461	 -9159
+ 31458	 -9168
+ 31456	 -9177
+ 31453	 -9186
+ 31450	 -9195
+ 31448	 -9204
+ 31445	 -9213
+ 31442	 -9222
+ 31440	 -9232
+ 31437	 -9241
+ 31434	 -9250
+ 31432	 -9259
+ 31429	 -9268
+ 31426	 -9277
+ 31424	 -9286
+ 31421	 -9295
+ 31418	 -9304
+ 31416	 -9313
+ 31413	 -9322
+ 31410	 -9331
+ 31408	 -9340
+ 31405	 -9349
+ 31402	 -9358
+ 31400	 -9367
+ 31397	 -9376
+ 31394	 -9385
+ 31391	 -9394
+ 31389	 -9403
+ 31386	 -9412
+ 31383	 -9421
+ 31381	 -9430
+ 31378	 -9439
+ 31375	 -9448
+ 31372	 -9457
+ 31370	 -9466
+ 31367	 -9475
+ 31364	 -9484
+ 31362	 -9493
+ 31359	 -9502
+ 31356	 -9511
+ 31353	 -9520
+ 31351	 -9530
+ 31348	 -9539
+ 31345	 -9548
+ 31342	 -9557
+ 31340	 -9566
+ 31337	 -9575
+ 31334	 -9584
+ 31331	 -9593
+ 31329	 -9602
+ 31326	 -9611
+ 31323	 -9620
+ 31320	 -9629
+ 31318	 -9638
+ 31315	 -9647
+ 31312	 -9656
+ 31309	 -9665
+ 31306	 -9674
+ 31304	 -9683
+ 31301	 -9692
+ 31298	 -9701
+ 31295	 -9710
+ 31293	 -9719
+ 31290	 -9728
+ 31287	 -9737
+ 31284	 -9746
+ 31281	 -9755
+ 31278	 -9764
+ 31276	 -9773
+ 31273	 -9782
+ 31270	 -9791
+ 31267	 -9800
+ 31264	 -9809
+ 31262	 -9818
+ 31259	 -9827
+ 31256	 -9836
+ 31253	 -9845
+ 31250	 -9854
+ 31247	 -9863
+ 31245	 -9872
+ 31242	 -9881
+ 31239	 -9890
+ 31236	 -9899
+ 31233	 -9908
+ 31230	 -9916
+ 31228	 -9925
+ 31225	 -9934
+ 31222	 -9943
+ 31219	 -9952
+ 31216	 -9961
+ 31213	 -9970
+ 31210	 -9979
+ 31207	 -9988
+ 31205	 -9997
+ 31202	-10006
+ 31199	-10015
+ 31196	-10024
+ 31193	-10033
+ 31190	-10042
+ 31187	-10051
+ 31184	-10060
+ 31182	-10069
+ 31179	-10078
+ 31176	-10087
+ 31173	-10096
+ 31170	-10105
+ 31167	-10114
+ 31164	-10123
+ 31161	-10132
+ 31158	-10141
+ 31155	-10150
+ 31152	-10159
+ 31150	-10168
+ 31147	-10177
+ 31144	-10186
+ 31141	-10195
+ 31138	-10203
+ 31135	-10212
+ 31132	-10221
+ 31129	-10230
+ 31126	-10239
+ 31123	-10248
+ 31120	-10257
+ 31117	-10266
+ 31114	-10275
+ 31111	-10284
+ 31108	-10293
+ 31105	-10302
+ 31102	-10311
+ 31099	-10320
+ 31096	-10329
+ 31093	-10338
+ 31091	-10347
+ 31088	-10356
+ 31085	-10365
+ 31082	-10373
+ 31079	-10382
+ 31076	-10391
+ 31073	-10400
+ 31070	-10409
+ 31067	-10418
+ 31064	-10427
+ 31061	-10436
+ 31058	-10445
+ 31055	-10454
+ 31052	-10463
+ 31049	-10472
+ 31046	-10481
+ 31043	-10490
+ 31040	-10499
+ 31037	-10507
+ 31033	-10516
+ 31030	-10525
+ 31027	-10534
+ 31024	-10543
+ 31021	-10552
+ 31018	-10561
+ 31015	-10570
+ 31012	-10579
+ 31009	-10588
+ 31006	-10597
+ 31003	-10606
+ 31000	-10615
+ 30997	-10623
+ 30994	-10632
+ 30991	-10641
+ 30988	-10650
+ 30985	-10659
+ 30982	-10668
+ 30979	-10677
+ 30976	-10686
+ 30972	-10695
+ 30969	-10704
+ 30966	-10713
+ 30963	-10721
+ 30960	-10730
+ 30957	-10739
+ 30954	-10748
+ 30951	-10757
+ 30948	-10766
+ 30945	-10775
+ 30942	-10784
+ 30938	-10793
+ 30935	-10802
+ 30932	-10810
+ 30929	-10819
+ 30926	-10828
+ 30923	-10837
+ 30920	-10846
+ 30917	-10855
+ 30914	-10864
+ 30910	-10873
+ 30907	-10882
+ 30904	-10891
+ 30901	-10899
+ 30898	-10908
+ 30895	-10917
+ 30892	-10926
+ 30888	-10935
+ 30885	-10944
+ 30882	-10953
+ 30879	-10962
+ 30876	-10970
+ 30873	-10979
+ 30870	-10988
+ 30866	-10997
+ 30863	-11006
+ 30860	-11015
+ 30857	-11024
+ 30854	-11033
+ 30851	-11041
+ 30847	-11050
+ 30844	-11059
+ 30841	-11068
+ 30838	-11077
+ 30835	-11086
+ 30831	-11095
+ 30828	-11104
+ 30825	-11112
+ 30822	-11121
+ 30819	-11130
+ 30815	-11139
+ 30812	-11148
+ 30809	-11157
+ 30806	-11166
+ 30803	-11174
+ 30799	-11183
+ 30796	-11192
+ 30793	-11201
+ 30790	-11210
+ 30787	-11219
+ 30783	-11228
+ 30780	-11236
+ 30777	-11245
+ 30774	-11254
+ 30770	-11263
+ 30767	-11272
+ 30764	-11281
+ 30761	-11290
+ 30757	-11298
+ 30754	-11307
+ 30751	-11316
+ 30748	-11325
+ 30744	-11334
+ 30741	-11343
+ 30738	-11351
+ 30735	-11360
+ 30731	-11369
+ 30728	-11378
+ 30725	-11387
+ 30722	-11396
+ 30718	-11405
+ 30715	-11413
+ 30712	-11422
+ 30708	-11431
+ 30705	-11440
+ 30702	-11449
+ 30698	-11457
+ 30695	-11466
+ 30692	-11475
+ 30689	-11484
+ 30685	-11493
+ 30682	-11502
+ 30679	-11510
+ 30675	-11519
+ 30672	-11528
+ 30669	-11537
+ 30665	-11546
+ 30662	-11555
+ 30659	-11563
+ 30655	-11572
+ 30652	-11581
+ 30649	-11590
+ 30645	-11599
+ 30642	-11607
+ 30639	-11616
+ 30635	-11625
+ 30632	-11634
+ 30629	-11643
+ 30625	-11652
+ 30622	-11660
+ 30619	-11669
+ 30615	-11678
+ 30612	-11687
+ 30609	-11696
+ 30605	-11704
+ 30602	-11713
+ 30598	-11722
+ 30595	-11731
+ 30592	-11740
+ 30588	-11748
+ 30585	-11757
+ 30582	-11766
+ 30578	-11775
+ 30575	-11784
+ 30571	-11792
+ 30568	-11801
+ 30565	-11810
+ 30561	-11819
+ 30558	-11827
+ 30554	-11836
+ 30551	-11845
+ 30548	-11854
+ 30544	-11863
+ 30541	-11871
+ 30537	-11880
+ 30534	-11889
+ 30531	-11898
+ 30527	-11907
+ 30524	-11915
+ 30520	-11924
+ 30517	-11933
+ 30513	-11942
+ 30510	-11950
+ 30507	-11959
+ 30503	-11968
+ 30500	-11977
+ 30496	-11986
+ 30493	-11994
+ 30489	-12003
+ 30486	-12012
+ 30482	-12021
+ 30479	-12029
+ 30475	-12038
+ 30472	-12047
+ 30469	-12056
+ 30465	-12064
+ 30462	-12073
+ 30458	-12082
+ 30455	-12091
+ 30451	-12099
+ 30448	-12108
+ 30444	-12117
+ 30441	-12126
+ 30437	-12134
+ 30434	-12143
+ 30430	-12152
+ 30427	-12161
+ 30423	-12169
+ 30420	-12178
+ 30416	-12187
+ 30413	-12196
+ 30409	-12204
+ 30406	-12213
+ 30402	-12222
+ 30399	-12231
+ 30395	-12239
+ 30392	-12248
+ 30388	-12257
+ 30385	-12266
+ 30381	-12274
+ 30378	-12283
+ 30374	-12292
+ 30370	-12301
+ 30367	-12309
+ 30363	-12318
+ 30360	-12327
+ 30356	-12336
+ 30353	-12344
+ 30349	-12353
+ 30346	-12362
+ 30342	-12370
+ 30339	-12379
+ 30335	-12388
+ 30331	-12397
+ 30328	-12405
+ 30324	-12414
+ 30321	-12423
+ 30317	-12432
+ 30314	-12440
+ 30310	-12449
+ 30306	-12458
+ 30303	-12466
+ 30299	-12475
+ 30296	-12484
+ 30292	-12493
+ 30288	-12501
+ 30285	-12510
+ 30281	-12519
+ 30278	-12527
+ 30274	-12536
+ 30270	-12545
+ 30267	-12554
+ 30263	-12562
+ 30260	-12571
+ 30256	-12580
+ 30252	-12588
+ 30249	-12597
+ 30245	-12606
+ 30241	-12614
+ 30238	-12623
+ 30234	-12632
+ 30231	-12641
+ 30227	-12649
+ 30223	-12658
+ 30220	-12667
+ 30216	-12675
+ 30212	-12684
+ 30209	-12693
+ 30205	-12701
+ 30201	-12710
+ 30198	-12719
+ 30194	-12727
+ 30190	-12736
+ 30187	-12745
+ 30183	-12753
+ 30179	-12762
+ 30176	-12771
+ 30172	-12779
+ 30168	-12788
+ 30165	-12797
+ 30161	-12806
+ 30157	-12814
+ 30154	-12823
+ 30150	-12832
+ 30146	-12840
+ 30143	-12849
+ 30139	-12858
+ 30135	-12866
+ 30132	-12875
+ 30128	-12884
+ 30124	-12892
+ 30120	-12901
+ 30117	-12910
+ 30113	-12918
+ 30109	-12927
+ 30106	-12936
+ 30102	-12944
+ 30098	-12953
+ 30094	-12961
+ 30091	-12970
+ 30087	-12979
+ 30083	-12987
+ 30079	-12996
+ 30076	-13005
+ 30072	-13013
+ 30068	-13022
+ 30064	-13031
+ 30061	-13039
+ 30057	-13048
+ 30053	-13057
+ 30049	-13065
+ 30046	-13074
+ 30042	-13083
+ 30038	-13091
+ 30034	-13100
+ 30031	-13108
+ 30027	-13117
+ 30023	-13126
+ 30019	-13134
+ 30016	-13143
+ 30012	-13152
+ 30008	-13160
+ 30004	-13169
+ 30000	-13178
+ 29997	-13186
+ 29993	-13195
+ 29989	-13203
+ 29985	-13212
+ 29981	-13221
+ 29978	-13229
+ 29974	-13238
+ 29970	-13247
+ 29966	-13255
+ 29962	-13264
+ 29959	-13272
+ 29955	-13281
+ 29951	-13290
+ 29947	-13298
+ 29943	-13307
+ 29939	-13315
+ 29936	-13324
+ 29932	-13333
+ 29928	-13341
+ 29924	-13350
+ 29920	-13359
+ 29916	-13367
+ 29913	-13376
+ 29909	-13384
+ 29905	-13393
+ 29901	-13402
+ 29897	-13410
+ 29893	-13419
+ 29889	-13427
+ 29886	-13436
+ 29882	-13445
+ 29878	-13453
+ 29874	-13462
+ 29870	-13470
+ 29866	-13479
+ 29862	-13487
+ 29858	-13496
+ 29855	-13505
+ 29851	-13513
+ 29847	-13522
+ 29843	-13530
+ 29839	-13539
+ 29835	-13548
+ 29831	-13556
+ 29827	-13565
+ 29823	-13573
+ 29820	-13582
+ 29816	-13590
+ 29812	-13599
+ 29808	-13608
+ 29804	-13616
+ 29800	-13625
+ 29796	-13633
+ 29792	-13642
+ 29788	-13650
+ 29784	-13659
+ 29780	-13668
+ 29776	-13676
+ 29772	-13685
+ 29769	-13693
+ 29765	-13702
+ 29761	-13710
+ 29757	-13719
+ 29753	-13728
+ 29749	-13736
+ 29745	-13745
+ 29741	-13753
+ 29737	-13762
+ 29733	-13770
+ 29729	-13779
+ 29725	-13787
+ 29721	-13796
+ 29717	-13804
+ 29713	-13813
+ 29709	-13822
+ 29705	-13830
+ 29701	-13839
+ 29697	-13847
+ 29693	-13856
+ 29689	-13864
+ 29685	-13873
+ 29681	-13881
+ 29677	-13890
+ 29673	-13898
+ 29669	-13907
+ 29665	-13915
+ 29661	-13924
+ 29657	-13933
+ 29653	-13941
+ 29649	-13950
+ 29645	-13958
+ 29641	-13967
+ 29637	-13975
+ 29633	-13984
+ 29629	-13992
+ 29625	-14001
+ 29621	-14009
+ 29617	-14018
+ 29613	-14026
+ 29609	-14035
+ 29605	-14043
+ 29601	-14052
+ 29597	-14060
+ 29593	-14069
+ 29589	-14077
+ 29585	-14086
+ 29581	-14094
+ 29577	-14103
+ 29573	-14111
+ 29569	-14120
+ 29564	-14128
+ 29560	-14137
+ 29556	-14145
+ 29552	-14154
+ 29548	-14162
+ 29544	-14171
+ 29540	-14179
+ 29536	-14188
+ 29532	-14196
+ 29528	-14205
+ 29524	-14213
+ 29520	-14222
+ 29516	-14230
+ 29511	-14239
+ 29507	-14247
+ 29503	-14256
+ 29499	-14264
+ 29495	-14273
+ 29491	-14281
+ 29487	-14290
+ 29483	-14298
+ 29479	-14307
+ 29474	-14315
+ 29470	-14324
+ 29466	-14332
+ 29462	-14341
+ 29458	-14349
+ 29454	-14358
+ 29450	-14366
+ 29446	-14375
+ 29441	-14383
+ 29437	-14391
+ 29433	-14400
+ 29429	-14408
+ 29425	-14417
+ 29421	-14425
+ 29417	-14434
+ 29412	-14442
+ 29408	-14451
+ 29404	-14459
+ 29400	-14468
+ 29396	-14476
+ 29392	-14485
+ 29387	-14493
+ 29383	-14501
+ 29379	-14510
+ 29375	-14518
+ 29371	-14527
+ 29367	-14535
+ 29362	-14544
+ 29358	-14552
+ 29354	-14561
+ 29350	-14569
+ 29346	-14577
+ 29341	-14586
+ 29337	-14594
+ 29333	-14603
+ 29329	-14611
+ 29325	-14620
+ 29320	-14628
+ 29316	-14636
+ 29312	-14645
+ 29308	-14653
+ 29304	-14662
+ 29299	-14670
+ 29295	-14679
+ 29291	-14687
+ 29287	-14695
+ 29282	-14704
+ 29278	-14712
+ 29274	-14721
+ 29270	-14729
+ 29266	-14738
+ 29261	-14746
+ 29257	-14754
+ 29253	-14763
+ 29249	-14771
+ 29244	-14780
+ 29240	-14788
+ 29236	-14796
+ 29232	-14805
+ 29227	-14813
+ 29223	-14822
+ 29219	-14830
+ 29215	-14838
+ 29210	-14847
+ 29206	-14855
+ 29202	-14864
+ 29197	-14872
+ 29193	-14880
+ 29189	-14889
+ 29185	-14897
+ 29180	-14906
+ 29176	-14914
+ 29172	-14922
+ 29167	-14931
+ 29163	-14939
+ 29159	-14948
+ 29155	-14956
+ 29150	-14964
+ 29146	-14973
+ 29142	-14981
+ 29137	-14990
+ 29133	-14998
+ 29129	-15006
+ 29124	-15015
+ 29120	-15023
+ 29116	-15031
+ 29111	-15040
+ 29107	-15048
+ 29103	-15057
+ 29098	-15065
+ 29094	-15073
+ 29090	-15082
+ 29085	-15090
+ 29081	-15098
+ 29077	-15107
+ 29072	-15115
+ 29068	-15123
+ 29064	-15132
+ 29059	-15140
+ 29055	-15149
+ 29051	-15157
+ 29046	-15165
+ 29042	-15174
+ 29038	-15182
+ 29033	-15190
+ 29029	-15199
+ 29024	-15207
+ 29020	-15215
+ 29016	-15224
+ 29011	-15232
+ 29007	-15240
+ 29003	-15249
+ 28998	-15257
+ 28994	-15265
+ 28989	-15274
+ 28985	-15282
+ 28981	-15290
+ 28976	-15299
+ 28972	-15307
+ 28967	-15315
+ 28963	-15324
+ 28959	-15332
+ 28954	-15340
+ 28950	-15349
+ 28945	-15357
+ 28941	-15365
+ 28936	-15374
+ 28932	-15382
+ 28928	-15390
+ 28923	-15399
+ 28919	-15407
+ 28914	-15415
+ 28910	-15424
+ 28905	-15432
+ 28901	-15440
+ 28897	-15449
+ 28892	-15457
+ 28888	-15465
+ 28883	-15473
+ 28879	-15482
+ 28874	-15490
+ 28870	-15498
+ 28865	-15507
+ 28861	-15515
+ 28856	-15523
+ 28852	-15532
+ 28848	-15540
+ 28843	-15548
+ 28839	-15556
+ 28834	-15565
+ 28830	-15573
+ 28825	-15581
+ 28821	-15590
+ 28816	-15598
+ 28812	-15606
+ 28807	-15615
+ 28803	-15623
+ 28798	-15631
+ 28794	-15639
+ 28789	-15648
+ 28785	-15656
+ 28780	-15664
+ 28776	-15672
+ 28771	-15681
+ 28767	-15689
+ 28762	-15697
+ 28758	-15706
+ 28753	-15714
+ 28749	-15722
+ 28744	-15730
+ 28740	-15739
+ 28735	-15747
+ 28731	-15755
+ 28726	-15763
+ 28721	-15772
+ 28717	-15780
+ 28712	-15788
+ 28708	-15796
+ 28703	-15805
+ 28699	-15813
+ 28694	-15821
+ 28690	-15829
+ 28685	-15838
+ 28681	-15846
+ 28676	-15854
+ 28671	-15862
+ 28667	-15871
+ 28662	-15879
+ 28658	-15887
+ 28653	-15895
+ 28649	-15904
+ 28644	-15912
+ 28639	-15920
+ 28635	-15928
+ 28630	-15937
+ 28626	-15945
+ 28621	-15953
+ 28616	-15961
+ 28612	-15970
+ 28607	-15978
+ 28603	-15986
+ 28598	-15994
+ 28594	-16002
+ 28589	-16011
+ 28584	-16019
+ 28580	-16027
+ 28575	-16035
+ 28570	-16044
+ 28566	-16052
+ 28561	-16060
+ 28557	-16068
+ 28552	-16076
+ 28547	-16085
+ 28543	-16093
+ 28538	-16101
+ 28533	-16109
+ 28529	-16117
+ 28524	-16126
+ 28520	-16134
+ 28515	-16142
+ 28510	-16150
+ 28506	-16159
+ 28501	-16167
+ 28496	-16175
+ 28492	-16183
+ 28487	-16191
+ 28482	-16199
+ 28478	-16208
+ 28473	-16216
+ 28468	-16224
+ 28464	-16232
+ 28459	-16240
+ 28454	-16249
+ 28450	-16257
+ 28445	-16265
+ 28440	-16273
+ 28436	-16281
+ 28431	-16290
+ 28426	-16298
+ 28422	-16306
+ 28417	-16314
+ 28412	-16322
+ 28408	-16330
+ 28403	-16339
+ 28398	-16347
+ 28393	-16355
+ 28389	-16363
+ 28384	-16371
+ 28379	-16379
+ 28375	-16389
+ 28370	-16397
+ 28365	-16405
+ 28360	-16413
+ 28356	-16421
+ 28351	-16429
+ 28346	-16437
+ 28342	-16446
+ 28337	-16454
+ 28332	-16462
+ 28327	-16470
+ 28323	-16478
+ 28318	-16486
+ 28313	-16495
+ 28308	-16503
+ 28304	-16511
+ 28299	-16519
+ 28294	-16527
+ 28289	-16535
+ 28285	-16543
+ 28280	-16551
+ 28275	-16560
+ 28270	-16568
+ 28266	-16576
+ 28261	-16584
+ 28256	-16592
+ 28251	-16600
+ 28246	-16608
+ 28242	-16617
+ 28237	-16625
+ 28232	-16633
+ 28227	-16641
+ 28223	-16649
+ 28218	-16657
+ 28213	-16665
+ 28208	-16673
+ 28203	-16681
+ 28199	-16690
+ 28194	-16698
+ 28189	-16706
+ 28184	-16714
+ 28179	-16722
+ 28175	-16730
+ 28170	-16738
+ 28165	-16746
+ 28160	-16754
+ 28155	-16762
+ 28150	-16771
+ 28146	-16779
+ 28141	-16787
+ 28136	-16795
+ 28131	-16803
+ 28126	-16811
+ 28121	-16819
+ 28117	-16827
+ 28112	-16835
+ 28107	-16843
+ 28102	-16851
+ 28097	-16860
+ 28092	-16868
+ 28088	-16876
+ 28083	-16884
+ 28078	-16892
+ 28073	-16900
+ 28068	-16908
+ 28063	-16916
+ 28058	-16924
+ 28054	-16932
+ 28049	-16940
+ 28044	-16948
+ 28039	-16956
+ 28034	-16964
+ 28029	-16973
+ 28024	-16981
+ 28019	-16989
+ 28014	-16997
+ 28010	-17005
+ 28005	-17013
+ 28000	-17021
+ 27995	-17029
+ 27990	-17037
+ 27985	-17045
+ 27980	-17053
+ 27975	-17061
+ 27970	-17069
+ 27965	-17077
+ 27961	-17085
+ 27956	-17093
+ 27951	-17101
+ 27946	-17109
+ 27941	-17117
+ 27936	-17125
+ 27931	-17134
+ 27926	-17142
+ 27921	-17150
+ 27916	-17158
+ 27911	-17166
+ 27906	-17174
+ 27901	-17182
+ 27897	-17190
+ 27892	-17198
+ 27887	-17206
+ 27882	-17214
+ 27877	-17222
+ 27872	-17230
+ 27867	-17238
+ 27862	-17246
+ 27857	-17254
+ 27852	-17262
+ 27847	-17270
+ 27842	-17278
+ 27837	-17286
+ 27832	-17294
+ 27827	-17302
+ 27822	-17310
+ 27817	-17318
+ 27812	-17326
+ 27807	-17334
+ 27802	-17342
+ 27797	-17350
+ 27792	-17358
+ 27787	-17366
+ 27782	-17374
+ 27777	-17382
+ 27772	-17390
+ 27767	-17398
+ 27762	-17406
+ 27757	-17414
+ 27752	-17422
+ 27747	-17430
+ 27742	-17438
+ 27737	-17446
+ 27732	-17454
+ 27727	-17462
+ 27722	-17470
+ 27717	-17478
+ 27712	-17486
+ 27707	-17494
+ 27702	-17502
+ 27697	-17509
+ 27692	-17517
+ 27687	-17525
+ 27682	-17533
+ 27677	-17541
+ 27672	-17549
+ 27667	-17557
+ 27662	-17565
+ 27657	-17573
+ 27651	-17581
+ 27646	-17589
+ 27641	-17597
+ 27636	-17605
+ 27631	-17613
+ 27626	-17621
+ 27621	-17629
+ 27616	-17637
+ 27611	-17645
+ 27606	-17653
+ 27601	-17661
+ 27596	-17669
+ 27591	-17676
+ 27586	-17684
+ 27580	-17692
+ 27575	-17700
+ 27570	-17708
+ 27565	-17716
+ 27560	-17724
+ 27555	-17732
+ 27550	-17740
+ 27545	-17748
+ 27540	-17756
+ 27535	-17764
+ 27529	-17772
+ 27524	-17779
+ 27519	-17787
+ 27514	-17795
+ 27509	-17803
+ 27504	-17811
+ 27499	-17819
+ 27494	-17827
+ 27489	-17835
+ 27483	-17843
+ 27478	-17851
+ 27473	-17859
+ 27468	-17866
+ 27463	-17874
+ 27458	-17882
+ 27453	-17890
+ 27447	-17898
+ 27442	-17906
+ 27437	-17914
+ 27432	-17922
+ 27427	-17930
+ 27422	-17938
+ 27416	-17945
+ 27411	-17953
+ 27406	-17961
+ 27401	-17969
+ 27396	-17977
+ 27391	-17985
+ 27385	-17993
+ 27380	-18001
+ 27375	-18008
+ 27370	-18016
+ 27365	-18024
+ 27360	-18032
+ 27354	-18040
+ 27349	-18048
+ 27344	-18056
+ 27339	-18064
+ 27334	-18071
+ 27328	-18079
+ 27323	-18087
+ 27318	-18095
+ 27313	-18103
+ 27308	-18111
+ 27302	-18119
+ 27297	-18126
+ 27292	-18134
+ 27287	-18142
+ 27282	-18150
+ 27276	-18158
+ 27271	-18166
+ 27266	-18173
+ 27261	-18181
+ 27255	-18189
+ 27250	-18197
+ 27245	-18205
+ 27240	-18213
+ 27234	-18220
+ 27229	-18228
+ 27224	-18236
+ 27219	-18244
+ 27213	-18252
+ 27208	-18260
+ 27203	-18267
+ 27198	-18275
+ 27192	-18283
+ 27187	-18291
+ 27182	-18299
+ 27177	-18307
+ 27171	-18314
+ 27166	-18322
+ 27161	-18330
+ 27156	-18338
+ 27150	-18346
+ 27145	-18353
+ 27140	-18361
+ 27134	-18369
+ 27129	-18377
+ 27124	-18385
+ 27119	-18392
+ 27113	-18400
+ 27108	-18408
+ 27103	-18416
+ 27097	-18424
+ 27092	-18431
+ 27087	-18439
+ 27082	-18447
+ 27076	-18455
+ 27071	-18463
+ 27066	-18470
+ 27060	-18478
+ 27055	-18486
+ 27050	-18494
+ 27044	-18501
+ 27039	-18509
+ 27034	-18517
+ 27028	-18525
+ 27023	-18533
+ 27018	-18540
+ 27012	-18548
+ 27007	-18556
+ 27002	-18564
+ 26996	-18571
+ 26991	-18579
+ 26986	-18587
+ 26980	-18595
+ 26975	-18602
+ 26970	-18610
+ 26964	-18618
+ 26959	-18626
+ 26954	-18633
+ 26948	-18641
+ 26943	-18649
+ 26937	-18657
+ 26932	-18664
+ 26927	-18672
+ 26921	-18680
+ 26916	-18688
+ 26911	-18695
+ 26905	-18703
+ 26900	-18711
+ 26894	-18719
+ 26889	-18726
+ 26884	-18734
+ 26878	-18742
+ 26873	-18750
+ 26868	-18757
+ 26862	-18765
+ 26857	-18773
+ 26851	-18781
+ 26846	-18788
+ 26841	-18796
+ 26835	-18804
+ 26830	-18811
+ 26824	-18819
+ 26819	-18827
+ 26813	-18835
+ 26808	-18842
+ 26803	-18850
+ 26797	-18858
+ 26792	-18865
+ 26786	-18873
+ 26781	-18881
+ 26776	-18888
+ 26770	-18896
+ 26765	-18904
+ 26759	-18912
+ 26754	-18919
+ 26748	-18927
+ 26743	-18935
+ 26737	-18942
+ 26732	-18950
+ 26727	-18958
+ 26721	-18965
+ 26716	-18973
+ 26710	-18981
+ 26705	-18988
+ 26699	-18996
+ 26694	-19004
+ 26688	-19011
+ 26683	-19019
+ 26677	-19027
+ 26672	-19035
+ 26666	-19042
+ 26661	-19050
+ 26655	-19058
+ 26650	-19065
+ 26644	-19073
+ 26639	-19081
+ 26634	-19088
+ 26628	-19096
+ 26623	-19103
+ 26617	-19111
+ 26612	-19119
+ 26606	-19126
+ 26601	-19134
+ 26595	-19142
+ 26590	-19149
+ 26584	-19157
+ 26579	-19165
+ 26573	-19172
+ 26567	-19180
+ 26562	-19188
+ 26556	-19195
+ 26551	-19203
+ 26545	-19211
+ 26540	-19218
+ 26534	-19226
+ 26529	-19233
+ 26523	-19241
+ 26518	-19249
+ 26512	-19256
+ 26507	-19264
+ 26501	-19272
+ 26496	-19279
+ 26490	-19287
+ 26484	-19294
+ 26479	-19302
+ 26473	-19310
+ 26468	-19317
+ 26462	-19325
+ 26457	-19332
+ 26451	-19340
+ 26446	-19348
+ 26440	-19355
+ 26434	-19363
+ 26429	-19371
+ 26423	-19378
+ 26418	-19386
+ 26412	-19393
+ 26407	-19401
+ 26401	-19408
+ 26395	-19416
+ 26390	-19424
+ 26384	-19431
+ 26379	-19439
+ 26373	-19446
+ 26367	-19454
+ 26362	-19462
+ 26356	-19469
+ 26351	-19477
+ 26345	-19484
+ 26339	-19492
+ 26334	-19499
+ 26328	-19507
+ 26323	-19515
+ 26317	-19522
+ 26311	-19530
+ 26306	-19537
+ 26300	-19545
+ 26295	-19552
+ 26289	-19560
+ 26283	-19568
+ 26278	-19575
+ 26272	-19583
+ 26266	-19590
+ 26261	-19598
+ 26255	-19605
+ 26249	-19613
+ 26244	-19620
+ 26238	-19628
+ 26233	-19636
+ 26227	-19643
+ 26221	-19651
+ 26216	-19658
+ 26210	-19666
+ 26204	-19673
+ 26199	-19681
+ 26193	-19688
+ 26187	-19696
+ 26182	-19703
+ 26176	-19711
+ 26170	-19718
+ 26165	-19726
+ 26159	-19734
+ 26153	-19741
+ 26148	-19749
+ 26142	-19756
+ 26136	-19764
+ 26131	-19771
+ 26125	-19779
+ 26119	-19786
+ 26113	-19794
+ 26108	-19801
+ 26102	-19809
+ 26096	-19816
+ 26091	-19824
+ 26085	-19831
+ 26079	-19839
+ 26074	-19846
+ 26068	-19854
+ 26062	-19861
+ 26056	-19869
+ 26051	-19876
+ 26045	-19884
+ 26039	-19891
+ 26034	-19899
+ 26028	-19906
+ 26022	-19914
+ 26016	-19921
+ 26011	-19929
+ 26005	-19936
+ 25999	-19944
+ 25993	-19951
+ 25988	-19958
+ 25982	-19966
+ 25976	-19973
+ 25970	-19981
+ 25965	-19988
+ 25959	-19996
+ 25953	-20003
+ 25947	-20011
+ 25942	-20018
+ 25936	-20026
+ 25930	-20033
+ 25924	-20041
+ 25919	-20048
+ 25913	-20056
+ 25907	-20063
+ 25901	-20070
+ 25896	-20078
+ 25890	-20085
+ 25884	-20093
+ 25878	-20100
+ 25872	-20108
+ 25867	-20115
+ 25861	-20123
+ 25855	-20130
+ 25849	-20137
+ 25844	-20145
+ 25838	-20152
+ 25832	-20160
+ 25826	-20167
+ 25820	-20175
+ 25815	-20182
+ 25809	-20189
+ 25803	-20197
+ 25797	-20204
+ 25791	-20212
+ 25786	-20219
+ 25780	-20226
+ 25774	-20234
+ 25768	-20241
+ 25762	-20249
+ 25756	-20256
+ 25751	-20264
+ 25745	-20271
+ 25739	-20278
+ 25733	-20286
+ 25727	-20293
+ 25721	-20301
+ 25716	-20308
+ 25710	-20315
+ 25704	-20323
+ 25698	-20330
+ 25692	-20338
+ 25686	-20345
+ 25680	-20352
+ 25675	-20360
+ 25669	-20367
+ 25663	-20374
+ 25657	-20382
+ 25651	-20389
+ 25645	-20397
+ 25639	-20404
+ 25634	-20411
+ 25628	-20419
+ 25622	-20426
+ 25616	-20433
+ 25610	-20441
+ 25604	-20448
+ 25598	-20456
+ 25592	-20463
+ 25587	-20470
+ 25581	-20478
+ 25575	-20485
+ 25569	-20492
+ 25563	-20500
+ 25557	-20507
+ 25551	-20514
+ 25545	-20522
+ 25539	-20529
+ 25533	-20536
+ 25528	-20544
+ 25522	-20551
+ 25516	-20558
+ 25510	-20566
+ 25504	-20573
+ 25498	-20580
+ 25492	-20588
+ 25486	-20595
+ 25480	-20602
+ 25474	-20610
+ 25468	-20617
+ 25462	-20624
+ 25457	-20632
+ 25451	-20639
+ 25445	-20646
+ 25439	-20654
+ 25433	-20661
+ 25427	-20668
+ 25421	-20676
+ 25415	-20683
+ 25409	-20690
+ 25403	-20698
+ 25397	-20705
+ 25391	-20712
+ 25385	-20719
+ 25379	-20727
+ 25373	-20734
+ 25367	-20741
+ 25361	-20749
+ 25355	-20756
+ 25349	-20763
+ 25343	-20771
+ 25337	-20778
+ 25331	-20785
+ 25325	-20792
+ 25319	-20800
+ 25314	-20807
+ 25308	-20814
+ 25302	-20822
+ 25296	-20829
+ 25290	-20836
+ 25284	-20843
+ 25278	-20851
+ 25272	-20858
+ 25266	-20865
+ 25260	-20872
+ 25254	-20880
+ 25248	-20887
+ 25242	-20894
+ 25236	-20901
+ 25230	-20909
+ 25224	-20916
+ 25217	-20923
+ 25211	-20930
+ 25205	-20938
+ 25199	-20945
+ 25193	-20952
+ 25187	-20959
+ 25181	-20967
+ 25175	-20974
+ 25169	-20981
+ 25163	-20988
+ 25157	-20996
+ 25151	-21003
+ 25145	-21010
+ 25139	-21017
+ 25133	-21025
+ 25127	-21032
+ 25121	-21039
+ 25115	-21046
+ 25109	-21053
+ 25103	-21061
+ 25097	-21068
+ 25091	-21075
+ 25085	-21082
+ 25079	-21090
+ 25072	-21097
+ 25066	-21104
+ 25060	-21111
+ 25054	-21118
+ 25048	-21126
+ 25042	-21133
+ 25036	-21140
+ 25030	-21147
+ 25024	-21154
+ 25018	-21162
+ 25012	-21169
+ 25006	-21176
+ 25000	-21183
+ 24993	-21190
+ 24987	-21198
+ 24981	-21205
+ 24975	-21212
+ 24969	-21219
+ 24963	-21226
+ 24957	-21233
+ 24951	-21241
+ 24945	-21248
+ 24938	-21255
+ 24932	-21262
+ 24926	-21269
+ 24920	-21277
+ 24914	-21284
+ 24908	-21291
+ 24902	-21298
+ 24896	-21305
+ 24890	-21312
+ 24883	-21319
+ 24877	-21327
+ 24871	-21334
+ 24865	-21341
+ 24859	-21348
+ 24853	-21355
+ 24847	-21362
+ 24840	-21370
+ 24834	-21377
+ 24828	-21384
+ 24822	-21391
+ 24816	-21398
+ 24810	-21405
+ 24803	-21412
+ 24797	-21420
+ 24791	-21427
+ 24785	-21434
+ 24779	-21441
+ 24773	-21448
+ 24767	-21455
+ 24760	-21462
+ 24754	-21469
+ 24748	-21477
+ 24742	-21484
+ 24736	-21491
+ 24729	-21498
+ 24723	-21505
+ 24717	-21512
+ 24711	-21519
+ 24705	-21526
+ 24699	-21533
+ 24692	-21540
+ 24686	-21548
+ 24680	-21555
+ 24674	-21562
+ 24668	-21569
+ 24661	-21576
+ 24655	-21583
+ 24649	-21590
+ 24643	-21597
+ 24636	-21604
+ 24630	-21611
+ 24624	-21619
+ 24618	-21626
+ 24612	-21633
+ 24605	-21640
+ 24599	-21647
+ 24593	-21654
+ 24587	-21661
+ 24580	-21668
+ 24574	-21675
+ 24568	-21682
+ 24562	-21689
+ 24556	-21696
+ 24549	-21703
+ 24543	-21710
+ 24537	-21717
+ 24531	-21725
+ 24524	-21732
+ 24518	-21739
+ 24512	-21746
+ 24506	-21753
+ 24499	-21760
+ 24493	-21767
+ 24487	-21774
+ 24480	-21781
+ 24474	-21788
+ 24468	-21795
+ 24462	-21802
+ 24455	-21809
+ 24449	-21816
+ 24443	-21823
+ 24437	-21830
+ 24430	-21837
+ 24424	-21844
+ 24418	-21851
+ 24411	-21858
+ 24405	-21865
+ 24399	-21872
+ 24393	-21879
+ 24386	-21886
+ 24380	-21893
+ 24374	-21900
+ 24367	-21907
+ 24361	-21914
+ 24355	-21921
+ 24349	-21928
+ 24342	-21935
+ 24336	-21942
+ 24330	-21949
+ 24323	-21956
+ 24317	-21963
+ 24311	-21970
+ 24304	-21977
+ 24298	-21984
+ 24292	-21991
+ 24285	-21998
+ 24279	-22005
+ 24273	-22012
+ 24266	-22019
+ 24260	-22026
+ 24254	-22033
+ 24247	-22040
+ 24241	-22047
+ 24235	-22054
+ 24228	-22061
+ 24222	-22068
+ 24216	-22075
+ 24209	-22082
+ 24203	-22089
+ 24197	-22096
+ 24190	-22103
+ 24184	-22110
+ 24177	-22117
+ 24171	-22124
+ 24165	-22131
+ 24158	-22138
+ 24152	-22145
+ 24146	-22152
+ 24139	-22158
+ 24133	-22165
+ 24127	-22172
+ 24120	-22179
+ 24114	-22186
+ 24107	-22193
+ 24101	-22200
+ 24095	-22207
+ 24088	-22214
+ 24082	-22221
+ 24075	-22228
+ 24069	-22235
+ 24063	-22242
+ 24056	-22249
+ 24050	-22256
+ 24043	-22262
+ 24037	-22269
+ 24031	-22276
+ 24024	-22283
+ 24018	-22290
+ 24011	-22297
+ 24005	-22304
+ 23999	-22311
+ 23992	-22318
+ 23986	-22325
+ 23979	-22331
+ 23973	-22338
+ 23966	-22345
+ 23960	-22352
+ 23954	-22359
+ 23947	-22366
+ 23941	-22373
+ 23934	-22380
+ 23928	-22387
+ 23921	-22393
+ 23915	-22400
+ 23909	-22407
+ 23902	-22414
+ 23896	-22421
+ 23889	-22428
+ 23883	-22435
+ 23876	-22442
+ 23870	-22448
+ 23863	-22455
+ 23857	-22462
+ 23850	-22469
+ 23844	-22476
+ 23838	-22483
+ 23831	-22490
+ 23825	-22496
+ 23818	-22503
+ 23812	-22510
+ 23805	-22517
+ 23799	-22524
+ 23792	-22531
+ 23786	-22538
+ 23779	-22544
+ 23773	-22551
+ 23766	-22558
+ 23760	-22565
+ 23753	-22572
+ 23747	-22579
+ 23740	-22585
+ 23734	-22592
+ 23727	-22599
+ 23721	-22606
+ 23714	-22613
+ 23708	-22619
+ 23701	-22626
+ 23695	-22633
+ 23688	-22640
+ 23682	-22647
+ 23675	-22654
+ 23669	-22660
+ 23662	-22667
+ 23656	-22674
+ 23649	-22681
+ 23643	-22688
+ 23636	-22694
+ 23630	-22701
+ 23623	-22708
+ 23617	-22715
+ 23610	-22722
+ 23603	-22728
+ 23597	-22735
+ 23590	-22742
+ 23584	-22749
+ 23577	-22755
+ 23571	-22762
+ 23564	-22769
+ 23558	-22776
+ 23551	-22783
+ 23545	-22789
+ 23538	-22796
+ 23531	-22803
+ 23525	-22810
+ 23518	-22816
+ 23512	-22823
+ 23505	-22830
+ 23499	-22837
+ 23492	-22843
+ 23486	-22850
+ 23479	-22857
+ 23472	-22864
+ 23466	-22871
+ 23459	-22877
+ 23453	-22884
+ 23446	-22891
+ 23439	-22897
+ 23433	-22904
+ 23426	-22911
+ 23420	-22918
+ 23413	-22924
+ 23407	-22931
+ 23400	-22938
+ 23393	-22945
+ 23387	-22951
+ 23380	-22958
+ 23374	-22965
+ 23367	-22972
+ 23360	-22978
+ 23354	-22985
+ 23347	-22992
+ 23340	-22998
+ 23334	-23005
+ 23327	-23012
+ 23321	-23019
+ 23314	-23025
+ 23307	-23032
+ 23301	-23039
+ 23294	-23045
+ 23287	-23052
+ 23281	-23059
+ 23274	-23065
+ 23268	-23072
+ 23261	-23079
+ 23254	-23085
+ 23248	-23092
+ 23241	-23099
+ 23234	-23106
+ 23228	-23112
+ 23221	-23119
+ 23214	-23126
+ 23208	-23132
+ 23201	-23139
+ 23194	-23146
+ 23188	-23152
+ 23181	-23159
+ 23175	-23166
+ 23168	-23172
+ 23161	-23179
+ 23155	-23186
+ 23148	-23192
+ 23141	-23199
+ 23134	-23206
+ 23128	-23212
+ 23121	-23219
+ 23114	-23226
+ 23108	-23232
+ 23101	-23239
+ 23094	-23245
+ 23088	-23252
+ 23081	-23259
+ 23074	-23265
+ 23068	-23272
+ 23061	-23279
+ 23054	-23285
+ 23048	-23292
+ 23041	-23299
+ 23034	-23305
+ 23027	-23312
+ 23021	-23318
+ 23014	-23325
+ 23007	-23332
+ 23001	-23338
+ 22994	-23345
+ 22987	-23351
+ 22980	-23358
+ 22974	-23365
+ 22967	-23371
+ 22960	-23378
+ 22954	-23385
+ 22947	-23391
+ 22940	-23398
+ 22933	-23404
+ 22927	-23411
+ 22920	-23418
+ 22913	-23424
+ 22906	-23431
+ 22900	-23437
+ 22893	-23444
+ 22886	-23450
+ 22879	-23457
+ 22873	-23464
+ 22866	-23470
+ 22859	-23477
+ 22852	-23483
+ 22846	-23490
+ 22839	-23496
+ 22832	-23503
+ 22825	-23510
+ 22819	-23516
+ 22812	-23523
+ 22805	-23529
+ 22798	-23536
+ 22792	-23542
+ 22785	-23549
+ 22778	-23556
+ 22771	-23562
+ 22765	-23569
+ 22758	-23575
+ 22751	-23582
+ 22744	-23588
+ 22737	-23595
+ 22731	-23601
+ 22724	-23608
+ 22717	-23614
+ 22710	-23621
+ 22703	-23627
+ 22697	-23634
+ 22690	-23641
+ 22683	-23647
+ 22676	-23654
+ 22669	-23660
+ 22663	-23667
+ 22656	-23673
+ 22649	-23680
+ 22642	-23686
+ 22635	-23693
+ 22629	-23699
+ 22622	-23706
+ 22615	-23712
+ 22608	-23719
+ 22601	-23725
+ 22594	-23732
+ 22588	-23738
+ 22581	-23745
+ 22574	-23751
+ 22567	-23758
+ 22560	-23764
+ 22553	-23771
+ 22547	-23777
+ 22540	-23784
+ 22533	-23790
+ 22526	-23797
+ 22519	-23803
+ 22512	-23810
+ 22506	-23816
+ 22499	-23822
+ 22492	-23829
+ 22485	-23835
+ 22478	-23842
+ 22471	-23848
+ 22464	-23855
+ 22458	-23861
+ 22451	-23868
+ 22444	-23874
+ 22437	-23881
+ 22430	-23887
+ 22423	-23894
+ 22416	-23900
+ 22410	-23906
+ 22403	-23913
+ 22396	-23919
+ 22389	-23926
+ 22382	-23932
+ 22375	-23939
+ 22368	-23945
+ 22361	-23951
+ 22354	-23958
+ 22348	-23964
+ 22341	-23971
+ 22334	-23977
+ 22327	-23984
+ 22320	-23990
+ 22313	-23996
+ 22306	-24003
+ 22299	-24009
+ 22292	-24016
+ 22285	-24022
+ 22279	-24029
+ 22272	-24035
+ 22265	-24041
+ 22258	-24048
+ 22251	-24054
+ 22244	-24061
+ 22237	-24067
+ 22230	-24073
+ 22223	-24080
+ 22216	-24086
+ 22209	-24092
+ 22202	-24099
+ 22195	-24105
+ 22189	-24112
+ 22182	-24118
+ 22175	-24124
+ 22168	-24131
+ 22161	-24137
+ 22154	-24144
+ 22147	-24150
+ 22140	-24156
+ 22133	-24163
+ 22126	-24169
+ 22119	-24175
+ 22112	-24182
+ 22105	-24188
+ 22098	-24194
+ 22091	-24201
+ 22084	-24207
+ 22077	-24213
+ 22070	-24220
+ 22063	-24226
+ 22056	-24233
+ 22049	-24239
+ 22043	-24245
+ 22036	-24252
+ 22029	-24258
+ 22022	-24264
+ 22015	-24271
+ 22008	-24277
+ 22001	-24283
+ 21994	-24290
+ 21987	-24296
+ 21980	-24302
+ 21973	-24309
+ 21966	-24315
+ 21959	-24321
+ 21952	-24327
+ 21945	-24334
+ 21938	-24340
+ 21931	-24346
+ 21924	-24353
+ 21917	-24359
+ 21910	-24365
+ 21903	-24372
+ 21896	-24378
+ 21889	-24384
+ 21882	-24391
+ 21875	-24397
+ 21868	-24403
+ 21861	-24409
+ 21854	-24416
+ 21847	-24422
+ 21840	-24428
+ 21833	-24435
+ 21825	-24441
+ 21818	-24447
+ 21811	-24453
+ 21804	-24460
+ 21797	-24466
+ 21790	-24472
+ 21783	-24478
+ 21776	-24485
+ 21769	-24491
+ 21762	-24497
+ 21755	-24503
+ 21748	-24510
+ 21741	-24516
+ 21734	-24522
+ 21727	-24528
+ 21720	-24535
+ 21713	-24541
+ 21706	-24547
+ 21699	-24553
+ 21692	-24560
+ 21685	-24566
+ 21677	-24572
+ 21670	-24578
+ 21663	-24585
+ 21656	-24591
+ 21649	-24597
+ 21642	-24603
+ 21635	-24610
+ 21628	-24616
+ 21621	-24622
+ 21614	-24628
+ 21607	-24634
+ 21600	-24641
+ 21593	-24647
+ 21585	-24653
+ 21578	-24659
+ 21571	-24665
+ 21564	-24672
+ 21557	-24678
+ 21550	-24684
+ 21543	-24690
+ 21536	-24696
+ 21529	-24703
+ 21522	-24709
+ 21514	-24715
+ 21507	-24721
+ 21500	-24727
+ 21493	-24734
+ 21486	-24740
+ 21479	-24746
+ 21472	-24752
+ 21465	-24758
+ 21458	-24764
+ 21450	-24771
+ 21443	-24777
+ 21436	-24783
+ 21429	-24789
+ 21422	-24795
+ 21415	-24801
+ 21408	-24808
+ 21400	-24814
+ 21393	-24820
+ 21386	-24826
+ 21379	-24832
+ 21372	-24838
+ 21365	-24845
+ 21358	-24851
+ 21350	-24857
+ 21343	-24863
+ 21336	-24869
+ 21329	-24875
+ 21322	-24881
+ 21315	-24887
+ 21308	-24894
+ 21300	-24900
+ 21293	-24906
+ 21286	-24912
+ 21279	-24918
+ 21272	-24924
+ 21265	-24930
+ 21257	-24936
+ 21250	-24943
+ 21243	-24949
+ 21236	-24955
+ 21229	-24961
+ 21222	-24967
+ 21214	-24973
+ 21207	-24979
+ 21200	-24985
+ 21193	-24991
+ 21186	-24997
+ 21178	-25004
+ 21171	-25010
+ 21164	-25016
+ 21157	-25022
+ 21150	-25028
+ 21142	-25034
+ 21135	-25040
+ 21128	-25046
+ 21121	-25052
+ 21114	-25058
+ 21106	-25064
+ 21099	-25070
+ 21092	-25077
+ 21085	-25083
+ 21078	-25089
+ 21070	-25095
+ 21063	-25101
+ 21056	-25107
+ 21049	-25113
+ 21041	-25119
+ 21034	-25125
+ 21027	-25131
+ 21020	-25137
+ 21013	-25143
+ 21005	-25149
+ 20998	-25155
+ 20991	-25161
+ 20984	-25167
+ 20976	-25173
+ 20969	-25179
+ 20962	-25185
+ 20955	-25191
+ 20947	-25197
+ 20940	-25203
+ 20933	-25209
+ 20926	-25215
+ 20918	-25222
+ 20911	-25228
+ 20904	-25234
+ 20897	-25240
+ 20889	-25246
+ 20882	-25252
+ 20875	-25258
+ 20868	-25264
+ 20860	-25270
+ 20853	-25276
+ 20846	-25282
+ 20838	-25288
+ 20831	-25294
+ 20824	-25300
+ 20817	-25306
+ 20809	-25312
+ 20802	-25317
+ 20795	-25323
+ 20788	-25329
+ 20780	-25335
+ 20773	-25341
+ 20766	-25347
+ 20758	-25353
+ 20751	-25359
+ 20744	-25365
+ 20736	-25371
+ 20729	-25377
+ 20722	-25383
+ 20715	-25389
+ 20707	-25395
+ 20700	-25401
+ 20693	-25407
+ 20685	-25413
+ 20678	-25419
+ 20671	-25425
+ 20663	-25431
+ 20656	-25437
+ 20649	-25443
+ 20641	-25449
+ 20634	-25455
+ 20627	-25460
+ 20620	-25466
+ 20612	-25472
+ 20605	-25478
+ 20598	-25484
+ 20590	-25490
+ 20583	-25496
+ 20576	-25502
+ 20568	-25508
+ 20561	-25514
+ 20554	-25520
+ 20546	-25526
+ 20539	-25532
+ 20532	-25537
+ 20524	-25543
+ 20517	-25549
+ 20509	-25555
+ 20502	-25561
+ 20495	-25567
+ 20487	-25573
+ 20480	-25579
+ 20473	-25585
+ 20465	-25590
+ 20458	-25596
+ 20451	-25602
+ 20443	-25608
+ 20436	-25614
+ 20429	-25620
+ 20421	-25626
+ 20414	-25632
+ 20406	-25638
+ 20399	-25643
+ 20392	-25649
+ 20384	-25655
+ 20377	-25661
+ 20370	-25667
+ 20362	-25673
+ 20355	-25679
+ 20347	-25684
+ 20340	-25690
+ 20333	-25696
+ 20325	-25702
+ 20318	-25708
+ 20310	-25714
+ 20303	-25719
+ 20296	-25725
+ 20288	-25731
+ 20281	-25737
+ 20273	-25743
+ 20266	-25749
+ 20259	-25754
+ 20251	-25760
+ 20244	-25766
+ 20236	-25772
+ 20229	-25778
+ 20222	-25784
+ 20214	-25789
+ 20207	-25795
+ 20199	-25801
+ 20192	-25807
+ 20184	-25813
+ 20177	-25818
+ 20170	-25824
+ 20162	-25830
+ 20155	-25836
+ 20147	-25842
+ 20140	-25847
+ 20132	-25853
+ 20125	-25859
+ 20118	-25865
+ 20110	-25871
+ 20103	-25876
+ 20095	-25882
+ 20088	-25888
+ 20080	-25894
+ 20073	-25899
+ 20065	-25905
+ 20058	-25911
+ 20051	-25917
+ 20043	-25923
+ 20036	-25928
+ 20028	-25934
+ 20021	-25940
+ 20013	-25946
+ 20006	-25951
+ 19998	-25957
+ 19991	-25963
+ 19983	-25969
+ 19976	-25974
+ 19968	-25980
+ 19961	-25986
+ 19953	-25992
+ 19946	-25997
+ 19939	-26003
+ 19931	-26009
+ 19924	-26014
+ 19916	-26020
+ 19909	-26026
+ 19901	-26032
+ 19894	-26037
+ 19886	-26043
+ 19879	-26049
+ 19871	-26055
+ 19864	-26060
+ 19856	-26066
+ 19849	-26072
+ 19841	-26077
+ 19834	-26083
+ 19826	-26089
+ 19819	-26095
+ 19811	-26100
+ 19804	-26106
+ 19796	-26112
+ 19789	-26117
+ 19781	-26123
+ 19774	-26129
+ 19766	-26134
+ 19759	-26140
+ 19751	-26146
+ 19744	-26151
+ 19736	-26157
+ 19728	-26163
+ 19721	-26168
+ 19713	-26174
+ 19706	-26180
+ 19698	-26185
+ 19691	-26191
+ 19683	-26197
+ 19676	-26202
+ 19668	-26208
+ 19661	-26214
+ 19653	-26219
+ 19646	-26225
+ 19638	-26231
+ 19631	-26236
+ 19623	-26242
+ 19615	-26248
+ 19608	-26253
+ 19600	-26259
+ 19593	-26265
+ 19585	-26270
+ 19578	-26276
+ 19570	-26281
+ 19563	-26287
+ 19555	-26293
+ 19547	-26298
+ 19540	-26304
+ 19532	-26310
+ 19525	-26315
+ 19517	-26321
+ 19510	-26326
+ 19502	-26332
+ 19494	-26338
+ 19487	-26343
+ 19479	-26349
+ 19472	-26354
+ 19464	-26360
+ 19457	-26366
+ 19449	-26371
+ 19441	-26377
+ 19434	-26382
+ 19426	-26388
+ 19419	-26394
+ 19411	-26399
+ 19403	-26405
+ 19396	-26410
+ 19388	-26416
+ 19381	-26421
+ 19373	-26427
+ 19365	-26433
+ 19358	-26438
+ 19350	-26444
+ 19343	-26449
+ 19335	-26455
+ 19327	-26460
+ 19320	-26466
+ 19312	-26472
+ 19305	-26477
+ 19297	-26483
+ 19289	-26488
+ 19282	-26494
+ 19274	-26499
+ 19266	-26505
+ 19259	-26510
+ 19251	-26516
+ 19244	-26521
+ 19236	-26527
+ 19228	-26532
+ 19221	-26538
+ 19213	-26544
+ 19205	-26549
+ 19198	-26555
+ 19190	-26560
+ 19183	-26566
+ 19175	-26571
+ 19167	-26577
+ 19160	-26582
+ 19152	-26588
+ 19144	-26593
+ 19137	-26599
+ 19129	-26604
+ 19121	-26610
+ 19114	-26615
+ 19106	-26621
+ 19098	-26626
+ 19091	-26632
+ 19083	-26637
+ 19075	-26643
+ 19068	-26648
+ 19060	-26654
+ 19052	-26659
+ 19045	-26665
+ 19037	-26670
+ 19029	-26676
+ 19022	-26681
+ 19014	-26686
+ 19006	-26692
+ 18999	-26697
+ 18991	-26703
+ 18983	-26708
+ 18976	-26714
+ 18968	-26719
+ 18960	-26725
+ 18953	-26730
+ 18945	-26736
+ 18937	-26741
+ 18930	-26747
+ 18922	-26752
+ 18914	-26757
+ 18906	-26763
+ 18899	-26768
+ 18891	-26774
+ 18883	-26779
+ 18876	-26785
+ 18868	-26790
+ 18860	-26795
+ 18853	-26801
+ 18845	-26806
+ 18837	-26812
+ 18829	-26817
+ 18822	-26823
+ 18814	-26828
+ 18806	-26833
+ 18799	-26839
+ 18791	-26844
+ 18783	-26850
+ 18775	-26855
+ 18768	-26860
+ 18760	-26866
+ 18752	-26871
+ 18744	-26877
+ 18737	-26882
+ 18729	-26887
+ 18721	-26893
+ 18714	-26898
+ 18706	-26903
+ 18698	-26909
+ 18690	-26914
+ 18683	-26920
+ 18675	-26925
+ 18667	-26930
+ 18659	-26936
+ 18652	-26941
+ 18644	-26946
+ 18636	-26952
+ 18628	-26957
+ 18621	-26962
+ 18613	-26968
+ 18605	-26973
+ 18597	-26979
+ 18590	-26984
+ 18582	-26989
+ 18574	-26995
+ 18566	-27000
+ 18558	-27005
+ 18551	-27011
+ 18543	-27016
+ 18535	-27021
+ 18527	-27027
+ 18520	-27032
+ 18512	-27037
+ 18504	-27043
+ 18496	-27048
+ 18489	-27053
+ 18481	-27059
+ 18473	-27064
+ 18465	-27069
+ 18457	-27074
+ 18450	-27080
+ 18442	-27085
+ 18434	-27090
+ 18426	-27096
+ 18418	-27101
+ 18411	-27106
+ 18403	-27112
+ 18395	-27117
+ 18387	-27122
+ 18379	-27127
+ 18372	-27133
+ 18364	-27138
+ 18356	-27143
+ 18348	-27149
+ 18340	-27154
+ 18333	-27159
+ 18325	-27164
+ 18317	-27170
+ 18309	-27175
+ 18301	-27180
+ 18294	-27185
+ 18286	-27191
+ 18278	-27196
+ 18270	-27201
+ 18262	-27206
+ 18254	-27212
+ 18247	-27217
+ 18239	-27222
+ 18231	-27227
+ 18223	-27233
+ 18215	-27238
+ 18207	-27243
+ 18200	-27248
+ 18192	-27254
+ 18184	-27259
+ 18176	-27264
+ 18168	-27269
+ 18160	-27275
+ 18153	-27280
+ 18145	-27285
+ 18137	-27290
+ 18129	-27295
+ 18121	-27301
+ 18113	-27306
+ 18105	-27311
+ 18098	-27316
+ 18090	-27321
+ 18082	-27327
+ 18074	-27332
+ 18066	-27337
+ 18058	-27342
+ 18050	-27347
+ 18043	-27353
+ 18035	-27358
+ 18027	-27363
+ 18019	-27368
+ 18011	-27373
+ 18003	-27379
+ 17995	-27384
+ 17987	-27389
+ 17980	-27394
+ 17972	-27399
+ 17964	-27404
+ 17956	-27410
+ 17948	-27415
+ 17940	-27420
+ 17932	-27425
+ 17924	-27430
+ 17916	-27435
+ 17909	-27441
+ 17901	-27446
+ 17893	-27451
+ 17885	-27456
+ 17877	-27461
+ 17869	-27466
+ 17861	-27471
+ 17853	-27477
+ 17845	-27482
+ 17838	-27487
+ 17830	-27492
+ 17822	-27497
+ 17814	-27502
+ 17806	-27507
+ 17798	-27512
+ 17790	-27518
+ 17782	-27523
+ 17774	-27528
+ 17766	-27533
+ 17758	-27538
+ 17750	-27543
+ 17743	-27548
+ 17735	-27553
+ 17727	-27558
+ 17719	-27564
+ 17711	-27569
+ 17703	-27574
+ 17695	-27579
+ 17687	-27584
+ 17679	-27589
+ 17671	-27594
+ 17663	-27599
+ 17655	-27604
+ 17647	-27609
+ 17639	-27614
+ 17631	-27619
+ 17624	-27624
+ 17616	-27630
+ 17608	-27635
+ 17600	-27640
+ 17592	-27645
+ 17584	-27650
+ 17576	-27655
+ 17568	-27660
+ 17560	-27665
+ 17552	-27670
+ 17544	-27675
+ 17536	-27680
+ 17528	-27685
+ 17520	-27690
+ 17512	-27695
+ 17504	-27700
+ 17496	-27705
+ 17488	-27710
+ 17480	-27715
+ 17472	-27720
+ 17464	-27725
+ 17456	-27730
+ 17448	-27735
+ 17440	-27740
+ 17432	-27745
+ 17424	-27750
+ 17416	-27756
+ 17408	-27761
+ 17400	-27766
+ 17393	-27771
+ 17385	-27776
+ 17377	-27781
+ 17369	-27786
+ 17361	-27791
+ 17353	-27796
+ 17345	-27800
+ 17337	-27805
+ 17329	-27810
+ 17321	-27815
+ 17313	-27820
+ 17305	-27825
+ 17297	-27830
+ 17289	-27835
+ 17281	-27840
+ 17273	-27845
+ 17265	-27850
+ 17257	-27855
+ 17249	-27860
+ 17240	-27865
+ 17232	-27870
+ 17224	-27875
+ 17216	-27880
+ 17208	-27885
+ 17200	-27890
+ 17192	-27895
+ 17184	-27900
+ 17176	-27905
+ 17168	-27910
+ 17160	-27915
+ 17152	-27920
+ 17144	-27924
+ 17136	-27929
+ 17128	-27934
+ 17120	-27939
+ 17112	-27944
+ 17104	-27949
+ 17096	-27954
+ 17088	-27959
+ 17080	-27964
+ 17072	-27969
+ 17064	-27974
+ 17056	-27979
+ 17048	-27983
+ 17040	-27988
+ 17032	-27993
+ 17024	-27998
+ 17016	-28003
+ 17007	-28008
+ 16999	-28013
+ 16991	-28018
+ 16983	-28023
+ 16975	-28028
+ 16967	-28032
+ 16959	-28037
+ 16951	-28042
+ 16943	-28047
+ 16935	-28052
+ 16927	-28057
+ 16919	-28062
+ 16911	-28067
+ 16903	-28071
+ 16895	-28076
+ 16886	-28081
+ 16878	-28086
+ 16870	-28091
+ 16862	-28096
+ 16854	-28100
+ 16846	-28105
+ 16838	-28110
+ 16830	-28115
+ 16822	-28120
+ 16814	-28125
+ 16806	-28130
+ 16798	-28134
+ 16789	-28139
+ 16781	-28144
+ 16773	-28149
+ 16765	-28154
+ 16757	-28158
+ 16749	-28163
+ 16741	-28168
+ 16733	-28173
+ 16725	-28178
+ 16717	-28183
+ 16708	-28187
+ 16700	-28192
+ 16692	-28197
+ 16684	-28202
+ 16676	-28207
+ 16668	-28211
+ 16660	-28216
+ 16652	-28221
+ 16644	-28226
+ 16635	-28231
+ 16627	-28235
+ 16619	-28240
+ 16611	-28245
+ 16603	-28250
+ 16595	-28254
+ 16587	-28259
+ 16579	-28264
+ 16570	-28269
+ 16562	-28273
+ 16554	-28278
+ 16546	-28283
+ 16538	-28288
+ 16530	-28293
+ 16522	-28297
+ 16514	-28302
+ 16505	-28307
+ 16497	-28312
+ 16489	-28316
+ 16481	-28321
+ 16473	-28326
+ 16465	-28330
+ 16456	-28335
+ 16448	-28340
+ 16440	-28345
+ 16432	-28349
+ 16424	-28354
+ 16416	-28359
+ 16408	-28364
+ 16399	-28368
+ 16391	-28373
+ 16382	-28378
+ 16374	-28382
+ 16366	-28387
+ 16358	-28392
+ 16349	-28397
+ 16341	-28401
+ 16333	-28406
+ 16325	-28411
+ 16317	-28415
+ 16309	-28420
+ 16300	-28425
+ 16292	-28429
+ 16284	-28434
+ 16276	-28439
+ 16268	-28443
+ 16260	-28448
+ 16251	-28453
+ 16243	-28457
+ 16235	-28462
+ 16227	-28467
+ 16219	-28471
+ 16210	-28476
+ 16202	-28481
+ 16194	-28485
+ 16186	-28490
+ 16178	-28495
+ 16169	-28499
+ 16161	-28504
+ 16153	-28509
+ 16145	-28513
+ 16137	-28518
+ 16128	-28523
+ 16120	-28527
+ 16112	-28532
+ 16104	-28537
+ 16096	-28541
+ 16087	-28546
+ 16079	-28550
+ 16071	-28555
+ 16063	-28560
+ 16055	-28564
+ 16046	-28569
+ 16038	-28574
+ 16030	-28578
+ 16022	-28583
+ 16013	-28587
+ 16005	-28592
+ 15997	-28597
+ 15989	-28601
+ 15981	-28606
+ 15972	-28610
+ 15964	-28615
+ 15956	-28620
+ 15948	-28624
+ 15939	-28629
+ 15931	-28633
+ 15923	-28638
+ 15915	-28642
+ 15906	-28647
+ 15898	-28652
+ 15890	-28656
+ 15882	-28661
+ 15873	-28665
+ 15865	-28670
+ 15857	-28674
+ 15849	-28679
+ 15840	-28684
+ 15832	-28688
+ 15824	-28693
+ 15816	-28697
+ 15807	-28702
+ 15799	-28706
+ 15791	-28711
+ 15783	-28715
+ 15774	-28720
+ 15766	-28724
+ 15758	-28729
+ 15750	-28734
+ 15741	-28738
+ 15733	-28743
+ 15725	-28747
+ 15717	-28752
+ 15708	-28756
+ 15700	-28761
+ 15692	-28765
+ 15684	-28770
+ 15675	-28774
+ 15667	-28779
+ 15659	-28783
+ 15650	-28788
+ 15642	-28792
+ 15634	-28797
+ 15626	-28801
+ 15617	-28806
+ 15609	-28810
+ 15601	-28815
+ 15592	-28819
+ 15584	-28824
+ 15576	-28828
+ 15568	-28833
+ 15559	-28837
+ 15551	-28842
+ 15543	-28846
+ 15534	-28851
+ 15526	-28855
+ 15518	-28859
+ 15509	-28864
+ 15501	-28868
+ 15493	-28873
+ 15485	-28877
+ 15476	-28882
+ 15468	-28886
+ 15460	-28891
+ 15451	-28895
+ 15443	-28900
+ 15435	-28904
+ 15426	-28908
+ 15418	-28913
+ 15410	-28917
+ 15401	-28922
+ 15393	-28926
+ 15385	-28931
+ 15376	-28935
+ 15368	-28939
+ 15360	-28944
+ 15352	-28948
+ 15343	-28953
+ 15335	-28957
+ 15327	-28961
+ 15318	-28966
+ 15310	-28970
+ 15302	-28975
+ 15293	-28979
+ 15285	-28983
+ 15277	-28988
+ 15268	-28992
+ 15260	-28997
+ 15252	-29001
+ 15243	-29005
+ 15235	-29010
+ 15226	-29014
+ 15218	-29019
+ 15210	-29023
+ 15201	-29027
+ 15193	-29032
+ 15185	-29036
+ 15176	-29040
+ 15168	-29045
+ 15160	-29049
+ 15151	-29054
+ 15143	-29058
+ 15135	-29062
+ 15126	-29067
+ 15118	-29071
+ 15110	-29075
+ 15101	-29080
+ 15093	-29084
+ 15084	-29088
+ 15076	-29093
+ 15068	-29097
+ 15059	-29101
+ 15051	-29106
+ 15043	-29110
+ 15034	-29114
+ 15026	-29119
+ 15017	-29123
+ 15009	-29127
+ 15001	-29132
+ 14992	-29136
+ 14984	-29140
+ 14976	-29144
+ 14967	-29149
+ 14959	-29153
+ 14950	-29157
+ 14942	-29162
+ 14934	-29166
+ 14925	-29170
+ 14917	-29175
+ 14908	-29179
+ 14900	-29183
+ 14892	-29187
+ 14883	-29192
+ 14875	-29196
+ 14866	-29200
+ 14858	-29205
+ 14850	-29209
+ 14841	-29213
+ 14833	-29217
+ 14824	-29222
+ 14816	-29226
+ 14808	-29230
+ 14799	-29234
+ 14791	-29239
+ 14782	-29243
+ 14774	-29247
+ 14766	-29251
+ 14757	-29256
+ 14749	-29260
+ 14740	-29264
+ 14732	-29268
+ 14724	-29273
+ 14715	-29277
+ 14707	-29281
+ 14698	-29285
+ 14690	-29290
+ 14681	-29294
+ 14673	-29298
+ 14665	-29302
+ 14656	-29306
+ 14648	-29311
+ 14639	-29315
+ 14631	-29319
+ 14622	-29323
+ 14614	-29327
+ 14606	-29332
+ 14597	-29336
+ 14589	-29340
+ 14580	-29344
+ 14572	-29348
+ 14563	-29353
+ 14555	-29357
+ 14546	-29361
+ 14538	-29365
+ 14530	-29369
+ 14521	-29374
+ 14513	-29378
+ 14504	-29382
+ 14496	-29386
+ 14487	-29390
+ 14479	-29394
+ 14470	-29399
+ 14462	-29403
+ 14454	-29407
+ 14445	-29411
+ 14437	-29415
+ 14428	-29419
+ 14420	-29424
+ 14411	-29428
+ 14403	-29432
+ 14394	-29436
+ 14386	-29440
+ 14377	-29444
+ 14369	-29448
+ 14360	-29452
+ 14352	-29457
+ 14343	-29461
+ 14335	-29465
+ 14327	-29469
+ 14318	-29473
+ 14310	-29477
+ 14301	-29481
+ 14293	-29485
+ 14284	-29490
+ 14276	-29494
+ 14267	-29498
+ 14259	-29502
+ 14250	-29506
+ 14242	-29510
+ 14233	-29514
+ 14225	-29518
+ 14216	-29522
+ 14208	-29526
+ 14199	-29531
+ 14191	-29535
+ 14182	-29539
+ 14174	-29543
+ 14165	-29547
+ 14157	-29551
+ 14148	-29555
+ 14140	-29559
+ 14131	-29563
+ 14123	-29567
+ 14114	-29571
+ 14106	-29575
+ 14097	-29579
+ 14089	-29583
+ 14080	-29587
+ 14072	-29591
+ 14063	-29596
+ 14055	-29600
+ 14046	-29604
+ 14038	-29608
+ 14029	-29612
+ 14021	-29616
+ 14012	-29620
+ 14004	-29624
+ 13995	-29628
+ 13987	-29632
+ 13978	-29636
+ 13970	-29640
+ 13961	-29644
+ 13952	-29648
+ 13944	-29652
+ 13935	-29656
+ 13927	-29660
+ 13918	-29664
+ 13910	-29668
+ 13901	-29672
+ 13893	-29676
+ 13884	-29680
+ 13876	-29684
+ 13867	-29688
+ 13859	-29692
+ 13850	-29696
+ 13841	-29700
+ 13833	-29704
+ 13824	-29708
+ 13816	-29712
+ 13807	-29716
+ 13799	-29720
+ 13790	-29724
+ 13782	-29728
+ 13773	-29732
+ 13765	-29736
+ 13756	-29740
+ 13747	-29744
+ 13739	-29747
+ 13730	-29751
+ 13722	-29755
+ 13713	-29759
+ 13705	-29763
+ 13696	-29767
+ 13688	-29771
+ 13679	-29775
+ 13670	-29779
+ 13662	-29783
+ 13653	-29787
+ 13645	-29791
+ 13636	-29795
+ 13628	-29799
+ 13619	-29803
+ 13610	-29806
+ 13602	-29810
+ 13593	-29814
+ 13585	-29818
+ 13576	-29822
+ 13568	-29826
+ 13559	-29830
+ 13550	-29834
+ 13542	-29838
+ 13533	-29842
+ 13525	-29845
+ 13516	-29849
+ 13507	-29853
+ 13499	-29857
+ 13490	-29861
+ 13482	-29865
+ 13473	-29869
+ 13465	-29873
+ 13456	-29877
+ 13447	-29880
+ 13439	-29884
+ 13430	-29888
+ 13422	-29892
+ 13413	-29896
+ 13404	-29900
+ 13396	-29904
+ 13387	-29907
+ 13379	-29911
+ 13370	-29915
+ 13361	-29919
+ 13353	-29923
+ 13344	-29927
+ 13336	-29930
+ 13327	-29934
+ 13318	-29938
+ 13310	-29942
+ 13301	-29946
+ 13292	-29950
+ 13284	-29953
+ 13275	-29957
+ 13267	-29961
+ 13258	-29965
+ 13249	-29969
+ 13241	-29973
+ 13232	-29976
+ 13224	-29980
+ 13215	-29984
+ 13206	-29988
+ 13198	-29992
+ 13189	-29995
+ 13180	-29999
+ 13172	-30003
+ 13163	-30007
+ 13155	-30010
+ 13146	-30014
+ 13137	-30018
+ 13129	-30022
+ 13120	-30026
+ 13111	-30029
+ 13103	-30033
+ 13094	-30037
+ 13085	-30041
+ 13077	-30044
+ 13068	-30048
+ 13060	-30052
+ 13051	-30056
+ 13042	-30059
+ 13034	-30063
+ 13025	-30067
+ 13016	-30071
+ 13008	-30074
+ 12999	-30078
+ 12990	-30082
+ 12982	-30086
+ 12973	-30089
+ 12964	-30093
+ 12956	-30097
+ 12947	-30101
+ 12938	-30104
+ 12930	-30108
+ 12921	-30112
+ 12912	-30115
+ 12904	-30119
+ 12895	-30123
+ 12886	-30127
+ 12878	-30130
+ 12869	-30134
+ 12860	-30138
+ 12852	-30141
+ 12843	-30145
+ 12834	-30149
+ 12826	-30152
+ 12817	-30156
+ 12808	-30160
+ 12800	-30164
+ 12791	-30167
+ 12782	-30171
+ 12774	-30175
+ 12765	-30178
+ 12756	-30182
+ 12748	-30186
+ 12739	-30189
+ 12730	-30193
+ 12722	-30197
+ 12713	-30200
+ 12704	-30204
+ 12696	-30208
+ 12687	-30211
+ 12678	-30215
+ 12669	-30218
+ 12661	-30222
+ 12652	-30226
+ 12643	-30229
+ 12635	-30233
+ 12626	-30237
+ 12617	-30240
+ 12609	-30244
+ 12600	-30248
+ 12591	-30251
+ 12583	-30255
+ 12574	-30258
+ 12565	-30262
+ 12556	-30266
+ 12548	-30269
+ 12539	-30273
+ 12530	-30276
+ 12522	-30280
+ 12513	-30284
+ 12504	-30287
+ 12495	-30291
+ 12487	-30294
+ 12478	-30298
+ 12469	-30302
+ 12461	-30305
+ 12452	-30309
+ 12443	-30312
+ 12434	-30316
+ 12426	-30320
+ 12417	-30323
+ 12408	-30327
+ 12400	-30330
+ 12391	-30334
+ 12382	-30337
+ 12373	-30341
+ 12365	-30344
+ 12356	-30348
+ 12347	-30352
+ 12338	-30355
+ 12330	-30359
+ 12321	-30362
+ 12312	-30366
+ 12304	-30369
+ 12295	-30373
+ 12286	-30376
+ 12277	-30380
+ 12269	-30383
+ 12260	-30387
+ 12251	-30390
+ 12242	-30394
+ 12234	-30398
+ 12225	-30401
+ 12216	-30405
+ 12207	-30408
+ 12199	-30412
+ 12190	-30415
+ 12181	-30419
+ 12172	-30422
+ 12164	-30426
+ 12155	-30429
+ 12146	-30433
+ 12137	-30436
+ 12129	-30440
+ 12120	-30443
+ 12111	-30447
+ 12102	-30450
+ 12094	-30454
+ 12085	-30457
+ 12076	-30460
+ 12067	-30464
+ 12059	-30467
+ 12050	-30471
+ 12041	-30474
+ 12032	-30478
+ 12024	-30481
+ 12015	-30485
+ 12006	-30488
+ 11997	-30492
+ 11988	-30495
+ 11980	-30499
+ 11971	-30502
+ 11962	-30505
+ 11953	-30509
+ 11945	-30512
+ 11936	-30516
+ 11927	-30519
+ 11918	-30523
+ 11909	-30526
+ 11901	-30529
+ 11892	-30533
+ 11883	-30536
+ 11874	-30540
+ 11866	-30543
+ 11857	-30547
+ 11848	-30550
+ 11839	-30553
+ 11830	-30557
+ 11822	-30560
+ 11813	-30564
+ 11804	-30567
+ 11795	-30570
+ 11786	-30574
+ 11778	-30577
+ 11769	-30580
+ 11760	-30584
+ 11751	-30587
+ 11742	-30591
+ 11734	-30594
+ 11725	-30597
+ 11716	-30601
+ 11707	-30604
+ 11698	-30607
+ 11690	-30611
+ 11681	-30614
+ 11672	-30618
+ 11663	-30621
+ 11654	-30624
+ 11646	-30628
+ 11637	-30631
+ 11628	-30634
+ 11619	-30638
+ 11610	-30641
+ 11602	-30644
+ 11593	-30648
+ 11584	-30651
+ 11575	-30654
+ 11566	-30658
+ 11558	-30661
+ 11549	-30664
+ 11540	-30668
+ 11531	-30671
+ 11522	-30674
+ 11513	-30678
+ 11505	-30681
+ 11496	-30684
+ 11487	-30688
+ 11478	-30691
+ 11469	-30694
+ 11460	-30697
+ 11452	-30701
+ 11443	-30704
+ 11434	-30707
+ 11425	-30711
+ 11416	-30714
+ 11407	-30717
+ 11399	-30720
+ 11390	-30724
+ 11381	-30727
+ 11372	-30730
+ 11363	-30734
+ 11354	-30737
+ 11346	-30740
+ 11337	-30743
+ 11328	-30747
+ 11319	-30750
+ 11310	-30753
+ 11301	-30756
+ 11293	-30760
+ 11284	-30763
+ 11275	-30766
+ 11266	-30769
+ 11257	-30773
+ 11248	-30776
+ 11239	-30779
+ 11231	-30782
+ 11222	-30785
+ 11213	-30789
+ 11204	-30792
+ 11195	-30795
+ 11186	-30798
+ 11177	-30802
+ 11169	-30805
+ 11160	-30808
+ 11151	-30811
+ 11142	-30814
+ 11133	-30818
+ 11124	-30821
+ 11115	-30824
+ 11107	-30827
+ 11098	-30830
+ 11089	-30834
+ 11080	-30837
+ 11071	-30840
+ 11062	-30843
+ 11053	-30846
+ 11044	-30850
+ 11036	-30853
+ 11027	-30856
+ 11018	-30859
+ 11009	-30862
+ 11000	-30865
+ 10991	-30869
+ 10982	-30872
+ 10973	-30875
+ 10965	-30878
+ 10956	-30881
+ 10947	-30884
+ 10938	-30887
+ 10929	-30891
+ 10920	-30894
+ 10911	-30897
+ 10902	-30900
+ 10893	-30903
+ 10885	-30906
+ 10876	-30909
+ 10867	-30913
+ 10858	-30916
+ 10849	-30919
+ 10840	-30922
+ 10831	-30925
+ 10822	-30928
+ 10813	-30931
+ 10805	-30934
+ 10796	-30937
+ 10787	-30941
+ 10778	-30944
+ 10769	-30947
+ 10760	-30950
+ 10751	-30953
+ 10742	-30956
+ 10733	-30959
+ 10724	-30962
+ 10716	-30965
+ 10707	-30968
+ 10698	-30971
+ 10689	-30975
+ 10680	-30978
+ 10671	-30981
+ 10662	-30984
+ 10653	-30987
+ 10644	-30990
+ 10635	-30993
+ 10626	-30996
+ 10618	-30999
+ 10609	-31002
+ 10600	-31005
+ 10591	-31008
+ 10582	-31011
+ 10573	-31014
+ 10564	-31017
+ 10555	-31020
+ 10546	-31023
+ 10537	-31026
+ 10528	-31029
+ 10519	-31032
+ 10510	-31036
+ 10502	-31039
+ 10493	-31042
+ 10484	-31045
+ 10475	-31048
+ 10466	-31051
+ 10457	-31054
+ 10448	-31057
+ 10439	-31060
+ 10430	-31063
+ 10421	-31066
+ 10412	-31069
+ 10403	-31072
+ 10394	-31075
+ 10385	-31078
+ 10376	-31081
+ 10368	-31084
+ 10359	-31087
+ 10350	-31090
+ 10341	-31092
+ 10332	-31095
+ 10323	-31098
+ 10314	-31101
+ 10305	-31104
+ 10296	-31107
+ 10287	-31110
+ 10278	-31113
+ 10269	-31116
+ 10260	-31119
+ 10251	-31122
+ 10242	-31125
+ 10233	-31128
+ 10224	-31131
+ 10215	-31134
+ 10206	-31137
+ 10198	-31140
+ 10189	-31143
+ 10180	-31146
+ 10171	-31149
+ 10162	-31151
+ 10153	-31154
+ 10144	-31157
+ 10135	-31160
+ 10126	-31163
+ 10117	-31166
+ 10108	-31169
+ 10099	-31172
+ 10090	-31175
+ 10081	-31178
+ 10072	-31181
+ 10063	-31183
+ 10054	-31186
+ 10045	-31189
+ 10036	-31192
+ 10027	-31195
+ 10018	-31198
+ 10009	-31201
+ 10000	-31204
+  9991	-31207
+  9982	-31209
+  9973	-31212
+  9964	-31215
+  9955	-31218
+  9946	-31221
+  9937	-31224
+  9928	-31227
+  9919	-31229
+  9910	-31232
+  9902	-31235
+  9893	-31238
+  9884	-31241
+  9875	-31244
+  9866	-31246
+  9857	-31249
+  9848	-31252
+  9839	-31255
+  9830	-31258
+  9821	-31261
+  9812	-31263
+  9803	-31266
+  9794	-31269
+  9785	-31272
+  9776	-31275
+  9767	-31278
+  9758	-31280
+  9749	-31283
+  9740	-31286
+  9731	-31289
+  9722	-31292
+  9713	-31294
+  9704	-31297
+  9695	-31300
+  9686	-31303
+  9677	-31306
+  9668	-31308
+  9659	-31311
+  9650	-31314
+  9641	-31317
+  9632	-31319
+  9623	-31322
+  9614	-31325
+  9605	-31328
+  9596	-31330
+  9587	-31333
+  9578	-31336
+  9569	-31339
+  9560	-31341
+  9551	-31344
+  9542	-31347
+  9533	-31350
+  9523	-31352
+  9514	-31355
+  9505	-31358
+  9496	-31361
+  9487	-31363
+  9478	-31366
+  9469	-31369
+  9460	-31372
+  9451	-31374
+  9442	-31377
+  9433	-31380
+  9424	-31382
+  9415	-31385
+  9406	-31388
+  9397	-31391
+  9388	-31393
+  9379	-31396
+  9370	-31399
+  9361	-31401
+  9352	-31404
+  9343	-31407
+  9334	-31409
+  9325	-31412
+  9316	-31415
+  9307	-31417
+  9298	-31420
+  9289	-31423
+  9280	-31425
+  9271	-31428
+  9262	-31431
+  9253	-31433
+  9244	-31436
+  9235	-31439
+  9225	-31441
+  9216	-31444
+  9207	-31447
+  9198	-31449
+  9189	-31452
+  9180	-31455
+  9171	-31457
+  9162	-31460
+  9153	-31463
+  9144	-31465
+  9135	-31468
+  9126	-31470
+  9117	-31473
+  9108	-31476
+  9099	-31478
+  9090	-31481
+  9081	-31484
+  9072	-31486
+  9063	-31489
+  9054	-31491
+  9044	-31494
+  9035	-31497
+  9026	-31499
+  9017	-31502
+  9008	-31504
+  8999	-31507
+  8990	-31510
+  8981	-31512
+  8972	-31515
+  8963	-31517
+  8954	-31520
+  8945	-31522
+  8936	-31525
+  8927	-31528
+  8918	-31530
+  8909	-31533
+  8899	-31535
+  8890	-31538
+  8881	-31540
+  8872	-31543
+  8863	-31545
+  8854	-31548
+  8845	-31551
+  8836	-31553
+  8827	-31556
+  8818	-31558
+  8809	-31561
+  8800	-31563
+  8791	-31566
+  8781	-31568
+  8772	-31571
+  8763	-31573
+  8754	-31576
+  8745	-31578
+  8736	-31581
+  8727	-31583
+  8718	-31586
+  8709	-31588
+  8700	-31591
+  8691	-31593
+  8682	-31596
+  8672	-31598
+  8663	-31601
+  8654	-31603
+  8645	-31606
+  8636	-31608
+  8627	-31611
+  8618	-31613
+  8609	-31616
+  8600	-31618
+  8591	-31621
+  8582	-31623
+  8572	-31626
+  8563	-31628
+  8554	-31631
+  8545	-31633
+  8536	-31636
+  8527	-31638
+  8518	-31640
+  8509	-31643
+  8500	-31645
+  8491	-31648
+  8481	-31650
+  8472	-31653
+  8463	-31655
+  8454	-31658
+  8445	-31660
+  8436	-31662
+  8427	-31665
+  8418	-31667
+  8409	-31670
+  8400	-31672
+  8390	-31675
+  8381	-31677
+  8372	-31679
+  8363	-31682
+  8354	-31684
+  8345	-31687
+  8336	-31689
+  8327	-31691
+  8318	-31694
+  8308	-31696
+  8299	-31699
+  8290	-31701
+  8281	-31703
+  8272	-31706
+  8263	-31708
+  8254	-31710
+  8245	-31713
+  8235	-31715
+  8226	-31718
+  8217	-31720
+  8208	-31722
+  8199	-31725
+  8190	-31727
+  8181	-31729
+  8172	-31732
+  8162	-31734
+  8153	-31736
+  8144	-31739
+  8135	-31741
+  8126	-31743
+  8117	-31746
+  8108	-31748
+  8099	-31750
+  8089	-31753
+  8080	-31755
+  8071	-31757
+  8062	-31760
+  8053	-31762
+  8044	-31764
+  8035	-31767
+  8025	-31769
+  8016	-31771
+  8007	-31774
+  7998	-31776
+  7989	-31778
+  7980	-31780
+  7971	-31783
+  7961	-31785
+  7952	-31787
+  7943	-31790
+  7934	-31792
+  7925	-31794
+  7916	-31796
+  7907	-31799
+  7897	-31801
+  7888	-31803
+  7879	-31806
+  7870	-31808
+  7861	-31810
+  7852	-31812
+  7843	-31815
+  7833	-31817
+  7824	-31819
+  7815	-31821
+  7806	-31824
+  7797	-31826
+  7788	-31828
+  7779	-31830
+  7769	-31833
+  7760	-31835
+  7751	-31837
+  7742	-31839
+  7733	-31841
+  7724	-31844
+  7714	-31846
+  7705	-31848
+  7696	-31850
+  7687	-31853
+  7678	-31855
+  7669	-31857
+  7659	-31859
+  7650	-31861
+  7641	-31864
+  7632	-31866
+  7623	-31868
+  7614	-31870
+  7604	-31872
+  7595	-31875
+  7586	-31877
+  7577	-31879
+  7568	-31881
+  7559	-31883
+  7549	-31885
+  7540	-31888
+  7531	-31890
+  7522	-31892
+  7513	-31894
+  7504	-31896
+  7494	-31898
+  7485	-31901
+  7476	-31903
+  7467	-31905
+  7458	-31907
+  7449	-31909
+  7439	-31911
+  7430	-31913
+  7421	-31916
+  7412	-31918
+  7403	-31920
+  7393	-31922
+  7384	-31924
+  7375	-31926
+  7366	-31928
+  7357	-31930
+  7348	-31933
+  7338	-31935
+  7329	-31937
+  7320	-31939
+  7311	-31941
+  7302	-31943
+  7292	-31945
+  7283	-31947
+  7274	-31949
+  7265	-31951
+  7256	-31954
+  7246	-31956
+  7237	-31958
+  7228	-31960
+  7219	-31962
+  7210	-31964
+  7201	-31966
+  7191	-31968
+  7182	-31970
+  7173	-31972
+  7164	-31974
+  7155	-31976
+  7145	-31978
+  7136	-31980
+  7127	-31983
+  7118	-31985
+  7109	-31987
+  7099	-31989
+  7090	-31991
+  7081	-31993
+  7072	-31995
+  7063	-31997
+  7053	-31999
+  7044	-32001
+  7035	-32003
+  7026	-32005
+  7017	-32007
+  7007	-32009
+  6998	-32011
+  6989	-32013
+  6980	-32015
+  6970	-32017
+  6961	-32019
+  6952	-32021
+  6943	-32023
+  6934	-32025
+  6924	-32027
+  6915	-32029
+  6906	-32031
+  6897	-32033
+  6888	-32035
+  6878	-32037
+  6869	-32039
+  6860	-32041
+  6851	-32043
+  6842	-32045
+  6832	-32047
+  6823	-32049
+  6814	-32051
+  6805	-32053
+  6795	-32055
+  6786	-32057
+  6777	-32058
+  6768	-32060
+  6759	-32062
+  6749	-32064
+  6740	-32066
+  6731	-32068
+  6722	-32070
+  6712	-32072
+  6703	-32074
+  6694	-32076
+  6685	-32078
+  6676	-32080
+  6666	-32082
+  6657	-32084
+  6648	-32086
+  6639	-32087
+  6629	-32089
+  6620	-32091
+  6611	-32093
+  6602	-32095
+  6592	-32097
+  6583	-32099
+  6574	-32101
+  6565	-32103
+  6556	-32105
+  6546	-32106
+  6537	-32108
+  6528	-32110
+  6519	-32112
+  6509	-32114
+  6500	-32116
+  6491	-32118
+  6482	-32120
+  6472	-32121
+  6463	-32123
+  6454	-32125
+  6445	-32127
+  6435	-32129
+  6426	-32131
+  6417	-32132
+  6408	-32134
+  6398	-32136
+  6389	-32138
+  6380	-32140
+  6371	-32142
+  6362	-32144
+  6352	-32145
+  6343	-32147
+  6334	-32149
+  6325	-32151
+  6315	-32153
+  6306	-32154
+  6297	-32156
+  6288	-32158
+  6278	-32160
+  6269	-32162
+  6260	-32163
+  6251	-32165
+  6241	-32167
+  6232	-32169
+  6223	-32171
+  6214	-32172
+  6204	-32174
+  6195	-32176
+  6186	-32178
+  6177	-32180
+  6167	-32181
+  6158	-32183
+  6149	-32185
+  6139	-32187
+  6130	-32188
+  6121	-32190
+  6112	-32192
+  6102	-32194
+  6093	-32195
+  6084	-32197
+  6075	-32199
+  6065	-32201
+  6056	-32202
+  6047	-32204
+  6038	-32206
+  6028	-32208
+  6019	-32209
+  6010	-32211
+  6001	-32213
+  5991	-32215
+  5982	-32216
+  5973	-32218
+  5964	-32220
+  5954	-32221
+  5945	-32223
+  5936	-32225
+  5926	-32227
+  5917	-32228
+  5908	-32230
+  5899	-32232
+  5889	-32233
+  5880	-32235
+  5871	-32237
+  5862	-32238
+  5852	-32240
+  5843	-32242
+  5834	-32243
+  5824	-32245
+  5815	-32247
+  5806	-32249
+  5797	-32250
+  5787	-32252
+  5778	-32254
+  5769	-32255
+  5760	-32257
+  5750	-32258
+  5741	-32260
+  5732	-32262
+  5722	-32263
+  5713	-32265
+  5704	-32267
+  5695	-32268
+  5685	-32270
+  5676	-32272
+  5667	-32273
+  5657	-32275
+  5648	-32277
+  5639	-32278
+  5630	-32280
+  5620	-32281
+  5611	-32283
+  5602	-32285
+  5592	-32286
+  5583	-32288
+  5574	-32289
+  5565	-32291
+  5555	-32293
+  5546	-32294
+  5537	-32296
+  5527	-32297
+  5518	-32299
+  5509	-32301
+  5500	-32302
+  5490	-32304
+  5481	-32305
+  5472	-32307
+  5462	-32308
+  5453	-32310
+  5444	-32312
+  5435	-32313
+  5425	-32315
+  5416	-32316
+  5407	-32318
+  5397	-32319
+  5388	-32321
+  5379	-32323
+  5369	-32324
+  5360	-32326
+  5351	-32327
+  5342	-32329
+  5332	-32330
+  5323	-32332
+  5314	-32333
+  5304	-32335
+  5295	-32336
+  5286	-32338
+  5276	-32339
+  5267	-32341
+  5258	-32342
+  5249	-32344
+  5239	-32345
+  5230	-32347
+  5221	-32348
+  5211	-32350
+  5202	-32351
+  5193	-32353
+  5183	-32354
+  5174	-32356
+  5165	-32357
+  5156	-32359
+  5146	-32360
+  5137	-32362
+  5128	-32363
+  5118	-32365
+  5109	-32366
+  5100	-32368
+  5090	-32369
+  5081	-32371
+  5072	-32372
+  5062	-32374
+  5053	-32375
+  5044	-32376
+  5034	-32378
+  5025	-32379
+  5016	-32381
+  5007	-32382
+  4997	-32384
+  4988	-32385
+  4979	-32387
+  4969	-32388
+  4960	-32389
+  4951	-32391
+  4941	-32392
+  4932	-32394
+  4923	-32395
+  4913	-32397
+  4904	-32398
+  4895	-32399
+  4885	-32401
+  4876	-32402
+  4867	-32404
+  4857	-32405
+  4848	-32406
+  4839	-32408
+  4830	-32409
+  4820	-32411
+  4811	-32412
+  4802	-32413
+  4792	-32415
+  4783	-32416
+  4774	-32417
+  4764	-32419
+  4755	-32420
+  4746	-32422
+  4736	-32423
+  4727	-32424
+  4718	-32426
+  4708	-32427
+  4699	-32428
+  4690	-32430
+  4680	-32431
+  4671	-32432
+  4662	-32434
+  4652	-32435
+  4643	-32436
+  4634	-32438
+  4624	-32439
+  4615	-32440
+  4606	-32442
+  4596	-32443
+  4587	-32444
+  4578	-32446
+  4568	-32447
+  4559	-32448
+  4550	-32450
+  4540	-32451
+  4531	-32452
+  4522	-32454
+  4512	-32455
+  4503	-32456
+  4494	-32457
+  4484	-32459
+  4475	-32460
+  4466	-32461
+  4456	-32463
+  4447	-32464
+  4438	-32465
+  4428	-32466
+  4419	-32468
+  4410	-32469
+  4400	-32470
+  4391	-32471
+  4382	-32473
+  4372	-32474
+  4363	-32475
+  4354	-32476
+  4344	-32478
+  4335	-32479
+  4326	-32480
+  4316	-32481
+  4307	-32483
+  4298	-32484
+  4288	-32485
+  4279	-32486
+  4270	-32488
+  4260	-32489
+  4251	-32490
+  4242	-32491
+  4232	-32493
+  4223	-32494
+  4213	-32495
+  4204	-32496
+  4195	-32497
+  4185	-32499
+  4176	-32500
+  4167	-32501
+  4157	-32502
+  4148	-32503
+  4139	-32505
+  4129	-32506
+  4120	-32507
+  4111	-32508
+  4101	-32509
+  4092	-32510
+  4083	-32512
+  4073	-32513
+  4064	-32514
+  4055	-32515
+  4045	-32516
+  4036	-32517
+  4026	-32519
+  4017	-32520
+  4008	-32521
+  3998	-32522
+  3989	-32523
+  3980	-32524
+  3970	-32526
+  3961	-32527
+  3952	-32528
+  3942	-32529
+  3933	-32530
+  3924	-32531
+  3914	-32532
+  3905	-32533
+  3896	-32535
+  3886	-32536
+  3877	-32537
+  3867	-32538
+  3858	-32539
+  3849	-32540
+  3839	-32541
+  3830	-32542
+  3821	-32543
+  3811	-32545
+  3802	-32546
+  3793	-32547
+  3783	-32548
+  3774	-32549
+  3764	-32550
+  3755	-32551
+  3746	-32552
+  3736	-32553
+  3727	-32554
+  3718	-32555
+  3708	-32556
+  3699	-32558
+  3690	-32559
+  3680	-32560
+  3671	-32561
+  3661	-32562
+  3652	-32563
+  3643	-32564
+  3633	-32565
+  3624	-32566
+  3615	-32567
+  3605	-32568
+  3596	-32569
+  3587	-32570
+  3577	-32571
+  3568	-32572
+  3558	-32573
+  3549	-32574
+  3540	-32575
+  3530	-32576
+  3521	-32577
+  3512	-32578
+  3502	-32579
+  3493	-32580
+  3484	-32581
+  3474	-32582
+  3465	-32583
+  3455	-32584
+  3446	-32585
+  3437	-32586
+  3427	-32587
+  3418	-32588
+  3409	-32589
+  3399	-32590
+  3390	-32591
+  3380	-32592
+  3371	-32593
+  3362	-32594
+  3352	-32595
+  3343	-32596
+  3334	-32597
+  3324	-32598
+  3315	-32599
+  3305	-32600
+  3296	-32601
+  3287	-32602
+  3277	-32603
+  3268	-32604
+  3259	-32605
+  3249	-32606
+  3240	-32606
+  3230	-32607
+  3221	-32608
+  3212	-32609
+  3202	-32610
+  3193	-32611
+  3183	-32612
+  3174	-32613
+  3165	-32614
+  3155	-32615
+  3146	-32616
+  3137	-32617
+  3127	-32617
+  3118	-32618
+  3108	-32619
+  3099	-32620
+  3090	-32621
+  3080	-32622
+  3071	-32623
+  3062	-32624
+  3052	-32625
+  3043	-32625
+  3033	-32626
+  3024	-32627
+  3015	-32628
+  3005	-32629
+  2996	-32630
+  2986	-32631
+  2977	-32631
+  2968	-32632
+  2958	-32633
+  2949	-32634
+  2940	-32635
+  2930	-32636
+  2921	-32637
+  2911	-32637
+  2902	-32638
+  2893	-32639
+  2883	-32640
+  2874	-32641
+  2864	-32642
+  2855	-32642
+  2846	-32643
+  2836	-32644
+  2827	-32645
+  2817	-32646
+  2808	-32646
+  2799	-32647
+  2789	-32648
+  2780	-32649
+  2771	-32650
+  2761	-32650
+  2752	-32651
+  2742	-32652
+  2733	-32653
+  2724	-32654
+  2714	-32654
+  2705	-32655
+  2695	-32656
+  2686	-32657
+  2677	-32657
+  2667	-32658
+  2658	-32659
+  2648	-32660
+  2639	-32661
+  2630	-32661
+  2620	-32662
+  2611	-32663
+  2601	-32664
+  2592	-32664
+  2583	-32665
+  2573	-32666
+  2564	-32667
+  2555	-32667
+  2545	-32668
+  2536	-32669
+  2526	-32669
+  2517	-32670
+  2508	-32671
+  2498	-32672
+  2489	-32672
+  2479	-32673
+  2470	-32674
+  2461	-32674
+  2451	-32675
+  2442	-32676
+  2432	-32677
+  2423	-32677
+  2414	-32678
+  2404	-32679
+  2395	-32679
+  2385	-32680
+  2376	-32681
+  2367	-32681
+  2357	-32682
+  2348	-32683
+  2338	-32683
+  2329	-32684
+  2320	-32685
+  2310	-32685
+  2301	-32686
+  2291	-32687
+  2282	-32687
+  2273	-32688
+  2263	-32689
+  2254	-32689
+  2244	-32690
+  2235	-32691
+  2226	-32691
+  2216	-32692
+  2207	-32693
+  2197	-32693
+  2188	-32694
+  2179	-32694
+  2169	-32695
+  2160	-32696
+  2150	-32696
+  2141	-32697
+  2132	-32698
+  2122	-32698
+  2113	-32699
+  2103	-32699
+  2094	-32700
+  2084	-32701
+  2075	-32701
+  2066	-32702
+  2056	-32702
+  2047	-32703
+  2037	-32704
+  2028	-32704
+  2019	-32705
+  2009	-32705
+  2000	-32706
+  1990	-32706
+  1981	-32707
+  1972	-32708
+  1962	-32708
+  1953	-32709
+  1943	-32709
+  1934	-32710
+  1925	-32710
+  1915	-32711
+  1906	-32712
+  1896	-32712
+  1887	-32713
+  1878	-32713
+  1868	-32714
+  1859	-32714
+  1849	-32715
+  1840	-32715
+  1830	-32716
+  1821	-32716
+  1812	-32717
+  1802	-32717
+  1793	-32718
+  1783	-32718
+  1774	-32719
+  1765	-32719
+  1755	-32720
+  1746	-32720
+  1736	-32721
+  1727	-32721
+  1718	-32722
+  1708	-32722
+  1699	-32723
+  1689	-32723
+  1680	-32724
+  1671	-32724
+  1661	-32725
+  1652	-32725
+  1642	-32726
+  1633	-32726
+  1623	-32727
+  1614	-32727
+  1605	-32728
+  1595	-32728
+  1586	-32729
+  1576	-32729
+  1567	-32730
+  1558	-32730
+  1548	-32730
+  1539	-32731
+  1529	-32731
+  1520	-32732
+  1510	-32732
+  1501	-32733
+  1492	-32733
+  1482	-32733
+  1473	-32734
+  1463	-32734
+  1454	-32735
+  1445	-32735
+  1435	-32736
+  1426	-32736
+  1416	-32736
+  1407	-32737
+  1397	-32737
+  1388	-32738
+  1379	-32738
+  1369	-32738
+  1360	-32739
+  1350	-32739
+  1341	-32740
+  1332	-32740
+  1322	-32740
+  1313	-32741
+  1303	-32741
+  1294	-32741
+  1285	-32742
+  1275	-32742
+  1266	-32743
+  1256	-32743
+  1247	-32743
+  1237	-32744
+  1228	-32744
+  1219	-32744
+  1209	-32745
+  1200	-32745
+  1190	-32745
+  1181	-32746
+  1171	-32746
+  1162	-32746
+  1153	-32747
+  1143	-32747
+  1134	-32747
+  1124	-32748
+  1115	-32748
+  1106	-32748
+  1096	-32749
+  1087	-32749
+  1077	-32749
+  1068	-32750
+  1058	-32750
+  1049	-32750
+  1040	-32751
+  1030	-32751
+  1021	-32751
+  1011	-32751
+  1002	-32752
+   993	-32752
+   983	-32752
+   974	-32753
+   964	-32753
+   955	-32753
+   945	-32753
+   936	-32754
+   927	-32754
+   917	-32754
+   908	-32754
+   898	-32755
+   889	-32755
+   879	-32755
+   870	-32755
+   861	-32756
+   851	-32756
+   842	-32756
+   832	-32756
+   823	-32757
+   814	-32757
+   804	-32757
+   795	-32757
+   785	-32758
+   776	-32758
+   766	-32758
+   757	-32758
+   748	-32758
+   738	-32759
+   729	-32759
+   719	-32759
+   710	-32759
+   700	-32760
+   691	-32760
+   682	-32760
+   672	-32760
+   663	-32760
+   653	-32760
+   644	-32761
+   635	-32761
+   625	-32761
+   616	-32761
+   606	-32761
+   597	-32762
+   587	-32762
+   578	-32762
+   569	-32762
+   559	-32762
+   550	-32762
+   540	-32763
+   531	-32763
+   521	-32763
+   512	-32763
+   503	-32763
+   493	-32763
+   484	-32763
+   474	-32764
+   465	-32764
+   455	-32764
+   446	-32764
+   437	-32764
+   427	-32764
+   418	-32764
+   408	-32764
+   399	-32765
+   390	-32765
+   380	-32765
+   371	-32765
+   361	-32765
+   352	-32765
+   342	-32765
+   333	-32765
+   324	-32765
+   314	-32765
+   305	-32766
+   295	-32766
+   286	-32766
+   276	-32766
+   267	-32766
+   258	-32766
+   248	-32766
+   239	-32766
+   229	-32766
+   220	-32766
+   210	-32766
+   201	-32766
+   192	-32766
+   182	-32766
+   173	-32767
+   163	-32767
+   154	-32767
+   145	-32767
+   135	-32767
+   126	-32767
+   116	-32767
+   107	-32767
+    97	-32767
+    88	-32767
+    79	-32767
+    69	-32767
+    60	-32767
+    50	-32767
+    41	-32767
+    31	-32767
+    22	-32767
+    13	-32767
+     3	-32767
+    -6	-32767
+   -16	-32767
+   -25	-32767
+   -35	-32767
+   -44	-32767
+   -53	-32767
+   -63	-32767
+   -72	-32767
+   -82	-32767
+   -91	-32767
+  -101	-32767
+  -110	-32767
+  -119	-32767
+  -129	-32767
+  -138	-32767
+  -148	-32767
+  -157	-32767
+  -166	-32767
+  -176	-32767
+  -185	-32766
+  -195	-32766
+  -204	-32766
+  -214	-32766
+  -223	-32766
+  -232	-32766
+  -242	-32766
+  -251	-32766
+  -261	-32766
+  -270	-32766
+  -280	-32766
+  -289	-32766
+  -298	-32766
+  -308	-32766
+  -317	-32765
+  -327	-32765
+  -336	-32765
+  -346	-32765
+  -355	-32765
+  -364	-32765
+  -374	-32765
+  -383	-32765
+  -393	-32765
+  -402	-32765
+  -412	-32764
+  -421	-32764
+  -430	-32764
+  -440	-32764
+  -449	-32764
+  -459	-32764
+  -468	-32764
+  -477	-32764
+  -487	-32763
+  -496	-32763
+  -506	-32763
+  -515	-32763
+  -525	-32763
+  -534	-32763
+  -543	-32762
+  -553	-32762
+  -562	-32762
+  -572	-32762
+  -581	-32762
+  -591	-32762
+  -600	-32762
+  -609	-32761
+  -619	-32761
+  -628	-32761
+  -638	-32761
+  -647	-32761
+  -657	-32760
+  -666	-32760
+  -675	-32760
+  -685	-32760
+  -694	-32760
+  -704	-32759
+  -713	-32759
+  -722	-32759
+  -732	-32759
+  -741	-32759
+  -751	-32758
+  -760	-32758
+  -770	-32758
+  -779	-32758
+  -788	-32758
+  -798	-32757
+  -807	-32757
+  -817	-32757
+  -826	-32757
+  -836	-32756
+  -845	-32756
+  -854	-32756
+  -864	-32756
+  -873	-32755
+  -883	-32755
+  -892	-32755
+  -901	-32755
+  -911	-32754
+  -920	-32754
+  -930	-32754
+  -939	-32754
+  -949	-32753
+  -958	-32753
+  -967	-32753
+  -977	-32752
+  -986	-32752
+  -996	-32752
+ -1005	-32752
+ -1015	-32751
+ -1024	-32751
+ -1033	-32751
+ -1043	-32750
+ -1052	-32750
+ -1062	-32750
+ -1071	-32749
+ -1080	-32749
+ -1090	-32749
+ -1099	-32749
+ -1109	-32748
+ -1118	-32748
+ -1128	-32748
+ -1137	-32747
+ -1146	-32747
+ -1156	-32747
+ -1165	-32746
+ -1175	-32746
+ -1184	-32746
+ -1193	-32745
+ -1203	-32745
+ -1212	-32745
+ -1222	-32744
+ -1231	-32744
+ -1241	-32744
+ -1250	-32743
+ -1259	-32743
+ -1269	-32742
+ -1278	-32742
+ -1288	-32742
+ -1297	-32741
+ -1306	-32741
+ -1316	-32741
+ -1325	-32740
+ -1335	-32740
+ -1344	-32739
+ -1354	-32739
+ -1363	-32739
+ -1372	-32738
+ -1382	-32738
+ -1391	-32737
+ -1401	-32737
+ -1410	-32737
+ -1419	-32736
+ -1429	-32736
+ -1438	-32735
+ -1448	-32735
+ -1457	-32735
+ -1467	-32734
+ -1476	-32734
+ -1485	-32733
+ -1495	-32733
+ -1504	-32732
+ -1514	-32732
+ -1523	-32732
+ -1532	-32731
+ -1542	-32731
+ -1551	-32730
+ -1561	-32730
+ -1570	-32729
+ -1580	-32729
+ -1589	-32728
+ -1598	-32728
+ -1608	-32728
+ -1617	-32727
+ -1627	-32727
+ -1636	-32726
+ -1645	-32726
+ -1655	-32725
+ -1664	-32725
+ -1674	-32724
+ -1683	-32724
+ -1692	-32723
+ -1702	-32723
+ -1711	-32722
+ -1721	-32722
+ -1730	-32721
+ -1740	-32721
+ -1749	-32720
+ -1758	-32720
+ -1768	-32719
+ -1777	-32719
+ -1787	-32718
+ -1796	-32718
+ -1805	-32717
+ -1815	-32717
+ -1824	-32716
+ -1834	-32716
+ -1843	-32715
+ -1852	-32715
+ -1862	-32714
+ -1871	-32714
+ -1881	-32713
+ -1890	-32712
+ -1899	-32712
+ -1909	-32711
+ -1918	-32711
+ -1928	-32710
+ -1937	-32710
+ -1947	-32709
+ -1956	-32709
+ -1965	-32708
+ -1975	-32707
+ -1984	-32707
+ -1994	-32706
+ -2003	-32706
+ -2012	-32705
+ -2022	-32705
+ -2031	-32704
+ -2041	-32703
+ -2050	-32703
+ -2059	-32702
+ -2069	-32702
+ -2078	-32701
+ -2088	-32700
+ -2097	-32700
+ -2106	-32699
+ -2116	-32699
+ -2125	-32698
+ -2135	-32697
+ -2144	-32697
+ -2153	-32696
+ -2163	-32696
+ -2172	-32695
+ -2182	-32694
+ -2191	-32694
+ -2200	-32693
+ -2210	-32692
+ -2219	-32692
+ -2229	-32691
+ -2238	-32690
+ -2247	-32690
+ -2257	-32689
+ -2266	-32689
+ -2276	-32688
+ -2285	-32687
+ -2294	-32687
+ -2304	-32686
+ -2313	-32685
+ -2323	-32685
+ -2332	-32684
+ -2341	-32683
+ -2351	-32683
+ -2360	-32682
+ -2370	-32681
+ -2379	-32681
+ -2388	-32680
+ -2398	-32679
+ -2407	-32678
+ -2417	-32678
+ -2426	-32677
+ -2435	-32676
+ -2445	-32676
+ -2454	-32675
+ -2464	-32674
+ -2473	-32674
+ -2482	-32673
+ -2492	-32672
+ -2501	-32671
+ -2511	-32671
+ -2520	-32670
+ -2529	-32669
+ -2539	-32668
+ -2548	-32668
+ -2558	-32667
+ -2567	-32666
+ -2576	-32666
+ -2586	-32665
+ -2595	-32664
+ -2605	-32663
+ -2614	-32663
+ -2623	-32662
+ -2633	-32661
+ -2642	-32660
+ -2652	-32660
+ -2661	-32659
+ -2670	-32658
+ -2680	-32657
+ -2689	-32656
+ -2699	-32656
+ -2708	-32655
+ -2717	-32654
+ -2727	-32653
+ -2736	-32653
+ -2746	-32652
+ -2755	-32651
+ -2764	-32650
+ -2774	-32649
+ -2783	-32649
+ -2792	-32648
+ -2802	-32647
+ -2811	-32646
+ -2821	-32645
+ -2830	-32645
+ -2839	-32644
+ -2849	-32643
+ -2858	-32642
+ -2868	-32641
+ -2877	-32640
+ -2886	-32640
+ -2896	-32639
+ -2905	-32638
+ -2915	-32637
+ -2924	-32636
+ -2933	-32635
+ -2943	-32635
+ -2952	-32634
+ -2961	-32633
+ -2971	-32632
+ -2980	-32631
+ -2990	-32630
+ -2999	-32629
+ -3008	-32629
+ -3018	-32628
+ -3027	-32627
+ -3037	-32626
+ -3046	-32625
+ -3055	-32624
+ -3065	-32623
+ -3074	-32622
+ -3083	-32622
+ -3093	-32621
+ -3102	-32620
+ -3112	-32619
+ -3121	-32618
+ -3130	-32617
+ -3140	-32616
+ -3149	-32615
+ -3158	-32614
+ -3168	-32614
+ -3177	-32613
+ -3187	-32612
+ -3196	-32611
+ -3205	-32610
+ -3215	-32609
+ -3224	-32608
+ -3234	-32607
+ -3243	-32606
+ -3252	-32605
+ -3262	-32604
+ -3271	-32603
+ -3280	-32602
+ -3290	-32601
+ -3299	-32600
+ -3309	-32600
+ -3318	-32599
+ -3327	-32598
+ -3337	-32597
+ -3346	-32596
+ -3355	-32595
+ -3365	-32594
+ -3374	-32593
+ -3384	-32592
+ -3393	-32591
+ -3402	-32590
+ -3412	-32589
+ -3421	-32588
+ -3430	-32587
+ -3440	-32586
+ -3449	-32585
+ -3459	-32584
+ -3468	-32583
+ -3477	-32582
+ -3487	-32581
+ -3496	-32580
+ -3505	-32579
+ -3515	-32578
+ -3524	-32577
+ -3533	-32576
+ -3543	-32575
+ -3552	-32574
+ -3562	-32573
+ -3571	-32572
+ -3580	-32571
+ -3590	-32570
+ -3599	-32569
+ -3608	-32568
+ -3618	-32567
+ -3627	-32566
+ -3637	-32565
+ -3646	-32564
+ -3655	-32562
+ -3665	-32561
+ -3674	-32560
+ -3683	-32559
+ -3693	-32558
+ -3702	-32557
+ -3711	-32556
+ -3721	-32555
+ -3730	-32554
+ -3740	-32553
+ -3749	-32552
+ -3758	-32551
+ -3768	-32550
+ -3777	-32549
+ -3786	-32547
+ -3796	-32546
+ -3805	-32545
+ -3814	-32544
+ -3824	-32543
+ -3833	-32542
+ -3842	-32541
+ -3852	-32540
+ -3861	-32539
+ -3871	-32538
+ -3880	-32536
+ -3889	-32535
+ -3899	-32534
+ -3908	-32533
+ -3917	-32532
+ -3927	-32531
+ -3936	-32530
+ -3945	-32529
+ -3955	-32527
+ -3964	-32526
+ -3973	-32525
+ -3983	-32524
+ -3992	-32523
+ -4002	-32522
+ -4011	-32521
+ -4020	-32519
+ -4030	-32518
+ -4039	-32517
+ -4048	-32516
+ -4058	-32515
+ -4067	-32514
+ -4076	-32512
+ -4086	-32511
+ -4095	-32510
+ -4104	-32509
+ -4114	-32508
+ -4123	-32507
+ -4132	-32505
+ -4142	-32504
+ -4151	-32503
+ -4161	-32502
+ -4170	-32501
+ -4179	-32499
+ -4189	-32498
+ -4198	-32497
+ -4207	-32496
+ -4217	-32495
+ -4226	-32493
+ -4235	-32492
+ -4245	-32491
+ -4254	-32490
+ -4263	-32488
+ -4273	-32487
+ -4282	-32486
+ -4291	-32485
+ -4301	-32484
+ -4310	-32482
+ -4319	-32481
+ -4329	-32480
+ -4338	-32479
+ -4347	-32477
+ -4357	-32476
+ -4366	-32475
+ -4375	-32474
+ -4385	-32472
+ -4394	-32471
+ -4403	-32470
+ -4413	-32468
+ -4422	-32467
+ -4431	-32466
+ -4441	-32465
+ -4450	-32463
+ -4459	-32462
+ -4469	-32461
+ -4478	-32460
+ -4487	-32458
+ -4497	-32457
+ -4506	-32456
+ -4515	-32454
+ -4525	-32453
+ -4534	-32452
+ -4543	-32450
+ -4553	-32449
+ -4562	-32448
+ -4571	-32447
+ -4581	-32445
+ -4590	-32444
+ -4599	-32443
+ -4609	-32441
+ -4618	-32440
+ -4627	-32439
+ -4637	-32437
+ -4646	-32436
+ -4655	-32435
+ -4665	-32433
+ -4674	-32432
+ -4683	-32431
+ -4693	-32429
+ -4702	-32428
+ -4711	-32427
+ -4721	-32425
+ -4730	-32424
+ -4739	-32422
+ -4749	-32421
+ -4758	-32420
+ -4767	-32418
+ -4777	-32417
+ -4786	-32416
+ -4795	-32414
+ -4805	-32413
+ -4814	-32411
+ -4823	-32410
+ -4833	-32409
+ -4842	-32407
+ -4851	-32406
+ -4861	-32404
+ -4870	-32403
+ -4879	-32402
+ -4889	-32400
+ -4898	-32399
+ -4907	-32397
+ -4917	-32396
+ -4926	-32395
+ -4935	-32393
+ -4944	-32392
+ -4954	-32390
+ -4963	-32389
+ -4972	-32388
+ -4982	-32386
+ -4991	-32385
+ -5000	-32383
+ -5010	-32382
+ -5019	-32380
+ -5028	-32379
+ -5038	-32377
+ -5047	-32376
+ -5056	-32375
+ -5066	-32373
+ -5075	-32372
+ -5084	-32370
+ -5093	-32369
+ -5103	-32367
+ -5112	-32366
+ -5121	-32364
+ -5131	-32363
+ -5140	-32361
+ -5149	-32360
+ -5159	-32358
+ -5168	-32357
+ -5177	-32355
+ -5187	-32354
+ -5196	-32352
+ -5205	-32351
+ -5214	-32349
+ -5224	-32348
+ -5233	-32346
+ -5242	-32345
+ -5252	-32343
+ -5261	-32342
+ -5270	-32340
+ -5280	-32339
+ -5289	-32337
+ -5298	-32336
+ -5307	-32334
+ -5317	-32333
+ -5326	-32331
+ -5335	-32330
+ -5345	-32328
+ -5354	-32327
+ -5363	-32325
+ -5373	-32324
+ -5382	-32322
+ -5391	-32320
+ -5400	-32319
+ -5410	-32317
+ -5419	-32316
+ -5428	-32314
+ -5438	-32313
+ -5447	-32311
+ -5456	-32310
+ -5466	-32308
+ -5475	-32306
+ -5484	-32305
+ -5493	-32303
+ -5503	-32302
+ -5512	-32300
+ -5521	-32298
+ -5531	-32297
+ -5540	-32295
+ -5549	-32294
+ -5558	-32292
+ -5568	-32290
+ -5577	-32289
+ -5586	-32287
+ -5596	-32286
+ -5605	-32284
+ -5614	-32282
+ -5623	-32281
+ -5633	-32279
+ -5642	-32278
+ -5651	-32276
+ -5661	-32274
+ -5670	-32273
+ -5679	-32271
+ -5688	-32269
+ -5698	-32268
+ -5707	-32266
+ -5716	-32265
+ -5726	-32263
+ -5735	-32261
+ -5744	-32260
+ -5753	-32258
+ -5763	-32256
+ -5772	-32255
+ -5781	-32253
+ -5790	-32251
+ -5800	-32250
+ -5809	-32248
+ -5818	-32246
+ -5828	-32245
+ -5837	-32243
+ -5846	-32241
+ -5855	-32240
+ -5865	-32238
+ -5874	-32236
+ -5883	-32235
+ -5892	-32233
+ -5902	-32231
+ -5911	-32229
+ -5920	-32228
+ -5930	-32226
+ -5939	-32224
+ -5948	-32223
+ -5957	-32221
+ -5967	-32219
+ -5976	-32217
+ -5985	-32216
+ -5994	-32214
+ -6004	-32212
+ -6013	-32211
+ -6022	-32209
+ -6031	-32207
+ -6041	-32205
+ -6050	-32204
+ -6059	-32202
+ -6069	-32200
+ -6078	-32198
+ -6087	-32197
+ -6096	-32195
+ -6106	-32193
+ -6115	-32191
+ -6124	-32190
+ -6133	-32188
+ -6143	-32186
+ -6152	-32184
+ -6161	-32183
+ -6170	-32181
+ -6180	-32179
+ -6189	-32177
+ -6198	-32175
+ -6207	-32174
+ -6217	-32172
+ -6226	-32170
+ -6235	-32168
+ -6244	-32166
+ -6254	-32165
+ -6263	-32163
+ -6272	-32161
+ -6281	-32159
+ -6291	-32157
+ -6300	-32156
+ -6309	-32154
+ -6318	-32152
+ -6328	-32150
+ -6337	-32148
+ -6346	-32147
+ -6355	-32145
+ -6365	-32143
+ -6374	-32141
+ -6383	-32139
+ -6392	-32137
+ -6402	-32136
+ -6411	-32134
+ -6420	-32132
+ -6429	-32130
+ -6439	-32128
+ -6448	-32126
+ -6457	-32124
+ -6466	-32123
+ -6475	-32121
+ -6485	-32119
+ -6494	-32117
+ -6503	-32115
+ -6512	-32113
+ -6522	-32111
+ -6531	-32110
+ -6540	-32108
+ -6549	-32106
+ -6559	-32104
+ -6568	-32102
+ -6577	-32100
+ -6586	-32098
+ -6596	-32096
+ -6605	-32094
+ -6614	-32093
+ -6623	-32091
+ -6632	-32089
+ -6642	-32087
+ -6651	-32085
+ -6660	-32083
+ -6669	-32081
+ -6679	-32079
+ -6688	-32077
+ -6697	-32075
+ -6706	-32073
+ -6716	-32071
+ -6725	-32070
+ -6734	-32068
+ -6743	-32066
+ -6752	-32064
+ -6762	-32062
+ -6771	-32060
+ -6780	-32058
+ -6789	-32056
+ -6799	-32054
+ -6808	-32052
+ -6817	-32050
+ -6826	-32048
+ -6835	-32046
+ -6845	-32044
+ -6854	-32042
+ -6863	-32040
+ -6872	-32038
+ -6881	-32036
+ -6891	-32034
+ -6900	-32032
+ -6909	-32030
+ -6918	-32028
+ -6928	-32026
+ -6937	-32024
+ -6946	-32022
+ -6955	-32020
+ -6964	-32018
+ -6974	-32016
+ -6983	-32014
+ -6992	-32012
+ -7001	-32010
+ -7010	-32008
+ -7020	-32006
+ -7029	-32004
+ -7038	-32002
+ -7047	-32000
+ -7056	-31998
+ -7066	-31996
+ -7075	-31994
+ -7084	-31992
+ -7093	-31990
+ -7102	-31988
+ -7112	-31986
+ -7121	-31984
+ -7130	-31982
+ -7139	-31980
+ -7148	-31978
+ -7158	-31976
+ -7167	-31974
+ -7176	-31972
+ -7185	-31969
+ -7194	-31967
+ -7204	-31965
+ -7213	-31963
+ -7222	-31961
+ -7231	-31959
+ -7240	-31957
+ -7250	-31955
+ -7259	-31953
+ -7268	-31951
+ -7277	-31949
+ -7286	-31947
+ -7295	-31944
+ -7305	-31942
+ -7314	-31940
+ -7323	-31938
+ -7332	-31936
+ -7341	-31934
+ -7351	-31932
+ -7360	-31930
+ -7369	-31928
+ -7378	-31925
+ -7387	-31923
+ -7397	-31921
+ -7406	-31919
+ -7415	-31917
+ -7424	-31915
+ -7433	-31913
+ -7442	-31911
+ -7452	-31908
+ -7461	-31906
+ -7470	-31904
+ -7479	-31902
+ -7488	-31900
+ -7497	-31898
+ -7507	-31896
+ -7516	-31893
+ -7525	-31891
+ -7534	-31889
+ -7543	-31887
+ -7553	-31885
+ -7562	-31883
+ -7571	-31880
+ -7580	-31878
+ -7589	-31876
+ -7598	-31874
+ -7608	-31872
+ -7617	-31869
+ -7626	-31867
+ -7635	-31865
+ -7644	-31863
+ -7653	-31861
+ -7663	-31858
+ -7672	-31856
+ -7681	-31854
+ -7690	-31852
+ -7699	-31850
+ -7708	-31847
+ -7717	-31845
+ -7727	-31843
+ -7736	-31841
+ -7745	-31839
+ -7754	-31836
+ -7763	-31834
+ -7772	-31832
+ -7782	-31830
+ -7791	-31827
+ -7800	-31825
+ -7809	-31823
+ -7818	-31821
+ -7827	-31818
+ -7836	-31816
+ -7846	-31814
+ -7855	-31812
+ -7864	-31809
+ -7873	-31807
+ -7882	-31805
+ -7891	-31803
+ -7901	-31800
+ -7910	-31798
+ -7919	-31796
+ -7928	-31793
+ -7937	-31791
+ -7946	-31789
+ -7955	-31787
+ -7965	-31784
+ -7974	-31782
+ -7983	-31780
+ -7992	-31777
+ -8001	-31775
+ -8010	-31773
+ -8019	-31770
+ -8029	-31768
+ -8038	-31766
+ -8047	-31764
+ -8056	-31761
+ -8065	-31759
+ -8074	-31757
+ -8083	-31754
+ -8092	-31752
+ -8102	-31750
+ -8111	-31747
+ -8120	-31745
+ -8129	-31743
+ -8138	-31740
+ -8147	-31738
+ -8156	-31736
+ -8165	-31733
+ -8175	-31731
+ -8184	-31729
+ -8193	-31726
+ -8202	-31724
+ -8211	-31721
+ -8220	-31719
+ -8229	-31717
+ -8238	-31714
+ -8248	-31712
+ -8257	-31710
+ -8266	-31707
+ -8275	-31705
+ -8284	-31702
+ -8293	-31700
+ -8302	-31698
+ -8311	-31695
+ -8321	-31693
+ -8330	-31691
+ -8339	-31688
+ -8348	-31686
+ -8357	-31683
+ -8366	-31681
+ -8375	-31679
+ -8384	-31676
+ -8393	-31674
+ -8403	-31671
+ -8412	-31669
+ -8421	-31666
+ -8430	-31664
+ -8439	-31662
+ -8448	-31659
+ -8457	-31657
+ -8466	-31654
+ -8475	-31652
+ -8485	-31649
+ -8494	-31647
+ -8503	-31645
+ -8512	-31642
+ -8521	-31640
+ -8530	-31637
+ -8539	-31635
+ -8548	-31632
+ -8557	-31630
+ -8566	-31627
+ -8576	-31625
+ -8585	-31622
+ -8594	-31620
+ -8603	-31617
+ -8612	-31615
+ -8621	-31613
+ -8630	-31610
+ -8639	-31608
+ -8648	-31605
+ -8657	-31603
+ -8666	-31600
+ -8676	-31598
+ -8685	-31595
+ -8694	-31593
+ -8703	-31590
+ -8712	-31588
+ -8721	-31585
+ -8730	-31583
+ -8739	-31580
+ -8748	-31578
+ -8757	-31575
+ -8766	-31573
+ -8775	-31570
+ -8785	-31567
+ -8794	-31565
+ -8803	-31562
+ -8812	-31560
+ -8821	-31557
+ -8830	-31555
+ -8839	-31552
+ -8848	-31550
+ -8857	-31547
+ -8866	-31545
+ -8875	-31542
+ -8884	-31540
+ -8893	-31537
+ -8902	-31534
+ -8912	-31532
+ -8921	-31529
+ -8930	-31527
+ -8939	-31524
+ -8948	-31522
+ -8957	-31519
+ -8966	-31516
+ -8975	-31514
+ -8984	-31511
+ -8993	-31509
+ -9002	-31506
+ -9011	-31504
+ -9020	-31501
+ -9029	-31498
+ -9038	-31496
+ -9048	-31493
+ -9057	-31491
+ -9066	-31488
+ -9075	-31485
+ -9084	-31483
+ -9093	-31480
+ -9102	-31477
+ -9111	-31475
+ -9120	-31472
+ -9129	-31470
+ -9138	-31467
+ -9147	-31464
+ -9156	-31462
+ -9165	-31459
+ -9174	-31456
+ -9183	-31454
+ -9192	-31451
+ -9201	-31449
+ -9210	-31446
+ -9219	-31443
+ -9229	-31441
+ -9238	-31438
+ -9247	-31435
+ -9256	-31433
+ -9265	-31430
+ -9274	-31427
+ -9283	-31425
+ -9292	-31422
+ -9301	-31419
+ -9310	-31417
+ -9319	-31414
+ -9328	-31411
+ -9337	-31409
+ -9346	-31406
+ -9355	-31403
+ -9364	-31400
+ -9373	-31398
+ -9382	-31395
+ -9391	-31392
+ -9400	-31390
+ -9409	-31387
+ -9418	-31384
+ -9427	-31382
+ -9436	-31379
+ -9445	-31376
+ -9454	-31373
+ -9463	-31371
+ -9472	-31368
+ -9481	-31365
+ -9490	-31362
+ -9499	-31360
+ -9508	-31357
+ -9517	-31354
+ -9526	-31352
+ -9536	-31349
+ -9545	-31346
+ -9554	-31343
+ -9563	-31341
+ -9572	-31338
+ -9581	-31335
+ -9590	-31332
+ -9599	-31330
+ -9608	-31327
+ -9617	-31324
+ -9626	-31321
+ -9635	-31318
+ -9644	-31316
+ -9653	-31313
+ -9662	-31310
+ -9671	-31307
+ -9680	-31305
+ -9689	-31302
+ -9698	-31299
+ -9707	-31296
+ -9716	-31293
+ -9725	-31291
+ -9734	-31288
+ -9743	-31285
+ -9752	-31282
+ -9761	-31279
+ -9770	-31277
+ -9779	-31274
+ -9788	-31271
+ -9797	-31268
+ -9806	-31265
+ -9815	-31263
+ -9824	-31260
+ -9833	-31257
+ -9842	-31254
+ -9851	-31251
+ -9860	-31248
+ -9869	-31246
+ -9878	-31243
+ -9887	-31240
+ -9896	-31237
+ -9905	-31234
+ -9913	-31231
+ -9922	-31228
+ -9931	-31226
+ -9940	-31223
+ -9949	-31220
+ -9958	-31217
+ -9967	-31214
+ -9976	-31211
+ -9985	-31208
+ -9994	-31206
+-10003	-31203
+-10012	-31200
+-10021	-31197
+-10030	-31194
+-10039	-31191
+-10048	-31188
+-10057	-31185
+-10066	-31182
+-10075	-31180
+-10084	-31177
+-10093	-31174
+-10102	-31171
+-10111	-31168
+-10120	-31165
+-10129	-31162
+-10138	-31159
+-10147	-31156
+-10156	-31153
+-10165	-31150
+-10174	-31148
+-10183	-31145
+-10192	-31142
+-10201	-31139
+-10209	-31136
+-10218	-31133
+-10227	-31130
+-10236	-31127
+-10245	-31124
+-10254	-31121
+-10263	-31118
+-10272	-31115
+-10281	-31112
+-10290	-31109
+-10299	-31106
+-10308	-31103
+-10317	-31100
+-10326	-31097
+-10335	-31094
+-10344	-31091
+-10353	-31089
+-10362	-31086
+-10371	-31083
+-10379	-31080
+-10388	-31077
+-10397	-31074
+-10406	-31071
+-10415	-31068
+-10424	-31065
+-10433	-31062
+-10442	-31059
+-10451	-31056
+-10460	-31053
+-10469	-31050
+-10478	-31047
+-10487	-31044
+-10496	-31041
+-10505	-31038
+-10513	-31035
+-10522	-31031
+-10531	-31028
+-10540	-31025
+-10549	-31022
+-10558	-31019
+-10567	-31016
+-10576	-31013
+-10585	-31010
+-10594	-31007
+-10603	-31004
+-10612	-31001
+-10620	-30998
+-10629	-30995
+-10638	-30992
+-10647	-30989
+-10656	-30986
+-10665	-30983
+-10674	-30980
+-10683	-30977
+-10692	-30974
+-10701	-30970
+-10710	-30967
+-10719	-30964
+-10727	-30961
+-10736	-30958
+-10745	-30955
+-10754	-30952
+-10763	-30949
+-10772	-30946
+-10781	-30943
+-10790	-30940
+-10799	-30936
+-10808	-30933
+-10816	-30930
+-10825	-30927
+-10834	-30924
+-10843	-30921
+-10852	-30918
+-10861	-30915
+-10870	-30911
+-10879	-30908
+-10888	-30905
+-10896	-30902
+-10905	-30899
+-10914	-30896
+-10923	-30893
+-10932	-30890
+-10941	-30886
+-10950	-30883
+-10959	-30880
+-10968	-30877
+-10976	-30874
+-10985	-30871
+-10994	-30867
+-11003	-30864
+-11012	-30861
+-11021	-30858
+-11030	-30855
+-11039	-30852
+-11047	-30848
+-11056	-30845
+-11065	-30842
+-11074	-30839
+-11083	-30836
+-11092	-30833
+-11101	-30829
+-11109	-30826
+-11118	-30823
+-11127	-30820
+-11136	-30817
+-11145	-30813
+-11154	-30810
+-11163	-30807
+-11172	-30804
+-11180	-30801
+-11189	-30797
+-11198	-30794
+-11207	-30791
+-11216	-30788
+-11225	-30784
+-11234	-30781
+-11242	-30778
+-11251	-30775
+-11260	-30771
+-11269	-30768
+-11278	-30765
+-11287	-30762
+-11295	-30758
+-11304	-30755
+-11313	-30752
+-11322	-30749
+-11331	-30745
+-11340	-30742
+-11349	-30739
+-11357	-30736
+-11366	-30732
+-11375	-30729
+-11384	-30726
+-11393	-30723
+-11402	-30719
+-11410	-30716
+-11419	-30713
+-11428	-30709
+-11437	-30706
+-11446	-30703
+-11455	-30700
+-11463	-30696
+-11472	-30693
+-11481	-30690
+-11490	-30686
+-11499	-30683
+-11508	-30680
+-11516	-30676
+-11525	-30673
+-11534	-30670
+-11543	-30667
+-11552	-30663
+-11560	-30660
+-11569	-30657
+-11578	-30653
+-11587	-30650
+-11596	-30647
+-11605	-30643
+-11613	-30640
+-11622	-30637
+-11631	-30633
+-11640	-30630
+-11649	-30627
+-11657	-30623
+-11666	-30620
+-11675	-30616
+-11684	-30613
+-11693	-30610
+-11701	-30606
+-11710	-30603
+-11719	-30600
+-11728	-30596
+-11737	-30593
+-11745	-30589
+-11754	-30586
+-11763	-30583
+-11772	-30579
+-11781	-30576
+-11789	-30573
+-11798	-30569
+-11807	-30566
+-11816	-30562
+-11825	-30559
+-11833	-30556
+-11842	-30552
+-11851	-30549
+-11860	-30545
+-11868	-30542
+-11877	-30539
+-11886	-30535
+-11895	-30532
+-11904	-30528
+-11912	-30525
+-11921	-30521
+-11930	-30518
+-11939	-30515
+-11948	-30511
+-11956	-30508
+-11965	-30504
+-11974	-30501
+-11983	-30497
+-11991	-30494
+-12000	-30490
+-12009	-30487
+-12018	-30484
+-12026	-30480
+-12035	-30477
+-12044	-30473
+-12053	-30470
+-12062	-30466
+-12070	-30463
+-12079	-30459
+-12088	-30456
+-12097	-30452
+-12105	-30449
+-12114	-30445
+-12123	-30442
+-12132	-30438
+-12140	-30435
+-12149	-30431
+-12158	-30428
+-12167	-30424
+-12175	-30421
+-12184	-30417
+-12193	-30414
+-12202	-30410
+-12210	-30407
+-12219	-30403
+-12228	-30400
+-12237	-30396
+-12245	-30393
+-12254	-30389
+-12263	-30386
+-12272	-30382
+-12280	-30379
+-12289	-30375
+-12298	-30372
+-12306	-30368
+-12315	-30365
+-12324	-30361
+-12333	-30357
+-12341	-30354
+-12350	-30350
+-12359	-30347
+-12368	-30343
+-12376	-30340
+-12385	-30336
+-12394	-30333
+-12402	-30329
+-12411	-30325
+-12420	-30322
+-12429	-30318
+-12437	-30315
+-12446	-30311
+-12455	-30308
+-12464	-30304
+-12472	-30300
+-12481	-30297
+-12490	-30293
+-12498	-30290
+-12507	-30286
+-12516	-30282
+-12524	-30279
+-12533	-30275
+-12542	-30272
+-12551	-30268
+-12559	-30264
+-12568	-30261
+-12577	-30257
+-12585	-30254
+-12594	-30250
+-12603	-30246
+-12612	-30243
+-12620	-30239
+-12629	-30235
+-12638	-30232
+-12646	-30228
+-12655	-30225
+-12664	-30221
+-12672	-30217
+-12681	-30214
+-12690	-30210
+-12698	-30206
+-12707	-30203
+-12716	-30199
+-12725	-30195
+-12733	-30192
+-12742	-30188
+-12751	-30184
+-12759	-30181
+-12768	-30177
+-12777	-30173
+-12785	-30170
+-12794	-30166
+-12803	-30162
+-12811	-30159
+-12820	-30155
+-12829	-30151
+-12837	-30148
+-12846	-30144
+-12855	-30140
+-12863	-30136
+-12872	-30133
+-12881	-30129
+-12889	-30125
+-12898	-30122
+-12907	-30118
+-12915	-30114
+-12924	-30110
+-12933	-30107
+-12941	-30103
+-12950	-30099
+-12959	-30096
+-12967	-30092
+-12976	-30088
+-12985	-30084
+-12993	-30081
+-13002	-30077
+-13011	-30073
+-13019	-30069
+-13028	-30066
+-13036	-30062
+-13045	-30058
+-13054	-30054
+-13062	-30051
+-13071	-30047
+-13080	-30043
+-13088	-30039
+-13097	-30036
+-13106	-30032
+-13114	-30028
+-13123	-30024
+-13132	-30021
+-13140	-30017
+-13149	-30013
+-13157	-30009
+-13166	-30005
+-13175	-30002
+-13183	-29998
+-13192	-29994
+-13201	-29990
+-13209	-29986
+-13218	-29983
+-13226	-29979
+-13235	-29975
+-13244	-29971
+-13252	-29967
+-13261	-29964
+-13270	-29960
+-13278	-29956
+-13287	-29952
+-13295	-29948
+-13304	-29945
+-13313	-29941
+-13321	-29937
+-13330	-29933
+-13338	-29929
+-13347	-29925
+-13356	-29922
+-13364	-29918
+-13373	-29914
+-13381	-29910
+-13390	-29906
+-13399	-29902
+-13407	-29898
+-13416	-29895
+-13424	-29891
+-13433	-29887
+-13442	-29883
+-13450	-29879
+-13459	-29875
+-13467	-29871
+-13476	-29868
+-13485	-29864
+-13493	-29860
+-13502	-29856
+-13510	-29852
+-13519	-29848
+-13528	-29844
+-13536	-29840
+-13545	-29836
+-13553	-29833
+-13562	-29829
+-13570	-29825
+-13579	-29821
+-13588	-29817
+-13596	-29813
+-13605	-29809
+-13613	-29805
+-13622	-29801
+-13630	-29797
+-13639	-29793
+-13648	-29789
+-13656	-29786
+-13665	-29782
+-13673	-29778
+-13682	-29774
+-13690	-29770
+-13699	-29766
+-13708	-29762
+-13716	-29758
+-13725	-29754
+-13733	-29750
+-13742	-29746
+-13750	-29742
+-13759	-29738
+-13767	-29734
+-13776	-29730
+-13785	-29726
+-13793	-29722
+-13802	-29718
+-13810	-29714
+-13819	-29710
+-13827	-29707
+-13836	-29703
+-13844	-29699
+-13853	-29695
+-13861	-29691
+-13870	-29687
+-13879	-29683
+-13887	-29679
+-13896	-29675
+-13904	-29671
+-13913	-29667
+-13921	-29663
+-13930	-29659
+-13938	-29655
+-13947	-29651
+-13955	-29647
+-13964	-29643
+-13972	-29639
+-13981	-29635
+-13989	-29631
+-13998	-29626
+-14006	-29622
+-14015	-29618
+-14023	-29614
+-14032	-29610
+-14041	-29606
+-14049	-29602
+-14058	-29598
+-14066	-29594
+-14075	-29590
+-14083	-29586
+-14092	-29582
+-14100	-29578
+-14109	-29574
+-14117	-29570
+-14126	-29566
+-14134	-29562
+-14143	-29558
+-14151	-29554
+-14160	-29550
+-14168	-29545
+-14177	-29541
+-14185	-29537
+-14194	-29533
+-14202	-29529
+-14211	-29525
+-14219	-29521
+-14228	-29517
+-14236	-29513
+-14245	-29509
+-14253	-29505
+-14261	-29500
+-14270	-29496
+-14278	-29492
+-14287	-29488
+-14295	-29484
+-14304	-29480
+-14312	-29476
+-14321	-29472
+-14329	-29468
+-14338	-29463
+-14346	-29459
+-14355	-29455
+-14363	-29451
+-14372	-29447
+-14380	-29443
+-14389	-29439
+-14397	-29435
+-14406	-29430
+-14414	-29426
+-14422	-29422
+-14431	-29418
+-14439	-29414
+-14448	-29410
+-14456	-29406
+-14465	-29401
+-14473	-29397
+-14482	-29393
+-14490	-29389
+-14499	-29385
+-14507	-29381
+-14516	-29376
+-14524	-29372
+-14532	-29368
+-14541	-29364
+-14549	-29360
+-14558	-29355
+-14566	-29351
+-14575	-29347
+-14583	-29343
+-14591	-29339
+-14600	-29334
+-14608	-29330
+-14617	-29326
+-14625	-29322
+-14634	-29318
+-14642	-29313
+-14651	-29309
+-14659	-29305
+-14667	-29301
+-14676	-29297
+-14684	-29292
+-14693	-29288
+-14701	-29284
+-14710	-29280
+-14718	-29275
+-14726	-29271
+-14735	-29267
+-14743	-29263
+-14752	-29258
+-14760	-29254
+-14768	-29250
+-14777	-29246
+-14785	-29242
+-14794	-29237
+-14802	-29233
+-14810	-29229
+-14819	-29224
+-14827	-29220
+-14836	-29216
+-14844	-29212
+-14852	-29207
+-14861	-29203
+-14869	-29199
+-14878	-29195
+-14886	-29190
+-14894	-29186
+-14903	-29182
+-14911	-29177
+-14920	-29173
+-14928	-29169
+-14936	-29165
+-14945	-29160
+-14953	-29156
+-14962	-29152
+-14970	-29147
+-14978	-29143
+-14987	-29139
+-14995	-29134
+-15004	-29130
+-15012	-29126
+-15020	-29121
+-15029	-29117
+-15037	-29113
+-15045	-29109
+-15054	-29104
+-15062	-29100
+-15070	-29096
+-15079	-29091
+-15087	-29087
+-15096	-29083
+-15104	-29078
+-15112	-29074
+-15121	-29069
+-15129	-29065
+-15137	-29061
+-15146	-29056
+-15154	-29052
+-15162	-29048
+-15171	-29043
+-15179	-29039
+-15188	-29035
+-15196	-29030
+-15204	-29026
+-15213	-29021
+-15221	-29017
+-15229	-29013
+-15238	-29008
+-15246	-29004
+-15254	-29000
+-15263	-28995
+-15271	-28991
+-15279	-28986
+-15288	-28982
+-15296	-28978
+-15304	-28973
+-15313	-28969
+-15321	-28964
+-15329	-28960
+-15338	-28956
+-15346	-28951
+-15354	-28947
+-15363	-28942
+-15371	-28938
+-15379	-28933
+-15388	-28929
+-15396	-28925
+-15404	-28920
+-15413	-28916
+-15421	-28911
+-15429	-28907
+-15437	-28902
+-15446	-28898
+-15454	-28894
+-15462	-28889
+-15471	-28885
+-15479	-28880
+-15487	-28876
+-15496	-28871
+-15504	-28867
+-15512	-28862
+-15521	-28858
+-15529	-28853
+-15537	-28849
+-15545	-28845
+-15554	-28840
+-15562	-28836
+-15570	-28831
+-15579	-28827
+-15587	-28822
+-15595	-28818
+-15603	-28813
+-15612	-28809
+-15620	-28804
+-15628	-28800
+-15637	-28795
+-15645	-28791
+-15653	-28786
+-15661	-28782
+-15670	-28777
+-15678	-28773
+-15686	-28768
+-15695	-28764
+-15703	-28759
+-15711	-28755
+-15719	-28750
+-15728	-28746
+-15736	-28741
+-15744	-28737
+-15752	-28732
+-15761	-28727
+-15769	-28723
+-15777	-28718
+-15785	-28714
+-15794	-28709
+-15802	-28705
+-15810	-28700
+-15818	-28696
+-15827	-28691
+-15835	-28687
+-15843	-28682
+-15851	-28677
+-15860	-28673
+-15868	-28668
+-15876	-28664
+-15884	-28659
+-15893	-28655
+-15901	-28650
+-15909	-28646
+-15917	-28641
+-15926	-28636
+-15934	-28632
+-15942	-28627
+-15950	-28623
+-15959	-28618
+-15967	-28613
+-15975	-28609
+-15983	-28604
+-15992	-28600
+-16000	-28595
+-16008	-28590
+-16016	-28586
+-16024	-28581
+-16033	-28577
+-16041	-28572
+-16049	-28567
+-16057	-28563
+-16065	-28558
+-16074	-28554
+-16082	-28549
+-16090	-28544
+-16098	-28540
+-16107	-28535
+-16115	-28530
+-16123	-28526
+-16131	-28521
+-16139	-28516
+-16148	-28512
+-16156	-28507
+-16164	-28503
+-16172	-28498
+-16180	-28493
+-16189	-28489
+-16197	-28484
+-16205	-28479
+-16213	-28475
+-16221	-28470
+-16230	-28465
+-16238	-28461
+-16246	-28456
+-16254	-28451
+-16262	-28447
+-16270	-28442
+-16279	-28437
+-16287	-28433
+-16295	-28428
+-16303	-28423
+-16311	-28418
+-16319	-28414
+-16328	-28409
+-16336	-28404
+-16344	-28400
+-16352	-28395
+-16360	-28390
+-16368	-28386
+-16377	-28381
+-16386	-28376
+-16394	-28371
+-16402	-28367
+-16410	-28362
+-16418	-28357
+-16427	-28353
+-16435	-28348
+-16443	-28343
+-16451	-28338
+-16459	-28334
+-16467	-28329
+-16476	-28324
+-16484	-28319
+-16492	-28315
+-16500	-28310
+-16508	-28305
+-16516	-28300
+-16524	-28296
+-16532	-28291
+-16541	-28286
+-16549	-28281
+-16557	-28277
+-16565	-28272
+-16573	-28267
+-16581	-28262
+-16589	-28258
+-16598	-28253
+-16606	-28248
+-16614	-28243
+-16622	-28238
+-16630	-28234
+-16638	-28229
+-16646	-28224
+-16654	-28219
+-16663	-28215
+-16671	-28210
+-16679	-28205
+-16687	-28200
+-16695	-28195
+-16703	-28191
+-16711	-28186
+-16719	-28181
+-16727	-28176
+-16735	-28171
+-16744	-28167
+-16752	-28162
+-16760	-28157
+-16768	-28152
+-16776	-28147
+-16784	-28142
+-16792	-28138
+-16800	-28133
+-16808	-28128
+-16816	-28123
+-16825	-28118
+-16833	-28113
+-16841	-28109
+-16849	-28104
+-16857	-28099
+-16865	-28094
+-16873	-28089
+-16881	-28084
+-16889	-28079
+-16897	-28075
+-16905	-28070
+-16913	-28065
+-16921	-28060
+-16930	-28055
+-16938	-28050
+-16946	-28045
+-16954	-28041
+-16962	-28036
+-16970	-28031
+-16978	-28026
+-16986	-28021
+-16994	-28016
+-17002	-28011
+-17010	-28006
+-17018	-28001
+-17026	-27997
+-17034	-27992
+-17042	-27987
+-17050	-27982
+-17058	-27977
+-17067	-27972
+-17075	-27967
+-17083	-27962
+-17091	-27957
+-17099	-27952
+-17107	-27947
+-17115	-27943
+-17123	-27938
+-17131	-27933
+-17139	-27928
+-17147	-27923
+-17155	-27918
+-17163	-27913
+-17171	-27908
+-17179	-27903
+-17187	-27898
+-17195	-27893
+-17203	-27888
+-17211	-27883
+-17219	-27878
+-17227	-27873
+-17235	-27868
+-17243	-27863
+-17251	-27859
+-17259	-27854
+-17267	-27849
+-17275	-27844
+-17283	-27839
+-17291	-27834
+-17299	-27829
+-17307	-27824
+-17315	-27819
+-17323	-27814
+-17331	-27809
+-17339	-27804
+-17347	-27799
+-17355	-27794
+-17363	-27789
+-17371	-27784
+-17379	-27779
+-17387	-27774
+-17395	-27769
+-17403	-27764
+-17411	-27759
+-17419	-27754
+-17427	-27749
+-17435	-27744
+-17443	-27739
+-17451	-27734
+-17459	-27729
+-17467	-27724
+-17475	-27719
+-17483	-27714
+-17491	-27709
+-17499	-27704
+-17507	-27699
+-17515	-27694
+-17523	-27689
+-17531	-27683
+-17539	-27678
+-17547	-27673
+-17555	-27668
+-17563	-27663
+-17571	-27658
+-17578	-27653
+-17586	-27648
+-17594	-27643
+-17602	-27638
+-17610	-27633
+-17618	-27628
+-17626	-27623
+-17634	-27618
+-17642	-27613
+-17650	-27608
+-17658	-27603
+-17666	-27597
+-17674	-27592
+-17682	-27587
+-17690	-27582
+-17698	-27577
+-17706	-27572
+-17713	-27567
+-17721	-27562
+-17729	-27557
+-17737	-27552
+-17745	-27547
+-17753	-27541
+-17761	-27536
+-17769	-27531
+-17777	-27526
+-17785	-27521
+-17793	-27516
+-17801	-27511
+-17809	-27506
+-17816	-27500
+-17824	-27495
+-17832	-27490
+-17840	-27485
+-17848	-27480
+-17856	-27475
+-17864	-27470
+-17872	-27465
+-17880	-27459
+-17888	-27454
+-17895	-27449
+-17903	-27444
+-17911	-27439
+-17919	-27434
+-17927	-27429
+-17935	-27423
+-17943	-27418
+-17951	-27413
+-17959	-27408
+-17966	-27403
+-17974	-27398
+-17982	-27392
+-17990	-27387
+-17998	-27382
+-18006	-27377
+-18014	-27372
+-18022	-27366
+-18029	-27361
+-18037	-27356
+-18045	-27351
+-18053	-27346
+-18061	-27341
+-18069	-27335
+-18077	-27330
+-18084	-27325
+-18092	-27320
+-18100	-27315
+-18108	-27309
+-18116	-27304
+-18124	-27299
+-18132	-27294
+-18139	-27288
+-18147	-27283
+-18155	-27278
+-18163	-27273
+-18171	-27268
+-18179	-27262
+-18187	-27257
+-18194	-27252
+-18202	-27247
+-18210	-27241
+-18218	-27236
+-18226	-27231
+-18234	-27226
+-18241	-27220
+-18249	-27215
+-18257	-27210
+-18265	-27205
+-18273	-27199
+-18280	-27194
+-18288	-27189
+-18296	-27184
+-18304	-27178
+-18312	-27173
+-18320	-27168
+-18327	-27163
+-18335	-27157
+-18343	-27152
+-18351	-27147
+-18359	-27142
+-18366	-27136
+-18374	-27131
+-18382	-27126
+-18390	-27120
+-18398	-27115
+-18405	-27110
+-18413	-27105
+-18421	-27099
+-18429	-27094
+-18437	-27089
+-18444	-27083
+-18452	-27078
+-18460	-27073
+-18468	-27067
+-18476	-27062
+-18483	-27057
+-18491	-27051
+-18499	-27046
+-18507	-27041
+-18514	-27035
+-18522	-27030
+-18530	-27025
+-18538	-27019
+-18546	-27014
+-18553	-27009
+-18561	-27003
+-18569	-26998
+-18577	-26993
+-18584	-26987
+-18592	-26982
+-18600	-26977
+-18608	-26971
+-18615	-26966
+-18623	-26961
+-18631	-26955
+-18639	-26950
+-18646	-26945
+-18654	-26939
+-18662	-26934
+-18670	-26929
+-18677	-26923
+-18685	-26918
+-18693	-26912
+-18701	-26907
+-18708	-26902
+-18716	-26896
+-18724	-26891
+-18732	-26886
+-18739	-26880
+-18747	-26875
+-18755	-26869
+-18762	-26864
+-18770	-26859
+-18778	-26853
+-18786	-26848
+-18793	-26842
+-18801	-26837
+-18809	-26832
+-18817	-26826
+-18824	-26821
+-18832	-26815
+-18840	-26810
+-18847	-26804
+-18855	-26799
+-18863	-26794
+-18870	-26788
+-18878	-26783
+-18886	-26777
+-18894	-26772
+-18901	-26766
+-18909	-26761
+-18917	-26756
+-18924	-26750
+-18932	-26745
+-18940	-26739
+-18947	-26734
+-18955	-26728
+-18963	-26723
+-18971	-26717
+-18978	-26712
+-18986	-26707
+-18994	-26701
+-19001	-26696
+-19009	-26690
+-19017	-26685
+-19024	-26679
+-19032	-26674
+-19040	-26668
+-19047	-26663
+-19055	-26657
+-19063	-26652
+-19070	-26646
+-19078	-26641
+-19086	-26635
+-19093	-26630
+-19101	-26624
+-19109	-26619
+-19116	-26613
+-19124	-26608
+-19132	-26602
+-19139	-26597
+-19147	-26591
+-19154	-26586
+-19162	-26580
+-19170	-26575
+-19177	-26569
+-19185	-26564
+-19193	-26558
+-19200	-26553
+-19208	-26547
+-19216	-26542
+-19223	-26536
+-19231	-26531
+-19239	-26525
+-19246	-26520
+-19254	-26514
+-19261	-26509
+-19269	-26503
+-19277	-26497
+-19284	-26492
+-19292	-26486
+-19299	-26481
+-19307	-26475
+-19315	-26470
+-19322	-26464
+-19330	-26459
+-19338	-26453
+-19345	-26447
+-19353	-26442
+-19360	-26436
+-19368	-26431
+-19376	-26425
+-19383	-26420
+-19391	-26414
+-19398	-26408
+-19406	-26403
+-19414	-26397
+-19421	-26392
+-19429	-26386
+-19436	-26381
+-19444	-26375
+-19451	-26369
+-19459	-26364
+-19467	-26358
+-19474	-26353
+-19482	-26347
+-19489	-26341
+-19497	-26336
+-19505	-26330
+-19512	-26325
+-19520	-26319
+-19527	-26313
+-19535	-26308
+-19542	-26302
+-19550	-26296
+-19558	-26291
+-19565	-26285
+-19573	-26280
+-19580	-26274
+-19588	-26268
+-19595	-26263
+-19603	-26257
+-19610	-26251
+-19618	-26246
+-19625	-26240
+-19633	-26234
+-19641	-26229
+-19648	-26223
+-19656	-26217
+-19663	-26212
+-19671	-26206
+-19678	-26201
+-19686	-26195
+-19693	-26189
+-19701	-26184
+-19708	-26178
+-19716	-26172
+-19723	-26167
+-19731	-26161
+-19739	-26155
+-19746	-26150
+-19754	-26144
+-19761	-26138
+-19769	-26132
+-19776	-26127
+-19784	-26121
+-19791	-26115
+-19799	-26110
+-19806	-26104
+-19814	-26098
+-19821	-26093
+-19829	-26087
+-19836	-26081
+-19844	-26075
+-19851	-26070
+-19859	-26064
+-19866	-26058
+-19874	-26053
+-19881	-26047
+-19889	-26041
+-19896	-26035
+-19904	-26030
+-19911	-26024
+-19919	-26018
+-19926	-26013
+-19934	-26007
+-19941	-26001
+-19949	-25995
+-19956	-25990
+-19963	-25984
+-19971	-25978
+-19978	-25972
+-19986	-25967
+-19993	-25961
+-20001	-25955
+-20008	-25949
+-20016	-25944
+-20023	-25938
+-20031	-25932
+-20038	-25926
+-20046	-25921
+-20053	-25915
+-20060	-25909
+-20068	-25903
+-20075	-25898
+-20083	-25892
+-20090	-25886
+-20098	-25880
+-20105	-25874
+-20113	-25869
+-20120	-25863
+-20127	-25857
+-20135	-25851
+-20142	-25845
+-20150	-25840
+-20157	-25834
+-20165	-25828
+-20172	-25822
+-20179	-25816
+-20187	-25811
+-20194	-25805
+-20202	-25799
+-20209	-25793
+-20217	-25787
+-20224	-25782
+-20231	-25776
+-20239	-25770
+-20246	-25764
+-20254	-25758
+-20261	-25753
+-20268	-25747
+-20276	-25741
+-20283	-25735
+-20291	-25729
+-20298	-25723
+-20305	-25718
+-20313	-25712
+-20320	-25706
+-20328	-25700
+-20335	-25694
+-20342	-25688
+-20350	-25682
+-20357	-25677
+-20365	-25671
+-20372	-25665
+-20379	-25659
+-20387	-25653
+-20394	-25647
+-20401	-25641
+-20409	-25636
+-20416	-25630
+-20424	-25624
+-20431	-25618
+-20438	-25612
+-20446	-25606
+-20453	-25600
+-20460	-25594
+-20468	-25589
+-20475	-25583
+-20483	-25577
+-20490	-25571
+-20497	-25565
+-20505	-25559
+-20512	-25553
+-20519	-25547
+-20527	-25541
+-20534	-25535
+-20541	-25530
+-20549	-25524
+-20556	-25518
+-20563	-25512
+-20571	-25506
+-20578	-25500
+-20585	-25494
+-20593	-25488
+-20600	-25482
+-20607	-25476
+-20615	-25470
+-20622	-25464
+-20629	-25459
+-20637	-25453
+-20644	-25447
+-20651	-25441
+-20659	-25435
+-20666	-25429
+-20673	-25423
+-20680	-25417
+-20688	-25411
+-20695	-25405
+-20702	-25399
+-20710	-25393
+-20717	-25387
+-20724	-25381
+-20732	-25375
+-20739	-25369
+-20746	-25363
+-20754	-25357
+-20761	-25351
+-20768	-25345
+-20775	-25339
+-20783	-25333
+-20790	-25327
+-20797	-25321
+-20805	-25316
+-20812	-25310
+-20819	-25304
+-20826	-25298
+-20834	-25292
+-20841	-25286
+-20848	-25280
+-20855	-25274
+-20863	-25268
+-20870	-25262
+-20877	-25256
+-20885	-25250
+-20892	-25244
+-20899	-25238
+-20906	-25232
+-20914	-25226
+-20921	-25220
+-20928	-25213
+-20935	-25207
+-20943	-25201
+-20950	-25195
+-20957	-25189
+-20964	-25183
+-20972	-25177
+-20979	-25171
+-20986	-25165
+-20993	-25159
+-21000	-25153
+-21008	-25147
+-21015	-25141
+-21022	-25135
+-21029	-25129
+-21037	-25123
+-21044	-25117
+-21051	-25111
+-21058	-25105
+-21066	-25099
+-21073	-25093
+-21080	-25087
+-21087	-25081
+-21094	-25074
+-21102	-25068
+-21109	-25062
+-21116	-25056
+-21123	-25050
+-21130	-25044
+-21138	-25038
+-21145	-25032
+-21152	-25026
+-21159	-25020
+-21166	-25014
+-21174	-25008
+-21181	-25002
+-21188	-24995
+-21195	-24989
+-21202	-24983
+-21210	-24977
+-21217	-24971
+-21224	-24965
+-21231	-24959
+-21238	-24953
+-21245	-24947
+-21253	-24941
+-21260	-24934
+-21267	-24928
+-21274	-24922
+-21281	-24916
+-21288	-24910
+-21296	-24904
+-21303	-24898
+-21310	-24892
+-21317	-24885
+-21324	-24879
+-21331	-24873
+-21339	-24867
+-21346	-24861
+-21353	-24855
+-21360	-24849
+-21367	-24842
+-21374	-24836
+-21381	-24830
+-21389	-24824
+-21396	-24818
+-21403	-24812
+-21410	-24806
+-21417	-24799
+-21424	-24793
+-21431	-24787
+-21439	-24781
+-21446	-24775
+-21453	-24769
+-21460	-24762
+-21467	-24756
+-21474	-24750
+-21481	-24744
+-21488	-24738
+-21495	-24732
+-21503	-24725
+-21510	-24719
+-21517	-24713
+-21524	-24707
+-21531	-24701
+-21538	-24694
+-21545	-24688
+-21552	-24682
+-21559	-24676
+-21567	-24670
+-21574	-24663
+-21581	-24657
+-21588	-24651
+-21595	-24645
+-21602	-24639
+-21609	-24632
+-21616	-24626
+-21623	-24620
+-21630	-24614
+-21637	-24607
+-21644	-24601
+-21652	-24595
+-21659	-24589
+-21666	-24583
+-21673	-24576
+-21680	-24570
+-21687	-24564
+-21694	-24558
+-21701	-24551
+-21708	-24545
+-21715	-24539
+-21722	-24533
+-21729	-24526
+-21736	-24520
+-21743	-24514
+-21750	-24508
+-21757	-24501
+-21764	-24495
+-21772	-24489
+-21779	-24483
+-21786	-24476
+-21793	-24470
+-21800	-24464
+-21807	-24458
+-21814	-24451
+-21821	-24445
+-21828	-24439
+-21835	-24432
+-21842	-24426
+-21849	-24420
+-21856	-24414
+-21863	-24407
+-21870	-24401
+-21877	-24395
+-21884	-24388
+-21891	-24382
+-21898	-24376
+-21905	-24370
+-21912	-24363
+-21919	-24357
+-21926	-24351
+-21933	-24344
+-21940	-24338
+-21947	-24332
+-21954	-24325
+-21961	-24319
+-21968	-24313
+-21975	-24306
+-21982	-24300
+-21989	-24294
+-21996	-24287
+-22003	-24281
+-22010	-24275
+-22017	-24268
+-22024	-24262
+-22031	-24256
+-22038	-24249
+-22045	-24243
+-22052	-24237
+-22059	-24230
+-22066	-24224
+-22073	-24218
+-22080	-24211
+-22087	-24205
+-22094	-24199
+-22101	-24192
+-22108	-24186
+-22114	-24180
+-22121	-24173
+-22128	-24167
+-22135	-24161
+-22142	-24154
+-22149	-24148
+-22156	-24141
+-22163	-24135
+-22170	-24129
+-22177	-24122
+-22184	-24116
+-22191	-24110
+-22198	-24103
+-22205	-24097
+-22212	-24090
+-22219	-24084
+-22226	-24078
+-22232	-24071
+-22239	-24065
+-22246	-24058
+-22253	-24052
+-22260	-24046
+-22267	-24039
+-22274	-24033
+-22281	-24026
+-22288	-24020
+-22295	-24014
+-22302	-24007
+-22308	-24001
+-22315	-23994
+-22322	-23988
+-22329	-23981
+-22336	-23975
+-22343	-23969
+-22350	-23962
+-22357	-23956
+-22364	-23949
+-22371	-23943
+-22377	-23936
+-22384	-23930
+-22391	-23924
+-22398	-23917
+-22405	-23911
+-22412	-23904
+-22419	-23898
+-22426	-23891
+-22432	-23885
+-22439	-23878
+-22446	-23872
+-22453	-23866
+-22460	-23859
+-22467	-23853
+-22474	-23846
+-22480	-23840
+-22487	-23833
+-22494	-23827
+-22501	-23820
+-22508	-23814
+-22515	-23807
+-22522	-23801
+-22528	-23794
+-22535	-23788
+-22542	-23781
+-22549	-23775
+-22556	-23768
+-22563	-23762
+-22569	-23755
+-22576	-23749
+-22583	-23743
+-22590	-23736
+-22597	-23730
+-22604	-23723
+-22610	-23717
+-22617	-23710
+-22624	-23704
+-22631	-23697
+-22638	-23690
+-22644	-23684
+-22651	-23677
+-22658	-23671
+-22665	-23664
+-22672	-23658
+-22679	-23651
+-22685	-23645
+-22692	-23638
+-22699	-23632
+-22706	-23625
+-22713	-23619
+-22719	-23612
+-22726	-23606
+-22733	-23599
+-22740	-23593
+-22746	-23586
+-22753	-23580
+-22760	-23573
+-22767	-23566
+-22774	-23560
+-22780	-23553
+-22787	-23547
+-22794	-23540
+-22801	-23534
+-22807	-23527
+-22814	-23521
+-22821	-23514
+-22828	-23507
+-22834	-23501
+-22841	-23494
+-22848	-23488
+-22855	-23481
+-22862	-23475
+-22868	-23468
+-22875	-23461
+-22882	-23455
+-22888	-23448
+-22895	-23442
+-22902	-23435
+-22909	-23428
+-22915	-23422
+-22922	-23415
+-22929	-23409
+-22936	-23402
+-22942	-23396
+-22949	-23389
+-22956	-23382
+-22963	-23376
+-22969	-23369
+-22976	-23363
+-22983	-23356
+-22989	-23349
+-22996	-23343
+-23003	-23336
+-23010	-23329
+-23016	-23323
+-23023	-23316
+-23030	-23310
+-23036	-23303
+-23043	-23296
+-23050	-23290
+-23056	-23283
+-23063	-23276
+-23070	-23270
+-23077	-23263
+-23083	-23257
+-23090	-23250
+-23097	-23243
+-23103	-23237
+-23110	-23230
+-23117	-23223
+-23123	-23217
+-23130	-23210
+-23137	-23203
+-23143	-23197
+-23150	-23190
+-23157	-23183
+-23163	-23177
+-23170	-23170
+-23177	-23163
+-23183	-23157
+-23190	-23150
+-23197	-23143
+-23203	-23137
+-23210	-23130
+-23217	-23123
+-23223	-23117
+-23230	-23110
+-23237	-23103
+-23243	-23097
+-23250	-23090
+-23257	-23083
+-23263	-23077
+-23270	-23070
+-23276	-23063
+-23283	-23056
+-23290	-23050
+-23296	-23043
+-23303	-23036
+-23310	-23030
+-23316	-23023
+-23323	-23016
+-23329	-23010
+-23336	-23003
+-23343	-22996
+-23349	-22989
+-23356	-22983
+-23363	-22976
+-23369	-22969
+-23376	-22963
+-23382	-22956
+-23389	-22949
+-23396	-22942
+-23402	-22936
+-23409	-22929
+-23415	-22922
+-23422	-22915
+-23428	-22909
+-23435	-22902
+-23442	-22895
+-23448	-22888
+-23455	-22882
+-23461	-22875
+-23468	-22868
+-23475	-22862
+-23481	-22855
+-23488	-22848
+-23494	-22841
+-23501	-22834
+-23507	-22828
+-23514	-22821
+-23521	-22814
+-23527	-22807
+-23534	-22801
+-23540	-22794
+-23547	-22787
+-23553	-22780
+-23560	-22774
+-23566	-22767
+-23573	-22760
+-23580	-22753
+-23586	-22746
+-23593	-22740
+-23599	-22733
+-23606	-22726
+-23612	-22719
+-23619	-22713
+-23625	-22706
+-23632	-22699
+-23638	-22692
+-23645	-22685
+-23651	-22679
+-23658	-22672
+-23664	-22665
+-23671	-22658
+-23677	-22651
+-23684	-22644
+-23690	-22638
+-23697	-22631
+-23704	-22624
+-23710	-22617
+-23717	-22610
+-23723	-22604
+-23730	-22597
+-23736	-22590
+-23743	-22583
+-23749	-22576
+-23755	-22569
+-23762	-22563
+-23768	-22556
+-23775	-22549
+-23781	-22542
+-23788	-22535
+-23794	-22528
+-23801	-22522
+-23807	-22515
+-23814	-22508
+-23820	-22501
+-23827	-22494
+-23833	-22487
+-23840	-22480
+-23846	-22474
+-23853	-22467
+-23859	-22460
+-23866	-22453
+-23872	-22446
+-23878	-22439
+-23885	-22432
+-23891	-22426
+-23898	-22419
+-23904	-22412
+-23911	-22405
+-23917	-22398
+-23924	-22391
+-23930	-22384
+-23936	-22377
+-23943	-22371
+-23949	-22364
+-23956	-22357
+-23962	-22350
+-23969	-22343
+-23975	-22336
+-23981	-22329
+-23988	-22322
+-23994	-22315
+-24001	-22308
+-24007	-22302
+-24014	-22295
+-24020	-22288
+-24026	-22281
+-24033	-22274
+-24039	-22267
+-24046	-22260
+-24052	-22253
+-24058	-22246
+-24065	-22239
+-24071	-22232
+-24078	-22226
+-24084	-22219
+-24090	-22212
+-24097	-22205
+-24103	-22198
+-24110	-22191
+-24116	-22184
+-24122	-22177
+-24129	-22170
+-24135	-22163
+-24141	-22156
+-24148	-22149
+-24154	-22142
+-24161	-22135
+-24167	-22128
+-24173	-22121
+-24180	-22114
+-24186	-22108
+-24192	-22101
+-24199	-22094
+-24205	-22087
+-24211	-22080
+-24218	-22073
+-24224	-22066
+-24230	-22059
+-24237	-22052
+-24243	-22045
+-24249	-22038
+-24256	-22031
+-24262	-22024
+-24268	-22017
+-24275	-22010
+-24281	-22003
+-24287	-21996
+-24294	-21989
+-24300	-21982
+-24306	-21975
+-24313	-21968
+-24319	-21961
+-24325	-21954
+-24332	-21947
+-24338	-21940
+-24344	-21933
+-24351	-21926
+-24357	-21919
+-24363	-21912
+-24370	-21905
+-24376	-21898
+-24382	-21891
+-24388	-21884
+-24395	-21877
+-24401	-21870
+-24407	-21863
+-24414	-21856
+-24420	-21849
+-24426	-21842
+-24432	-21835
+-24439	-21828
+-24445	-21821
+-24451	-21814
+-24458	-21807
+-24464	-21800
+-24470	-21793
+-24476	-21786
+-24483	-21779
+-24489	-21772
+-24495	-21764
+-24501	-21757
+-24508	-21750
+-24514	-21743
+-24520	-21736
+-24526	-21729
+-24533	-21722
+-24539	-21715
+-24545	-21708
+-24551	-21701
+-24558	-21694
+-24564	-21687
+-24570	-21680
+-24576	-21673
+-24583	-21666
+-24589	-21659
+-24595	-21652
+-24601	-21644
+-24607	-21637
+-24614	-21630
+-24620	-21623
+-24626	-21616
+-24632	-21609
+-24639	-21602
+-24645	-21595
+-24651	-21588
+-24657	-21581
+-24663	-21574
+-24670	-21567
+-24676	-21559
+-24682	-21552
+-24688	-21545
+-24694	-21538
+-24701	-21531
+-24707	-21524
+-24713	-21517
+-24719	-21510
+-24725	-21503
+-24732	-21495
+-24738	-21488
+-24744	-21481
+-24750	-21474
+-24756	-21467
+-24762	-21460
+-24769	-21453
+-24775	-21446
+-24781	-21439
+-24787	-21431
+-24793	-21424
+-24799	-21417
+-24806	-21410
+-24812	-21403
+-24818	-21396
+-24824	-21389
+-24830	-21381
+-24836	-21374
+-24842	-21367
+-24849	-21360
+-24855	-21353
+-24861	-21346
+-24867	-21339
+-24873	-21331
+-24879	-21324
+-24885	-21317
+-24892	-21310
+-24898	-21303
+-24904	-21296
+-24910	-21288
+-24916	-21281
+-24922	-21274
+-24928	-21267
+-24934	-21260
+-24941	-21253
+-24947	-21245
+-24953	-21238
+-24959	-21231
+-24965	-21224
+-24971	-21217
+-24977	-21210
+-24983	-21202
+-24989	-21195
+-24995	-21188
+-25002	-21181
+-25008	-21174
+-25014	-21166
+-25020	-21159
+-25026	-21152
+-25032	-21145
+-25038	-21138
+-25044	-21130
+-25050	-21123
+-25056	-21116
+-25062	-21109
+-25068	-21102
+-25074	-21094
+-25081	-21087
+-25087	-21080
+-25093	-21073
+-25099	-21066
+-25105	-21058
+-25111	-21051
+-25117	-21044
+-25123	-21037
+-25129	-21029
+-25135	-21022
+-25141	-21015
+-25147	-21008
+-25153	-21000
+-25159	-20993
+-25165	-20986
+-25171	-20979
+-25177	-20972
+-25183	-20964
+-25189	-20957
+-25195	-20950
+-25201	-20943
+-25207	-20935
+-25213	-20928
+-25220	-20921
+-25226	-20914
+-25232	-20906
+-25238	-20899
+-25244	-20892
+-25250	-20885
+-25256	-20877
+-25262	-20870
+-25268	-20863
+-25274	-20855
+-25280	-20848
+-25286	-20841
+-25292	-20834
+-25298	-20826
+-25304	-20819
+-25310	-20812
+-25316	-20805
+-25321	-20797
+-25327	-20790
+-25333	-20783
+-25339	-20775
+-25345	-20768
+-25351	-20761
+-25357	-20754
+-25363	-20746
+-25369	-20739
+-25375	-20732
+-25381	-20724
+-25387	-20717
+-25393	-20710
+-25399	-20702
+-25405	-20695
+-25411	-20688
+-25417	-20680
+-25423	-20673
+-25429	-20666
+-25435	-20659
+-25441	-20651
+-25447	-20644
+-25453	-20637
+-25459	-20629
+-25464	-20622
+-25470	-20615
+-25476	-20607
+-25482	-20600
+-25488	-20593
+-25494	-20585
+-25500	-20578
+-25506	-20571
+-25512	-20563
+-25518	-20556
+-25524	-20549
+-25530	-20541
+-25535	-20534
+-25541	-20527
+-25547	-20519
+-25553	-20512
+-25559	-20505
+-25565	-20497
+-25571	-20490
+-25577	-20483
+-25583	-20475
+-25589	-20468
+-25594	-20460
+-25600	-20453
+-25606	-20446
+-25612	-20438
+-25618	-20431
+-25624	-20424
+-25630	-20416
+-25636	-20409
+-25641	-20401
+-25647	-20394
+-25653	-20387
+-25659	-20379
+-25665	-20372
+-25671	-20365
+-25677	-20357
+-25682	-20350
+-25688	-20342
+-25694	-20335
+-25700	-20328
+-25706	-20320
+-25712	-20313
+-25718	-20305
+-25723	-20298
+-25729	-20291
+-25735	-20283
+-25741	-20276
+-25747	-20268
+-25753	-20261
+-25758	-20254
+-25764	-20246
+-25770	-20239
+-25776	-20231
+-25782	-20224
+-25787	-20217
+-25793	-20209
+-25799	-20202
+-25805	-20194
+-25811	-20187
+-25816	-20179
+-25822	-20172
+-25828	-20165
+-25834	-20157
+-25840	-20150
+-25845	-20142
+-25851	-20135
+-25857	-20127
+-25863	-20120
+-25869	-20113
+-25874	-20105
+-25880	-20098
+-25886	-20090
+-25892	-20083
+-25898	-20075
+-25903	-20068
+-25909	-20060
+-25915	-20053
+-25921	-20046
+-25926	-20038
+-25932	-20031
+-25938	-20023
+-25944	-20016
+-25949	-20008
+-25955	-20001
+-25961	-19993
+-25967	-19986
+-25972	-19978
+-25978	-19971
+-25984	-19963
+-25990	-19956
+-25995	-19949
+-26001	-19941
+-26007	-19934
+-26013	-19926
+-26018	-19919
+-26024	-19911
+-26030	-19904
+-26035	-19896
+-26041	-19889
+-26047	-19881
+-26053	-19874
+-26058	-19866
+-26064	-19859
+-26070	-19851
+-26075	-19844
+-26081	-19836
+-26087	-19829
+-26093	-19821
+-26098	-19814
+-26104	-19806
+-26110	-19799
+-26115	-19791
+-26121	-19784
+-26127	-19776
+-26132	-19769
+-26138	-19761
+-26144	-19754
+-26150	-19746
+-26155	-19739
+-26161	-19731
+-26167	-19723
+-26172	-19716
+-26178	-19708
+-26184	-19701
+-26189	-19693
+-26195	-19686
+-26201	-19678
+-26206	-19671
+-26212	-19663
+-26217	-19656
+-26223	-19648
+-26229	-19641
+-26234	-19633
+-26240	-19625
+-26246	-19618
+-26251	-19610
+-26257	-19603
+-26263	-19595
+-26268	-19588
+-26274	-19580
+-26280	-19573
+-26285	-19565
+-26291	-19558
+-26296	-19550
+-26302	-19542
+-26308	-19535
+-26313	-19527
+-26319	-19520
+-26325	-19512
+-26330	-19505
+-26336	-19497
+-26341	-19489
+-26347	-19482
+-26353	-19474
+-26358	-19467
+-26364	-19459
+-26369	-19451
+-26375	-19444
+-26381	-19436
+-26386	-19429
+-26392	-19421
+-26397	-19414
+-26403	-19406
+-26408	-19398
+-26414	-19391
+-26420	-19383
+-26425	-19376
+-26431	-19368
+-26436	-19360
+-26442	-19353
+-26447	-19345
+-26453	-19338
+-26459	-19330
+-26464	-19322
+-26470	-19315
+-26475	-19307
+-26481	-19299
+-26486	-19292
+-26492	-19284
+-26497	-19277
+-26503	-19269
+-26509	-19261
+-26514	-19254
+-26520	-19246
+-26525	-19239
+-26531	-19231
+-26536	-19223
+-26542	-19216
+-26547	-19208
+-26553	-19200
+-26558	-19193
+-26564	-19185
+-26569	-19177
+-26575	-19170
+-26580	-19162
+-26586	-19154
+-26591	-19147
+-26597	-19139
+-26602	-19132
+-26608	-19124
+-26613	-19116
+-26619	-19109
+-26624	-19101
+-26630	-19093
+-26635	-19086
+-26641	-19078
+-26646	-19070
+-26652	-19063
+-26657	-19055
+-26663	-19047
+-26668	-19040
+-26674	-19032
+-26679	-19024
+-26685	-19017
+-26690	-19009
+-26696	-19001
+-26701	-18994
+-26707	-18986
+-26712	-18978
+-26717	-18971
+-26723	-18963
+-26728	-18955
+-26734	-18947
+-26739	-18940
+-26745	-18932
+-26750	-18924
+-26756	-18917
+-26761	-18909
+-26766	-18901
+-26772	-18894
+-26777	-18886
+-26783	-18878
+-26788	-18870
+-26794	-18863
+-26799	-18855
+-26804	-18847
+-26810	-18840
+-26815	-18832
+-26821	-18824
+-26826	-18817
+-26832	-18809
+-26837	-18801
+-26842	-18793
+-26848	-18786
+-26853	-18778
+-26859	-18770
+-26864	-18762
+-26869	-18755
+-26875	-18747
+-26880	-18739
+-26886	-18732
+-26891	-18724
+-26896	-18716
+-26902	-18708
+-26907	-18701
+-26912	-18693
+-26918	-18685
+-26923	-18677
+-26929	-18670
+-26934	-18662
+-26939	-18654
+-26945	-18646
+-26950	-18639
+-26955	-18631
+-26961	-18623
+-26966	-18615
+-26971	-18608
+-26977	-18600
+-26982	-18592
+-26987	-18584
+-26993	-18577
+-26998	-18569
+-27003	-18561
+-27009	-18553
+-27014	-18546
+-27019	-18538
+-27025	-18530
+-27030	-18522
+-27035	-18514
+-27041	-18507
+-27046	-18499
+-27051	-18491
+-27057	-18483
+-27062	-18476
+-27067	-18468
+-27073	-18460
+-27078	-18452
+-27083	-18444
+-27089	-18437
+-27094	-18429
+-27099	-18421
+-27105	-18413
+-27110	-18405
+-27115	-18398
+-27120	-18390
+-27126	-18382
+-27131	-18374
+-27136	-18366
+-27142	-18359
+-27147	-18351
+-27152	-18343
+-27157	-18335
+-27163	-18327
+-27168	-18320
+-27173	-18312
+-27178	-18304
+-27184	-18296
+-27189	-18288
+-27194	-18280
+-27199	-18273
+-27205	-18265
+-27210	-18257
+-27215	-18249
+-27220	-18241
+-27226	-18234
+-27231	-18226
+-27236	-18218
+-27241	-18210
+-27247	-18202
+-27252	-18194
+-27257	-18187
+-27262	-18179
+-27268	-18171
+-27273	-18163
+-27278	-18155
+-27283	-18147
+-27288	-18139
+-27294	-18132
+-27299	-18124
+-27304	-18116
+-27309	-18108
+-27315	-18100
+-27320	-18092
+-27325	-18084
+-27330	-18077
+-27335	-18069
+-27341	-18061
+-27346	-18053
+-27351	-18045
+-27356	-18037
+-27361	-18029
+-27366	-18022
+-27372	-18014
+-27377	-18006
+-27382	-17998
+-27387	-17990
+-27392	-17982
+-27398	-17974
+-27403	-17966
+-27408	-17959
+-27413	-17951
+-27418	-17943
+-27423	-17935
+-27429	-17927
+-27434	-17919
+-27439	-17911
+-27444	-17903
+-27449	-17895
+-27454	-17888
+-27459	-17880
+-27465	-17872
+-27470	-17864
+-27475	-17856
+-27480	-17848
+-27485	-17840
+-27490	-17832
+-27495	-17824
+-27500	-17816
+-27506	-17809
+-27511	-17801
+-27516	-17793
+-27521	-17785
+-27526	-17777
+-27531	-17769
+-27536	-17761
+-27541	-17753
+-27547	-17745
+-27552	-17737
+-27557	-17729
+-27562	-17721
+-27567	-17713
+-27572	-17706
+-27577	-17698
+-27582	-17690
+-27587	-17682
+-27592	-17674
+-27597	-17666
+-27603	-17658
+-27608	-17650
+-27613	-17642
+-27618	-17634
+-27623	-17626
+-27628	-17618
+-27633	-17610
+-27638	-17602
+-27643	-17594
+-27648	-17586
+-27653	-17578
+-27658	-17571
+-27663	-17563
+-27668	-17555
+-27673	-17547
+-27678	-17539
+-27683	-17531
+-27689	-17523
+-27694	-17515
+-27699	-17507
+-27704	-17499
+-27709	-17491
+-27714	-17483
+-27719	-17475
+-27724	-17467
+-27729	-17459
+-27734	-17451
+-27739	-17443
+-27744	-17435
+-27749	-17427
+-27754	-17419
+-27759	-17411
+-27764	-17403
+-27769	-17395
+-27774	-17387
+-27779	-17379
+-27784	-17371
+-27789	-17363
+-27794	-17355
+-27799	-17347
+-27804	-17339
+-27809	-17331
+-27814	-17323
+-27819	-17315
+-27824	-17307
+-27829	-17299
+-27834	-17291
+-27839	-17283
+-27844	-17275
+-27849	-17267
+-27854	-17259
+-27859	-17251
+-27863	-17243
+-27868	-17235
+-27873	-17227
+-27878	-17219
+-27883	-17211
+-27888	-17203
+-27893	-17195
+-27898	-17187
+-27903	-17179
+-27908	-17171
+-27913	-17163
+-27918	-17155
+-27923	-17147
+-27928	-17139
+-27933	-17131
+-27938	-17123
+-27943	-17115
+-27947	-17107
+-27952	-17099
+-27957	-17091
+-27962	-17083
+-27967	-17075
+-27972	-17067
+-27977	-17058
+-27982	-17050
+-27987	-17042
+-27992	-17034
+-27997	-17026
+-28001	-17018
+-28006	-17010
+-28011	-17002
+-28016	-16994
+-28021	-16986
+-28026	-16978
+-28031	-16970
+-28036	-16962
+-28041	-16954
+-28045	-16946
+-28050	-16938
+-28055	-16930
+-28060	-16921
+-28065	-16913
+-28070	-16905
+-28075	-16897
+-28079	-16889
+-28084	-16881
+-28089	-16873
+-28094	-16865
+-28099	-16857
+-28104	-16849
+-28109	-16841
+-28113	-16833
+-28118	-16825
+-28123	-16816
+-28128	-16808
+-28133	-16800
+-28138	-16792
+-28142	-16784
+-28147	-16776
+-28152	-16768
+-28157	-16760
+-28162	-16752
+-28167	-16744
+-28171	-16735
+-28176	-16727
+-28181	-16719
+-28186	-16711
+-28191	-16703
+-28195	-16695
+-28200	-16687
+-28205	-16679
+-28210	-16671
+-28215	-16663
+-28219	-16654
+-28224	-16646
+-28229	-16638
+-28234	-16630
+-28238	-16622
+-28243	-16614
+-28248	-16606
+-28253	-16598
+-28258	-16589
+-28262	-16581
+-28267	-16573
+-28272	-16565
+-28277	-16557
+-28281	-16549
+-28286	-16541
+-28291	-16532
+-28296	-16524
+-28300	-16516
+-28305	-16508
+-28310	-16500
+-28315	-16492
+-28319	-16484
+-28324	-16476
+-28329	-16467
+-28334	-16459
+-28338	-16451
+-28343	-16443
+-28348	-16435
+-28353	-16427
+-28357	-16418
+-28362	-16410
+-28367	-16402
+-28371	-16394
+-28376	-16386
+-28381	-16377
+-28386	-16368
+-28390	-16360
+-28395	-16352
+-28400	-16344
+-28404	-16336
+-28409	-16328
+-28414	-16319
+-28418	-16311
+-28423	-16303
+-28428	-16295
+-28433	-16287
+-28437	-16279
+-28442	-16270
+-28447	-16262
+-28451	-16254
+-28456	-16246
+-28461	-16238
+-28465	-16230
+-28470	-16221
+-28475	-16213
+-28479	-16205
+-28484	-16197
+-28489	-16189
+-28493	-16180
+-28498	-16172
+-28503	-16164
+-28507	-16156
+-28512	-16148
+-28516	-16139
+-28521	-16131
+-28526	-16123
+-28530	-16115
+-28535	-16107
+-28540	-16098
+-28544	-16090
+-28549	-16082
+-28554	-16074
+-28558	-16065
+-28563	-16057
+-28567	-16049
+-28572	-16041
+-28577	-16033
+-28581	-16024
+-28586	-16016
+-28590	-16008
+-28595	-16000
+-28600	-15992
+-28604	-15983
+-28609	-15975
+-28613	-15967
+-28618	-15959
+-28623	-15950
+-28627	-15942
+-28632	-15934
+-28636	-15926
+-28641	-15917
+-28646	-15909
+-28650	-15901
+-28655	-15893
+-28659	-15884
+-28664	-15876
+-28668	-15868
+-28673	-15860
+-28677	-15851
+-28682	-15843
+-28687	-15835
+-28691	-15827
+-28696	-15818
+-28700	-15810
+-28705	-15802
+-28709	-15794
+-28714	-15785
+-28718	-15777
+-28723	-15769
+-28727	-15761
+-28732	-15752
+-28737	-15744
+-28741	-15736
+-28746	-15728
+-28750	-15719
+-28755	-15711
+-28759	-15703
+-28764	-15695
+-28768	-15686
+-28773	-15678
+-28777	-15670
+-28782	-15661
+-28786	-15653
+-28791	-15645
+-28795	-15637
+-28800	-15628
+-28804	-15620
+-28809	-15612
+-28813	-15603
+-28818	-15595
+-28822	-15587
+-28827	-15579
+-28831	-15570
+-28836	-15562
+-28840	-15554
+-28845	-15545
+-28849	-15537
+-28853	-15529
+-28858	-15521
+-28862	-15512
+-28867	-15504
+-28871	-15496
+-28876	-15487
+-28880	-15479
+-28885	-15471
+-28889	-15462
+-28894	-15454
+-28898	-15446
+-28902	-15437
+-28907	-15429
+-28911	-15421
+-28916	-15413
+-28920	-15404
+-28925	-15396
+-28929	-15388
+-28933	-15379
+-28938	-15371
+-28942	-15363
+-28947	-15354
+-28951	-15346
+-28956	-15338
+-28960	-15329
+-28964	-15321
+-28969	-15313
+-28973	-15304
+-28978	-15296
+-28982	-15288
+-28986	-15279
+-28991	-15271
+-28995	-15263
+-29000	-15254
+-29004	-15246
+-29008	-15238
+-29013	-15229
+-29017	-15221
+-29021	-15213
+-29026	-15204
+-29030	-15196
+-29035	-15188
+-29039	-15179
+-29043	-15171
+-29048	-15162
+-29052	-15154
+-29056	-15146
+-29061	-15137
+-29065	-15129
+-29069	-15121
+-29074	-15112
+-29078	-15104
+-29083	-15096
+-29087	-15087
+-29091	-15079
+-29096	-15070
+-29100	-15062
+-29104	-15054
+-29109	-15045
+-29113	-15037
+-29117	-15029
+-29121	-15020
+-29126	-15012
+-29130	-15004
+-29134	-14995
+-29139	-14987
+-29143	-14978
+-29147	-14970
+-29152	-14962
+-29156	-14953
+-29160	-14945
+-29165	-14936
+-29169	-14928
+-29173	-14920
+-29177	-14911
+-29182	-14903
+-29186	-14894
+-29190	-14886
+-29195	-14878
+-29199	-14869
+-29203	-14861
+-29207	-14852
+-29212	-14844
+-29216	-14836
+-29220	-14827
+-29224	-14819
+-29229	-14810
+-29233	-14802
+-29237	-14794
+-29242	-14785
+-29246	-14777
+-29250	-14768
+-29254	-14760
+-29258	-14752
+-29263	-14743
+-29267	-14735
+-29271	-14726
+-29275	-14718
+-29280	-14710
+-29284	-14701
+-29288	-14693
+-29292	-14684
+-29297	-14676
+-29301	-14667
+-29305	-14659
+-29309	-14651
+-29313	-14642
+-29318	-14634
+-29322	-14625
+-29326	-14617
+-29330	-14608
+-29334	-14600
+-29339	-14591
+-29343	-14583
+-29347	-14575
+-29351	-14566
+-29355	-14558
+-29360	-14549
+-29364	-14541
+-29368	-14532
+-29372	-14524
+-29376	-14516
+-29381	-14507
+-29385	-14499
+-29389	-14490
+-29393	-14482
+-29397	-14473
+-29401	-14465
+-29406	-14456
+-29410	-14448
+-29414	-14439
+-29418	-14431
+-29422	-14422
+-29426	-14414
+-29430	-14406
+-29435	-14397
+-29439	-14389
+-29443	-14380
+-29447	-14372
+-29451	-14363
+-29455	-14355
+-29459	-14346
+-29463	-14338
+-29468	-14329
+-29472	-14321
+-29476	-14312
+-29480	-14304
+-29484	-14295
+-29488	-14287
+-29492	-14278
+-29496	-14270
+-29500	-14261
+-29505	-14253
+-29509	-14245
+-29513	-14236
+-29517	-14228
+-29521	-14219
+-29525	-14211
+-29529	-14202
+-29533	-14194
+-29537	-14185
+-29541	-14177
+-29545	-14168
+-29550	-14160
+-29554	-14151
+-29558	-14143
+-29562	-14134
+-29566	-14126
+-29570	-14117
+-29574	-14109
+-29578	-14100
+-29582	-14092
+-29586	-14083
+-29590	-14075
+-29594	-14066
+-29598	-14058
+-29602	-14049
+-29606	-14041
+-29610	-14032
+-29614	-14023
+-29618	-14015
+-29622	-14006
+-29626	-13998
+-29631	-13989
+-29635	-13981
+-29639	-13972
+-29643	-13964
+-29647	-13955
+-29651	-13947
+-29655	-13938
+-29659	-13930
+-29663	-13921
+-29667	-13913
+-29671	-13904
+-29675	-13896
+-29679	-13887
+-29683	-13879
+-29687	-13870
+-29691	-13861
+-29695	-13853
+-29699	-13844
+-29703	-13836
+-29707	-13827
+-29710	-13819
+-29714	-13810
+-29718	-13802
+-29722	-13793
+-29726	-13785
+-29730	-13776
+-29734	-13767
+-29738	-13759
+-29742	-13750
+-29746	-13742
+-29750	-13733
+-29754	-13725
+-29758	-13716
+-29762	-13708
+-29766	-13699
+-29770	-13690
+-29774	-13682
+-29778	-13673
+-29782	-13665
+-29786	-13656
+-29789	-13648
+-29793	-13639
+-29797	-13630
+-29801	-13622
+-29805	-13613
+-29809	-13605
+-29813	-13596
+-29817	-13588
+-29821	-13579
+-29825	-13570
+-29829	-13562
+-29833	-13553
+-29836	-13545
+-29840	-13536
+-29844	-13528
+-29848	-13519
+-29852	-13510
+-29856	-13502
+-29860	-13493
+-29864	-13485
+-29868	-13476
+-29871	-13467
+-29875	-13459
+-29879	-13450
+-29883	-13442
+-29887	-13433
+-29891	-13424
+-29895	-13416
+-29898	-13407
+-29902	-13399
+-29906	-13390
+-29910	-13381
+-29914	-13373
+-29918	-13364
+-29922	-13356
+-29925	-13347
+-29929	-13338
+-29933	-13330
+-29937	-13321
+-29941	-13313
+-29945	-13304
+-29948	-13295
+-29952	-13287
+-29956	-13278
+-29960	-13270
+-29964	-13261
+-29967	-13252
+-29971	-13244
+-29975	-13235
+-29979	-13226
+-29983	-13218
+-29986	-13209
+-29990	-13201
+-29994	-13192
+-29998	-13183
+-30002	-13175
+-30005	-13166
+-30009	-13157
+-30013	-13149
+-30017	-13140
+-30021	-13132
+-30024	-13123
+-30028	-13114
+-30032	-13106
+-30036	-13097
+-30039	-13088
+-30043	-13080
+-30047	-13071
+-30051	-13062
+-30054	-13054
+-30058	-13045
+-30062	-13036
+-30066	-13028
+-30069	-13019
+-30073	-13011
+-30077	-13002
+-30081	-12993
+-30084	-12985
+-30088	-12976
+-30092	-12967
+-30096	-12959
+-30099	-12950
+-30103	-12941
+-30107	-12933
+-30110	-12924
+-30114	-12915
+-30118	-12907
+-30122	-12898
+-30125	-12889
+-30129	-12881
+-30133	-12872
+-30136	-12863
+-30140	-12855
+-30144	-12846
+-30148	-12837
+-30151	-12829
+-30155	-12820
+-30159	-12811
+-30162	-12803
+-30166	-12794
+-30170	-12785
+-30173	-12777
+-30177	-12768
+-30181	-12759
+-30184	-12751
+-30188	-12742
+-30192	-12733
+-30195	-12725
+-30199	-12716
+-30203	-12707
+-30206	-12698
+-30210	-12690
+-30214	-12681
+-30217	-12672
+-30221	-12664
+-30225	-12655
+-30228	-12646
+-30232	-12638
+-30235	-12629
+-30239	-12620
+-30243	-12612
+-30246	-12603
+-30250	-12594
+-30254	-12585
+-30257	-12577
+-30261	-12568
+-30264	-12559
+-30268	-12551
+-30272	-12542
+-30275	-12533
+-30279	-12524
+-30282	-12516
+-30286	-12507
+-30290	-12498
+-30293	-12490
+-30297	-12481
+-30300	-12472
+-30304	-12464
+-30308	-12455
+-30311	-12446
+-30315	-12437
+-30318	-12429
+-30322	-12420
+-30325	-12411
+-30329	-12402
+-30333	-12394
+-30336	-12385
+-30340	-12376
+-30343	-12368
+-30347	-12359
+-30350	-12350
+-30354	-12341
+-30357	-12333
+-30361	-12324
+-30365	-12315
+-30368	-12306
+-30372	-12298
+-30375	-12289
+-30379	-12280
+-30382	-12272
+-30386	-12263
+-30389	-12254
+-30393	-12245
+-30396	-12237
+-30400	-12228
+-30403	-12219
+-30407	-12210
+-30410	-12202
+-30414	-12193
+-30417	-12184
+-30421	-12175
+-30424	-12167
+-30428	-12158
+-30431	-12149
+-30435	-12140
+-30438	-12132
+-30442	-12123
+-30445	-12114
+-30449	-12105
+-30452	-12097
+-30456	-12088
+-30459	-12079
+-30463	-12070
+-30466	-12062
+-30470	-12053
+-30473	-12044
+-30477	-12035
+-30480	-12026
+-30484	-12018
+-30487	-12009
+-30490	-12000
+-30494	-11991
+-30497	-11983
+-30501	-11974
+-30504	-11965
+-30508	-11956
+-30511	-11948
+-30515	-11939
+-30518	-11930
+-30521	-11921
+-30525	-11912
+-30528	-11904
+-30532	-11895
+-30535	-11886
+-30539	-11877
+-30542	-11868
+-30545	-11860
+-30549	-11851
+-30552	-11842
+-30556	-11833
+-30559	-11825
+-30562	-11816
+-30566	-11807
+-30569	-11798
+-30573	-11789
+-30576	-11781
+-30579	-11772
+-30583	-11763
+-30586	-11754
+-30589	-11745
+-30593	-11737
+-30596	-11728
+-30600	-11719
+-30603	-11710
+-30606	-11701
+-30610	-11693
+-30613	-11684
+-30616	-11675
+-30620	-11666
+-30623	-11657
+-30627	-11649
+-30630	-11640
+-30633	-11631
+-30637	-11622
+-30640	-11613
+-30643	-11605
+-30647	-11596
+-30650	-11587
+-30653	-11578
+-30657	-11569
+-30660	-11560
+-30663	-11552
+-30667	-11543
+-30670	-11534
+-30673	-11525
+-30676	-11516
+-30680	-11508
+-30683	-11499
+-30686	-11490
+-30690	-11481
+-30693	-11472
+-30696	-11463
+-30700	-11455
+-30703	-11446
+-30706	-11437
+-30709	-11428
+-30713	-11419
+-30716	-11410
+-30719	-11402
+-30723	-11393
+-30726	-11384
+-30729	-11375
+-30732	-11366
+-30736	-11357
+-30739	-11349
+-30742	-11340
+-30745	-11331
+-30749	-11322
+-30752	-11313
+-30755	-11304
+-30758	-11295
+-30762	-11287
+-30765	-11278
+-30768	-11269
+-30771	-11260
+-30775	-11251
+-30778	-11242
+-30781	-11234
+-30784	-11225
+-30788	-11216
+-30791	-11207
+-30794	-11198
+-30797	-11189
+-30801	-11180
+-30804	-11172
+-30807	-11163
+-30810	-11154
+-30813	-11145
+-30817	-11136
+-30820	-11127
+-30823	-11118
+-30826	-11109
+-30829	-11101
+-30833	-11092
+-30836	-11083
+-30839	-11074
+-30842	-11065
+-30845	-11056
+-30848	-11047
+-30852	-11039
+-30855	-11030
+-30858	-11021
+-30861	-11012
+-30864	-11003
+-30867	-10994
+-30871	-10985
+-30874	-10976
+-30877	-10968
+-30880	-10959
+-30883	-10950
+-30886	-10941
+-30890	-10932
+-30893	-10923
+-30896	-10914
+-30899	-10905
+-30902	-10896
+-30905	-10888
+-30908	-10879
+-30911	-10870
+-30915	-10861
+-30918	-10852
+-30921	-10843
+-30924	-10834
+-30927	-10825
+-30930	-10816
+-30933	-10808
+-30936	-10799
+-30940	-10790
+-30943	-10781
+-30946	-10772
+-30949	-10763
+-30952	-10754
+-30955	-10745
+-30958	-10736
+-30961	-10727
+-30964	-10719
+-30967	-10710
+-30970	-10701
+-30974	-10692
+-30977	-10683
+-30980	-10674
+-30983	-10665
+-30986	-10656
+-30989	-10647
+-30992	-10638
+-30995	-10629
+-30998	-10620
+-31001	-10612
+-31004	-10603
+-31007	-10594
+-31010	-10585
+-31013	-10576
+-31016	-10567
+-31019	-10558
+-31022	-10549
+-31025	-10540
+-31028	-10531
+-31031	-10522
+-31035	-10513
+-31038	-10505
+-31041	-10496
+-31044	-10487
+-31047	-10478
+-31050	-10469
+-31053	-10460
+-31056	-10451
+-31059	-10442
+-31062	-10433
+-31065	-10424
+-31068	-10415
+-31071	-10406
+-31074	-10397
+-31077	-10388
+-31080	-10379
+-31083	-10371
+-31086	-10362
+-31089	-10353
+-31091	-10344
+-31094	-10335
+-31097	-10326
+-31100	-10317
+-31103	-10308
+-31106	-10299
+-31109	-10290
+-31112	-10281
+-31115	-10272
+-31118	-10263
+-31121	-10254
+-31124	-10245
+-31127	-10236
+-31130	-10227
+-31133	-10218
+-31136	-10209
+-31139	-10201
+-31142	-10192
+-31145	-10183
+-31148	-10174
+-31150	-10165
+-31153	-10156
+-31156	-10147
+-31159	-10138
+-31162	-10129
+-31165	-10120
+-31168	-10111
+-31171	-10102
+-31174	-10093
+-31177	-10084
+-31180	-10075
+-31182	-10066
+-31185	-10057
+-31188	-10048
+-31191	-10039
+-31194	-10030
+-31197	-10021
+-31200	-10012
+-31203	-10003
+-31206	 -9994
+-31208	 -9985
+-31211	 -9976
+-31214	 -9967
+-31217	 -9958
+-31220	 -9949
+-31223	 -9940
+-31226	 -9931
+-31228	 -9922
+-31231	 -9913
+-31234	 -9905
+-31237	 -9896
+-31240	 -9887
+-31243	 -9878
+-31246	 -9869
+-31248	 -9860
+-31251	 -9851
+-31254	 -9842
+-31257	 -9833
+-31260	 -9824
+-31263	 -9815
+-31265	 -9806
+-31268	 -9797
+-31271	 -9788
+-31274	 -9779
+-31277	 -9770
+-31279	 -9761
+-31282	 -9752
+-31285	 -9743
+-31288	 -9734
+-31291	 -9725
+-31293	 -9716
+-31296	 -9707
+-31299	 -9698
+-31302	 -9689
+-31305	 -9680
+-31307	 -9671
+-31310	 -9662
+-31313	 -9653
+-31316	 -9644
+-31318	 -9635
+-31321	 -9626
+-31324	 -9617
+-31327	 -9608
+-31330	 -9599
+-31332	 -9590
+-31335	 -9581
+-31338	 -9572
+-31341	 -9563
+-31343	 -9554
+-31346	 -9545
+-31349	 -9536
+-31352	 -9526
+-31354	 -9517
+-31357	 -9508
+-31360	 -9499
+-31362	 -9490
+-31365	 -9481
+-31368	 -9472
+-31371	 -9463
+-31373	 -9454
+-31376	 -9445
+-31379	 -9436
+-31382	 -9427
+-31384	 -9418
+-31387	 -9409
+-31390	 -9400
+-31392	 -9391
+-31395	 -9382
+-31398	 -9373
+-31400	 -9364
+-31403	 -9355
+-31406	 -9346
+-31409	 -9337
+-31411	 -9328
+-31414	 -9319
+-31417	 -9310
+-31419	 -9301
+-31422	 -9292
+-31425	 -9283
+-31427	 -9274
+-31430	 -9265
+-31433	 -9256
+-31435	 -9247
+-31438	 -9238
+-31441	 -9229
+-31443	 -9219
+-31446	 -9210
+-31449	 -9201
+-31451	 -9192
+-31454	 -9183
+-31456	 -9174
+-31459	 -9165
+-31462	 -9156
+-31464	 -9147
+-31467	 -9138
+-31470	 -9129
+-31472	 -9120
+-31475	 -9111
+-31477	 -9102
+-31480	 -9093
+-31483	 -9084
+-31485	 -9075
+-31488	 -9066
+-31491	 -9057
+-31493	 -9048
+-31496	 -9038
+-31498	 -9029
+-31501	 -9020
+-31504	 -9011
+-31506	 -9002
+-31509	 -8993
+-31511	 -8984
+-31514	 -8975
+-31516	 -8966
+-31519	 -8957
+-31522	 -8948
+-31524	 -8939
+-31527	 -8930
+-31529	 -8921
+-31532	 -8912
+-31534	 -8902
+-31537	 -8893
+-31540	 -8884
+-31542	 -8875
+-31545	 -8866
+-31547	 -8857
+-31550	 -8848
+-31552	 -8839
+-31555	 -8830
+-31557	 -8821
+-31560	 -8812
+-31562	 -8803
+-31565	 -8794
+-31567	 -8785
+-31570	 -8775
+-31573	 -8766
+-31575	 -8757
+-31578	 -8748
+-31580	 -8739
+-31583	 -8730
+-31585	 -8721
+-31588	 -8712
+-31590	 -8703
+-31593	 -8694
+-31595	 -8685
+-31598	 -8676
+-31600	 -8666
+-31603	 -8657
+-31605	 -8648
+-31608	 -8639
+-31610	 -8630
+-31613	 -8621
+-31615	 -8612
+-31617	 -8603
+-31620	 -8594
+-31622	 -8585
+-31625	 -8576
+-31627	 -8566
+-31630	 -8557
+-31632	 -8548
+-31635	 -8539
+-31637	 -8530
+-31640	 -8521
+-31642	 -8512
+-31645	 -8503
+-31647	 -8494
+-31649	 -8485
+-31652	 -8475
+-31654	 -8466
+-31657	 -8457
+-31659	 -8448
+-31662	 -8439
+-31664	 -8430
+-31666	 -8421
+-31669	 -8412
+-31671	 -8403
+-31674	 -8393
+-31676	 -8384
+-31679	 -8375
+-31681	 -8366
+-31683	 -8357
+-31686	 -8348
+-31688	 -8339
+-31691	 -8330
+-31693	 -8321
+-31695	 -8311
+-31698	 -8302
+-31700	 -8293
+-31702	 -8284
+-31705	 -8275
+-31707	 -8266
+-31710	 -8257
+-31712	 -8248
+-31714	 -8238
+-31717	 -8229
+-31719	 -8220
+-31721	 -8211
+-31724	 -8202
+-31726	 -8193
+-31729	 -8184
+-31731	 -8175
+-31733	 -8165
+-31736	 -8156
+-31738	 -8147
+-31740	 -8138
+-31743	 -8129
+-31745	 -8120
+-31747	 -8111
+-31750	 -8102
+-31752	 -8092
+-31754	 -8083
+-31757	 -8074
+-31759	 -8065
+-31761	 -8056
+-31764	 -8047
+-31766	 -8038
+-31768	 -8029
+-31770	 -8019
+-31773	 -8010
+-31775	 -8001
+-31777	 -7992
+-31780	 -7983
+-31782	 -7974
+-31784	 -7965
+-31787	 -7955
+-31789	 -7946
+-31791	 -7937
+-31793	 -7928
+-31796	 -7919
+-31798	 -7910
+-31800	 -7901
+-31803	 -7891
+-31805	 -7882
+-31807	 -7873
+-31809	 -7864
+-31812	 -7855
+-31814	 -7846
+-31816	 -7836
+-31818	 -7827
+-31821	 -7818
+-31823	 -7809
+-31825	 -7800
+-31827	 -7791
+-31830	 -7782
+-31832	 -7772
+-31834	 -7763
+-31836	 -7754
+-31839	 -7745
+-31841	 -7736
+-31843	 -7727
+-31845	 -7717
+-31847	 -7708
+-31850	 -7699
+-31852	 -7690
+-31854	 -7681
+-31856	 -7672
+-31858	 -7663
+-31861	 -7653
+-31863	 -7644
+-31865	 -7635
+-31867	 -7626
+-31869	 -7617
+-31872	 -7608
+-31874	 -7598
+-31876	 -7589
+-31878	 -7580
+-31880	 -7571
+-31883	 -7562
+-31885	 -7553
+-31887	 -7543
+-31889	 -7534
+-31891	 -7525
+-31893	 -7516
+-31896	 -7507
+-31898	 -7497
+-31900	 -7488
+-31902	 -7479
+-31904	 -7470
+-31906	 -7461
+-31908	 -7452
+-31911	 -7442
+-31913	 -7433
+-31915	 -7424
+-31917	 -7415
+-31919	 -7406
+-31921	 -7397
+-31923	 -7387
+-31925	 -7378
+-31928	 -7369
+-31930	 -7360
+-31932	 -7351
+-31934	 -7341
+-31936	 -7332
+-31938	 -7323
+-31940	 -7314
+-31942	 -7305
+-31944	 -7295
+-31947	 -7286
+-31949	 -7277
+-31951	 -7268
+-31953	 -7259
+-31955	 -7250
+-31957	 -7240
+-31959	 -7231
+-31961	 -7222
+-31963	 -7213
+-31965	 -7204
+-31967	 -7194
+-31969	 -7185
+-31972	 -7176
+-31974	 -7167
+-31976	 -7158
+-31978	 -7148
+-31980	 -7139
+-31982	 -7130
+-31984	 -7121
+-31986	 -7112
+-31988	 -7102
+-31990	 -7093
+-31992	 -7084
+-31994	 -7075
+-31996	 -7066
+-31998	 -7056
+-32000	 -7047
+-32002	 -7038
+-32004	 -7029
+-32006	 -7020
+-32008	 -7010
+-32010	 -7001
+-32012	 -6992
+-32014	 -6983
+-32016	 -6974
+-32018	 -6964
+-32020	 -6955
+-32022	 -6946
+-32024	 -6937
+-32026	 -6928
+-32028	 -6918
+-32030	 -6909
+-32032	 -6900
+-32034	 -6891
+-32036	 -6881
+-32038	 -6872
+-32040	 -6863
+-32042	 -6854
+-32044	 -6845
+-32046	 -6835
+-32048	 -6826
+-32050	 -6817
+-32052	 -6808
+-32054	 -6799
+-32056	 -6789
+-32058	 -6780
+-32060	 -6771
+-32062	 -6762
+-32064	 -6752
+-32066	 -6743
+-32068	 -6734
+-32070	 -6725
+-32071	 -6716
+-32073	 -6706
+-32075	 -6697
+-32077	 -6688
+-32079	 -6679
+-32081	 -6669
+-32083	 -6660
+-32085	 -6651
+-32087	 -6642
+-32089	 -6632
+-32091	 -6623
+-32093	 -6614
+-32094	 -6605
+-32096	 -6596
+-32098	 -6586
+-32100	 -6577
+-32102	 -6568
+-32104	 -6559
+-32106	 -6549
+-32108	 -6540
+-32110	 -6531
+-32111	 -6522
+-32113	 -6512
+-32115	 -6503
+-32117	 -6494
+-32119	 -6485
+-32121	 -6475
+-32123	 -6466
+-32124	 -6457
+-32126	 -6448
+-32128	 -6439
+-32130	 -6429
+-32132	 -6420
+-32134	 -6411
+-32136	 -6402
+-32137	 -6392
+-32139	 -6383
+-32141	 -6374
+-32143	 -6365
+-32145	 -6355
+-32147	 -6346
+-32148	 -6337
+-32150	 -6328
+-32152	 -6318
+-32154	 -6309
+-32156	 -6300
+-32157	 -6291
+-32159	 -6281
+-32161	 -6272
+-32163	 -6263
+-32165	 -6254
+-32166	 -6244
+-32168	 -6235
+-32170	 -6226
+-32172	 -6217
+-32174	 -6207
+-32175	 -6198
+-32177	 -6189
+-32179	 -6180
+-32181	 -6170
+-32183	 -6161
+-32184	 -6152
+-32186	 -6143
+-32188	 -6133
+-32190	 -6124
+-32191	 -6115
+-32193	 -6106
+-32195	 -6096
+-32197	 -6087
+-32198	 -6078
+-32200	 -6069
+-32202	 -6059
+-32204	 -6050
+-32205	 -6041
+-32207	 -6031
+-32209	 -6022
+-32211	 -6013
+-32212	 -6004
+-32214	 -5994
+-32216	 -5985
+-32217	 -5976
+-32219	 -5967
+-32221	 -5957
+-32223	 -5948
+-32224	 -5939
+-32226	 -5930
+-32228	 -5920
+-32229	 -5911
+-32231	 -5902
+-32233	 -5892
+-32235	 -5883
+-32236	 -5874
+-32238	 -5865
+-32240	 -5855
+-32241	 -5846
+-32243	 -5837
+-32245	 -5828
+-32246	 -5818
+-32248	 -5809
+-32250	 -5800
+-32251	 -5790
+-32253	 -5781
+-32255	 -5772
+-32256	 -5763
+-32258	 -5753
+-32260	 -5744
+-32261	 -5735
+-32263	 -5726
+-32265	 -5716
+-32266	 -5707
+-32268	 -5698
+-32269	 -5688
+-32271	 -5679
+-32273	 -5670
+-32274	 -5661
+-32276	 -5651
+-32278	 -5642
+-32279	 -5633
+-32281	 -5623
+-32282	 -5614
+-32284	 -5605
+-32286	 -5596
+-32287	 -5586
+-32289	 -5577
+-32290	 -5568
+-32292	 -5558
+-32294	 -5549
+-32295	 -5540
+-32297	 -5531
+-32298	 -5521
+-32300	 -5512
+-32302	 -5503
+-32303	 -5493
+-32305	 -5484
+-32306	 -5475
+-32308	 -5466
+-32310	 -5456
+-32311	 -5447
+-32313	 -5438
+-32314	 -5428
+-32316	 -5419
+-32317	 -5410
+-32319	 -5400
+-32320	 -5391
+-32322	 -5382
+-32324	 -5373
+-32325	 -5363
+-32327	 -5354
+-32328	 -5345
+-32330	 -5335
+-32331	 -5326
+-32333	 -5317
+-32334	 -5307
+-32336	 -5298
+-32337	 -5289
+-32339	 -5280
+-32340	 -5270
+-32342	 -5261
+-32343	 -5252
+-32345	 -5242
+-32346	 -5233
+-32348	 -5224
+-32349	 -5214
+-32351	 -5205
+-32352	 -5196
+-32354	 -5187
+-32355	 -5177
+-32357	 -5168
+-32358	 -5159
+-32360	 -5149
+-32361	 -5140
+-32363	 -5131
+-32364	 -5121
+-32366	 -5112
+-32367	 -5103
+-32369	 -5093
+-32370	 -5084
+-32372	 -5075
+-32373	 -5066
+-32375	 -5056
+-32376	 -5047
+-32377	 -5038
+-32379	 -5028
+-32380	 -5019
+-32382	 -5010
+-32383	 -5000
+-32385	 -4991
+-32386	 -4982
+-32388	 -4972
+-32389	 -4963
+-32390	 -4954
+-32392	 -4944
+-32393	 -4935
+-32395	 -4926
+-32396	 -4917
+-32397	 -4907
+-32399	 -4898
+-32400	 -4889
+-32402	 -4879
+-32403	 -4870
+-32404	 -4861
+-32406	 -4851
+-32407	 -4842
+-32409	 -4833
+-32410	 -4823
+-32411	 -4814
+-32413	 -4805
+-32414	 -4795
+-32416	 -4786
+-32417	 -4777
+-32418	 -4767
+-32420	 -4758
+-32421	 -4749
+-32422	 -4739
+-32424	 -4730
+-32425	 -4721
+-32427	 -4711
+-32428	 -4702
+-32429	 -4693
+-32431	 -4683
+-32432	 -4674
+-32433	 -4665
+-32435	 -4655
+-32436	 -4646
+-32437	 -4637
+-32439	 -4627
+-32440	 -4618
+-32441	 -4609
+-32443	 -4599
+-32444	 -4590
+-32445	 -4581
+-32447	 -4571
+-32448	 -4562
+-32449	 -4553
+-32450	 -4543
+-32452	 -4534
+-32453	 -4525
+-32454	 -4515
+-32456	 -4506
+-32457	 -4497
+-32458	 -4487
+-32460	 -4478
+-32461	 -4469
+-32462	 -4459
+-32463	 -4450
+-32465	 -4441
+-32466	 -4431
+-32467	 -4422
+-32468	 -4413
+-32470	 -4403
+-32471	 -4394
+-32472	 -4385
+-32474	 -4375
+-32475	 -4366
+-32476	 -4357
+-32477	 -4347
+-32479	 -4338
+-32480	 -4329
+-32481	 -4319
+-32482	 -4310
+-32484	 -4301
+-32485	 -4291
+-32486	 -4282
+-32487	 -4273
+-32488	 -4263
+-32490	 -4254
+-32491	 -4245
+-32492	 -4235
+-32493	 -4226
+-32495	 -4217
+-32496	 -4207
+-32497	 -4198
+-32498	 -4189
+-32499	 -4179
+-32501	 -4170
+-32502	 -4161
+-32503	 -4151
+-32504	 -4142
+-32505	 -4132
+-32507	 -4123
+-32508	 -4114
+-32509	 -4104
+-32510	 -4095
+-32511	 -4086
+-32512	 -4076
+-32514	 -4067
+-32515	 -4058
+-32516	 -4048
+-32517	 -4039
+-32518	 -4030
+-32519	 -4020
+-32521	 -4011
+-32522	 -4002
+-32523	 -3992
+-32524	 -3983
+-32525	 -3973
+-32526	 -3964
+-32527	 -3955
+-32529	 -3945
+-32530	 -3936
+-32531	 -3927
+-32532	 -3917
+-32533	 -3908
+-32534	 -3899
+-32535	 -3889
+-32536	 -3880
+-32538	 -3871
+-32539	 -3861
+-32540	 -3852
+-32541	 -3842
+-32542	 -3833
+-32543	 -3824
+-32544	 -3814
+-32545	 -3805
+-32546	 -3796
+-32547	 -3786
+-32549	 -3777
+-32550	 -3768
+-32551	 -3758
+-32552	 -3749
+-32553	 -3740
+-32554	 -3730
+-32555	 -3721
+-32556	 -3711
+-32557	 -3702
+-32558	 -3693
+-32559	 -3683
+-32560	 -3674
+-32561	 -3665
+-32562	 -3655
+-32564	 -3646
+-32565	 -3637
+-32566	 -3627
+-32567	 -3618
+-32568	 -3608
+-32569	 -3599
+-32570	 -3590
+-32571	 -3580
+-32572	 -3571
+-32573	 -3562
+-32574	 -3552
+-32575	 -3543
+-32576	 -3533
+-32577	 -3524
+-32578	 -3515
+-32579	 -3505
+-32580	 -3496
+-32581	 -3487
+-32582	 -3477
+-32583	 -3468
+-32584	 -3459
+-32585	 -3449
+-32586	 -3440
+-32587	 -3430
+-32588	 -3421
+-32589	 -3412
+-32590	 -3402
+-32591	 -3393
+-32592	 -3384
+-32593	 -3374
+-32594	 -3365
+-32595	 -3355
+-32596	 -3346
+-32597	 -3337
+-32598	 -3327
+-32599	 -3318
+-32600	 -3309
+-32600	 -3299
+-32601	 -3290
+-32602	 -3280
+-32603	 -3271
+-32604	 -3262
+-32605	 -3252
+-32606	 -3243
+-32607	 -3234
+-32608	 -3224
+-32609	 -3215
+-32610	 -3205
+-32611	 -3196
+-32612	 -3187
+-32613	 -3177
+-32614	 -3168
+-32614	 -3158
+-32615	 -3149
+-32616	 -3140
+-32617	 -3130
+-32618	 -3121
+-32619	 -3112
+-32620	 -3102
+-32621	 -3093
+-32622	 -3083
+-32622	 -3074
+-32623	 -3065
+-32624	 -3055
+-32625	 -3046
+-32626	 -3037
+-32627	 -3027
+-32628	 -3018
+-32629	 -3008
+-32629	 -2999
+-32630	 -2990
+-32631	 -2980
+-32632	 -2971
+-32633	 -2961
+-32634	 -2952
+-32635	 -2943
+-32635	 -2933
+-32636	 -2924
+-32637	 -2915
+-32638	 -2905
+-32639	 -2896
+-32640	 -2886
+-32640	 -2877
+-32641	 -2868
+-32642	 -2858
+-32643	 -2849
+-32644	 -2839
+-32645	 -2830
+-32645	 -2821
+-32646	 -2811
+-32647	 -2802
+-32648	 -2792
+-32649	 -2783
+-32649	 -2774
+-32650	 -2764
+-32651	 -2755
+-32652	 -2746
+-32653	 -2736
+-32653	 -2727
+-32654	 -2717
+-32655	 -2708
+-32656	 -2699
+-32656	 -2689
+-32657	 -2680
+-32658	 -2670
+-32659	 -2661
+-32660	 -2652
+-32660	 -2642
+-32661	 -2633
+-32662	 -2623
+-32663	 -2614
+-32663	 -2605
+-32664	 -2595
+-32665	 -2586
+-32666	 -2576
+-32666	 -2567
+-32667	 -2558
+-32668	 -2548
+-32668	 -2539
+-32669	 -2529
+-32670	 -2520
+-32671	 -2511
+-32671	 -2501
+-32672	 -2492
+-32673	 -2482
+-32674	 -2473
+-32674	 -2464
+-32675	 -2454
+-32676	 -2445
+-32676	 -2435
+-32677	 -2426
+-32678	 -2417
+-32678	 -2407
+-32679	 -2398
+-32680	 -2388
+-32681	 -2379
+-32681	 -2370
+-32682	 -2360
+-32683	 -2351
+-32683	 -2341
+-32684	 -2332
+-32685	 -2323
+-32685	 -2313
+-32686	 -2304
+-32687	 -2294
+-32687	 -2285
+-32688	 -2276
+-32689	 -2266
+-32689	 -2257
+-32690	 -2247
+-32690	 -2238
+-32691	 -2229
+-32692	 -2219
+-32692	 -2210
+-32693	 -2200
+-32694	 -2191
+-32694	 -2182
+-32695	 -2172
+-32696	 -2163
+-32696	 -2153
+-32697	 -2144
+-32697	 -2135
+-32698	 -2125
+-32699	 -2116
+-32699	 -2106
+-32700	 -2097
+-32700	 -2088
+-32701	 -2078
+-32702	 -2069
+-32702	 -2059
+-32703	 -2050
+-32703	 -2041
+-32704	 -2031
+-32705	 -2022
+-32705	 -2012
+-32706	 -2003
+-32706	 -1994
+-32707	 -1984
+-32707	 -1975
+-32708	 -1965
+-32709	 -1956
+-32709	 -1947
+-32710	 -1937
+-32710	 -1928
+-32711	 -1918
+-32711	 -1909
+-32712	 -1899
+-32712	 -1890
+-32713	 -1881
+-32714	 -1871
+-32714	 -1862
+-32715	 -1852
+-32715	 -1843
+-32716	 -1834
+-32716	 -1824
+-32717	 -1815
+-32717	 -1805
+-32718	 -1796
+-32718	 -1787
+-32719	 -1777
+-32719	 -1768
+-32720	 -1758
+-32720	 -1749
+-32721	 -1740
+-32721	 -1730
+-32722	 -1721
+-32722	 -1711
+-32723	 -1702
+-32723	 -1692
+-32724	 -1683
+-32724	 -1674
+-32725	 -1664
+-32725	 -1655
+-32726	 -1645
+-32726	 -1636
+-32727	 -1627
+-32727	 -1617
+-32728	 -1608
+-32728	 -1598
+-32728	 -1589
+-32729	 -1580
+-32729	 -1570
+-32730	 -1561
+-32730	 -1551
+-32731	 -1542
+-32731	 -1532
+-32732	 -1523
+-32732	 -1514
+-32732	 -1504
+-32733	 -1495
+-32733	 -1485
+-32734	 -1476
+-32734	 -1467
+-32735	 -1457
+-32735	 -1448
+-32735	 -1438
+-32736	 -1429
+-32736	 -1419
+-32737	 -1410
+-32737	 -1401
+-32737	 -1391
+-32738	 -1382
+-32738	 -1372
+-32739	 -1363
+-32739	 -1354
+-32739	 -1344
+-32740	 -1335
+-32740	 -1325
+-32741	 -1316
+-32741	 -1306
+-32741	 -1297
+-32742	 -1288
+-32742	 -1278
+-32742	 -1269
+-32743	 -1259
+-32743	 -1250
+-32744	 -1241
+-32744	 -1231
+-32744	 -1222
+-32745	 -1212
+-32745	 -1203
+-32745	 -1193
+-32746	 -1184
+-32746	 -1175
+-32746	 -1165
+-32747	 -1156
+-32747	 -1146
+-32747	 -1137
+-32748	 -1128
+-32748	 -1118
+-32748	 -1109
+-32749	 -1099
+-32749	 -1090
+-32749	 -1080
+-32749	 -1071
+-32750	 -1062
+-32750	 -1052
+-32750	 -1043
+-32751	 -1033
+-32751	 -1024
+-32751	 -1015
+-32752	 -1005
+-32752	  -996
+-32752	  -986
+-32752	  -977
+-32753	  -967
+-32753	  -958
+-32753	  -949
+-32754	  -939
+-32754	  -930
+-32754	  -920
+-32754	  -911
+-32755	  -901
+-32755	  -892
+-32755	  -883
+-32755	  -873
+-32756	  -864
+-32756	  -854
+-32756	  -845
+-32756	  -836
+-32757	  -826
+-32757	  -817
+-32757	  -807
+-32757	  -798
+-32758	  -788
+-32758	  -779
+-32758	  -770
+-32758	  -760
+-32758	  -751
+-32759	  -741
+-32759	  -732
+-32759	  -722
+-32759	  -713
+-32759	  -704
+-32760	  -694
+-32760	  -685
+-32760	  -675
+-32760	  -666
+-32760	  -657
+-32761	  -647
+-32761	  -638
+-32761	  -628
+-32761	  -619
+-32761	  -609
+-32762	  -600
+-32762	  -591
+-32762	  -581
+-32762	  -572
+-32762	  -562
+-32762	  -553
+-32762	  -543
+-32763	  -534
+-32763	  -525
+-32763	  -515
+-32763	  -506
+-32763	  -496
+-32763	  -487
+-32764	  -477
+-32764	  -468
+-32764	  -459
+-32764	  -449
+-32764	  -440
+-32764	  -430
+-32764	  -421
+-32764	  -412
+-32765	  -402
+-32765	  -393
+-32765	  -383
+-32765	  -374
+-32765	  -364
+-32765	  -355
+-32765	  -346
+-32765	  -336
+-32765	  -327
+-32765	  -317
+-32766	  -308
+-32766	  -298
+-32766	  -289
+-32766	  -280
+-32766	  -270
+-32766	  -261
+-32766	  -251
+-32766	  -242
+-32766	  -232
+-32766	  -223
+-32766	  -214
+-32766	  -204
+-32766	  -195
+-32766	  -185
+-32767	  -176
+-32767	  -166
+-32767	  -157
+-32767	  -148
+-32767	  -138
+-32767	  -129
+-32767	  -119
+-32767	  -110
+-32767	  -101
+-32767	   -91
+-32767	   -82
+-32767	   -72
+-32767	   -63
+-32767	   -53
+-32767	   -44
+-32767	   -35
+-32767	   -25
+-32767	   -16
+-32767	    -6
+-32767	     3
+-32767	    13
+-32767	    22
+-32767	    31
+-32767	    41
+-32767	    50
+-32767	    60
+-32767	    69
+-32767	    79
+-32767	    88
+-32767	    97
+-32767	   107
+-32767	   116
+-32767	   126
+-32767	   135
+-32767	   145
+-32767	   154
+-32767	   163
+-32767	   173
+-32766	   182
+-32766	   192
+-32766	   201
+-32766	   210
+-32766	   220
+-32766	   229
+-32766	   239
+-32766	   248
+-32766	   258
+-32766	   267
+-32766	   276
+-32766	   286
+-32766	   295
+-32766	   305
+-32765	   314
+-32765	   324
+-32765	   333
+-32765	   342
+-32765	   352
+-32765	   361
+-32765	   371
+-32765	   380
+-32765	   390
+-32765	   399
+-32764	   408
+-32764	   418
+-32764	   427
+-32764	   437
+-32764	   446
+-32764	   455
+-32764	   465
+-32764	   474
+-32763	   484
+-32763	   493
+-32763	   503
+-32763	   512
+-32763	   521
+-32763	   531
+-32763	   540
+-32762	   550
+-32762	   559
+-32762	   569
+-32762	   578
+-32762	   587
+-32762	   597
+-32761	   606
+-32761	   616
+-32761	   625
+-32761	   635
+-32761	   644
+-32760	   653
+-32760	   663
+-32760	   672
+-32760	   682
+-32760	   691
+-32760	   700
+-32759	   710
+-32759	   719
+-32759	   729
+-32759	   738
+-32758	   748
+-32758	   757
+-32758	   766
+-32758	   776
+-32758	   785
+-32757	   795
+-32757	   804
+-32757	   814
+-32757	   823
+-32756	   832
+-32756	   842
+-32756	   851
+-32756	   861
+-32755	   870
+-32755	   879
+-32755	   889
+-32755	   898
+-32754	   908
+-32754	   917
+-32754	   927
+-32754	   936
+-32753	   945
+-32753	   955
+-32753	   964
+-32753	   974
+-32752	   983
+-32752	   993
+-32752	  1002
+-32751	  1011
+-32751	  1021
+-32751	  1030
+-32751	  1040
+-32750	  1049
+-32750	  1058
+-32750	  1068
+-32749	  1077
+-32749	  1087
+-32749	  1096
+-32748	  1106
+-32748	  1115
+-32748	  1124
+-32747	  1134
+-32747	  1143
+-32747	  1153
+-32746	  1162
+-32746	  1171
+-32746	  1181
+-32745	  1190
+-32745	  1200
+-32745	  1209
+-32744	  1219
+-32744	  1228
+-32744	  1237
+-32743	  1247
+-32743	  1256
+-32743	  1266
+-32742	  1275
+-32742	  1285
+-32741	  1294
+-32741	  1303
+-32741	  1313
+-32740	  1322
+-32740	  1332
+-32740	  1341
+-32739	  1350
+-32739	  1360
+-32738	  1369
+-32738	  1379
+-32738	  1388
+-32737	  1397
+-32737	  1407
+-32736	  1416
+-32736	  1426
+-32736	  1435
+-32735	  1445
+-32735	  1454
+-32734	  1463
+-32734	  1473
+-32733	  1482
+-32733	  1492
+-32733	  1501
+-32732	  1510
+-32732	  1520
+-32731	  1529
+-32731	  1539
+-32730	  1548
+-32730	  1558
+-32730	  1567
+-32729	  1576
+-32729	  1586
+-32728	  1595
+-32728	  1605
+-32727	  1614
+-32727	  1623
+-32726	  1633
+-32726	  1642
+-32725	  1652
+-32725	  1661
+-32724	  1671
+-32724	  1680
+-32723	  1689
+-32723	  1699
+-32722	  1708
+-32722	  1718
+-32721	  1727
+-32721	  1736
+-32720	  1746
+-32720	  1755
+-32719	  1765
+-32719	  1774
+-32718	  1783
+-32718	  1793
+-32717	  1802
+-32717	  1812
+-32716	  1821
+-32716	  1830
+-32715	  1840
+-32715	  1849
+-32714	  1859
+-32714	  1868
+-32713	  1878
+-32713	  1887
+-32712	  1896
+-32712	  1906
+-32711	  1915
+-32710	  1925
+-32710	  1934
+-32709	  1943
+-32709	  1953
+-32708	  1962
+-32708	  1972
+-32707	  1981
+-32706	  1990
+-32706	  2000
+-32705	  2009
+-32705	  2019
+-32704	  2028
+-32704	  2037
+-32703	  2047
+-32702	  2056
+-32702	  2066
+-32701	  2075
+-32701	  2084
+-32700	  2094
+-32699	  2103
+-32699	  2113
+-32698	  2122
+-32698	  2132
+-32697	  2141
+-32696	  2150
+-32696	  2160
+-32695	  2169
+-32694	  2179
+-32694	  2188
+-32693	  2197
+-32693	  2207
+-32692	  2216
+-32691	  2226
+-32691	  2235
+-32690	  2244
+-32689	  2254
+-32689	  2263
+-32688	  2273
+-32687	  2282
+-32687	  2291
+-32686	  2301
+-32685	  2310
+-32685	  2320
+-32684	  2329
+-32683	  2338
+-32683	  2348
+-32682	  2357
+-32681	  2367
+-32681	  2376
+-32680	  2385
+-32679	  2395
+-32679	  2404
+-32678	  2414
+-32677	  2423
+-32677	  2432
+-32676	  2442
+-32675	  2451
+-32674	  2461
+-32674	  2470
+-32673	  2479
+-32672	  2489
+-32672	  2498
+-32671	  2508
+-32670	  2517
+-32669	  2526
+-32669	  2536
+-32668	  2545
+-32667	  2555
+-32667	  2564
+-32666	  2573
+-32665	  2583
+-32664	  2592
+-32664	  2601
+-32663	  2611
+-32662	  2620
+-32661	  2630
+-32661	  2639
+-32660	  2648
+-32659	  2658
+-32658	  2667
+-32657	  2677
+-32657	  2686
+-32656	  2695
+-32655	  2705
+-32654	  2714
+-32654	  2724
+-32653	  2733
+-32652	  2742
+-32651	  2752
+-32650	  2761
+-32650	  2771
+-32649	  2780
+-32648	  2789
+-32647	  2799
+-32646	  2808
+-32646	  2817
+-32645	  2827
+-32644	  2836
+-32643	  2846
+-32642	  2855
+-32642	  2864
+-32641	  2874
+-32640	  2883
+-32639	  2893
+-32638	  2902
+-32637	  2911
+-32637	  2921
+-32636	  2930
+-32635	  2940
+-32634	  2949
+-32633	  2958
+-32632	  2968
+-32631	  2977
+-32631	  2986
+-32630	  2996
+-32629	  3005
+-32628	  3015
+-32627	  3024
+-32626	  3033
+-32625	  3043
+-32625	  3052
+-32624	  3062
+-32623	  3071
+-32622	  3080
+-32621	  3090
+-32620	  3099
+-32619	  3108
+-32618	  3118
+-32617	  3127
+-32617	  3137
+-32616	  3146
+-32615	  3155
+-32614	  3165
+-32613	  3174
+-32612	  3183
+-32611	  3193
+-32610	  3202
+-32609	  3212
+-32608	  3221
+-32607	  3230
+-32606	  3240
+-32606	  3249
+-32605	  3259
+-32604	  3268
+-32603	  3277
+-32602	  3287
+-32601	  3296
+-32600	  3305
+-32599	  3315
+-32598	  3324
+-32597	  3334
+-32596	  3343
+-32595	  3352
+-32594	  3362
+-32593	  3371
+-32592	  3380
+-32591	  3390
+-32590	  3399
+-32589	  3409
+-32588	  3418
+-32587	  3427
+-32586	  3437
+-32585	  3446
+-32584	  3455
+-32583	  3465
+-32582	  3474
+-32581	  3484
+-32580	  3493
+-32579	  3502
+-32578	  3512
+-32577	  3521
+-32576	  3530
+-32575	  3540
+-32574	  3549
+-32573	  3558
+-32572	  3568
+-32571	  3577
+-32570	  3587
+-32569	  3596
+-32568	  3605
+-32567	  3615
+-32566	  3624
+-32565	  3633
+-32564	  3643
+-32563	  3652
+-32562	  3661
+-32561	  3671
+-32560	  3680
+-32559	  3690
+-32558	  3699
+-32556	  3708
+-32555	  3718
+-32554	  3727
+-32553	  3736
+-32552	  3746
+-32551	  3755
+-32550	  3764
+-32549	  3774
+-32548	  3783
+-32547	  3793
+-32546	  3802
+-32545	  3811
+-32543	  3821
+-32542	  3830
+-32541	  3839
+-32540	  3849
+-32539	  3858
+-32538	  3867
+-32537	  3877
+-32536	  3886
+-32535	  3896
+-32533	  3905
+-32532	  3914
+-32531	  3924
+-32530	  3933
+-32529	  3942
+-32528	  3952
+-32527	  3961
+-32526	  3970
+-32524	  3980
+-32523	  3989
+-32522	  3998
+-32521	  4008
+-32520	  4017
+-32519	  4026
+-32517	  4036
+-32516	  4045
+-32515	  4055
+-32514	  4064
+-32513	  4073
+-32512	  4083
+-32510	  4092
+-32509	  4101
+-32508	  4111
+-32507	  4120
+-32506	  4129
+-32505	  4139
+-32503	  4148
+-32502	  4157
+-32501	  4167
+-32500	  4176
+-32499	  4185
+-32497	  4195
+-32496	  4204
+-32495	  4213
+-32494	  4223
+-32493	  4232
+-32491	  4242
+-32490	  4251
+-32489	  4260
+-32488	  4270
+-32486	  4279
+-32485	  4288
+-32484	  4298
+-32483	  4307
+-32481	  4316
+-32480	  4326
+-32479	  4335
+-32478	  4344
+-32476	  4354
+-32475	  4363
+-32474	  4372
+-32473	  4382
+-32471	  4391
+-32470	  4400
+-32469	  4410
+-32468	  4419
+-32466	  4428
+-32465	  4438
+-32464	  4447
+-32463	  4456
+-32461	  4466
+-32460	  4475
+-32459	  4484
+-32457	  4494
+-32456	  4503
+-32455	  4512
+-32454	  4522
+-32452	  4531
+-32451	  4540
+-32450	  4550
+-32448	  4559
+-32447	  4568
+-32446	  4578
+-32444	  4587
+-32443	  4596
+-32442	  4606
+-32440	  4615
+-32439	  4624
+-32438	  4634
+-32436	  4643
+-32435	  4652
+-32434	  4662
+-32432	  4671
+-32431	  4680
+-32430	  4690
+-32428	  4699
+-32427	  4708
+-32426	  4718
+-32424	  4727
+-32423	  4736
+-32422	  4746
+-32420	  4755
+-32419	  4764
+-32417	  4774
+-32416	  4783
+-32415	  4792
+-32413	  4802
+-32412	  4811
+-32411	  4820
+-32409	  4830
+-32408	  4839
+-32406	  4848
+-32405	  4857
+-32404	  4867
+-32402	  4876
+-32401	  4885
+-32399	  4895
+-32398	  4904
+-32397	  4913
+-32395	  4923
+-32394	  4932
+-32392	  4941
+-32391	  4951
+-32389	  4960
+-32388	  4969
+-32387	  4979
+-32385	  4988
+-32384	  4997
+-32382	  5007
+-32381	  5016
+-32379	  5025
+-32378	  5034
+-32376	  5044
+-32375	  5053
+-32374	  5062
+-32372	  5072
+-32371	  5081
+-32369	  5090
+-32368	  5100
+-32366	  5109
+-32365	  5118
+-32363	  5128
+-32362	  5137
+-32360	  5146
+-32359	  5156
+-32357	  5165
+-32356	  5174
+-32354	  5183
+-32353	  5193
+-32351	  5202
+-32350	  5211
+-32348	  5221
+-32347	  5230
+-32345	  5239
+-32344	  5249
+-32342	  5258
+-32341	  5267
+-32339	  5276
+-32338	  5286
+-32336	  5295
+-32335	  5304
+-32333	  5314
+-32332	  5323
+-32330	  5332
+-32329	  5342
+-32327	  5351
+-32326	  5360
+-32324	  5369
+-32323	  5379
+-32321	  5388
+-32319	  5397
+-32318	  5407
+-32316	  5416
+-32315	  5425
+-32313	  5435
+-32312	  5444
+-32310	  5453
+-32308	  5462
+-32307	  5472
+-32305	  5481
+-32304	  5490
+-32302	  5500
+-32301	  5509
+-32299	  5518
+-32297	  5527
+-32296	  5537
+-32294	  5546
+-32293	  5555
+-32291	  5565
+-32289	  5574
+-32288	  5583
+-32286	  5592
+-32285	  5602
+-32283	  5611
+-32281	  5620
+-32280	  5630
+-32278	  5639
+-32277	  5648
+-32275	  5657
+-32273	  5667
+-32272	  5676
+-32270	  5685
+-32268	  5695
+-32267	  5704
+-32265	  5713
+-32263	  5722
+-32262	  5732
+-32260	  5741
+-32258	  5750
+-32257	  5760
+-32255	  5769
+-32254	  5778
+-32252	  5787
+-32250	  5797
+-32249	  5806
+-32247	  5815
+-32245	  5824
+-32243	  5834
+-32242	  5843
+-32240	  5852
+-32238	  5862
+-32237	  5871
+-32235	  5880
+-32233	  5889
+-32232	  5899
+-32230	  5908
+-32228	  5917
+-32227	  5926
+-32225	  5936
+-32223	  5945
+-32221	  5954
+-32220	  5964
+-32218	  5973
+-32216	  5982
+-32215	  5991
+-32213	  6001
+-32211	  6010
+-32209	  6019
+-32208	  6028
+-32206	  6038
+-32204	  6047
+-32202	  6056
+-32201	  6065
+-32199	  6075
+-32197	  6084
+-32195	  6093
+-32194	  6102
+-32192	  6112
+-32190	  6121
+-32188	  6130
+-32187	  6139
+-32185	  6149
+-32183	  6158
+-32181	  6167
+-32180	  6177
+-32178	  6186
+-32176	  6195
+-32174	  6204
+-32172	  6214
+-32171	  6223
+-32169	  6232
+-32167	  6241
+-32165	  6251
+-32163	  6260
+-32162	  6269
+-32160	  6278
+-32158	  6288
+-32156	  6297
+-32154	  6306
+-32153	  6315
+-32151	  6325
+-32149	  6334
+-32147	  6343
+-32145	  6352
+-32144	  6362
+-32142	  6371
+-32140	  6380
+-32138	  6389
+-32136	  6398
+-32134	  6408
+-32132	  6417
+-32131	  6426
+-32129	  6435
+-32127	  6445
+-32125	  6454
+-32123	  6463
+-32121	  6472
+-32120	  6482
+-32118	  6491
+-32116	  6500
+-32114	  6509
+-32112	  6519
+-32110	  6528
+-32108	  6537
+-32106	  6546
+-32105	  6556
+-32103	  6565
+-32101	  6574
+-32099	  6583
+-32097	  6592
+-32095	  6602
+-32093	  6611
+-32091	  6620
+-32089	  6629
+-32087	  6639
+-32086	  6648
+-32084	  6657
+-32082	  6666
+-32080	  6676
+-32078	  6685
+-32076	  6694
+-32074	  6703
+-32072	  6712
+-32070	  6722
+-32068	  6731
+-32066	  6740
+-32064	  6749
+-32062	  6759
+-32060	  6768
+-32058	  6777
+-32057	  6786
+-32055	  6795
+-32053	  6805
+-32051	  6814
+-32049	  6823
+-32047	  6832
+-32045	  6842
+-32043	  6851
+-32041	  6860
+-32039	  6869
+-32037	  6878
+-32035	  6888
+-32033	  6897
+-32031	  6906
+-32029	  6915
+-32027	  6924
+-32025	  6934
+-32023	  6943
+-32021	  6952
+-32019	  6961
+-32017	  6970
+-32015	  6980
+-32013	  6989
+-32011	  6998
+-32009	  7007
+-32007	  7017
+-32005	  7026
+-32003	  7035
+-32001	  7044
+-31999	  7053
+-31997	  7063
+-31995	  7072
+-31993	  7081
+-31991	  7090
+-31989	  7099
+-31987	  7109
+-31985	  7118
+-31983	  7127
+-31980	  7136
+-31978	  7145
+-31976	  7155
+-31974	  7164
+-31972	  7173
+-31970	  7182
+-31968	  7191
+-31966	  7201
+-31964	  7210
+-31962	  7219
+-31960	  7228
+-31958	  7237
+-31956	  7246
+-31954	  7256
+-31951	  7265
+-31949	  7274
+-31947	  7283
+-31945	  7292
+-31943	  7302
+-31941	  7311
+-31939	  7320
+-31937	  7329
+-31935	  7338
+-31933	  7348
+-31930	  7357
+-31928	  7366
+-31926	  7375
+-31924	  7384
+-31922	  7393
+-31920	  7403
+-31918	  7412
+-31916	  7421
+-31913	  7430
+-31911	  7439
+-31909	  7449
+-31907	  7458
+-31905	  7467
+-31903	  7476
+-31901	  7485
+-31898	  7494
+-31896	  7504
+-31894	  7513
+-31892	  7522
+-31890	  7531
+-31888	  7540
+-31885	  7549
+-31883	  7559
+-31881	  7568
+-31879	  7577
+-31877	  7586
+-31875	  7595
+-31872	  7604
+-31870	  7614
+-31868	  7623
+-31866	  7632
+-31864	  7641
+-31861	  7650
+-31859	  7659
+-31857	  7669
+-31855	  7678
+-31853	  7687
+-31850	  7696
+-31848	  7705
+-31846	  7714
+-31844	  7724
+-31841	  7733
+-31839	  7742
+-31837	  7751
+-31835	  7760
+-31833	  7769
+-31830	  7779
+-31828	  7788
+-31826	  7797
+-31824	  7806
+-31821	  7815
+-31819	  7824
+-31817	  7833
+-31815	  7843
+-31812	  7852
+-31810	  7861
+-31808	  7870
+-31806	  7879
+-31803	  7888
+-31801	  7897
+-31799	  7907
+-31796	  7916
+-31794	  7925
+-31792	  7934
+-31790	  7943
+-31787	  7952
+-31785	  7961
+-31783	  7971
+-31780	  7980
+-31778	  7989
+-31776	  7998
+-31774	  8007
+-31771	  8016
+-31769	  8025
+-31767	  8035
+-31764	  8044
+-31762	  8053
+-31760	  8062
+-31757	  8071
+-31755	  8080
+-31753	  8089
+-31750	  8099
+-31748	  8108
+-31746	  8117
+-31743	  8126
+-31741	  8135
+-31739	  8144
+-31736	  8153
+-31734	  8162
+-31732	  8172
+-31729	  8181
+-31727	  8190
+-31725	  8199
+-31722	  8208
+-31720	  8217
+-31718	  8226
+-31715	  8235
+-31713	  8245
+-31710	  8254
+-31708	  8263
+-31706	  8272
+-31703	  8281
+-31701	  8290
+-31699	  8299
+-31696	  8308
+-31694	  8318
+-31691	  8327
+-31689	  8336
+-31687	  8345
+-31684	  8354
+-31682	  8363
+-31679	  8372
+-31677	  8381
+-31675	  8390
+-31672	  8400
+-31670	  8409
+-31667	  8418
+-31665	  8427
+-31662	  8436
+-31660	  8445
+-31658	  8454
+-31655	  8463
+-31653	  8472
+-31650	  8481
+-31648	  8491
+-31645	  8500
+-31643	  8509
+-31640	  8518
+-31638	  8527
+-31636	  8536
+-31633	  8545
+-31631	  8554
+-31628	  8563
+-31626	  8572
+-31623	  8582
+-31621	  8591
+-31618	  8600
+-31616	  8609
+-31613	  8618
+-31611	  8627
+-31608	  8636
+-31606	  8645
+-31603	  8654
+-31601	  8663
+-31598	  8672
+-31596	  8682
+-31593	  8691
+-31591	  8700
+-31588	  8709
+-31586	  8718
+-31583	  8727
+-31581	  8736
+-31578	  8745
+-31576	  8754
+-31573	  8763
+-31571	  8772
+-31568	  8781
+-31566	  8791
+-31563	  8800
+-31561	  8809
+-31558	  8818
+-31556	  8827
+-31553	  8836
+-31551	  8845
+-31548	  8854
+-31545	  8863
+-31543	  8872
+-31540	  8881
+-31538	  8890
+-31535	  8899
+-31533	  8909
+-31530	  8918
+-31528	  8927
+-31525	  8936
+-31522	  8945
+-31520	  8954
+-31517	  8963
+-31515	  8972
+-31512	  8981
+-31510	  8990
+-31507	  8999
+-31504	  9008
+-31502	  9017
+-31499	  9026
+-31497	  9035
+-31494	  9044
+-31491	  9054
+-31489	  9063
+-31486	  9072
+-31484	  9081
+-31481	  9090
+-31478	  9099
+-31476	  9108
+-31473	  9117
+-31470	  9126
+-31468	  9135
+-31465	  9144
+-31463	  9153
+-31460	  9162
+-31457	  9171
+-31455	  9180
+-31452	  9189
+-31449	  9198
+-31447	  9207
+-31444	  9216
+-31441	  9225
+-31439	  9235
+-31436	  9244
+-31433	  9253
+-31431	  9262
+-31428	  9271
+-31425	  9280
+-31423	  9289
+-31420	  9298
+-31417	  9307
+-31415	  9316
+-31412	  9325
+-31409	  9334
+-31407	  9343
+-31404	  9352
+-31401	  9361
+-31399	  9370
+-31396	  9379
+-31393	  9388
+-31391	  9397
+-31388	  9406
+-31385	  9415
+-31382	  9424
+-31380	  9433
+-31377	  9442
+-31374	  9451
+-31372	  9460
+-31369	  9469
+-31366	  9478
+-31363	  9487
+-31361	  9496
+-31358	  9505
+-31355	  9514
+-31352	  9523
+-31350	  9533
+-31347	  9542
+-31344	  9551
+-31341	  9560
+-31339	  9569
+-31336	  9578
+-31333	  9587
+-31330	  9596
+-31328	  9605
+-31325	  9614
+-31322	  9623
+-31319	  9632
+-31317	  9641
+-31314	  9650
+-31311	  9659
+-31308	  9668
+-31306	  9677
+-31303	  9686
+-31300	  9695
+-31297	  9704
+-31294	  9713
+-31292	  9722
+-31289	  9731
+-31286	  9740
+-31283	  9749
+-31280	  9758
+-31278	  9767
+-31275	  9776
+-31272	  9785
+-31269	  9794
+-31266	  9803
+-31263	  9812
+-31261	  9821
+-31258	  9830
+-31255	  9839
+-31252	  9848
+-31249	  9857
+-31246	  9866
+-31244	  9875
+-31241	  9884
+-31238	  9893
+-31235	  9902
+-31232	  9910
+-31229	  9919
+-31227	  9928
+-31224	  9937
+-31221	  9946
+-31218	  9955
+-31215	  9964
+-31212	  9973
+-31209	  9982
+-31207	  9991
+-31204	 10000
+-31201	 10009
+-31198	 10018
+-31195	 10027
+-31192	 10036
+-31189	 10045
+-31186	 10054
+-31183	 10063
+-31181	 10072
+-31178	 10081
+-31175	 10090
+-31172	 10099
+-31169	 10108
+-31166	 10117
+-31163	 10126
+-31160	 10135
+-31157	 10144
+-31154	 10153
+-31151	 10162
+-31149	 10171
+-31146	 10180
+-31143	 10189
+-31140	 10198
+-31137	 10206
+-31134	 10215
+-31131	 10224
+-31128	 10233
+-31125	 10242
+-31122	 10251
+-31119	 10260
+-31116	 10269
+-31113	 10278
+-31110	 10287
+-31107	 10296
+-31104	 10305
+-31101	 10314
+-31098	 10323
+-31095	 10332
+-31092	 10341
+-31090	 10350
+-31087	 10359
+-31084	 10368
+-31081	 10376
+-31078	 10385
+-31075	 10394
+-31072	 10403
+-31069	 10412
+-31066	 10421
+-31063	 10430
+-31060	 10439
+-31057	 10448
+-31054	 10457
+-31051	 10466
+-31048	 10475
+-31045	 10484
+-31042	 10493
+-31039	 10502
+-31036	 10510
+-31032	 10519
+-31029	 10528
+-31026	 10537
+-31023	 10546
+-31020	 10555
+-31017	 10564
+-31014	 10573
+-31011	 10582
+-31008	 10591
+-31005	 10600
+-31002	 10609
+-30999	 10618
+-30996	 10626
+-30993	 10635
+-30990	 10644
+-30987	 10653
+-30984	 10662
+-30981	 10671
+-30978	 10680
+-30975	 10689
+-30971	 10698
+-30968	 10707
+-30965	 10716
+-30962	 10724
+-30959	 10733
+-30956	 10742
+-30953	 10751
+-30950	 10760
+-30947	 10769
+-30944	 10778
+-30941	 10787
+-30937	 10796
+-30934	 10805
+-30931	 10813
+-30928	 10822
+-30925	 10831
+-30922	 10840
+-30919	 10849
+-30916	 10858
+-30913	 10867
+-30909	 10876
+-30906	 10885
+-30903	 10893
+-30900	 10902
+-30897	 10911
+-30894	 10920
+-30891	 10929
+-30887	 10938
+-30884	 10947
+-30881	 10956
+-30878	 10965
+-30875	 10973
+-30872	 10982
+-30869	 10991
+-30865	 11000
+-30862	 11009
+-30859	 11018
+-30856	 11027
+-30853	 11036
+-30850	 11044
+-30846	 11053
+-30843	 11062
+-30840	 11071
+-30837	 11080
+-30834	 11089
+-30830	 11098
+-30827	 11107
+-30824	 11115
+-30821	 11124
+-30818	 11133
+-30814	 11142
+-30811	 11151
+-30808	 11160
+-30805	 11169
+-30802	 11177
+-30798	 11186
+-30795	 11195
+-30792	 11204
+-30789	 11213
+-30785	 11222
+-30782	 11231
+-30779	 11239
+-30776	 11248
+-30773	 11257
+-30769	 11266
+-30766	 11275
+-30763	 11284
+-30760	 11293
+-30756	 11301
+-30753	 11310
+-30750	 11319
+-30747	 11328
+-30743	 11337
+-30740	 11346
+-30737	 11354
+-30734	 11363
+-30730	 11372
+-30727	 11381
+-30724	 11390
+-30720	 11399
+-30717	 11407
+-30714	 11416
+-30711	 11425
+-30707	 11434
+-30704	 11443
+-30701	 11452
+-30697	 11460
+-30694	 11469
+-30691	 11478
+-30688	 11487
+-30684	 11496
+-30681	 11505
+-30678	 11513
+-30674	 11522
+-30671	 11531
+-30668	 11540
+-30664	 11549
+-30661	 11558
+-30658	 11566
+-30654	 11575
+-30651	 11584
+-30648	 11593
+-30644	 11602
+-30641	 11610
+-30638	 11619
+-30634	 11628
+-30631	 11637
+-30628	 11646
+-30624	 11654
+-30621	 11663
+-30618	 11672
+-30614	 11681
+-30611	 11690
+-30607	 11698
+-30604	 11707
+-30601	 11716
+-30597	 11725
+-30594	 11734
+-30591	 11742
+-30587	 11751
+-30584	 11760
+-30580	 11769
+-30577	 11778
+-30574	 11786
+-30570	 11795
+-30567	 11804
+-30564	 11813
+-30560	 11822
+-30557	 11830
+-30553	 11839
+-30550	 11848
+-30547	 11857
+-30543	 11866
+-30540	 11874
+-30536	 11883
+-30533	 11892
+-30529	 11901
+-30526	 11909
+-30523	 11918
+-30519	 11927
+-30516	 11936
+-30512	 11945
+-30509	 11953
+-30505	 11962
+-30502	 11971
+-30499	 11980
+-30495	 11988
+-30492	 11997
+-30488	 12006
+-30485	 12015
+-30481	 12024
+-30478	 12032
+-30474	 12041
+-30471	 12050
+-30467	 12059
+-30464	 12067
+-30460	 12076
+-30457	 12085
+-30454	 12094
+-30450	 12102
+-30447	 12111
+-30443	 12120
+-30440	 12129
+-30436	 12137
+-30433	 12146
+-30429	 12155
+-30426	 12164
+-30422	 12172
+-30419	 12181
+-30415	 12190
+-30412	 12199
+-30408	 12207
+-30405	 12216
+-30401	 12225
+-30398	 12234
+-30394	 12242
+-30390	 12251
+-30387	 12260
+-30383	 12269
+-30380	 12277
+-30376	 12286
+-30373	 12295
+-30369	 12304
+-30366	 12312
+-30362	 12321
+-30359	 12330
+-30355	 12338
+-30352	 12347
+-30348	 12356
+-30344	 12365
+-30341	 12373
+-30337	 12382
+-30334	 12391
+-30330	 12400
+-30327	 12408
+-30323	 12417
+-30320	 12426
+-30316	 12434
+-30312	 12443
+-30309	 12452
+-30305	 12461
+-30302	 12469
+-30298	 12478
+-30294	 12487
+-30291	 12495
+-30287	 12504
+-30284	 12513
+-30280	 12522
+-30276	 12530
+-30273	 12539
+-30269	 12548
+-30266	 12556
+-30262	 12565
+-30258	 12574
+-30255	 12583
+-30251	 12591
+-30248	 12600
+-30244	 12609
+-30240	 12617
+-30237	 12626
+-30233	 12635
+-30229	 12643
+-30226	 12652
+-30222	 12661
+-30218	 12669
+-30215	 12678
+-30211	 12687
+-30208	 12696
+-30204	 12704
+-30200	 12713
+-30197	 12722
+-30193	 12730
+-30189	 12739
+-30186	 12748
+-30182	 12756
+-30178	 12765
+-30175	 12774
+-30171	 12782
+-30167	 12791
+-30164	 12800
+-30160	 12808
+-30156	 12817
+-30152	 12826
+-30149	 12834
+-30145	 12843
+-30141	 12852
+-30138	 12860
+-30134	 12869
+-30130	 12878
+-30127	 12886
+-30123	 12895
+-30119	 12904
+-30115	 12912
+-30112	 12921
+-30108	 12930
+-30104	 12938
+-30101	 12947
+-30097	 12956
+-30093	 12964
+-30089	 12973
+-30086	 12982
+-30082	 12990
+-30078	 12999
+-30074	 13008
+-30071	 13016
+-30067	 13025
+-30063	 13034
+-30059	 13042
+-30056	 13051
+-30052	 13060
+-30048	 13068
+-30044	 13077
+-30041	 13085
+-30037	 13094
+-30033	 13103
+-30029	 13111
+-30026	 13120
+-30022	 13129
+-30018	 13137
+-30014	 13146
+-30010	 13155
+-30007	 13163
+-30003	 13172
+-29999	 13180
+-29995	 13189
+-29992	 13198
+-29988	 13206
+-29984	 13215
+-29980	 13224
+-29976	 13232
+-29973	 13241
+-29969	 13249
+-29965	 13258
+-29961	 13267
+-29957	 13275
+-29953	 13284
+-29950	 13292
+-29946	 13301
+-29942	 13310
+-29938	 13318
+-29934	 13327
+-29930	 13336
+-29927	 13344
+-29923	 13353
+-29919	 13361
+-29915	 13370
+-29911	 13379
+-29907	 13387
+-29904	 13396
+-29900	 13404
+-29896	 13413
+-29892	 13422
+-29888	 13430
+-29884	 13439
+-29880	 13447
+-29877	 13456
+-29873	 13465
+-29869	 13473
+-29865	 13482
+-29861	 13490
+-29857	 13499
+-29853	 13507
+-29849	 13516
+-29845	 13525
+-29842	 13533
+-29838	 13542
+-29834	 13550
+-29830	 13559
+-29826	 13568
+-29822	 13576
+-29818	 13585
+-29814	 13593
+-29810	 13602
+-29806	 13610
+-29803	 13619
+-29799	 13628
+-29795	 13636
+-29791	 13645
+-29787	 13653
+-29783	 13662
+-29779	 13670
+-29775	 13679
+-29771	 13688
+-29767	 13696
+-29763	 13705
+-29759	 13713
+-29755	 13722
+-29751	 13730
+-29747	 13739
+-29744	 13747
+-29740	 13756
+-29736	 13765
+-29732	 13773
+-29728	 13782
+-29724	 13790
+-29720	 13799
+-29716	 13807
+-29712	 13816
+-29708	 13824
+-29704	 13833
+-29700	 13841
+-29696	 13850
+-29692	 13859
+-29688	 13867
+-29684	 13876
+-29680	 13884
+-29676	 13893
+-29672	 13901
+-29668	 13910
+-29664	 13918
+-29660	 13927
+-29656	 13935
+-29652	 13944
+-29648	 13952
+-29644	 13961
+-29640	 13970
+-29636	 13978
+-29632	 13987
+-29628	 13995
+-29624	 14004
+-29620	 14012
+-29616	 14021
+-29612	 14029
+-29608	 14038
+-29604	 14046
+-29600	 14055
+-29596	 14063
+-29591	 14072
+-29587	 14080
+-29583	 14089
+-29579	 14097
+-29575	 14106
+-29571	 14114
+-29567	 14123
+-29563	 14131
+-29559	 14140
+-29555	 14148
+-29551	 14157
+-29547	 14165
+-29543	 14174
+-29539	 14182
+-29535	 14191
+-29531	 14199
+-29526	 14208
+-29522	 14216
+-29518	 14225
+-29514	 14233
+-29510	 14242
+-29506	 14250
+-29502	 14259
+-29498	 14267
+-29494	 14276
+-29490	 14284
+-29485	 14293
+-29481	 14301
+-29477	 14310
+-29473	 14318
+-29469	 14327
+-29465	 14335
+-29461	 14343
+-29457	 14352
+-29452	 14360
+-29448	 14369
+-29444	 14377
+-29440	 14386
+-29436	 14394
+-29432	 14403
+-29428	 14411
+-29424	 14420
+-29419	 14428
+-29415	 14437
+-29411	 14445
+-29407	 14454
+-29403	 14462
+-29399	 14470
+-29394	 14479
+-29390	 14487
+-29386	 14496
+-29382	 14504
+-29378	 14513
+-29374	 14521
+-29369	 14530
+-29365	 14538
+-29361	 14546
+-29357	 14555
+-29353	 14563
+-29348	 14572
+-29344	 14580
+-29340	 14589
+-29336	 14597
+-29332	 14606
+-29327	 14614
+-29323	 14622
+-29319	 14631
+-29315	 14639
+-29311	 14648
+-29306	 14656
+-29302	 14665
+-29298	 14673
+-29294	 14681
+-29290	 14690
+-29285	 14698
+-29281	 14707
+-29277	 14715
+-29273	 14724
+-29268	 14732
+-29264	 14740
+-29260	 14749
+-29256	 14757
+-29251	 14766
+-29247	 14774
+-29243	 14782
+-29239	 14791
+-29234	 14799
+-29230	 14808
+-29226	 14816
+-29222	 14824
+-29217	 14833
+-29213	 14841
+-29209	 14850
+-29205	 14858
+-29200	 14866
+-29196	 14875
+-29192	 14883
+-29187	 14892
+-29183	 14900
+-29179	 14908
+-29175	 14917
+-29170	 14925
+-29166	 14934
+-29162	 14942
+-29157	 14950
+-29153	 14959
+-29149	 14967
+-29144	 14976
+-29140	 14984
+-29136	 14992
+-29132	 15001
+-29127	 15009
+-29123	 15017
+-29119	 15026
+-29114	 15034
+-29110	 15043
+-29106	 15051
+-29101	 15059
+-29097	 15068
+-29093	 15076
+-29088	 15084
+-29084	 15093
+-29080	 15101
+-29075	 15110
+-29071	 15118
+-29067	 15126
+-29062	 15135
+-29058	 15143
+-29054	 15151
+-29049	 15160
+-29045	 15168
+-29040	 15176
+-29036	 15185
+-29032	 15193
+-29027	 15201
+-29023	 15210
+-29019	 15218
+-29014	 15226
+-29010	 15235
+-29005	 15243
+-29001	 15252
+-28997	 15260
+-28992	 15268
+-28988	 15277
+-28983	 15285
+-28979	 15293
+-28975	 15302
+-28970	 15310
+-28966	 15318
+-28961	 15327
+-28957	 15335
+-28953	 15343
+-28948	 15352
+-28944	 15360
+-28939	 15368
+-28935	 15376
+-28931	 15385
+-28926	 15393
+-28922	 15401
+-28917	 15410
+-28913	 15418
+-28908	 15426
+-28904	 15435
+-28900	 15443
+-28895	 15451
+-28891	 15460
+-28886	 15468
+-28882	 15476
+-28877	 15485
+-28873	 15493
+-28868	 15501
+-28864	 15509
+-28859	 15518
+-28855	 15526
+-28851	 15534
+-28846	 15543
+-28842	 15551
+-28837	 15559
+-28833	 15568
+-28828	 15576
+-28824	 15584
+-28819	 15592
+-28815	 15601
+-28810	 15609
+-28806	 15617
+-28801	 15626
+-28797	 15634
+-28792	 15642
+-28788	 15650
+-28783	 15659
+-28779	 15667
+-28774	 15675
+-28770	 15684
+-28765	 15692
+-28761	 15700
+-28756	 15708
+-28752	 15717
+-28747	 15725
+-28743	 15733
+-28738	 15741
+-28734	 15750
+-28729	 15758
+-28724	 15766
+-28720	 15774
+-28715	 15783
+-28711	 15791
+-28706	 15799
+-28702	 15807
+-28697	 15816
+-28693	 15824
+-28688	 15832
+-28684	 15840
+-28679	 15849
+-28674	 15857
+-28670	 15865
+-28665	 15873
+-28661	 15882
+-28656	 15890
+-28652	 15898
+-28647	 15906
+-28642	 15915
+-28638	 15923
+-28633	 15931
+-28629	 15939
+-28624	 15948
+-28620	 15956
+-28615	 15964
+-28610	 15972
+-28606	 15981
+-28601	 15989
+-28597	 15997
+-28592	 16005
+-28587	 16013
+-28583	 16022
+-28578	 16030
+-28574	 16038
+-28569	 16046
+-28564	 16055
+-28560	 16063
+-28555	 16071
+-28550	 16079
+-28546	 16087
+-28541	 16096
+-28537	 16104
+-28532	 16112
+-28527	 16120
+-28523	 16128
+-28518	 16137
+-28513	 16145
+-28509	 16153
+-28504	 16161
+-28499	 16169
+-28495	 16178
+-28490	 16186
+-28485	 16194
+-28481	 16202
+-28476	 16210
+-28471	 16219
+-28467	 16227
+-28462	 16235
+-28457	 16243
+-28453	 16251
+-28448	 16260
+-28443	 16268
+-28439	 16276
+-28434	 16284
+-28429	 16292
+-28425	 16300
+-28420	 16309
+-28415	 16317
+-28411	 16325
+-28406	 16333
+-28401	 16341
+-28397	 16349
+-28392	 16358
+-28387	 16366
+-28382	 16374
+-28378	 16382
+-28373	 16391
+-28368	 16399
+-28364	 16408
+-28359	 16416
+-28354	 16424
+-28349	 16432
+-28345	 16440
+-28340	 16448
+-28335	 16456
+-28330	 16465
+-28326	 16473
+-28321	 16481
+-28316	 16489
+-28312	 16497
+-28307	 16505
+-28302	 16514
+-28297	 16522
+-28293	 16530
+-28288	 16538
+-28283	 16546
+-28278	 16554
+-28273	 16562
+-28269	 16570
+-28264	 16579
+-28259	 16587
+-28254	 16595
+-28250	 16603
+-28245	 16611
+-28240	 16619
+-28235	 16627
+-28231	 16635
+-28226	 16644
+-28221	 16652
+-28216	 16660
+-28211	 16668
+-28207	 16676
+-28202	 16684
+-28197	 16692
+-28192	 16700
+-28187	 16708
+-28183	 16717
+-28178	 16725
+-28173	 16733
+-28168	 16741
+-28163	 16749
+-28158	 16757
+-28154	 16765
+-28149	 16773
+-28144	 16781
+-28139	 16789
+-28134	 16798
+-28130	 16806
+-28125	 16814
+-28120	 16822
+-28115	 16830
+-28110	 16838
+-28105	 16846
+-28100	 16854
+-28096	 16862
+-28091	 16870
+-28086	 16878
+-28081	 16886
+-28076	 16895
+-28071	 16903
+-28067	 16911
+-28062	 16919
+-28057	 16927
+-28052	 16935
+-28047	 16943
+-28042	 16951
+-28037	 16959
+-28032	 16967
+-28028	 16975
+-28023	 16983
+-28018	 16991
+-28013	 16999
+-28008	 17007
+-28003	 17016
+-27998	 17024
+-27993	 17032
+-27988	 17040
+-27983	 17048
+-27979	 17056
+-27974	 17064
+-27969	 17072
+-27964	 17080
+-27959	 17088
+-27954	 17096
+-27949	 17104
+-27944	 17112
+-27939	 17120
+-27934	 17128
+-27929	 17136
+-27924	 17144
+-27920	 17152
+-27915	 17160
+-27910	 17168
+-27905	 17176
+-27900	 17184
+-27895	 17192
+-27890	 17200
+-27885	 17208
+-27880	 17216
+-27875	 17224
+-27870	 17232
+-27865	 17240
+-27860	 17249
+-27855	 17257
+-27850	 17265
+-27845	 17273
+-27840	 17281
+-27835	 17289
+-27830	 17297
+-27825	 17305
+-27820	 17313
+-27815	 17321
+-27810	 17329
+-27805	 17337
+-27800	 17345
+-27796	 17353
+-27791	 17361
+-27786	 17369
+-27781	 17377
+-27776	 17385
+-27771	 17393
+-27766	 17400
+-27761	 17408
+-27756	 17416
+-27750	 17424
+-27745	 17432
+-27740	 17440
+-27735	 17448
+-27730	 17456
+-27725	 17464
+-27720	 17472
+-27715	 17480
+-27710	 17488
+-27705	 17496
+-27700	 17504
+-27695	 17512
+-27690	 17520
+-27685	 17528
+-27680	 17536
+-27675	 17544
+-27670	 17552
+-27665	 17560
+-27660	 17568
+-27655	 17576
+-27650	 17584
+-27645	 17592
+-27640	 17600
+-27635	 17608
+-27630	 17616
+-27624	 17624
+-27619	 17631
+-27614	 17639
+-27609	 17647
+-27604	 17655
+-27599	 17663
+-27594	 17671
+-27589	 17679
+-27584	 17687
+-27579	 17695
+-27574	 17703
+-27569	 17711
+-27564	 17719
+-27558	 17727
+-27553	 17735
+-27548	 17743
+-27543	 17750
+-27538	 17758
+-27533	 17766
+-27528	 17774
+-27523	 17782
+-27518	 17790
+-27512	 17798
+-27507	 17806
+-27502	 17814
+-27497	 17822
+-27492	 17830
+-27487	 17838
+-27482	 17845
+-27477	 17853
+-27471	 17861
+-27466	 17869
+-27461	 17877
+-27456	 17885
+-27451	 17893
+-27446	 17901
+-27441	 17909
+-27435	 17916
+-27430	 17924
+-27425	 17932
+-27420	 17940
+-27415	 17948
+-27410	 17956
+-27404	 17964
+-27399	 17972
+-27394	 17980
+-27389	 17987
+-27384	 17995
+-27379	 18003
+-27373	 18011
+-27368	 18019
+-27363	 18027
+-27358	 18035
+-27353	 18043
+-27347	 18050
+-27342	 18058
+-27337	 18066
+-27332	 18074
+-27327	 18082
+-27321	 18090
+-27316	 18098
+-27311	 18105
+-27306	 18113
+-27301	 18121
+-27295	 18129
+-27290	 18137
+-27285	 18145
+-27280	 18153
+-27275	 18160
+-27269	 18168
+-27264	 18176
+-27259	 18184
+-27254	 18192
+-27248	 18200
+-27243	 18207
+-27238	 18215
+-27233	 18223
+-27227	 18231
+-27222	 18239
+-27217	 18247
+-27212	 18254
+-27206	 18262
+-27201	 18270
+-27196	 18278
+-27191	 18286
+-27185	 18294
+-27180	 18301
+-27175	 18309
+-27170	 18317
+-27164	 18325
+-27159	 18333
+-27154	 18340
+-27149	 18348
+-27143	 18356
+-27138	 18364
+-27133	 18372
+-27127	 18379
+-27122	 18387
+-27117	 18395
+-27112	 18403
+-27106	 18411
+-27101	 18418
+-27096	 18426
+-27090	 18434
+-27085	 18442
+-27080	 18450
+-27074	 18457
+-27069	 18465
+-27064	 18473
+-27059	 18481
+-27053	 18489
+-27048	 18496
+-27043	 18504
+-27037	 18512
+-27032	 18520
+-27027	 18527
+-27021	 18535
+-27016	 18543
+-27011	 18551
+-27005	 18558
+-27000	 18566
+-26995	 18574
+-26989	 18582
+-26984	 18590
+-26979	 18597
+-26973	 18605
+-26968	 18613
+-26962	 18621
+-26957	 18628
+-26952	 18636
+-26946	 18644
+-26941	 18652
+-26936	 18659
+-26930	 18667
+-26925	 18675
+-26920	 18683
+-26914	 18690
+-26909	 18698
+-26903	 18706
+-26898	 18714
+-26893	 18721
+-26887	 18729
+-26882	 18737
+-26877	 18744
+-26871	 18752
+-26866	 18760
+-26860	 18768
+-26855	 18775
+-26850	 18783
+-26844	 18791
+-26839	 18799
+-26833	 18806
+-26828	 18814
+-26823	 18822
+-26817	 18829
+-26812	 18837
+-26806	 18845
+-26801	 18853
+-26795	 18860
+-26790	 18868
+-26785	 18876
+-26779	 18883
+-26774	 18891
+-26768	 18899
+-26763	 18906
+-26757	 18914
+-26752	 18922
+-26747	 18930
+-26741	 18937
+-26736	 18945
+-26730	 18953
+-26725	 18960
+-26719	 18968
+-26714	 18976
+-26708	 18983
+-26703	 18991
+-26697	 18999
+-26692	 19006
+-26686	 19014
+-26681	 19022
+-26676	 19029
+-26670	 19037
+-26665	 19045
+-26659	 19052
+-26654	 19060
+-26648	 19068
+-26643	 19075
+-26637	 19083
+-26632	 19091
+-26626	 19098
+-26621	 19106
+-26615	 19114
+-26610	 19121
+-26604	 19129
+-26599	 19137
+-26593	 19144
+-26588	 19152
+-26582	 19160
+-26577	 19167
+-26571	 19175
+-26566	 19183
+-26560	 19190
+-26555	 19198
+-26549	 19205
+-26544	 19213
+-26538	 19221
+-26532	 19228
+-26527	 19236
+-26521	 19244
+-26516	 19251
+-26510	 19259
+-26505	 19266
+-26499	 19274
+-26494	 19282
+-26488	 19289
+-26483	 19297
+-26477	 19305
+-26472	 19312
+-26466	 19320
+-26460	 19327
+-26455	 19335
+-26449	 19343
+-26444	 19350
+-26438	 19358
+-26433	 19365
+-26427	 19373
+-26421	 19381
+-26416	 19388
+-26410	 19396
+-26405	 19403
+-26399	 19411
+-26394	 19419
+-26388	 19426
+-26382	 19434
+-26377	 19441
+-26371	 19449
+-26366	 19457
+-26360	 19464
+-26354	 19472
+-26349	 19479
+-26343	 19487
+-26338	 19494
+-26332	 19502
+-26326	 19510
+-26321	 19517
+-26315	 19525
+-26310	 19532
+-26304	 19540
+-26298	 19547
+-26293	 19555
+-26287	 19563
+-26281	 19570
+-26276	 19578
+-26270	 19585
+-26265	 19593
+-26259	 19600
+-26253	 19608
+-26248	 19615
+-26242	 19623
+-26236	 19631
+-26231	 19638
+-26225	 19646
+-26219	 19653
+-26214	 19661
+-26208	 19668
+-26202	 19676
+-26197	 19683
+-26191	 19691
+-26185	 19698
+-26180	 19706
+-26174	 19713
+-26168	 19721
+-26163	 19728
+-26157	 19736
+-26151	 19744
+-26146	 19751
+-26140	 19759
+-26134	 19766
+-26129	 19774
+-26123	 19781
+-26117	 19789
+-26112	 19796
+-26106	 19804
+-26100	 19811
+-26095	 19819
+-26089	 19826
+-26083	 19834
+-26077	 19841
+-26072	 19849
+-26066	 19856
+-26060	 19864
+-26055	 19871
+-26049	 19879
+-26043	 19886
+-26037	 19894
+-26032	 19901
+-26026	 19909
+-26020	 19916
+-26014	 19924
+-26009	 19931
+-26003	 19939
+-25997	 19946
+-25992	 19953
+-25986	 19961
+-25980	 19968
+-25974	 19976
+-25969	 19983
+-25963	 19991
+-25957	 19998
+-25951	 20006
+-25946	 20013
+-25940	 20021
+-25934	 20028
+-25928	 20036
+-25923	 20043
+-25917	 20051
+-25911	 20058
+-25905	 20065
+-25899	 20073
+-25894	 20080
+-25888	 20088
+-25882	 20095
+-25876	 20103
+-25871	 20110
+-25865	 20118
+-25859	 20125
+-25853	 20132
+-25847	 20140
+-25842	 20147
+-25836	 20155
+-25830	 20162
+-25824	 20170
+-25818	 20177
+-25813	 20184
+-25807	 20192
+-25801	 20199
+-25795	 20207
+-25789	 20214
+-25784	 20222
+-25778	 20229
+-25772	 20236
+-25766	 20244
+-25760	 20251
+-25754	 20259
+-25749	 20266
+-25743	 20273
+-25737	 20281
+-25731	 20288
+-25725	 20296
+-25719	 20303
+-25714	 20310
+-25708	 20318
+-25702	 20325
+-25696	 20333
+-25690	 20340
+-25684	 20347
+-25679	 20355
+-25673	 20362
+-25667	 20370
+-25661	 20377
+-25655	 20384
+-25649	 20392
+-25643	 20399
+-25638	 20406
+-25632	 20414
+-25626	 20421
+-25620	 20429
+-25614	 20436
+-25608	 20443
+-25602	 20451
+-25596	 20458
+-25590	 20465
+-25585	 20473
+-25579	 20480
+-25573	 20487
+-25567	 20495
+-25561	 20502
+-25555	 20509
+-25549	 20517
+-25543	 20524
+-25537	 20532
+-25532	 20539
+-25526	 20546
+-25520	 20554
+-25514	 20561
+-25508	 20568
+-25502	 20576
+-25496	 20583
+-25490	 20590
+-25484	 20598
+-25478	 20605
+-25472	 20612
+-25466	 20620
+-25460	 20627
+-25455	 20634
+-25449	 20641
+-25443	 20649
+-25437	 20656
+-25431	 20663
+-25425	 20671
+-25419	 20678
+-25413	 20685
+-25407	 20693
+-25401	 20700
+-25395	 20707
+-25389	 20715
+-25383	 20722
+-25377	 20729
+-25371	 20736
+-25365	 20744
+-25359	 20751
+-25353	 20758
+-25347	 20766
+-25341	 20773
+-25335	 20780
+-25329	 20788
+-25323	 20795
+-25317	 20802
+-25312	 20809
+-25306	 20817
+-25300	 20824
+-25294	 20831
+-25288	 20838
+-25282	 20846
+-25276	 20853
+-25270	 20860
+-25264	 20868
+-25258	 20875
+-25252	 20882
+-25246	 20889
+-25240	 20897
+-25234	 20904
+-25228	 20911
+-25222	 20918
+-25215	 20926
+-25209	 20933
+-25203	 20940
+-25197	 20947
+-25191	 20955
+-25185	 20962
+-25179	 20969
+-25173	 20976
+-25167	 20984
+-25161	 20991
+-25155	 20998
+-25149	 21005
+-25143	 21013
+-25137	 21020
+-25131	 21027
+-25125	 21034
+-25119	 21041
+-25113	 21049
+-25107	 21056
+-25101	 21063
+-25095	 21070
+-25089	 21078
+-25083	 21085
+-25077	 21092
+-25070	 21099
+-25064	 21106
+-25058	 21114
+-25052	 21121
+-25046	 21128
+-25040	 21135
+-25034	 21142
+-25028	 21150
+-25022	 21157
+-25016	 21164
+-25010	 21171
+-25004	 21178
+-24997	 21186
+-24991	 21193
+-24985	 21200
+-24979	 21207
+-24973	 21214
+-24967	 21222
+-24961	 21229
+-24955	 21236
+-24949	 21243
+-24943	 21250
+-24936	 21257
+-24930	 21265
+-24924	 21272
+-24918	 21279
+-24912	 21286
+-24906	 21293
+-24900	 21300
+-24894	 21308
+-24887	 21315
+-24881	 21322
+-24875	 21329
+-24869	 21336
+-24863	 21343
+-24857	 21350
+-24851	 21358
+-24845	 21365
+-24838	 21372
+-24832	 21379
+-24826	 21386
+-24820	 21393
+-24814	 21400
+-24808	 21408
+-24801	 21415
+-24795	 21422
+-24789	 21429
+-24783	 21436
+-24777	 21443
+-24771	 21450
+-24764	 21458
+-24758	 21465
+-24752	 21472
+-24746	 21479
+-24740	 21486
+-24734	 21493
+-24727	 21500
+-24721	 21507
+-24715	 21514
+-24709	 21522
+-24703	 21529
+-24696	 21536
+-24690	 21543
+-24684	 21550
+-24678	 21557
+-24672	 21564
+-24665	 21571
+-24659	 21578
+-24653	 21585
+-24647	 21593
+-24641	 21600
+-24634	 21607
+-24628	 21614
+-24622	 21621
+-24616	 21628
+-24610	 21635
+-24603	 21642
+-24597	 21649
+-24591	 21656
+-24585	 21663
+-24578	 21670
+-24572	 21677
+-24566	 21685
+-24560	 21692
+-24553	 21699
+-24547	 21706
+-24541	 21713
+-24535	 21720
+-24528	 21727
+-24522	 21734
+-24516	 21741
+-24510	 21748
+-24503	 21755
+-24497	 21762
+-24491	 21769
+-24485	 21776
+-24478	 21783
+-24472	 21790
+-24466	 21797
+-24460	 21804
+-24453	 21811
+-24447	 21818
+-24441	 21825
+-24435	 21833
+-24428	 21840
+-24422	 21847
+-24416	 21854
+-24409	 21861
+-24403	 21868
+-24397	 21875
+-24391	 21882
+-24384	 21889
+-24378	 21896
+-24372	 21903
+-24365	 21910
+-24359	 21917
+-24353	 21924
+-24346	 21931
+-24340	 21938
+-24334	 21945
+-24327	 21952
+-24321	 21959
+-24315	 21966
+-24309	 21973
+-24302	 21980
+-24296	 21987
+-24290	 21994
+-24283	 22001
+-24277	 22008
+-24271	 22015
+-24264	 22022
+-24258	 22029
+-24252	 22036
+-24245	 22043
+-24239	 22049
+-24233	 22056
+-24226	 22063
+-24220	 22070
+-24213	 22077
+-24207	 22084
+-24201	 22091
+-24194	 22098
+-24188	 22105
+-24182	 22112
+-24175	 22119
+-24169	 22126
+-24163	 22133
+-24156	 22140
+-24150	 22147
+-24144	 22154
+-24137	 22161
+-24131	 22168
+-24124	 22175
+-24118	 22182
+-24112	 22189
+-24105	 22195
+-24099	 22202
+-24092	 22209
+-24086	 22216
+-24080	 22223
+-24073	 22230
+-24067	 22237
+-24061	 22244
+-24054	 22251
+-24048	 22258
+-24041	 22265
+-24035	 22272
+-24029	 22279
+-24022	 22285
+-24016	 22292
+-24009	 22299
+-24003	 22306
+-23996	 22313
+-23990	 22320
+-23984	 22327
+-23977	 22334
+-23971	 22341
+-23964	 22348
+-23958	 22354
+-23951	 22361
+-23945	 22368
+-23939	 22375
+-23932	 22382
+-23926	 22389
+-23919	 22396
+-23913	 22403
+-23906	 22410
+-23900	 22416
+-23894	 22423
+-23887	 22430
+-23881	 22437
+-23874	 22444
+-23868	 22451
+-23861	 22458
+-23855	 22464
+-23848	 22471
+-23842	 22478
+-23835	 22485
+-23829	 22492
+-23822	 22499
+-23816	 22506
+-23810	 22512
+-23803	 22519
+-23797	 22526
+-23790	 22533
+-23784	 22540
+-23777	 22547
+-23771	 22553
+-23764	 22560
+-23758	 22567
+-23751	 22574
+-23745	 22581
+-23738	 22588
+-23732	 22594
+-23725	 22601
+-23719	 22608
+-23712	 22615
+-23706	 22622
+-23699	 22629
+-23693	 22635
+-23686	 22642
+-23680	 22649
+-23673	 22656
+-23667	 22663
+-23660	 22669
+-23654	 22676
+-23647	 22683
+-23641	 22690
+-23634	 22697
+-23627	 22703
+-23621	 22710
+-23614	 22717
+-23608	 22724
+-23601	 22731
+-23595	 22737
+-23588	 22744
+-23582	 22751
+-23575	 22758
+-23569	 22765
+-23562	 22771
+-23556	 22778
+-23549	 22785
+-23542	 22792
+-23536	 22798
+-23529	 22805
+-23523	 22812
+-23516	 22819
+-23510	 22825
+-23503	 22832
+-23496	 22839
+-23490	 22846
+-23483	 22852
+-23477	 22859
+-23470	 22866
+-23464	 22873
+-23457	 22879
+-23450	 22886
+-23444	 22893
+-23437	 22900
+-23431	 22906
+-23424	 22913
+-23418	 22920
+-23411	 22927
+-23404	 22933
+-23398	 22940
+-23391	 22947
+-23385	 22954
+-23378	 22960
+-23371	 22967
+-23365	 22974
+-23358	 22980
+-23351	 22987
+-23345	 22994
+-23338	 23001
+-23332	 23007
+-23325	 23014
+-23318	 23021
+-23312	 23027
+-23305	 23034
+-23299	 23041
+-23292	 23048
+-23285	 23054
+-23279	 23061
+-23272	 23068
+-23265	 23074
+-23259	 23081
+-23252	 23088
+-23245	 23094
+-23239	 23101
+-23232	 23108
+-23226	 23114
+-23219	 23121
+-23212	 23128
+-23206	 23134
+-23199	 23141
+-23192	 23148
+-23186	 23155
+-23179	 23161
+-23172	 23168
+-23166	 23175
+-23159	 23181
+-23152	 23188
+-23146	 23194
+-23139	 23201
+-23132	 23208
+-23126	 23214
+-23119	 23221
+-23112	 23228
+-23106	 23234
+-23099	 23241
+-23092	 23248
+-23085	 23254
+-23079	 23261
+-23072	 23268
+-23065	 23274
+-23059	 23281
+-23052	 23287
+-23045	 23294
+-23039	 23301
+-23032	 23307
+-23025	 23314
+-23019	 23321
+-23012	 23327
+-23005	 23334
+-22998	 23340
+-22992	 23347
+-22985	 23354
+-22978	 23360
+-22972	 23367
+-22965	 23374
+-22958	 23380
+-22951	 23387
+-22945	 23393
+-22938	 23400
+-22931	 23407
+-22924	 23413
+-22918	 23420
+-22911	 23426
+-22904	 23433
+-22897	 23439
+-22891	 23446
+-22884	 23453
+-22877	 23459
+-22871	 23466
+-22864	 23472
+-22857	 23479
+-22850	 23486
+-22843	 23492
+-22837	 23499
+-22830	 23505
+-22823	 23512
+-22816	 23518
+-22810	 23525
+-22803	 23531
+-22796	 23538
+-22789	 23545
+-22783	 23551
+-22776	 23558
+-22769	 23564
+-22762	 23571
+-22755	 23577
+-22749	 23584
+-22742	 23590
+-22735	 23597
+-22728	 23603
+-22722	 23610
+-22715	 23617
+-22708	 23623
+-22701	 23630
+-22694	 23636
+-22688	 23643
+-22681	 23649
+-22674	 23656
+-22667	 23662
+-22660	 23669
+-22654	 23675
+-22647	 23682
+-22640	 23688
+-22633	 23695
+-22626	 23701
+-22619	 23708
+-22613	 23714
+-22606	 23721
+-22599	 23727
+-22592	 23734
+-22585	 23740
+-22579	 23747
+-22572	 23753
+-22565	 23760
+-22558	 23766
+-22551	 23773
+-22544	 23779
+-22538	 23786
+-22531	 23792
+-22524	 23799
+-22517	 23805
+-22510	 23812
+-22503	 23818
+-22496	 23825
+-22490	 23831
+-22483	 23838
+-22476	 23844
+-22469	 23850
+-22462	 23857
+-22455	 23863
+-22448	 23870
+-22442	 23876
+-22435	 23883
+-22428	 23889
+-22421	 23896
+-22414	 23902
+-22407	 23909
+-22400	 23915
+-22393	 23921
+-22387	 23928
+-22380	 23934
+-22373	 23941
+-22366	 23947
+-22359	 23954
+-22352	 23960
+-22345	 23966
+-22338	 23973
+-22331	 23979
+-22325	 23986
+-22318	 23992
+-22311	 23999
+-22304	 24005
+-22297	 24011
+-22290	 24018
+-22283	 24024
+-22276	 24031
+-22269	 24037
+-22262	 24043
+-22256	 24050
+-22249	 24056
+-22242	 24063
+-22235	 24069
+-22228	 24075
+-22221	 24082
+-22214	 24088
+-22207	 24095
+-22200	 24101
+-22193	 24107
+-22186	 24114
+-22179	 24120
+-22172	 24127
+-22165	 24133
+-22158	 24139
+-22152	 24146
+-22145	 24152
+-22138	 24158
+-22131	 24165
+-22124	 24171
+-22117	 24177
+-22110	 24184
+-22103	 24190
+-22096	 24197
+-22089	 24203
+-22082	 24209
+-22075	 24216
+-22068	 24222
+-22061	 24228
+-22054	 24235
+-22047	 24241
+-22040	 24247
+-22033	 24254
+-22026	 24260
+-22019	 24266
+-22012	 24273
+-22005	 24279
+-21998	 24285
+-21991	 24292
+-21984	 24298
+-21977	 24304
+-21970	 24311
+-21963	 24317
+-21956	 24323
+-21949	 24330
+-21942	 24336
+-21935	 24342
+-21928	 24349
+-21921	 24355
+-21914	 24361
+-21907	 24367
+-21900	 24374
+-21893	 24380
+-21886	 24386
+-21879	 24393
+-21872	 24399
+-21865	 24405
+-21858	 24411
+-21851	 24418
+-21844	 24424
+-21837	 24430
+-21830	 24437
+-21823	 24443
+-21816	 24449
+-21809	 24455
+-21802	 24462
+-21795	 24468
+-21788	 24474
+-21781	 24480
+-21774	 24487
+-21767	 24493
+-21760	 24499
+-21753	 24506
+-21746	 24512
+-21739	 24518
+-21732	 24524
+-21725	 24531
+-21717	 24537
+-21710	 24543
+-21703	 24549
+-21696	 24556
+-21689	 24562
+-21682	 24568
+-21675	 24574
+-21668	 24580
+-21661	 24587
+-21654	 24593
+-21647	 24599
+-21640	 24605
+-21633	 24612
+-21626	 24618
+-21619	 24624
+-21611	 24630
+-21604	 24636
+-21597	 24643
+-21590	 24649
+-21583	 24655
+-21576	 24661
+-21569	 24668
+-21562	 24674
+-21555	 24680
+-21548	 24686
+-21540	 24692
+-21533	 24699
+-21526	 24705
+-21519	 24711
+-21512	 24717
+-21505	 24723
+-21498	 24729
+-21491	 24736
+-21484	 24742
+-21477	 24748
+-21469	 24754
+-21462	 24760
+-21455	 24767
+-21448	 24773
+-21441	 24779
+-21434	 24785
+-21427	 24791
+-21420	 24797
+-21412	 24803
+-21405	 24810
+-21398	 24816
+-21391	 24822
+-21384	 24828
+-21377	 24834
+-21370	 24840
+-21362	 24847
+-21355	 24853
+-21348	 24859
+-21341	 24865
+-21334	 24871
+-21327	 24877
+-21319	 24883
+-21312	 24890
+-21305	 24896
+-21298	 24902
+-21291	 24908
+-21284	 24914
+-21277	 24920
+-21269	 24926
+-21262	 24932
+-21255	 24938
+-21248	 24945
+-21241	 24951
+-21233	 24957
+-21226	 24963
+-21219	 24969
+-21212	 24975
+-21205	 24981
+-21198	 24987
+-21190	 24993
+-21183	 25000
+-21176	 25006
+-21169	 25012
+-21162	 25018
+-21154	 25024
+-21147	 25030
+-21140	 25036
+-21133	 25042
+-21126	 25048
+-21118	 25054
+-21111	 25060
+-21104	 25066
+-21097	 25072
+-21090	 25079
+-21082	 25085
+-21075	 25091
+-21068	 25097
+-21061	 25103
+-21053	 25109
+-21046	 25115
+-21039	 25121
+-21032	 25127
+-21025	 25133
+-21017	 25139
+-21010	 25145
+-21003	 25151
+-20996	 25157
+-20988	 25163
+-20981	 25169
+-20974	 25175
+-20967	 25181
+-20959	 25187
+-20952	 25193
+-20945	 25199
+-20938	 25205
+-20930	 25211
+-20923	 25217
+-20916	 25224
+-20909	 25230
+-20901	 25236
+-20894	 25242
+-20887	 25248
+-20880	 25254
+-20872	 25260
+-20865	 25266
+-20858	 25272
+-20851	 25278
+-20843	 25284
+-20836	 25290
+-20829	 25296
+-20822	 25302
+-20814	 25308
+-20807	 25314
+-20800	 25319
+-20792	 25325
+-20785	 25331
+-20778	 25337
+-20771	 25343
+-20763	 25349
+-20756	 25355
+-20749	 25361
+-20741	 25367
+-20734	 25373
+-20727	 25379
+-20719	 25385
+-20712	 25391
+-20705	 25397
+-20698	 25403
+-20690	 25409
+-20683	 25415
+-20676	 25421
+-20668	 25427
+-20661	 25433
+-20654	 25439
+-20646	 25445
+-20639	 25451
+-20632	 25457
+-20624	 25462
+-20617	 25468
+-20610	 25474
+-20602	 25480
+-20595	 25486
+-20588	 25492
+-20580	 25498
+-20573	 25504
+-20566	 25510
+-20558	 25516
+-20551	 25522
+-20544	 25528
+-20536	 25533
+-20529	 25539
+-20522	 25545
+-20514	 25551
+-20507	 25557
+-20500	 25563
+-20492	 25569
+-20485	 25575
+-20478	 25581
+-20470	 25587
+-20463	 25592
+-20456	 25598
+-20448	 25604
+-20441	 25610
+-20433	 25616
+-20426	 25622
+-20419	 25628
+-20411	 25634
+-20404	 25639
+-20397	 25645
+-20389	 25651
+-20382	 25657
+-20374	 25663
+-20367	 25669
+-20360	 25675
+-20352	 25680
+-20345	 25686
+-20338	 25692
+-20330	 25698
+-20323	 25704
+-20315	 25710
+-20308	 25716
+-20301	 25721
+-20293	 25727
+-20286	 25733
+-20278	 25739
+-20271	 25745
+-20264	 25751
+-20256	 25756
+-20249	 25762
+-20241	 25768
+-20234	 25774
+-20226	 25780
+-20219	 25786
+-20212	 25791
+-20204	 25797
+-20197	 25803
+-20189	 25809
+-20182	 25815
+-20175	 25820
+-20167	 25826
+-20160	 25832
+-20152	 25838
+-20145	 25844
+-20137	 25849
+-20130	 25855
+-20123	 25861
+-20115	 25867
+-20108	 25872
+-20100	 25878
+-20093	 25884
+-20085	 25890
+-20078	 25896
+-20070	 25901
+-20063	 25907
+-20056	 25913
+-20048	 25919
+-20041	 25924
+-20033	 25930
+-20026	 25936
+-20018	 25942
+-20011	 25947
+-20003	 25953
+-19996	 25959
+-19988	 25965
+-19981	 25970
+-19973	 25976
+-19966	 25982
+-19958	 25988
+-19951	 25993
+-19944	 25999
+-19936	 26005
+-19929	 26011
+-19921	 26016
+-19914	 26022
+-19906	 26028
+-19899	 26034
+-19891	 26039
+-19884	 26045
+-19876	 26051
+-19869	 26056
+-19861	 26062
+-19854	 26068
+-19846	 26074
+-19839	 26079
+-19831	 26085
+-19824	 26091
+-19816	 26096
+-19809	 26102
+-19801	 26108
+-19794	 26113
+-19786	 26119
+-19779	 26125
+-19771	 26131
+-19764	 26136
+-19756	 26142
+-19749	 26148
+-19741	 26153
+-19734	 26159
+-19726	 26165
+-19718	 26170
+-19711	 26176
+-19703	 26182
+-19696	 26187
+-19688	 26193
+-19681	 26199
+-19673	 26204
+-19666	 26210
+-19658	 26216
+-19651	 26221
+-19643	 26227
+-19636	 26233
+-19628	 26238
+-19620	 26244
+-19613	 26249
+-19605	 26255
+-19598	 26261
+-19590	 26266
+-19583	 26272
+-19575	 26278
+-19568	 26283
+-19560	 26289
+-19552	 26295
+-19545	 26300
+-19537	 26306
+-19530	 26311
+-19522	 26317
+-19515	 26323
+-19507	 26328
+-19499	 26334
+-19492	 26339
+-19484	 26345
+-19477	 26351
+-19469	 26356
+-19462	 26362
+-19454	 26367
+-19446	 26373
+-19439	 26379
+-19431	 26384
+-19424	 26390
+-19416	 26395
+-19408	 26401
+-19401	 26407
+-19393	 26412
+-19386	 26418
+-19378	 26423
+-19371	 26429
+-19363	 26434
+-19355	 26440
+-19348	 26446
+-19340	 26451
+-19332	 26457
+-19325	 26462
+-19317	 26468
+-19310	 26473
+-19302	 26479
+-19294	 26484
+-19287	 26490
+-19279	 26496
+-19272	 26501
+-19264	 26507
+-19256	 26512
+-19249	 26518
+-19241	 26523
+-19233	 26529
+-19226	 26534
+-19218	 26540
+-19211	 26545
+-19203	 26551
+-19195	 26556
+-19188	 26562
+-19180	 26567
+-19172	 26573
+-19165	 26579
+-19157	 26584
+-19149	 26590
+-19142	 26595
+-19134	 26601
+-19126	 26606
+-19119	 26612
+-19111	 26617
+-19103	 26623
+-19096	 26628
+-19088	 26634
+-19081	 26639
+-19073	 26644
+-19065	 26650
+-19058	 26655
+-19050	 26661
+-19042	 26666
+-19035	 26672
+-19027	 26677
+-19019	 26683
+-19011	 26688
+-19004	 26694
+-18996	 26699
+-18988	 26705
+-18981	 26710
+-18973	 26716
+-18965	 26721
+-18958	 26727
+-18950	 26732
+-18942	 26737
+-18935	 26743
+-18927	 26748
+-18919	 26754
+-18912	 26759
+-18904	 26765
+-18896	 26770
+-18888	 26776
+-18881	 26781
+-18873	 26786
+-18865	 26792
+-18858	 26797
+-18850	 26803
+-18842	 26808
+-18835	 26813
+-18827	 26819
+-18819	 26824
+-18811	 26830
+-18804	 26835
+-18796	 26841
+-18788	 26846
+-18781	 26851
+-18773	 26857
+-18765	 26862
+-18757	 26868
+-18750	 26873
+-18742	 26878
+-18734	 26884
+-18726	 26889
+-18719	 26894
+-18711	 26900
+-18703	 26905
+-18695	 26911
+-18688	 26916
+-18680	 26921
+-18672	 26927
+-18664	 26932
+-18657	 26937
+-18649	 26943
+-18641	 26948
+-18633	 26954
+-18626	 26959
+-18618	 26964
+-18610	 26970
+-18602	 26975
+-18595	 26980
+-18587	 26986
+-18579	 26991
+-18571	 26996
+-18564	 27002
+-18556	 27007
+-18548	 27012
+-18540	 27018
+-18533	 27023
+-18525	 27028
+-18517	 27034
+-18509	 27039
+-18501	 27044
+-18494	 27050
+-18486	 27055
+-18478	 27060
+-18470	 27066
+-18463	 27071
+-18455	 27076
+-18447	 27082
+-18439	 27087
+-18431	 27092
+-18424	 27097
+-18416	 27103
+-18408	 27108
+-18400	 27113
+-18392	 27119
+-18385	 27124
+-18377	 27129
+-18369	 27134
+-18361	 27140
+-18353	 27145
+-18346	 27150
+-18338	 27156
+-18330	 27161
+-18322	 27166
+-18314	 27171
+-18307	 27177
+-18299	 27182
+-18291	 27187
+-18283	 27192
+-18275	 27198
+-18267	 27203
+-18260	 27208
+-18252	 27213
+-18244	 27219
+-18236	 27224
+-18228	 27229
+-18220	 27234
+-18213	 27240
+-18205	 27245
+-18197	 27250
+-18189	 27255
+-18181	 27261
+-18173	 27266
+-18166	 27271
+-18158	 27276
+-18150	 27282
+-18142	 27287
+-18134	 27292
+-18126	 27297
+-18119	 27302
+-18111	 27308
+-18103	 27313
+-18095	 27318
+-18087	 27323
+-18079	 27328
+-18071	 27334
+-18064	 27339
+-18056	 27344
+-18048	 27349
+-18040	 27354
+-18032	 27360
+-18024	 27365
+-18016	 27370
+-18008	 27375
+-18001	 27380
+-17993	 27385
+-17985	 27391
+-17977	 27396
+-17969	 27401
+-17961	 27406
+-17953	 27411
+-17945	 27416
+-17938	 27422
+-17930	 27427
+-17922	 27432
+-17914	 27437
+-17906	 27442
+-17898	 27447
+-17890	 27453
+-17882	 27458
+-17874	 27463
+-17866	 27468
+-17859	 27473
+-17851	 27478
+-17843	 27483
+-17835	 27489
+-17827	 27494
+-17819	 27499
+-17811	 27504
+-17803	 27509
+-17795	 27514
+-17787	 27519
+-17779	 27524
+-17772	 27529
+-17764	 27535
+-17756	 27540
+-17748	 27545
+-17740	 27550
+-17732	 27555
+-17724	 27560
+-17716	 27565
+-17708	 27570
+-17700	 27575
+-17692	 27580
+-17684	 27586
+-17676	 27591
+-17669	 27596
+-17661	 27601
+-17653	 27606
+-17645	 27611
+-17637	 27616
+-17629	 27621
+-17621	 27626
+-17613	 27631
+-17605	 27636
+-17597	 27641
+-17589	 27646
+-17581	 27651
+-17573	 27657
+-17565	 27662
+-17557	 27667
+-17549	 27672
+-17541	 27677
+-17533	 27682
+-17525	 27687
+-17517	 27692
+-17509	 27697
+-17502	 27702
+-17494	 27707
+-17486	 27712
+-17478	 27717
+-17470	 27722
+-17462	 27727
+-17454	 27732
+-17446	 27737
+-17438	 27742
+-17430	 27747
+-17422	 27752
+-17414	 27757
+-17406	 27762
+-17398	 27767
+-17390	 27772
+-17382	 27777
+-17374	 27782
+-17366	 27787
+-17358	 27792
+-17350	 27797
+-17342	 27802
+-17334	 27807
+-17326	 27812
+-17318	 27817
+-17310	 27822
+-17302	 27827
+-17294	 27832
+-17286	 27837
+-17278	 27842
+-17270	 27847
+-17262	 27852
+-17254	 27857
+-17246	 27862
+-17238	 27867
+-17230	 27872
+-17222	 27877
+-17214	 27882
+-17206	 27887
+-17198	 27892
+-17190	 27897
+-17182	 27901
+-17174	 27906
+-17166	 27911
+-17158	 27916
+-17150	 27921
+-17142	 27926
+-17134	 27931
+-17125	 27936
+-17117	 27941
+-17109	 27946
+-17101	 27951
+-17093	 27956
+-17085	 27961
+-17077	 27965
+-17069	 27970
+-17061	 27975
+-17053	 27980
+-17045	 27985
+-17037	 27990
+-17029	 27995
+-17021	 28000
+-17013	 28005
+-17005	 28010
+-16997	 28014
+-16989	 28019
+-16981	 28024
+-16973	 28029
+-16964	 28034
+-16956	 28039
+-16948	 28044
+-16940	 28049
+-16932	 28054
+-16924	 28058
+-16916	 28063
+-16908	 28068
+-16900	 28073
+-16892	 28078
+-16884	 28083
+-16876	 28088
+-16868	 28092
+-16860	 28097
+-16851	 28102
+-16843	 28107
+-16835	 28112
+-16827	 28117
+-16819	 28121
+-16811	 28126
+-16803	 28131
+-16795	 28136
+-16787	 28141
+-16779	 28146
+-16771	 28150
+-16762	 28155
+-16754	 28160
+-16746	 28165
+-16738	 28170
+-16730	 28175
+-16722	 28179
+-16714	 28184
+-16706	 28189
+-16698	 28194
+-16690	 28199
+-16681	 28203
+-16673	 28208
+-16665	 28213
+-16657	 28218
+-16649	 28223
+-16641	 28227
+-16633	 28232
+-16625	 28237
+-16617	 28242
+-16608	 28246
+-16600	 28251
+-16592	 28256
+-16584	 28261
+-16576	 28266
+-16568	 28270
+-16560	 28275
+-16551	 28280
+-16543	 28285
+-16535	 28289
+-16527	 28294
+-16519	 28299
+-16511	 28304
+-16503	 28308
+-16495	 28313
+-16486	 28318
+-16478	 28323
+-16470	 28327
+-16462	 28332
+-16454	 28337
+-16446	 28342
+-16437	 28346
+-16429	 28351
+-16421	 28356
+-16413	 28360
+-16405	 28365
+-16397	 28370
+-16389	 28375
+-16379	 28379
+-16371	 28384
+-16363	 28389
+-16355	 28393
+-16347	 28398
+-16339	 28403
+-16330	 28408
+-16322	 28412
+-16314	 28417
+-16306	 28422
+-16298	 28426
+-16290	 28431
+-16281	 28436
+-16273	 28440
+-16265	 28445
+-16257	 28450
+-16249	 28454
+-16240	 28459
+-16232	 28464
+-16224	 28468
+-16216	 28473
+-16208	 28478
+-16199	 28482
+-16191	 28487
+-16183	 28492
+-16175	 28496
+-16167	 28501
+-16159	 28506
+-16150	 28510
+-16142	 28515
+-16134	 28520
+-16126	 28524
+-16117	 28529
+-16109	 28533
+-16101	 28538
+-16093	 28543
+-16085	 28547
+-16076	 28552
+-16068	 28557
+-16060	 28561
+-16052	 28566
+-16044	 28570
+-16035	 28575
+-16027	 28580
+-16019	 28584
+-16011	 28589
+-16002	 28594
+-15994	 28598
+-15986	 28603
+-15978	 28607
+-15970	 28612
+-15961	 28616
+-15953	 28621
+-15945	 28626
+-15937	 28630
+-15928	 28635
+-15920	 28639
+-15912	 28644
+-15904	 28649
+-15895	 28653
+-15887	 28658
+-15879	 28662
+-15871	 28667
+-15862	 28671
+-15854	 28676
+-15846	 28681
+-15838	 28685
+-15829	 28690
+-15821	 28694
+-15813	 28699
+-15805	 28703
+-15796	 28708
+-15788	 28712
+-15780	 28717
+-15772	 28721
+-15763	 28726
+-15755	 28731
+-15747	 28735
+-15739	 28740
+-15730	 28744
+-15722	 28749
+-15714	 28753
+-15706	 28758
+-15697	 28762
+-15689	 28767
+-15681	 28771
+-15672	 28776
+-15664	 28780
+-15656	 28785
+-15648	 28789
+-15639	 28794
+-15631	 28798
+-15623	 28803
+-15615	 28807
+-15606	 28812
+-15598	 28816
+-15590	 28821
+-15581	 28825
+-15573	 28830
+-15565	 28834
+-15556	 28839
+-15548	 28843
+-15540	 28848
+-15532	 28852
+-15523	 28856
+-15515	 28861
+-15507	 28865
+-15498	 28870
+-15490	 28874
+-15482	 28879
+-15473	 28883
+-15465	 28888
+-15457	 28892
+-15449	 28897
+-15440	 28901
+-15432	 28905
+-15424	 28910
+-15415	 28914
+-15407	 28919
+-15399	 28923
+-15390	 28928
+-15382	 28932
+-15374	 28936
+-15365	 28941
+-15357	 28945
+-15349	 28950
+-15340	 28954
+-15332	 28959
+-15324	 28963
+-15315	 28967
+-15307	 28972
+-15299	 28976
+-15290	 28981
+-15282	 28985
+-15274	 28989
+-15265	 28994
+-15257	 28998
+-15249	 29003
+-15240	 29007
+-15232	 29011
+-15224	 29016
+-15215	 29020
+-15207	 29024
+-15199	 29029
+-15190	 29033
+-15182	 29038
+-15174	 29042
+-15165	 29046
+-15157	 29051
+-15149	 29055
+-15140	 29059
+-15132	 29064
+-15123	 29068
+-15115	 29072
+-15107	 29077
+-15098	 29081
+-15090	 29085
+-15082	 29090
+-15073	 29094
+-15065	 29098
+-15057	 29103
+-15048	 29107
+-15040	 29111
+-15031	 29116
+-15023	 29120
+-15015	 29124
+-15006	 29129
+-14998	 29133
+-14990	 29137
+-14981	 29142
+-14973	 29146
+-14964	 29150
+-14956	 29155
+-14948	 29159
+-14939	 29163
+-14931	 29167
+-14922	 29172
+-14914	 29176
+-14906	 29180
+-14897	 29185
+-14889	 29189
+-14880	 29193
+-14872	 29197
+-14864	 29202
+-14855	 29206
+-14847	 29210
+-14838	 29215
+-14830	 29219
+-14822	 29223
+-14813	 29227
+-14805	 29232
+-14796	 29236
+-14788	 29240
+-14780	 29244
+-14771	 29249
+-14763	 29253
+-14754	 29257
+-14746	 29261
+-14738	 29266
+-14729	 29270
+-14721	 29274
+-14712	 29278
+-14704	 29282
+-14695	 29287
+-14687	 29291
+-14679	 29295
+-14670	 29299
+-14662	 29304
+-14653	 29308
+-14645	 29312
+-14636	 29316
+-14628	 29320
+-14620	 29325
+-14611	 29329
+-14603	 29333
+-14594	 29337
+-14586	 29341
+-14577	 29346
+-14569	 29350
+-14561	 29354
+-14552	 29358
+-14544	 29362
+-14535	 29367
+-14527	 29371
+-14518	 29375
+-14510	 29379
+-14501	 29383
+-14493	 29387
+-14485	 29392
+-14476	 29396
+-14468	 29400
+-14459	 29404
+-14451	 29408
+-14442	 29412
+-14434	 29417
+-14425	 29421
+-14417	 29425
+-14408	 29429
+-14400	 29433
+-14391	 29437
+-14383	 29441
+-14375	 29446
+-14366	 29450
+-14358	 29454
+-14349	 29458
+-14341	 29462
+-14332	 29466
+-14324	 29470
+-14315	 29474
+-14307	 29479
+-14298	 29483
+-14290	 29487
+-14281	 29491
+-14273	 29495
+-14264	 29499
+-14256	 29503
+-14247	 29507
+-14239	 29511
+-14230	 29516
+-14222	 29520
+-14213	 29524
+-14205	 29528
+-14196	 29532
+-14188	 29536
+-14179	 29540
+-14171	 29544
+-14162	 29548
+-14154	 29552
+-14145	 29556
+-14137	 29560
+-14128	 29564
+-14120	 29569
+-14111	 29573
+-14103	 29577
+-14094	 29581
+-14086	 29585
+-14077	 29589
+-14069	 29593
+-14060	 29597
+-14052	 29601
+-14043	 29605
+-14035	 29609
+-14026	 29613
+-14018	 29617
+-14009	 29621
+-14001	 29625
+-13992	 29629
+-13984	 29633
+-13975	 29637
+-13967	 29641
+-13958	 29645
+-13950	 29649
+-13941	 29653
+-13933	 29657
+-13924	 29661
+-13915	 29665
+-13907	 29669
+-13898	 29673
+-13890	 29677
+-13881	 29681
+-13873	 29685
+-13864	 29689
+-13856	 29693
+-13847	 29697
+-13839	 29701
+-13830	 29705
+-13822	 29709
+-13813	 29713
+-13804	 29717
+-13796	 29721
+-13787	 29725
+-13779	 29729
+-13770	 29733
+-13762	 29737
+-13753	 29741
+-13745	 29745
+-13736	 29749
+-13728	 29753
+-13719	 29757
+-13710	 29761
+-13702	 29765
+-13693	 29769
+-13685	 29772
+-13676	 29776
+-13668	 29780
+-13659	 29784
+-13650	 29788
+-13642	 29792
+-13633	 29796
+-13625	 29800
+-13616	 29804
+-13608	 29808
+-13599	 29812
+-13590	 29816
+-13582	 29820
+-13573	 29823
+-13565	 29827
+-13556	 29831
+-13548	 29835
+-13539	 29839
+-13530	 29843
+-13522	 29847
+-13513	 29851
+-13505	 29855
+-13496	 29858
+-13487	 29862
+-13479	 29866
+-13470	 29870
+-13462	 29874
+-13453	 29878
+-13445	 29882
+-13436	 29886
+-13427	 29889
+-13419	 29893
+-13410	 29897
+-13402	 29901
+-13393	 29905
+-13384	 29909
+-13376	 29913
+-13367	 29916
+-13359	 29920
+-13350	 29924
+-13341	 29928
+-13333	 29932
+-13324	 29936
+-13315	 29939
+-13307	 29943
+-13298	 29947
+-13290	 29951
+-13281	 29955
+-13272	 29959
+-13264	 29962
+-13255	 29966
+-13247	 29970
+-13238	 29974
+-13229	 29978
+-13221	 29981
+-13212	 29985
+-13203	 29989
+-13195	 29993
+-13186	 29997
+-13178	 30000
+-13169	 30004
+-13160	 30008
+-13152	 30012
+-13143	 30016
+-13134	 30019
+-13126	 30023
+-13117	 30027
+-13108	 30031
+-13100	 30034
+-13091	 30038
+-13083	 30042
+-13074	 30046
+-13065	 30049
+-13057	 30053
+-13048	 30057
+-13039	 30061
+-13031	 30064
+-13022	 30068
+-13013	 30072
+-13005	 30076
+-12996	 30079
+-12987	 30083
+-12979	 30087
+-12970	 30091
+-12961	 30094
+-12953	 30098
+-12944	 30102
+-12936	 30106
+-12927	 30109
+-12918	 30113
+-12910	 30117
+-12901	 30120
+-12892	 30124
+-12884	 30128
+-12875	 30132
+-12866	 30135
+-12858	 30139
+-12849	 30143
+-12840	 30146
+-12832	 30150
+-12823	 30154
+-12814	 30157
+-12806	 30161
+-12797	 30165
+-12788	 30168
+-12779	 30172
+-12771	 30176
+-12762	 30179
+-12753	 30183
+-12745	 30187
+-12736	 30190
+-12727	 30194
+-12719	 30198
+-12710	 30201
+-12701	 30205
+-12693	 30209
+-12684	 30212
+-12675	 30216
+-12667	 30220
+-12658	 30223
+-12649	 30227
+-12641	 30231
+-12632	 30234
+-12623	 30238
+-12614	 30241
+-12606	 30245
+-12597	 30249
+-12588	 30252
+-12580	 30256
+-12571	 30260
+-12562	 30263
+-12554	 30267
+-12545	 30270
+-12536	 30274
+-12527	 30278
+-12519	 30281
+-12510	 30285
+-12501	 30288
+-12493	 30292
+-12484	 30296
+-12475	 30299
+-12466	 30303
+-12458	 30306
+-12449	 30310
+-12440	 30314
+-12432	 30317
+-12423	 30321
+-12414	 30324
+-12405	 30328
+-12397	 30331
+-12388	 30335
+-12379	 30339
+-12370	 30342
+-12362	 30346
+-12353	 30349
+-12344	 30353
+-12336	 30356
+-12327	 30360
+-12318	 30363
+-12309	 30367
+-12301	 30370
+-12292	 30374
+-12283	 30378
+-12274	 30381
+-12266	 30385
+-12257	 30388
+-12248	 30392
+-12239	 30395
+-12231	 30399
+-12222	 30402
+-12213	 30406
+-12204	 30409
+-12196	 30413
+-12187	 30416
+-12178	 30420
+-12169	 30423
+-12161	 30427
+-12152	 30430
+-12143	 30434
+-12134	 30437
+-12126	 30441
+-12117	 30444
+-12108	 30448
+-12099	 30451
+-12091	 30455
+-12082	 30458
+-12073	 30462
+-12064	 30465
+-12056	 30469
+-12047	 30472
+-12038	 30475
+-12029	 30479
+-12021	 30482
+-12012	 30486
+-12003	 30489
+-11994	 30493
+-11986	 30496
+-11977	 30500
+-11968	 30503
+-11959	 30507
+-11950	 30510
+-11942	 30513
+-11933	 30517
+-11924	 30520
+-11915	 30524
+-11907	 30527
+-11898	 30531
+-11889	 30534
+-11880	 30537
+-11871	 30541
+-11863	 30544
+-11854	 30548
+-11845	 30551
+-11836	 30554
+-11827	 30558
+-11819	 30561
+-11810	 30565
+-11801	 30568
+-11792	 30571
+-11784	 30575
+-11775	 30578
+-11766	 30582
+-11757	 30585
+-11748	 30588
+-11740	 30592
+-11731	 30595
+-11722	 30598
+-11713	 30602
+-11704	 30605
+-11696	 30609
+-11687	 30612
+-11678	 30615
+-11669	 30619
+-11660	 30622
+-11652	 30625
+-11643	 30629
+-11634	 30632
+-11625	 30635
+-11616	 30639
+-11607	 30642
+-11599	 30645
+-11590	 30649
+-11581	 30652
+-11572	 30655
+-11563	 30659
+-11555	 30662
+-11546	 30665
+-11537	 30669
+-11528	 30672
+-11519	 30675
+-11510	 30679
+-11502	 30682
+-11493	 30685
+-11484	 30689
+-11475	 30692
+-11466	 30695
+-11457	 30698
+-11449	 30702
+-11440	 30705
+-11431	 30708
+-11422	 30712
+-11413	 30715
+-11405	 30718
+-11396	 30722
+-11387	 30725
+-11378	 30728
+-11369	 30731
+-11360	 30735
+-11351	 30738
+-11343	 30741
+-11334	 30744
+-11325	 30748
+-11316	 30751
+-11307	 30754
+-11298	 30757
+-11290	 30761
+-11281	 30764
+-11272	 30767
+-11263	 30770
+-11254	 30774
+-11245	 30777
+-11236	 30780
+-11228	 30783
+-11219	 30787
+-11210	 30790
+-11201	 30793
+-11192	 30796
+-11183	 30799
+-11174	 30803
+-11166	 30806
+-11157	 30809
+-11148	 30812
+-11139	 30815
+-11130	 30819
+-11121	 30822
+-11112	 30825
+-11104	 30828
+-11095	 30831
+-11086	 30835
+-11077	 30838
+-11068	 30841
+-11059	 30844
+-11050	 30847
+-11041	 30851
+-11033	 30854
+-11024	 30857
+-11015	 30860
+-11006	 30863
+-10997	 30866
+-10988	 30870
+-10979	 30873
+-10970	 30876
+-10962	 30879
+-10953	 30882
+-10944	 30885
+-10935	 30888
+-10926	 30892
+-10917	 30895
+-10908	 30898
+-10899	 30901
+-10891	 30904
+-10882	 30907
+-10873	 30910
+-10864	 30914
+-10855	 30917
+-10846	 30920
+-10837	 30923
+-10828	 30926
+-10819	 30929
+-10810	 30932
+-10802	 30935
+-10793	 30938
+-10784	 30942
+-10775	 30945
+-10766	 30948
+-10757	 30951
+-10748	 30954
+-10739	 30957
+-10730	 30960
+-10721	 30963
+-10713	 30966
+-10704	 30969
+-10695	 30972
+-10686	 30976
+-10677	 30979
+-10668	 30982
+-10659	 30985
+-10650	 30988
+-10641	 30991
+-10632	 30994
+-10623	 30997
+-10615	 31000
+-10606	 31003
+-10597	 31006
+-10588	 31009
+-10579	 31012
+-10570	 31015
+-10561	 31018
+-10552	 31021
+-10543	 31024
+-10534	 31027
+-10525	 31030
+-10516	 31033
+-10507	 31037
+-10499	 31040
+-10490	 31043
+-10481	 31046
+-10472	 31049
+-10463	 31052
+-10454	 31055
+-10445	 31058
+-10436	 31061
+-10427	 31064
+-10418	 31067
+-10409	 31070
+-10400	 31073
+-10391	 31076
+-10382	 31079
+-10373	 31082
+-10365	 31085
+-10356	 31088
+-10347	 31091
+-10338	 31093
+-10329	 31096
+-10320	 31099
+-10311	 31102
+-10302	 31105
+-10293	 31108
+-10284	 31111
+-10275	 31114
+-10266	 31117
+-10257	 31120
+-10248	 31123
+-10239	 31126
+-10230	 31129
+-10221	 31132
+-10212	 31135
+-10203	 31138
+-10195	 31141
+-10186	 31144
+-10177	 31147
+-10168	 31150
+-10159	 31152
+-10150	 31155
+-10141	 31158
+-10132	 31161
+-10123	 31164
+-10114	 31167
+-10105	 31170
+-10096	 31173
+-10087	 31176
+-10078	 31179
+-10069	 31182
+-10060	 31184
+-10051	 31187
+-10042	 31190
+-10033	 31193
+-10024	 31196
+-10015	 31199
+-10006	 31202
+ -9997	 31205
+ -9988	 31207
+ -9979	 31210
+ -9970	 31213
+ -9961	 31216
+ -9952	 31219
+ -9943	 31222
+ -9934	 31225
+ -9925	 31228
+ -9916	 31230
+ -9908	 31233
+ -9899	 31236
+ -9890	 31239
+ -9881	 31242
+ -9872	 31245
+ -9863	 31247
+ -9854	 31250
+ -9845	 31253
+ -9836	 31256
+ -9827	 31259
+ -9818	 31262
+ -9809	 31264
+ -9800	 31267
+ -9791	 31270
+ -9782	 31273
+ -9773	 31276
+ -9764	 31278
+ -9755	 31281
+ -9746	 31284
+ -9737	 31287
+ -9728	 31290
+ -9719	 31293
+ -9710	 31295
+ -9701	 31298
+ -9692	 31301
+ -9683	 31304
+ -9674	 31306
+ -9665	 31309
+ -9656	 31312
+ -9647	 31315
+ -9638	 31318
+ -9629	 31320
+ -9620	 31323
+ -9611	 31326
+ -9602	 31329
+ -9593	 31331
+ -9584	 31334
+ -9575	 31337
+ -9566	 31340
+ -9557	 31342
+ -9548	 31345
+ -9539	 31348
+ -9530	 31351
+ -9520	 31353
+ -9511	 31356
+ -9502	 31359
+ -9493	 31362
+ -9484	 31364
+ -9475	 31367
+ -9466	 31370
+ -9457	 31372
+ -9448	 31375
+ -9439	 31378
+ -9430	 31381
+ -9421	 31383
+ -9412	 31386
+ -9403	 31389
+ -9394	 31391
+ -9385	 31394
+ -9376	 31397
+ -9367	 31400
+ -9358	 31402
+ -9349	 31405
+ -9340	 31408
+ -9331	 31410
+ -9322	 31413
+ -9313	 31416
+ -9304	 31418
+ -9295	 31421
+ -9286	 31424
+ -9277	 31426
+ -9268	 31429
+ -9259	 31432
+ -9250	 31434
+ -9241	 31437
+ -9232	 31440
+ -9222	 31442
+ -9213	 31445
+ -9204	 31448
+ -9195	 31450
+ -9186	 31453
+ -9177	 31456
+ -9168	 31458
+ -9159	 31461
+ -9150	 31463
+ -9141	 31466
+ -9132	 31469
+ -9123	 31471
+ -9114	 31474
+ -9105	 31477
+ -9096	 31479
+ -9087	 31482
+ -9078	 31484
+ -9069	 31487
+ -9060	 31490
+ -9051	 31492
+ -9041	 31495
+ -9032	 31497
+ -9023	 31500
+ -9014	 31503
+ -9005	 31505
+ -8996	 31508
+ -8987	 31510
+ -8978	 31513
+ -8969	 31516
+ -8960	 31518
+ -8951	 31521
+ -8942	 31523
+ -8933	 31526
+ -8924	 31528
+ -8915	 31531
+ -8906	 31534
+ -8896	 31536
+ -8887	 31539
+ -8878	 31541
+ -8869	 31544
+ -8860	 31546
+ -8851	 31549
+ -8842	 31551
+ -8833	 31554
+ -8824	 31557
+ -8815	 31559
+ -8806	 31562
+ -8797	 31564
+ -8788	 31567
+ -8778	 31569
+ -8769	 31572
+ -8760	 31574
+ -8751	 31577
+ -8742	 31579
+ -8733	 31582
+ -8724	 31584
+ -8715	 31587
+ -8706	 31589
+ -8697	 31592
+ -8688	 31594
+ -8679	 31597
+ -8669	 31599
+ -8660	 31602
+ -8651	 31604
+ -8642	 31607
+ -8633	 31609
+ -8624	 31612
+ -8615	 31614
+ -8606	 31617
+ -8597	 31619
+ -8588	 31622
+ -8579	 31624
+ -8569	 31627
+ -8560	 31629
+ -8551	 31631
+ -8542	 31634
+ -8533	 31636
+ -8524	 31639
+ -8515	 31641
+ -8506	 31644
+ -8497	 31646
+ -8488	 31649
+ -8478	 31651
+ -8469	 31654
+ -8460	 31656
+ -8451	 31658
+ -8442	 31661
+ -8433	 31663
+ -8424	 31666
+ -8415	 31668
+ -8406	 31670
+ -8396	 31673
+ -8387	 31675
+ -8378	 31678
+ -8369	 31680
+ -8360	 31683
+ -8351	 31685
+ -8342	 31687
+ -8333	 31690
+ -8324	 31692
+ -8314	 31695
+ -8305	 31697
+ -8296	 31699
+ -8287	 31702
+ -8278	 31704
+ -8269	 31706
+ -8260	 31709
+ -8251	 31711
+ -8242	 31714
+ -8232	 31716
+ -8223	 31718
+ -8214	 31721
+ -8205	 31723
+ -8196	 31725
+ -8187	 31728
+ -8178	 31730
+ -8169	 31732
+ -8159	 31735
+ -8150	 31737
+ -8141	 31740
+ -8132	 31742
+ -8123	 31744
+ -8114	 31747
+ -8105	 31749
+ -8095	 31751
+ -8086	 31754
+ -8077	 31756
+ -8068	 31758
+ -8059	 31760
+ -8050	 31763
+ -8041	 31765
+ -8032	 31767
+ -8022	 31770
+ -8013	 31772
+ -8004	 31774
+ -7995	 31777
+ -7986	 31779
+ -7977	 31781
+ -7968	 31784
+ -7958	 31786
+ -7949	 31788
+ -7940	 31790
+ -7931	 31793
+ -7922	 31795
+ -7913	 31797
+ -7904	 31799
+ -7894	 31802
+ -7885	 31804
+ -7876	 31806
+ -7867	 31809
+ -7858	 31811
+ -7849	 31813
+ -7840	 31815
+ -7830	 31818
+ -7821	 31820
+ -7812	 31822
+ -7803	 31824
+ -7794	 31827
+ -7785	 31829
+ -7775	 31831
+ -7766	 31833
+ -7757	 31836
+ -7748	 31838
+ -7739	 31840
+ -7730	 31842
+ -7721	 31844
+ -7711	 31847
+ -7702	 31849
+ -7693	 31851
+ -7684	 31853
+ -7675	 31856
+ -7666	 31858
+ -7656	 31860
+ -7647	 31862
+ -7638	 31864
+ -7629	 31867
+ -7620	 31869
+ -7611	 31871
+ -7601	 31873
+ -7592	 31875
+ -7583	 31877
+ -7574	 31880
+ -7565	 31882
+ -7556	 31884
+ -7546	 31886
+ -7537	 31888
+ -7528	 31890
+ -7519	 31893
+ -7510	 31895
+ -7501	 31897
+ -7491	 31899
+ -7482	 31901
+ -7473	 31903
+ -7464	 31906
+ -7455	 31908
+ -7445	 31910
+ -7436	 31912
+ -7427	 31914
+ -7418	 31916
+ -7409	 31918
+ -7400	 31921
+ -7390	 31923
+ -7381	 31925
+ -7372	 31927
+ -7363	 31929
+ -7354	 31931
+ -7344	 31933
+ -7335	 31935
+ -7326	 31937
+ -7317	 31940
+ -7308	 31942
+ -7299	 31944
+ -7289	 31946
+ -7280	 31948
+ -7271	 31950
+ -7262	 31952
+ -7253	 31954
+ -7243	 31956
+ -7234	 31958
+ -7225	 31960
+ -7216	 31963
+ -7207	 31965
+ -7197	 31967
+ -7188	 31969
+ -7179	 31971
+ -7170	 31973
+ -7161	 31975
+ -7151	 31977
+ -7142	 31979
+ -7133	 31981
+ -7124	 31983
+ -7115	 31985
+ -7105	 31987
+ -7096	 31989
+ -7087	 31991
+ -7078	 31993
+ -7069	 31995
+ -7059	 31997
+ -7050	 32000
+ -7041	 32002
+ -7032	 32004
+ -7023	 32006
+ -7013	 32008
+ -7004	 32010
+ -6995	 32012
+ -6986	 32014
+ -6977	 32016
+ -6967	 32018
+ -6958	 32020
+ -6949	 32022
+ -6940	 32024
+ -6931	 32026
+ -6921	 32028
+ -6912	 32030
+ -6903	 32032
+ -6894	 32034
+ -6885	 32036
+ -6875	 32038
+ -6866	 32040
+ -6857	 32042
+ -6848	 32043
+ -6838	 32045
+ -6829	 32047
+ -6820	 32049
+ -6811	 32051
+ -6802	 32053
+ -6792	 32055
+ -6783	 32057
+ -6774	 32059
+ -6765	 32061
+ -6755	 32063
+ -6746	 32065
+ -6737	 32067
+ -6728	 32069
+ -6719	 32071
+ -6709	 32073
+ -6700	 32075
+ -6691	 32077
+ -6682	 32078
+ -6672	 32080
+ -6663	 32082
+ -6654	 32084
+ -6645	 32086
+ -6636	 32088
+ -6626	 32090
+ -6617	 32092
+ -6608	 32094
+ -6599	 32096
+ -6589	 32098
+ -6580	 32099
+ -6571	 32101
+ -6562	 32103
+ -6552	 32105
+ -6543	 32107
+ -6534	 32109
+ -6525	 32111
+ -6516	 32113
+ -6506	 32115
+ -6497	 32116
+ -6488	 32118
+ -6479	 32120
+ -6469	 32122
+ -6460	 32124
+ -6451	 32126
+ -6442	 32128
+ -6432	 32129
+ -6423	 32131
+ -6414	 32133
+ -6405	 32135
+ -6395	 32137
+ -6386	 32139
+ -6377	 32140
+ -6368	 32142
+ -6358	 32144
+ -6349	 32146
+ -6340	 32148
+ -6331	 32150
+ -6321	 32151
+ -6312	 32153
+ -6303	 32155
+ -6294	 32157
+ -6284	 32159
+ -6275	 32160
+ -6266	 32162
+ -6257	 32164
+ -6247	 32166
+ -6238	 32168
+ -6229	 32169
+ -6220	 32171
+ -6210	 32173
+ -6201	 32175
+ -6192	 32177
+ -6183	 32178
+ -6173	 32180
+ -6164	 32182
+ -6155	 32184
+ -6146	 32185
+ -6136	 32187
+ -6127	 32189
+ -6118	 32191
+ -6109	 32193
+ -6099	 32194
+ -6090	 32196
+ -6081	 32198
+ -6072	 32200
+ -6062	 32201
+ -6053	 32203
+ -6044	 32205
+ -6035	 32207
+ -6025	 32208
+ -6016	 32210
+ -6007	 32212
+ -5997	 32213
+ -5988	 32215
+ -5979	 32217
+ -5970	 32219
+ -5960	 32220
+ -5951	 32222
+ -5942	 32224
+ -5933	 32225
+ -5923	 32227
+ -5914	 32229
+ -5905	 32231
+ -5896	 32232
+ -5886	 32234
+ -5877	 32236
+ -5868	 32237
+ -5858	 32239
+ -5849	 32241
+ -5840	 32242
+ -5831	 32244
+ -5821	 32246
+ -5812	 32247
+ -5803	 32249
+ -5794	 32251
+ -5784	 32252
+ -5775	 32254
+ -5766	 32256
+ -5756	 32257
+ -5747	 32259
+ -5738	 32261
+ -5729	 32262
+ -5719	 32264
+ -5710	 32266
+ -5701	 32267
+ -5691	 32269
+ -5682	 32271
+ -5673	 32272
+ -5664	 32274
+ -5654	 32275
+ -5645	 32277
+ -5636	 32279
+ -5626	 32280
+ -5617	 32282
+ -5608	 32284
+ -5599	 32285
+ -5589	 32287
+ -5580	 32288
+ -5571	 32290
+ -5561	 32292
+ -5552	 32293
+ -5543	 32295
+ -5534	 32296
+ -5524	 32298
+ -5515	 32300
+ -5506	 32301
+ -5496	 32303
+ -5487	 32304
+ -5478	 32306
+ -5469	 32307
+ -5459	 32309
+ -5450	 32311
+ -5441	 32312
+ -5431	 32314
+ -5422	 32315
+ -5413	 32317
+ -5404	 32318
+ -5394	 32320
+ -5385	 32321
+ -5376	 32323
+ -5366	 32325
+ -5357	 32326
+ -5348	 32328
+ -5338	 32329
+ -5329	 32331
+ -5320	 32332
+ -5311	 32334
+ -5301	 32335
+ -5292	 32337
+ -5283	 32338
+ -5273	 32340
+ -5264	 32341
+ -5255	 32343
+ -5245	 32344
+ -5236	 32346
+ -5227	 32347
+ -5218	 32349
+ -5208	 32350
+ -5199	 32352
+ -5190	 32353
+ -5180	 32355
+ -5171	 32356
+ -5162	 32358
+ -5152	 32359
+ -5143	 32361
+ -5134	 32362
+ -5124	 32364
+ -5115	 32365
+ -5106	 32367
+ -5097	 32368
+ -5087	 32370
+ -5078	 32371
+ -5069	 32373
+ -5059	 32374
+ -5050	 32375
+ -5041	 32377
+ -5031	 32378
+ -5022	 32380
+ -5013	 32381
+ -5003	 32383
+ -4994	 32384
+ -4985	 32386
+ -4976	 32387
+ -4966	 32388
+ -4957	 32390
+ -4948	 32391
+ -4938	 32393
+ -4929	 32394
+ -4920	 32396
+ -4910	 32397
+ -4901	 32398
+ -4892	 32400
+ -4882	 32401
+ -4873	 32403
+ -4864	 32404
+ -4854	 32405
+ -4845	 32407
+ -4836	 32408
+ -4826	 32410
+ -4817	 32411
+ -4808	 32412
+ -4798	 32414
+ -4789	 32415
+ -4780	 32416
+ -4770	 32418
+ -4761	 32419
+ -4752	 32421
+ -4743	 32422
+ -4733	 32423
+ -4724	 32425
+ -4715	 32426
+ -4705	 32427
+ -4696	 32429
+ -4687	 32430
+ -4677	 32431
+ -4668	 32433
+ -4659	 32434
+ -4649	 32435
+ -4640	 32437
+ -4631	 32438
+ -4621	 32439
+ -4612	 32441
+ -4603	 32442
+ -4593	 32443
+ -4584	 32445
+ -4575	 32446
+ -4565	 32447
+ -4556	 32449
+ -4547	 32450
+ -4537	 32451
+ -4528	 32453
+ -4519	 32454
+ -4509	 32455
+ -4500	 32457
+ -4491	 32458
+ -4481	 32459
+ -4472	 32460
+ -4463	 32462
+ -4453	 32463
+ -4444	 32464
+ -4435	 32466
+ -4425	 32467
+ -4416	 32468
+ -4407	 32469
+ -4397	 32471
+ -4388	 32472
+ -4379	 32473
+ -4369	 32474
+ -4360	 32476
+ -4351	 32477
+ -4341	 32478
+ -4332	 32479
+ -4322	 32481
+ -4313	 32482
+ -4304	 32483
+ -4294	 32484
+ -4285	 32486
+ -4276	 32487
+ -4266	 32488
+ -4257	 32489
+ -4248	 32490
+ -4238	 32492
+ -4229	 32493
+ -4220	 32494
+ -4210	 32495
+ -4201	 32497
+ -4192	 32498
+ -4182	 32499
+ -4173	 32500
+ -4164	 32501
+ -4154	 32503
+ -4145	 32504
+ -4136	 32505
+ -4126	 32506
+ -4117	 32507
+ -4108	 32509
+ -4098	 32510
+ -4089	 32511
+ -4079	 32512
+ -4070	 32513
+ -4061	 32514
+ -4051	 32516
+ -4042	 32517
+ -4033	 32518
+ -4023	 32519
+ -4014	 32520
+ -4005	 32521
+ -3995	 32523
+ -3986	 32524
+ -3977	 32525
+ -3967	 32526
+ -3958	 32527
+ -3949	 32528
+ -3939	 32529
+ -3930	 32530
+ -3920	 32532
+ -3911	 32533
+ -3902	 32534
+ -3892	 32535
+ -3883	 32536
+ -3874	 32537
+ -3864	 32538
+ -3855	 32539
+ -3846	 32541
+ -3836	 32542
+ -3827	 32543
+ -3818	 32544
+ -3808	 32545
+ -3799	 32546
+ -3789	 32547
+ -3780	 32548
+ -3771	 32549
+ -3761	 32550
+ -3752	 32551
+ -3743	 32553
+ -3733	 32554
+ -3724	 32555
+ -3715	 32556
+ -3705	 32557
+ -3696	 32558
+ -3686	 32559
+ -3677	 32560
+ -3668	 32561
+ -3658	 32562
+ -3649	 32563
+ -3640	 32564
+ -3630	 32565
+ -3621	 32566
+ -3612	 32567
+ -3602	 32568
+ -3593	 32569
+ -3583	 32570
+ -3574	 32571
+ -3565	 32573
+ -3555	 32574
+ -3546	 32575
+ -3537	 32576
+ -3527	 32577
+ -3518	 32578
+ -3508	 32579
+ -3499	 32580
+ -3490	 32581
+ -3480	 32582
+ -3471	 32583
+ -3462	 32584
+ -3452	 32585
+ -3443	 32586
+ -3434	 32587
+ -3424	 32588
+ -3415	 32589
+ -3405	 32590
+ -3396	 32591
+ -3387	 32592
+ -3377	 32592
+ -3368	 32593
+ -3359	 32594
+ -3349	 32595
+ -3340	 32596
+ -3330	 32597
+ -3321	 32598
+ -3312	 32599
+ -3302	 32600
+ -3293	 32601
+ -3284	 32602
+ -3274	 32603
+ -3265	 32604
+ -3255	 32605
+ -3246	 32606
+ -3237	 32607
+ -3227	 32608
+ -3218	 32609
+ -3209	 32610
+ -3199	 32610
+ -3190	 32611
+ -3180	 32612
+ -3171	 32613
+ -3162	 32614
+ -3152	 32615
+ -3143	 32616
+ -3133	 32617
+ -3124	 32618
+ -3115	 32619
+ -3105	 32620
+ -3096	 32620
+ -3087	 32621
+ -3077	 32622
+ -3068	 32623
+ -3058	 32624
+ -3049	 32625
+ -3040	 32626
+ -3030	 32627
+ -3021	 32627
+ -3011	 32628
+ -3002	 32629
+ -2993	 32630
+ -2983	 32631
+ -2974	 32632
+ -2965	 32633
+ -2955	 32633
+ -2946	 32634
+ -2936	 32635
+ -2927	 32636
+ -2918	 32637
+ -2908	 32638
+ -2899	 32639
+ -2889	 32639
+ -2880	 32640
+ -2871	 32641
+ -2861	 32642
+ -2852	 32643
+ -2843	 32643
+ -2833	 32644
+ -2824	 32645
+ -2814	 32646
+ -2805	 32647
+ -2796	 32648
+ -2786	 32648
+ -2777	 32649
+ -2767	 32650
+ -2758	 32651
+ -2749	 32652
+ -2739	 32652
+ -2730	 32653
+ -2720	 32654
+ -2711	 32655
+ -2702	 32655
+ -2692	 32656
+ -2683	 32657
+ -2673	 32658
+ -2664	 32659
+ -2655	 32659
+ -2645	 32660
+ -2636	 32661
+ -2627	 32662
+ -2617	 32662
+ -2608	 32663
+ -2598	 32664
+ -2589	 32665
+ -2580	 32665
+ -2570	 32666
+ -2561	 32667
+ -2551	 32668
+ -2542	 32668
+ -2533	 32669
+ -2523	 32670
+ -2514	 32670
+ -2504	 32671
+ -2495	 32672
+ -2486	 32673
+ -2476	 32673
+ -2467	 32674
+ -2457	 32675
+ -2448	 32675
+ -2439	 32676
+ -2429	 32677
+ -2420	 32678
+ -2410	 32678
+ -2401	 32679
+ -2392	 32680
+ -2382	 32680
+ -2373	 32681
+ -2363	 32682
+ -2354	 32682
+ -2345	 32683
+ -2335	 32684
+ -2326	 32684
+ -2316	 32685
+ -2307	 32686
+ -2298	 32686
+ -2288	 32687
+ -2279	 32688
+ -2269	 32688
+ -2260	 32689
+ -2251	 32690
+ -2241	 32690
+ -2232	 32691
+ -2222	 32692
+ -2213	 32692
+ -2204	 32693
+ -2194	 32693
+ -2185	 32694
+ -2175	 32695
+ -2166	 32695
+ -2157	 32696
+ -2147	 32697
+ -2138	 32697
+ -2128	 32698
+ -2119	 32698
+ -2110	 32699
+ -2100	 32700
+ -2091	 32700
+ -2081	 32701
+ -2072	 32701
+ -2063	 32702
+ -2053	 32703
+ -2044	 32703
+ -2034	 32704
+ -2025	 32704
+ -2016	 32705
+ -2006	 32706
+ -1997	 32706
+ -1987	 32707
+ -1978	 32707
+ -1968	 32708
+ -1959	 32708
+ -1950	 32709
+ -1940	 32710
+ -1931	 32710
+ -1921	 32711
+ -1912	 32711
+ -1903	 32712
+ -1893	 32712
+ -1884	 32713
+ -1874	 32713
+ -1865	 32714
+ -1856	 32714
+ -1846	 32715
+ -1837	 32715
+ -1827	 32716
+ -1818	 32717
+ -1809	 32717
+ -1799	 32718
+ -1790	 32718
+ -1780	 32719
+ -1771	 32719
+ -1761	 32720
+ -1752	 32720
+ -1743	 32721
+ -1733	 32721
+ -1724	 32722
+ -1714	 32722
+ -1705	 32723
+ -1696	 32723
+ -1686	 32724
+ -1677	 32724
+ -1667	 32725
+ -1658	 32725
+ -1649	 32726
+ -1639	 32726
+ -1630	 32726
+ -1620	 32727
+ -1611	 32727
+ -1601	 32728
+ -1592	 32728
+ -1583	 32729
+ -1573	 32729
+ -1564	 32730
+ -1554	 32730
+ -1545	 32731
+ -1536	 32731
+ -1526	 32731
+ -1517	 32732
+ -1507	 32732
+ -1498	 32733
+ -1489	 32733
+ -1479	 32734
+ -1470	 32734
+ -1460	 32734
+ -1451	 32735
+ -1441	 32735
+ -1432	 32736
+ -1423	 32736
+ -1413	 32737
+ -1404	 32737
+ -1394	 32737
+ -1385	 32738
+ -1376	 32738
+ -1366	 32739
+ -1357	 32739
+ -1347	 32739
+ -1338	 32740
+ -1328	 32740
+ -1319	 32740
+ -1310	 32741
+ -1300	 32741
+ -1291	 32742
+ -1281	 32742
+ -1272	 32742
+ -1263	 32743
+ -1253	 32743
+ -1244	 32743
+ -1234	 32744
+ -1225	 32744
+ -1215	 32744
+ -1206	 32745
+ -1197	 32745
+ -1187	 32745
+ -1178	 32746
+ -1168	 32746
+ -1159	 32746
+ -1150	 32747
+ -1140	 32747
+ -1131	 32747
+ -1121	 32748
+ -1112	 32748
+ -1102	 32748
+ -1093	 32749
+ -1084	 32749
+ -1074	 32749
+ -1065	 32750
+ -1055	 32750
+ -1046	 32750
+ -1036	 32751
+ -1027	 32751
+ -1018	 32751
+ -1008	 32751
+  -999	 32752
+  -989	 32752
+  -980	 32752
+  -971	 32753
+  -961	 32753
+  -952	 32753
+  -942	 32753
+  -933	 32754
+  -923	 32754
+  -914	 32754
+  -905	 32755
+  -895	 32755
+  -886	 32755
+  -876	 32755
+  -867	 32756
+  -858	 32756
+  -848	 32756
+  -839	 32756
+  -829	 32757
+  -820	 32757
+  -810	 32757
+  -801	 32757
+  -792	 32757
+  -782	 32758
+  -773	 32758
+  -763	 32758
+  -754	 32758
+  -744	 32759
+  -735	 32759
+  -726	 32759
+  -716	 32759
+  -707	 32759
+  -697	 32760
+  -688	 32760
+  -678	 32760
+  -669	 32760
+  -660	 32760
+  -650	 32761
+  -641	 32761
+  -631	 32761
+  -622	 32761
+  -613	 32761
+  -603	 32761
+  -594	 32762
+  -584	 32762
+  -575	 32762
+  -565	 32762
+  -556	 32762
+  -547	 32762
+  -537	 32763
+  -528	 32763
+  -518	 32763
+  -509	 32763
+  -499	 32763
+  -490	 32763
+  -481	 32763
+  -471	 32764
+  -462	 32764
+  -452	 32764
+  -443	 32764
+  -434	 32764
+  -424	 32764
+  -415	 32764
+  -405	 32764
+  -396	 32765
+  -386	 32765
+  -377	 32765
+  -368	 32765
+  -358	 32765
+  -349	 32765
+  -339	 32765
+  -330	 32765
+  -320	 32765
+  -311	 32766
+  -302	 32766
+  -292	 32766
+  -283	 32766
+  -273	 32766
+  -264	 32766
+  -254	 32766
+  -245	 32766
+  -236	 32766
+  -226	 32766
+  -217	 32766
+  -207	 32766
+  -198	 32766
+  -188	 32766
+  -179	 32767
+  -170	 32767
+  -160	 32767
+  -151	 32767
+  -141	 32767
+  -132	 32767
+  -123	 32767
+  -113	 32767
+  -104	 32767
+   -94	 32767
+   -85	 32767
+   -75	 32767
+   -66	 32767
+   -57	 32767
+   -47	 32767
+   -38	 32767
+   -28	 32767
+   -19	 32767
+    -9	 32767
diff --git a/applications/lofar1/pft2/src/vhdl/pft(empty).vhd b/applications/lofar1/pft2/src/vhdl/pft(empty).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..6cf4da363d4d32d3760395bdf0ad44324e185513
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft(empty).vhd
@@ -0,0 +1,5 @@
+ARCHITECTURE empty OF pft IS
+BEGIN
+END empty;
+
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft(pkg).vhd b/applications/lofar1/pft2/src/vhdl/pft(pkg).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..b4bfb7bfd84d59ab632525db55de022b8eb44da4
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft(pkg).vhd
@@ -0,0 +1,25 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+PACKAGE pft_pkg IS
+  CONSTANT c_pft_stage_dat_w : NATURAL := 20;
+
+  TYPE pft_mode_type IS (
+    PFT_MODE_BITREV,
+    PFT_MODE_COMPLEX,
+    PFT_MODE_REAL2
+  );
+  
+  TYPE pft_bf_type IS (
+    PFT_BF1,
+    PFT_BF2
+  );
+
+END pft_pkg;
+
+
+PACKAGE BODY pft_pkg IS
+END pft_pkg;
+
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft(str).vhd b/applications/lofar1/pft2/src/vhdl/pft(str).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..29383f47032d3163e610b54c91dcb7da8a97b0a7
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft(str).vhd
@@ -0,0 +1,342 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY pft2_lib;
+USE pft2_lib.pft_pkg.ALL;
+
+ARCHITECTURE str OF pft IS
+
+  FUNCTION pft_dat_w ( output_w : IN NATURAL; mode : IN PFT_MODE_TYPE) RETURN NATURAL IS
+    VARIABLE dat_w : NATURAL;
+  BEGIN
+    IF mode = PFT_MODE_REAL2  THEN
+      dat_w := output_w;
+    ELSE
+      dat_w := output_w;
+    END IF;
+    RETURN dat_w;
+  END;
+
+  CONSTANT c_nof_stages      : NATURAL := g_fft_size_w/2;
+  CONSTANT c_stage_dat_w     : NATURAL := c_pft_stage_dat_w;
+  CONSTANT c_pft_dat_w       : NATURAL := pft_dat_w(g_out_dat_w, g_mode);
+
+  TYPE stage_rec IS RECORD
+    re   : STD_LOGIC_VECTOR(c_stage_dat_w-1 DOWNTO 0);
+    im   : STD_LOGIC_VECTOR(c_stage_dat_w-1 DOWNTO 0);
+    val  : STD_LOGIC;
+    sync : STD_LOGIC;
+  END RECORD;
+
+  TYPE stage_arr IS ARRAY(c_nof_stages-2 DOWNTO 0) OF stage_rec;
+
+  SIGNAL switch_re        : STD_LOGIC_VECTOR(in_re'RANGE);
+  SIGNAL switch_im        : STD_LOGIC_VECTOR(in_im'RANGE);
+  SIGNAL switch_val       : STD_LOGIC;
+  SIGNAL switch_sync      : STD_LOGIC;
+  
+  SIGNAL stage            : stage_arr;
+
+  SIGNAL pft_re           : STD_LOGIC_VECTOR(c_pft_dat_w-1 DOWNTO 0);
+  SIGNAL pft_im           : STD_LOGIC_VECTOR(c_pft_dat_w-1 DOWNTO 0);
+  SIGNAL pft_val          : STD_LOGIC;
+  SIGNAL pft_sync         : STD_LOGIC;
+
+  SIGNAL buf_re           : STD_LOGIC_VECTOR(c_pft_dat_w-1 DOWNTO 0);
+  SIGNAL buf_im           : STD_LOGIC_VECTOR(c_pft_dat_w-1 DOWNTO 0);
+  SIGNAL buf_en           : STD_LOGIC;
+  SIGNAL buf_val          : STD_LOGIC;
+  SIGNAL buf_adr          : STD_LOGIC_VECTOR(g_fft_size_w-1 DOWNTO 0);
+  SIGNAL buf_sync         : STD_LOGIC;
+  SIGNAL buf_rdy          : STD_LOGIC;
+
+  SIGNAL sep_re           : STD_LOGIC_VECTOR(out_re'RANGE);
+  SIGNAL sep_im           : STD_LOGIC_VECTOR(out_im'RANGE);
+  SIGNAL sep_val          : STD_LOGIC;
+  SIGNAL sep_sync         : STD_LOGIC;
+  
+  SIGNAL unswitch_re      : STD_LOGIC_VECTOR(out_re'RANGE);
+  SIGNAL unswitch_im      : STD_LOGIC_VECTOR(out_im'RANGE);
+  SIGNAL unswitch_val     : STD_LOGIC;
+  SIGNAL unswitch_sync    : STD_LOGIC;
+
+
+  -- synthesis translate_off
+  SIGNAL bin       : STD_LOGIC_VECTOR(g_fft_size_w-1 DOWNTO 0):= (OTHERS => '0');
+  SIGNAL band      : STD_LOGIC_VECTOR(g_fft_size_w-2 DOWNTO 0);
+  SIGNAL fft_x_re  : STD_LOGIC_VECTOR(out_re'RANGE);
+  SIGNAL fft_x_im  : STD_LOGIC_VECTOR(out_im'RANGE);
+  SIGNAL fft_y_re  : STD_LOGIC_VECTOR(out_re'RANGE);
+  SIGNAL fft_y_im  : STD_LOGIC_VECTOR(out_im'RANGE);
+  SIGNAL power     : STD_LOGIC_VECTOR(2*g_out_dat_w-1 DOWNTO 0);
+  SIGNAL power_x   : STD_LOGIC_VECTOR(2*g_out_dat_w-1 DOWNTO 0);
+  SIGNAL power_y   : STD_LOGIC_VECTOR(2*g_out_dat_w-1 DOWNTO 0);
+  -- synthesis translate_on
+
+BEGIN
+
+  -- The pipelined fft is composed of a number of consecutive stages.
+  -- The output of each stage is used as input for the next stage.
+  -- NB. The first stage has index c_nof_stages-1, the last stage has index 0.
+  
+  
+  switch: ENTITY pft2_lib.pft_switch
+  GENERIC MAP (
+    g_dat_w    => g_in_dat_w,
+    g_fft_sz_w => g_fft_size_w
+  )
+  PORT MAP (
+    rst          => rst,
+    clk          => clk,
+    in_val       => in_val,
+    in_sync      => in_sync,    
+    in_re        => in_re,
+    in_im        => in_im,
+    switch_en    => switch_en,
+    out_re       => switch_re,
+    out_im       => switch_im,
+    out_val      => switch_val,
+    out_sync     => switch_sync
+  );
+
+  first_gen : IF (c_nof_stages>1) GENERATE
+    first_stage : ENTITY pft2_lib.pft_stage
+      GENERIC MAP (
+        g_index          => c_nof_stages-1,
+        g_in_dat_w       => g_in_dat_w,
+        g_out_dat_w      => c_stage_dat_w
+      )
+      PORT MAP (
+        in_re            => switch_re,
+        in_im            => switch_im,
+        in_val           => switch_val,
+        in_sync          => switch_sync,
+        out_re           => stage(c_nof_stages-2).re,
+        out_im           => stage(c_nof_stages-2).im,
+        out_val          => stage(c_nof_stages-2).val,
+        out_sync         => stage(c_nof_stages-2).sync,
+        clk              => clk,
+        rst              => rst
+      );
+  END GENERATE;
+
+
+  middle_gen : FOR i IN c_nof_stages-2 DOWNTO 1 GENERATE
+    middle_stage : ENTITY pft2_lib.pft_stage
+      GENERIC MAP (
+        g_index          => i,
+        g_in_dat_w       => c_stage_dat_w,
+        g_out_dat_w      => c_stage_dat_w
+      )
+      PORT MAP (
+        in_re            => stage(i).re,
+        in_im            => stage(i).im,
+        in_val           => stage(i).val,
+        in_sync          => stage(i).sync,
+        out_re           => stage(i-1).re,
+        out_im           => stage(i-1).im,
+        out_val          => stage(i-1).val,
+        out_sync         => stage(i-1).sync,
+        clk              => clk,
+        rst              => rst
+      );
+  END GENERATE;
+
+  last_gen : IF c_nof_stages>1 GENERATE
+    last_stage : ENTITY pft2_lib.pft_stage
+      GENERIC MAP (
+        g_index          => 0,
+        g_in_dat_w       => c_stage_dat_w,
+        g_out_dat_w      => c_pft_dat_w
+      )
+      PORT MAP (
+        in_re            => stage(0).re,
+        in_im            => stage(0).im,
+        in_val           => stage(0).val,
+        in_sync          => stage(0).sync,
+        out_re           => pft_re,
+        out_im           => pft_im,
+        out_val          => pft_val,
+        out_sync         => pft_sync,
+        clk              => clk,
+        rst              => rst
+      );
+  END GENERATE;
+
+  only_gen : IF c_nof_stages=1 GENERATE
+    only_stage : ENTITY pft2_lib.pft_stage
+        GENERIC MAP (
+          g_index          => 0,
+          g_in_dat_w       => g_in_dat_w,
+          g_out_dat_w      => c_pft_dat_w
+        )
+        PORT MAP (
+          in_re            => in_re,
+          in_im            => in_im,
+          in_val           => in_val,
+          in_sync          => in_sync,
+          out_re           => pft_re,
+          out_im           => pft_im,
+          out_val          => pft_val,
+          out_sync         => pft_sync,
+          clk              => clk,
+          rst              => rst
+        );
+  END GENERATE;
+
+  -- In "BITREV" mode, fft output is in bit reversed order.
+  none_gen : IF g_mode = PFT_MODE_BITREV GENERATE
+    sep_re   <= pft_re;
+    sep_im   <= pft_im;
+    sep_val  <= pft_val;
+    sep_sync <= pft_sync;
+  END GENERATE;
+
+  buf_gen : IF g_mode /= PFT_MODE_BITREV GENERATE
+
+    buf : ENTITY pft2_lib.pft_buffer
+      GENERIC MAP (
+        g_fft_size_w      => g_fft_size_w,
+        g_dat_w           => c_pft_dat_w
+      )
+      PORT MAP (
+        wr_re             => pft_re,
+        wr_im             => pft_im,
+        wr_val            => pft_val,
+        wr_sync           => pft_sync,
+        rd_re             => buf_re,
+        rd_im             => buf_im,
+        rd_adr            => buf_adr,
+        rd_en             => buf_en,
+        rd_val            => buf_val,
+        rd_sync           => buf_sync,
+        rd_rdy            => buf_rdy,
+        clk               => clk,
+        rst               => rst
+      );
+  END GENERATE;
+
+
+   reverse_gen : IF g_mode = PFT_MODE_COMPLEX GENERATE
+    reverse : ENTITY pft2_lib.pft_reverse
+      GENERIC MAP (
+        g_fft_sz          => 2**g_fft_size_w,
+        g_fft_sz_w        => g_fft_size_w,
+        g_data_w          => c_pft_dat_w
+      )
+      PORT MAP (
+        rddata_re         => buf_re,
+        rddata_im         => buf_im,
+        rdaddr            => buf_adr,
+        rden              => buf_en,
+        rdval             => buf_val,
+        rdsync            => buf_sync,
+        page_rdy          => buf_rdy,
+        out_dat_re        => sep_re,
+        out_dat_im        => sep_im,
+        out_val           => sep_val,
+        out_sync          => sep_sync,
+        clk               => clk,
+        rst               => rst
+      );     
+
+  END GENERATE;
+
+
+  separate_gen : IF g_mode = PFT_MODE_REAL2 GENERATE
+    separate : ENTITY pft2_lib.pft_separate
+      GENERIC MAP (
+        g_fft_sz          => 2**g_fft_size_w,
+        g_fft_sz_w        => g_fft_size_w,
+        g_rd_dat_w        => c_pft_dat_w,
+        g_out_dat_w       => g_out_dat_w
+      )
+      PORT MAP (
+        rddata_re         => buf_re,
+        rddata_im         => buf_im,
+        rdaddr            => buf_adr,
+        rden              => buf_en,
+        rdval             => buf_val,
+        rdsync            => buf_sync,
+        page_rdy          => buf_rdy,
+        out_dat_re        => sep_re,
+        out_dat_im        => sep_im,
+        out_val           => sep_val,
+        out_sync          => sep_sync,
+        clk               => clk,
+        rst               => rst
+      );
+  END GENERATE;
+
+  unswitch: ENTITY pft2_lib.pft_unswitch
+  GENERIC MAP (
+    g_dat_w    => g_out_dat_w,
+    g_fft_sz_w => g_fft_size_w
+  )
+  PORT MAP (
+    rst          => rst,
+    clk          => clk,
+    in_val       => sep_val,
+    in_sync      => sep_sync,    
+    in_re        => sep_re,
+    in_im        => sep_im,
+    switch_en    => switch_en,
+    out_re       => unswitch_re,
+    out_im       => unswitch_im,
+    out_val      => unswitch_val,
+    out_sync     => unswitch_sync
+  );
+
+
+  -- calculate the power. This is intended to be used in simulations only.
+  
+  -- synthesis translate_off    
+    determine_bin : PROCESS (clk)
+    BEGIN
+      IF rising_edge(clk) THEN
+        IF unswitch_val= '1' THEN
+          bin <= STD_LOGIC_VECTOR(UNSIGNED(bin)+1);
+        END IF;
+      END IF;
+    END PROCESS;
+    
+    band  <= bin(bin'HIGH DOWNTO 1);
+
+    power <= STD_LOGIC_VECTOR(   SIGNED(unswitch_re) * SIGNED(unswitch_re)
+                               + SIGNED(unswitch_im) * SIGNED(unswitch_im)
+                              ) WHEN unswitch_val='1' ELSE (OTHERS => '0');
+
+    -- Wave window: View fft_re, fft_im in analogue format
+    -- Wave window: View power in binary format to get a spectrum diagram
+    
+    -- power_x <= power WHEN bin(0) = '0' ELSE power_x;
+    -- power_y <= power WHEN bin(0) = '1' ELSE power_y;
+    
+    -- Use clk to avoid limit cycle pulses in power_x and power_y
+    demux_power : PROCESS(clk)
+    BEGIN
+      IF falling_edge(clk) THEN
+        IF unswitch_val= '1' THEN
+          IF bin(0) = '0' THEN
+            fft_x_re <= unswitch_re;
+            fft_x_im <= unswitch_im;
+            power_x  <= power;
+          ELSE
+            fft_y_re <= unswitch_re;
+            fft_y_im <= unswitch_im;
+            power_y  <= power;
+          END IF;
+        END IF;
+      END IF;
+    END PROCESS;  
+  -- synthesis translate_on
+  
+  out_re   <= unswitch_re;
+  out_im   <= unswitch_im;
+  out_val  <= unswitch_val;
+  out_sync <= unswitch_sync;
+
+END str;
+
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft.vhd b/applications/lofar1/pft2/src/vhdl/pft.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..f0ff2003d3520867b19fe59fdda89b71fb854672
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft.vhd
@@ -0,0 +1,29 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+LIBRARY pft2_lib;
+USE pft2_lib.pft_pkg.ALL;
+
+ENTITY pft IS
+  GENERIC (
+    g_fft_size_w   : NATURAL := 10;
+    g_in_dat_w     : NATURAL := 18;
+    g_out_dat_w    : NATURAL := 18;
+    g_mode         : PFT_MODE_TYPE  := PFT_MODE_BITREV
+  );
+  PORT (
+    in_re          : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_im          : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val         : IN  STD_LOGIC;
+    in_sync        : IN  STD_LOGIC;
+    switch_en      : IN  STD_LOGIC := '1';
+    out_re         : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_im         : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val        : OUT STD_LOGIC;
+    out_sync       : OUT STD_LOGIC;
+    clk            : IN  STD_LOGIC;
+    rst            : IN  STD_LOGIC
+  );
+END pft;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_bf(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_bf(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..7ef1dde1e4effd4bb199ca402e068b2e228f0713
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_bf(rtl).vhd
@@ -0,0 +1,364 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY common_lib;
+USE common_lib.ALL;
+
+ARCHITECTURE rtl OF pft_bf IS
+
+  CONSTANT c_read_pipeline  : NATURAL := 1;
+  CONSTANT c_add_pipeline   : NATURAL := 2;
+  CONSTANT c_write_pipeline : NATURAL := 1;
+  CONSTANT c_pipeline       : NATURAL := c_read_pipeline+c_add_pipeline+c_write_pipeline;
+  CONSTANT c_cnt_w          : NATURAL := g_index+2;
+  CONSTANT c_regbank_size_w : NATURAL := g_index;
+  CONSTANT c_regbank_size   : NATURAL := 2**c_regbank_size_w;
+  CONSTANT c_dat_w          : NATURAL := g_in_dat_w+1;
+
+  TYPE sig_rec IS RECORD
+    val     : STD_LOGIC;
+    sync    : STD_LOGIC;
+    s0      : STD_LOGIC;
+    s1      : STD_LOGIC;
+    wr_req  : STD_LOGIC;
+  END RECORD;
+
+  TYPE sig_array IS ARRAY (c_pipeline-1 DOWNTO 0) OF sig_rec;
+
+  SUBTYPE fifo_type IS STD_LOGIC_VECTOR(2*c_dat_w-1 DOWNTO 0);
+  TYPE fifo_arr IS ARRAY(c_regbank_size-c_pipeline DOWNTO 0) OF fifo_type;
+
+  SIGNAL fifo_dat     : fifo_arr;
+  SIGNAL nxt_fifo_dat : fifo_arr;
+
+  SIGNAL sig : sig_array;
+  SIGNAL nxt_sig : sig_array;
+
+  SIGNAL init         : STD_LOGIC;
+  SIGNAL nxt_init     : STD_LOGIC;
+
+  SIGNAL cnt          : STD_LOGIC_VECTOR(c_cnt_w-1 DOWNTO 0);
+  SIGNAL nxt_cnt      : STD_LOGIC_VECTOR(cnt'RANGE);
+
+  SIGNAL s0           : STD_LOGIC;
+  SIGNAL s1           : STD_LOGIC;
+  SIGNAL sync         : STD_LOGIC;
+  SIGNAl nxt_sync     : STD_LOGIC;
+
+  SIGNAL add_ar       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL add_ai       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL add_br       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL add_bi       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL add_cr       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL add_ci       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+
+  SIGNAL sub_ar       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL sub_ai       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL sub_br       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL sub_bi       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL sub_cr       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL sub_ci       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+
+  SIGNAL nxt_out_re   : STD_LOGIC_VECTOR(out_re'RANGE);
+  SIGNAL nxt_out_im   : STD_LOGIC_VECTOR(out_re'RANGE);
+
+  SIGNAL reg_re       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL nxt_reg_re   : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+
+  SIGNAL reg_im       : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL nxt_reg_im   : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+
+  SIGNAL rd_re        : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL rd_im        : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL rd_req       : STD_LOGIC;
+
+  SIGNAL nxt_wr_re    : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+  SIGNAL nxt_wr_im    : STD_LOGIC_VECTOR(c_dat_w-1 DOWNTO 0);
+
+  SIGNAL wr_req       : STD_LOGIC;
+
+  SIGNAL wr_dat       : STD_LOGIC_VECTOR(2*c_dat_w -1 DOWNTO 0);
+  SIGNAL nxt_wr_dat   : STD_LOGIC_VECTOR(2*c_dat_w -1 DOWNTO 0);
+  SIGNAL rd_dat       : STD_LOGIC_VECTOR(2*c_dat_w -1 DOWNTO 0);
+
+BEGIN
+
+  out_val      <= sig(0).val;
+  out_sync     <= sig(0).sync;
+  wr_req       <= sig(0).wr_req;
+
+  nxt_wr_dat   <= nxt_wr_re & nxt_wr_im;
+  --wr_re        <= wr_dat(wr_dat'HIGH DOWNTO wr_im'LENGTH);
+  --wr_im        <= wr_dat(wr_im'RANGE);
+
+  s0  <= cnt(cnt'HIGH-1);
+  s1  <= cnt(cnt'HIGH  ) WHEN g_bf_name="bf2" ELSE '0';
+
+  registers : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      init     <= '0';
+      cnt      <= (OTHERS => '0');
+      sig      <= (OTHERS => (OTHERS => '0'));
+      sync     <= '0';
+      out_re   <= (OTHERS => '0');
+      out_im   <= (OTHERS => '0');
+      wr_dat   <= (OTHERS => '0');
+      reg_re   <= (OTHERS => '0');
+      reg_im   <= (OTHERS => '0');
+    ELSIF RISING_EDGE(clk) THEN
+      init     <= nxt_init;
+      cnt      <= nxt_cnt;
+      sig      <= nxt_sig;
+      sync     <= nxt_sync;
+      out_re   <= nxt_out_re;
+      out_im   <= nxt_out_im;
+      wr_dat   <= nxt_wr_dat;
+      reg_re   <= nxt_reg_re;
+      reg_im   <= nxt_reg_im;
+    END IF;
+  END PROCESS;
+
+  sync_proc : PROCESS(cnt, in_sync, sync)
+  BEGIN
+    nxt_sync               <= sync;
+    nxt_sig(sig'HIGH).sync <= '0';
+    IF in_sync = '1' OR UNSIGNED(cnt)=c_regbank_size-1 THEN
+      nxt_sync               <= in_sync;
+      nxt_sig(sig'HIGH).sync <= sync;
+    END IF;
+  END PROCESS;
+
+  cnt_proc : PROCESS (cnt, in_val, in_sync)
+  BEGIN
+    nxt_cnt <= cnt;
+    IF in_sync = '1' OR SIGNED(cnt)=-1 THEN
+      nxt_cnt  <= (OTHERS => '0');
+    ELSIF in_val = '1' THEN
+      nxt_cnt <= STD_LOGIC_VECTOR(UNSIGNED(cnt) + 1);
+    END IF;
+  END PROCESS;
+
+  init_proc : PROCESS(cnt,init,in_val)
+  BEGIN
+    nxt_init <= init;
+    IF UNSIGNED(cnt)=c_regbank_size-1 AND in_val='1' THEN
+      nxt_init <= '1';
+    END IF;
+  END PROCESS;
+
+  rd_proc : PROCESS(rd_dat,sig)
+  BEGIN
+    rd_re <= rd_dat(rd_dat'HIGH DOWNTO rd_im'LENGTH);
+    rd_im <= rd_dat(rd_im'RANGE);
+    --synthesis translate off
+    IF sig(sig'HIGH).val='0' THEN
+      rd_re <= (OTHERS => '0');
+      rd_im <= (OTHERS => '0');
+    END IF;
+    --synthesis translate on
+  END PROCESS;
+
+  rd_req       <= in_val AND init;
+
+  nxt_reg_re <= STD_LOGIC_VECTOR(RESIZE(SIGNED(in_re),c_dat_w));
+  nxt_reg_im <= STD_LOGIC_VECTOR(RESIZE(SIGNED(in_im),c_dat_w));
+
+  nxt_sig(sig'HIGH).val    <= rd_req;
+  nxt_sig(sig'HIGH).s0     <= s0;
+  nxt_sig(sig'HIGH).s1     <= s1;
+  nxt_sig(sig'HIGH).wr_req <= in_val;
+
+  nxt_sig(c_pipeline-2 DOWNTO 0) <= sig(c_pipeline-1 DOWNTO 1);
+
+  in_proc : PROCESS (sig, reg_re, reg_im, rd_re, rd_im)
+  BEGIN
+    add_ar <= rd_re;
+    add_ai <= rd_im;
+    sub_ar <= reg_re;
+    sub_ai <= reg_im;
+    add_br <= (OTHERS => '0');
+    add_bi <= (OTHERS => '0');
+    sub_br <= (OTHERS => '0');
+    sub_bi <= (OTHERS => '0');
+    IF sig(sig'HIGH).s0='1' THEN
+      sub_ar <= rd_re;
+      sub_ai <= rd_im;
+      add_br <= reg_re;
+      add_bi <= reg_im;
+      sub_br <= reg_re;
+      sub_bi <= reg_im;
+      IF sig(sig'HIGH).s1='1' THEN
+        add_br <= reg_im;
+        add_bi <= reg_re;
+        sub_br <= reg_im;
+        sub_bi <= reg_re;
+      END IF;
+    END IF;
+  END PROCESS;
+
+
+  out_proc : PROCESS (sig, add_cr,add_ci,sub_cr,sub_ci)
+  BEGIN
+    nxt_out_re  <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_cr),g_out_dat_w));
+    nxt_out_im  <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_ci),g_out_dat_w));
+    nxt_wr_re   <= sub_cr;
+    nxt_wr_im   <= sub_ci;
+    IF sig(1).s0 = '1' AND sig(1).s1 = '1' THEN
+      nxt_out_re  <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_cr),g_out_dat_w));
+      nxt_out_im  <= STD_LOGIC_VECTOR(RESIZE(SIGNED(sub_ci),g_out_dat_w));
+      nxt_wr_re   <= sub_cr;
+      nxt_wr_im   <= add_ci;
+    END IF;
+  END PROCESS;
+
+
+-- Adds/ Subs ------------------------------------------------------------------
+
+--  Intel Altera lmp_add_sub carry in:
+--  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+--  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+
+  --cadd : ENTITY common_lib.common_caddsub
+  --GENERIC MAP (
+  --  g_in_a_w   => c_dat_w,
+  --  g_in_b_w   => c_dat_w,
+  --  g_out_c_w  => c_dat_w,
+  --  g_pipeline => c_add_pipeline,
+  --  g_add_sub  => "ADD"
+  --)
+  --PORT MAP (
+  --  in_ar  => add_ar,
+  --  in_ai  => add_ai,
+  --  in_br  => add_br,
+  --  in_bi  => add_bi,
+  --  in_cr  => '0',
+  --  in_ci  => '0',
+  --  out_cr => add_cr,
+  --  out_ci => add_ci,
+  --  clk    => clk,
+  --  rst    => rst
+  --);
+  
+  cadd : ENTITY common_complex_add_sub
+  GENERIC MAP (
+    g_direction       => "ADD",
+    g_representation  => "SIGNED",
+    g_pipeline_input  => 0,               -- 0 or 1
+    g_pipeline_output => c_add_pipeline,  -- >= 0
+    g_in_dat_w        => c_dat_w,
+    g_out_dat_w       => c_dat_w          -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+  )
+  PORT MAP (
+    clk      => clk,
+    in_ar    => add_ar,
+    in_ai    => add_ai,
+    in_br    => add_br,
+    in_bi    => add_bi,
+    out_re   => add_cr,
+    out_im   => add_ci
+  );
+  
+--  csub : ENTITY common_lib.common_caddsub
+--  GENERIC MAP (
+--    g_in_a_w   => c_dat_w,
+--    g_in_b_w   => c_dat_w,
+--    g_out_c_w  => c_dat_w,
+--    g_pipeline => c_add_pipeline,
+--    g_add_sub  => "SUB"
+--  )
+--  PORT MAP (
+--    in_ar  => sub_ar,
+--    in_ai  => sub_ai,
+--    in_br  => sub_br,
+--    in_bi  => sub_bi,
+--    in_cr  => '1',
+--    in_ci  => '1',
+--    out_cr => sub_cr,
+--    out_ci => sub_ci,
+--    clk    => clk,
+--    rst    => rst
+--  );
+
+  csub : ENTITY common_complex_add_sub
+  GENERIC MAP (
+    g_direction       => "SUB",
+    g_representation  => "SIGNED",
+    g_pipeline_input  => 0,               -- 0 or 1
+    g_pipeline_output => c_add_pipeline,  -- >= 0
+    g_in_dat_w        => c_dat_w,
+    g_out_dat_w       => c_dat_w          -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+  )
+  PORT MAP (
+    clk      => clk,
+    in_ar    => sub_ar,
+    in_ai    => sub_ai,
+    in_br    => sub_br,
+    in_bi    => sub_bi,
+    out_re   => sub_cr,
+    out_im   => sub_ci
+  );
+  
+-- regbank --------------------------------------------------------------------------
+  fifo_gen: IF c_regbank_size>8 GENERATE
+  fifo : ENTITY common_lib.common_fifo_sc
+  GENERIC MAP (
+    g_dat_w     => wr_dat'LENGTH,
+    g_nof_words => c_regbank_size
+  )
+  PORT MAP (
+    wr_dat => wr_dat,
+    wr_req => wr_req,
+    rd_dat => rd_dat,
+    rd_req => rd_req,
+    clk    => clk,
+    rst    => rst
+  );
+  END GENERATE fifo_gen;
+
+  
+  fifo2_gen : IF c_regbank_size>c_pipeline AND c_regbank_size<=8 GENERATE
+  fifo2_reg : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      fifo_dat <= (OTHERS => (OTHERS => '0'));
+    ELSIF RISING_EDGE(clk) THEN
+      fifo_dat <= nxt_fifo_dat;
+    END IF;
+  END PROCESS;
+  
+  fifo2_proc : PROCESS(fifo_dat,wr_req,wr_dat)
+  BEGIN
+    nxt_fifo_dat <= fifo_dat;
+    IF wr_req='1' THEN
+      nxt_fifo_dat <= wr_dat & fifo_dat(fifo_dat'HIGH DOWNTO 1);
+    END IF;
+    rd_dat <= fifo_dat(0);
+  END PROCESS;
+  END GENERATE;
+
+  fifo3_gen : IF c_regbank_size=c_pipeline GENERATE
+  fifo3_reg : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      fifo_dat <= (OTHERS => (OTHERS => '0'));
+    ELSIF RISING_EDGE(clk) THEN
+      fifo_dat <= nxt_fifo_dat;
+    END IF;
+  END PROCESS;
+  
+  fifo3_proc : PROCESS(fifo_dat, wr_req, wr_dat)
+  BEGIN
+    nxt_fifo_dat <= fifo_dat;
+    IF wr_req='1' THEN
+      nxt_fifo_dat(0) <= wr_dat;
+    END IF;
+    rd_dat <= fifo_dat(0);
+  END PROCESS;
+  END GENERATE;
+
+  ASSERT c_regbank_size>=c_pipeline SEVERITY FAILURE;
+
+END rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_bf.vhd b/applications/lofar1/pft2/src/vhdl/pft_bf.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..4396f98f1315015b788791f4411077c6504f92bc
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_bf.vhd
@@ -0,0 +1,27 @@
+LIBRARY ieee;
+USE IEEE.std_logic_1164.ALL;
+
+
+ENTITY pft_bf IS
+  GENERIC (
+    g_index          : NATURAL;
+    g_in_dat_w       : NATURAL;
+    g_out_dat_w      : NATURAL;
+    g_bf_name        : STRING    
+  );
+  PORT (
+    in_re            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_im            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val           : IN  STD_LOGIC;
+    in_sync          : IN  STD_LOGIC;    
+    
+    out_re           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_im           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val          : OUT STD_LOGIC;
+    out_sync         : OUT STD_LOGIC;       
+    
+    clk              : IN  STD_LOGIC;
+    rst              : IN  STD_LOGIC
+  );
+END pft_bf;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_bf_fw(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_bf_fw(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..34e35396946bd0873e447dfba358418d14c80908
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_bf_fw(rtl).vhd
@@ -0,0 +1,243 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY common_lib;
+USE common_lib.ALL;
+
+ARCHITECTURE rtl OF pft_bf_fw IS
+
+  CONSTANT c_add_pipeline  : NATURAL := 2;
+  CONSTANT c_dist          : NATURAL := 2**g_index;  
+  CONSTANT c_pipeline      : NATURAL := c_add_pipeline + c_dist + 2;
+  CONSTANT c_cnt_w         : NATURAL := g_index + 2;  
+    
+  TYPE reg_arr IS ARRAY (c_dist DOWNTO -c_dist) OF STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  TYPE val_arr IS ARRAY (c_dist DOWNTO -c_dist) OF STD_LOGIC;  
+      
+  SIGNAL xr                : reg_arr;
+  SIGNAL nxt_xr            : reg_arr;  
+  
+  SIGNAL xi                : reg_arr;
+  SIGNAL nxt_xi            : reg_arr;  
+  
+  
+  SIGNAL pipe_val          : STD_LOGIC_VECTOR(c_pipeline-1 DOWNTO 0);
+  SIGNAL nxt_pipe_val      : STD_LOGIC_VECTOR(pipe_val'RANGE);  
+  SIGNAL pipe_sync         : STD_LOGIC_VECTOR(c_pipeline-1 DOWNTO 0);
+  SIGNAL nxt_pipe_sync     : STD_LOGIC_VECTOR(pipe_sync'RANGE);  
+  
+  SIGNAL cnt               : STD_LOGIC_VECTOR(c_cnt_w-1 DOWNTO 0);
+  SIGNAL nxt_cnt           : STD_LOGIC_VECTOR(cnt'RANGE);    
+  SIGNAL s0                : STD_LOGIC;
+  SIGNAL s1                : STD_LOGIC;
+
+  SIGNAL yr_a              : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);    
+  SIGNAL yr_b              : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);  
+  SIGNAL yi_a              : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);  
+  SIGNAL yi_b              : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);  
+  SIGNAL yr_add            : STD_LOGIC;
+  SIGNAL yi_add            : STD_LOGIC;
+  SIGNAL yr_cry            : STD_LOGIC;
+  SIGNAL yi_cry            : STD_LOGIC;
+
+
+  SIGNAL nxt_yr_a          : STD_LOGIC_VECTOR(yr_a'RANGE);
+  SIGNAL nxt_yr_b          : STD_LOGIC_VECTOR(yr_b'RANGE);
+  SIGNAL nxt_yi_a          : STD_LOGIC_VECTOR(yi_a'RANGE);
+  SIGNAL nxt_yi_b          : STD_LOGIC_VECTOR(yi_b'RANGE);
+  SIGNAL nxt_yr_add        : STD_LOGIC;
+  SIGNAL nxt_yi_add        : STD_LOGIC;
+
+
+BEGIN
+
+  nxt_xr  <= in_re  & xr (xr 'HIGH DOWNTO xr 'LOW+1);
+  nxt_xi  <= in_im  & xi (xi 'HIGH DOWNTO xi 'LOW+1);
+  
+  nxt_pipe_val  <= in_val  & pipe_val (pipe_val 'HIGH DOWNTO 1);
+  nxt_pipe_sync <= in_sync & pipe_sync(pipe_sync'HIGH DOWNTO 1);
+  
+  s0  <= cnt(cnt'HIGH-1);      
+  s1  <= cnt(cnt'HIGH  ) WHEN g_bf_name="bf2" ELSE '0';
+  
+  out_val  <= pipe_val(0);
+  out_sync <= pipe_sync(0);  
+    
+  registers : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      xr          <= (OTHERS => (OTHERS => '0'));
+      xi          <= (OTHERS => (OTHERS => '0'));
+      pipe_val    <= (OTHERS => '0');
+      pipe_sync   <= (OTHERS => '0');      
+      cnt         <= (OTHERS => '0');
+      yr_a        <= (OTHERS => '0');
+      yr_b        <= (OTHERS => '0');
+      yi_a        <= (OTHERS => '0');
+      yi_b        <= (OTHERS => '0');
+      yr_add      <= '0';
+      yi_add      <= '0';            
+    ELSIF RISING_EDGE(clk) THEN
+      xr          <= nxt_xr;      
+      xi          <= nxt_xi;     
+      pipe_val    <= nxt_pipe_val;
+      pipe_sync   <= nxt_pipe_sync;
+      cnt         <= nxt_cnt;
+      yr_a        <= nxt_yr_a;
+      yr_b        <= nxt_yr_b;
+      yi_a        <= nxt_yi_a;
+      yi_b        <= nxt_yi_b;
+      yr_add      <= nxt_yr_add;
+      yi_add      <= nxt_yi_add;
+    END IF;
+  END PROCESS;
+
+
+  counter : PROCESS (cnt, pipe_val, pipe_sync)
+  BEGIN
+    nxt_cnt <= cnt;
+    IF pipe_sync(pipe_sync'HIGH-c_dist) = '1' THEN
+      nxt_cnt <= (OTHERS => '0');
+    ELSIF pipe_val(pipe_val'HIGH-c_dist) = '1' THEN
+      nxt_cnt <= STD_LOGIC_VECTOR(UNSIGNED(cnt) + 1);
+    END IF;
+  END PROCESS;
+
+
+  PROCESS(s0,s1,xr,xi)  
+    VARIABLE state : STD_LOGIC_VECTOR (1 DOWNTO 0);      
+  BEGIN
+    state := s1 & s0;    
+    CASE state IS
+    
+      WHEN "00" =>
+        -- y  <= x(n+k) + x(n)
+        
+        -- yr <= xr(n+k) + xr(n)
+        nxt_yr_add <= '1';
+        nxt_yr_a   <= xr(0);
+        nxt_yr_b   <= xr(c_dist);
+        -- yi <= xi(n+k) + xi(n);     
+        nxt_yi_add <= '1';
+        nxt_yi_a   <= xi(0);
+        nxt_yi_b   <= xi(c_dist);
+        
+      WHEN "01" =>
+        -- y  <= x(n-k) - x(n)
+        
+        -- yr <= xr(n-k) - xr(n)
+        nxt_yr_add <= '0';
+        nxt_yr_a   <= xr(-c_dist);
+        nxt_yr_b   <= xr(0);
+        -- yi <= xi(n-k) - xi(n)
+        nxt_yi_add <= '0';
+        nxt_yi_a   <= xi(-c_dist);
+        nxt_yi_b   <= xi(0);      
+        
+      WHEN "10" =>
+        -- y <= x(n) - i*x(n+k)
+      
+        -- yr <= xr(n)   + xi(n+k)
+        nxt_yr_add <= '1';
+        nxt_yr_a   <= xr(0);
+        nxt_yr_b   <= xi(c_dist);
+        -- yi <= xi(n)   - xr(n+k)
+        nxt_yi_add <= '0';
+        nxt_yi_a   <= xi(0);
+        nxt_yi_b   <= xr(c_dist);
+  
+      WHEN OTHERS =>
+        -- y <= x(n-k) + i*x(n)        
+        -- yr(n) <= xr(n-k) - xi(n);       
+        nxt_yr_add <= '0';
+        nxt_yr_a   <= xr(-c_dist);
+        nxt_yr_b   <= xi(0);
+        -- yi(n) <= xi(n-k) + xr(n);        
+        nxt_yi_add <= '1';
+        nxt_yi_a   <= xi(-c_dist);
+        nxt_yi_b   <= xr(0);
+                
+    END CASE;  
+  END PROCESS;
+
+  -- Adds/ Subs ----------------------------------------------------------------
+
+--  Intel Altera lmp_add_sub carry in:
+--  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+--  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+
+--  yr_cry <= NOT yr_add;
+  
+--  yr : ENTITY common_lib.common_addsub  
+--  GENERIC MAP (
+--    g_in_a_w    => g_in_dat_w,
+--    g_in_b_w    => g_in_dat_w,
+--    g_out_c_w   => g_out_dat_w,    
+--    g_pipeline  => c_add_pipeline,
+--    g_add_sub   => "BOTH"
+--  )
+--  PORT MAP (
+--    in_a        => yr_a,
+--    in_b        => yr_b,
+--    in_cry      => yr_cry,
+--    add_sub     => yr_add,
+--    clk         => clk,
+--    out_c       => out_re
+--  );
+
+  yr : ENTITY common_add_sub
+  GENERIC MAP (
+    g_direction       => "BOTH",
+    g_representation  => "SIGNED",
+    g_pipeline_input  => 0,               -- 0 or 1
+    g_pipeline_output => c_add_pipeline,  -- >= 0
+    g_in_dat_w        => g_in_dat_w,
+    g_out_dat_w       => g_out_dat_w          -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+  )
+  PORT MAP (
+    clk      => clk,
+    sel_add  => yr_add,
+    in_a     => yr_a,
+    in_b     => yr_b,
+    result   => out_re
+  );
+  
+--  yi_cry <= NOT yi_add;
+--  
+--  yi : ENTITY common_lib.common_addsub  
+--  GENERIC MAP (
+--    g_in_a_w    => g_in_dat_w,
+--    g_in_b_w    => g_in_dat_w,
+--    g_out_c_w   => g_out_dat_w,    
+--    g_pipeline  => c_add_pipeline,
+--    g_add_sub   => "BOTH"
+--  )
+--  PORT MAP (
+--    in_a        => yi_a,
+--    in_b        => yi_b,
+--    in_cry      => yi_cry,
+--    add_sub     => yi_add,
+--    clk         => clk,
+--    out_c       => out_im
+--  );
+
+  yi : ENTITY common_add_sub
+  GENERIC MAP (
+    g_direction       => "BOTH",
+    g_representation  => "SIGNED",
+    g_pipeline_input  => 0,               -- 0 or 1
+    g_pipeline_output => c_add_pipeline,  -- >= 0
+    g_in_dat_w        => g_in_dat_w,
+    g_out_dat_w       => g_out_dat_w          -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+  )
+  PORT MAP (
+    clk      => clk,
+    sel_add  => yi_add,
+    in_a     => yi_a,
+    in_b     => yi_b,
+    result   => out_im
+  );
+  
+END rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_bf_fw.vhd b/applications/lofar1/pft2/src/vhdl/pft_bf_fw.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..72b79634029d9adf395405f716ad8054afdc3ed6
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_bf_fw.vhd
@@ -0,0 +1,28 @@
+
+LIBRARY ieee;
+USE IEEE.std_logic_1164.ALL;
+
+
+ENTITY pft_bf_fw IS
+  GENERIC (
+    g_in_dat_w       : NATURAL;
+    g_out_dat_w      : NATURAL;
+    g_index          : NATURAL;
+    g_bf_name        : STRING    
+  );
+  PORT (
+    in_re            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_im            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val           : IN  STD_LOGIC;
+    in_sync          : IN  STD_LOGIC;    
+    
+    out_re           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_im           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val          : OUT STD_LOGIC;
+    out_sync         : OUT STD_LOGIC;       
+    
+    clk              : IN  STD_LOGIC;
+    rst              : IN  STD_LOGIC
+  );
+END pft_bf_fw;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_buffer(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_buffer(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..cf210fc4865c71bdf694bb36dd5cc8a4e3411622
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_buffer(rtl).vhd
@@ -0,0 +1,142 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY common_lib;
+USE common_lib.ALL;
+USE common_lib.common_pkg.ALL;
+USE common_lib.common_mem_pkg.ALL;
+
+ARCHITECTURE rtl OF pft_buffer IS
+
+  CONSTANT c_latency       : NATURAL := 2;
+  CONSTANT c_adr_w         : NATURAL := g_fft_size_w+1;  
+  CONSTANT c_nof_words     : NATURAL := 2**c_adr_w; 
+  
+  CONSTANT c_ram   : t_c_mem := (latency  => c_latency,
+                                 adr_w    => c_adr_w,
+                                 dat_w    => 2*g_dat_w,
+                                 nof_dat  => c_nof_words,  -- <= 2**g_addr_w
+                                 init_sl  => '0');
+
+  SIGNAL rd_dat            : STD_LOGIC_VECTOR(2*g_dat_w-1 DOWNTO 0);
+  SIGNAL wr_dat            : STD_LOGIC_VECTOR(rd_dat'RANGE);  
+  SIGNAL rd_adr_paged      : STD_LOGIC_VECTOR(c_adr_w-1 DOWNTO 0);
+  SIGNAL wr_adr_paged      : STD_LOGIC_VECTOR(c_adr_w-1 DOWNTO 0);
+  SIGNAL wr_adr            : STD_LOGIC_VECTOR(g_fft_size_w-1 DOWNTO 0);
+  SIGNAL nxt_wr_adr        : STD_LOGIC_VECTOR(wr_adr'RANGE);  
+  SIGNAL wr_page           : STD_LOGIC;
+  SIGNAL nxt_wr_page       : STD_LOGIC;
+  SIGNAL rd_page           : STD_LOGIC;
+  SIGNAL nxt_rd_page       : STD_LOGIC;
+  SIGNAL wr_en             : STD_LOGIC;
+  
+    
+  SIGNAL pipe_val          : STD_LOGIC_VECTOR(c_latency-1 DOWNTO 0);  
+  SIGNAL nxt_pipe_val      : STD_LOGIC_VECTOR(pipe_val'RANGE);
+  
+  FUNCTION bit_rev(adr : IN STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS
+    VARIABLE result: STD_LOGIC_VECTOR(adr'RANGE);    
+  BEGIN
+    FOR i IN adr'HIGH DOWNTO 0 LOOP
+      result(i) := adr(adr'HIGH-i);
+    END LOOP;
+    RETURN result;
+  END FUNCTION;
+  
+BEGIN
+
+  nxt_rd_page <= NOT nxt_wr_page;
+  rd_adr_paged <= rd_page & rd_adr;      
+  
+  registers : PROCESS (rst, clk)
+  BEGIN
+    IF rst = '1' THEN
+      pipe_val   <= (OTHERS => '0');
+      wr_adr     <= (OTHERS => '0');
+      wr_page    <= '0';
+      rd_page    <= '1';
+    ELSIF rising_edge(clk) THEN
+      pipe_val   <= nxt_pipe_val;
+      wr_adr     <= nxt_wr_adr;      
+      wr_page    <= nxt_wr_page;
+      rd_page    <= nxt_rd_page;
+    END IF;
+  END PROCESS;
+  
+  pipe_proc: PROCESS(pipe_val,rd_en) 
+  BEGIN
+    nxt_pipe_val  <= rd_en & pipe_val (pipe_val 'HIGH DOWNTO 1);  
+    rd_val  <= pipe_val(0);
+  END PROCESS;
+  
+  wr_adr_proc : PROCESS (wr_adr, wr_en, wr_page, wr_sync)
+  BEGIN
+    nxt_wr_adr  <= wr_adr;    
+    nxt_wr_page <= wr_page;
+    rd_rdy      <= '0';
+    rd_sync     <= '0';   
+    IF wr_en='1' THEN
+      IF SIGNED(wr_adr) = -1 OR wr_sync='1' THEN
+        rd_rdy      <= '1';
+        rd_sync     <= wr_sync;
+        nxt_wr_page <= NOT wr_page;      
+        nxt_wr_adr  <= (OTHERS => '0');
+      ELSE
+        nxt_wr_adr <= STD_LOGIC_VECTOR(UNSIGNED(wr_adr) + 1);    
+      END IF;
+    END IF;
+    wr_adr_paged <= wr_page & bit_rev(wr_adr);
+  END PROCESS;
+  
+  -- combine real and imaginary to a single data word  
+  rd_proc : PROCESS (rd_dat, pipe_val) 
+  BEGIN
+    rd_re  <= rd_dat(rd_dat'HIGH DOWNTO rd_im'LENGTH);
+    rd_im  <= rd_dat(rd_im'RANGE);  
+    -- synthesis translate off    
+    IF pipe_val(0)='0' THEN
+      rd_re <= (OTHERS => '0');
+      rd_im <= (OTHERS => '0');
+    END IF;    
+    --synthesis translate on    
+  END PROCESS;   
+    
+  wr_dat <= wr_re & wr_im;
+  wr_en  <= wr_val;
+
+--  -- ram module
+--  ram : ENTITY common_lib.common_dpram
+--  GENERIC MAP (
+--    g_dat_w                => 2*g_dat_w,
+--    g_adr_w                => c_adr_w,
+--    g_nof_words            => c_nof_words    
+--  )
+--  PORT MAP (
+--    rd_dat                 => rd_dat,
+--    rd_adr                 => rd_adr_paged,
+--    rd_en                  => rd_en,
+--    wr_dat                 => wr_dat,
+--    wr_adr                 => wr_adr_paged,
+--    wr_en                  => wr_en,
+--    clk                    => clk,
+--    rst                    => rst
+--  );
+
+  ram : ENTITY common_lib.common_ram_r_w
+  GENERIC MAP (
+    g_ram        => c_ram
+  )
+  PORT MAP (
+    rst       => rst,
+    clk       => clk,
+    wr_en     => wr_en,
+    wr_adr    => wr_adr_paged,
+    wr_dat    => wr_dat,
+    rd_en     => rd_en,
+    rd_adr    => rd_adr_paged,
+    rd_dat    => rd_dat
+  );
+  
+END rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_buffer.vhd b/applications/lofar1/pft2/src/vhdl/pft_buffer.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..754cc0270e5e556e2893132beed1aad2ee18a256
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_buffer.vhd
@@ -0,0 +1,25 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+
+ENTITY pft_buffer IS
+  GENERIC (
+    g_fft_size_w      : NATURAL;    
+    g_dat_w           : NATURAL
+  );
+  PORT (
+    wr_re             : IN  STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    wr_im             : IN  STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    wr_val            : IN  STD_LOGIC;
+    wr_sync           : IN  STD_LOGIC;
+    rd_re             : OUT STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);    
+    rd_im             : OUT STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);        
+    rd_adr            : IN  STD_LOGIC_VECTOR(g_fft_size_w-1 DOWNTO 0);
+    rd_en             : IN  STD_LOGIC;
+    rd_val            : OUT STD_LOGIC;    
+    rd_sync           : OUT STD_LOGIC;    
+    rd_rdy            : OUT STD_LOGIC;    
+    clk               : IN  STD_LOGIC;
+    rst               : IN  STD_LOGIC
+  );
+END pft_buffer;
diff --git a/applications/lofar1/pft2/src/vhdl/pft_lfsr(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_lfsr(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..9c1a43a9b65cf885bda298930f55ea575e512209
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_lfsr(rtl).vhd
@@ -0,0 +1,57 @@
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+ARCHITECTURE rtl OF pft_lfsr IS
+  
+  -- uses preferred pair of pritive trinomials
+  -- x^41 + x^20 + 1  and x^41 + x^3 + 1  
+  -- see XAPP217
+  
+  CONSTANT c_max : NATURAL := 41;
+  CONSTANT c1    : NATURAL := 20;
+  CONSTANT c2    : NATURAL := 3;  
+    
+  SIGNAL s1      : STD_LOGIC_VECTOR(c_max-1 DOWNTO 0);
+  SIGNAL nxt_s1  : STD_LOGIC_VECTOR(c_max-1 DOWNTO 0);
+  
+  SIGNAL s2      : STD_LOGIC_VECTOR(c_max-1 DOWNTO 0);
+  SIGNAL nxt_s2  : STD_LOGIC_VECTOR(c_max-1 DOWNTO 0);
+  
+  
+BEGIN
+  regs: PROCESS(rst,clk)
+  BEGIN
+    IF rst='1' THEN
+      s1 <= "01000101011101110101001011111000101100001";
+      s2 <= "11011001000101001011011001110101100101100";
+    ELSIF rising_edge(clk) THEN
+      s1 <= nxt_s1;      
+      s2 <= nxt_s2;      
+    END IF;
+  END PROCESS;
+  
+  out_bit1 <= s1(s1'HIGH); 
+  out_bit2 <= s2(s2'HIGH);      
+  
+  seed_proc: PROCESS(in_en,s1,s2)
+  BEGIN
+    nxt_s1 <= s1;    
+    nxt_s2 <= s2;    
+    IF in_en='1' THEN
+      -- shift      
+      nxt_s1(c_max-1 DOWNTO 1) <= s1(c_max-2 DOWNTO 0);      
+      nxt_s2(c_max-1 DOWNTO 1) <= s2(c_max-2 DOWNTO 0);      
+      
+      -- feedback 1
+      nxt_s1(0) <= s1(c_max-1);
+      nxt_s2(0) <= s2(c_max-1);
+      
+      -- feedback 2
+      nxt_s1(c1) <= s1(c_max-1) xor s1(c1-1);
+      nxt_s2(c2) <= s2(c_max-1) xor s2(c2-1);
+    END IF;
+  END PROCESS;
+
+end rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_lfsr.vhd b/applications/lofar1/pft2/src/vhdl/pft_lfsr.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..63783fd6fb93b2b933f550f28313c4bc8dc19467
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_lfsr.vhd
@@ -0,0 +1,13 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+
+ENTITY pft_lfsr IS
+  PORT (
+    in_en          : IN  STD_LOGIC;
+    out_bit1       : OUT STD_LOGIC;
+    out_bit2       : OUT STD_LOGIC;
+    clk            : IN  STD_LOGIC;
+    rst            : IN  STD_LOGIC
+  );
+END pft_lfsr;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_reverse(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_reverse(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..9c3bbafbc3598bfb6d1b5544d7e16bd3469ccdab
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_reverse(rtl).vhd
@@ -0,0 +1,66 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+
+ARCHITECTURE rtl OF pft_reverse IS
+
+  SIGNAL i_rdaddr   : STD_LOGIC_VECTOR(rdaddr'RANGE);
+  SIGNAL nxt_rdaddr : STD_LOGIC_VECTOR(rdaddr'RANGE);
+  SIGNAL i_rden     : STD_LOGIC;
+  SIGNAL nxt_rden   : STD_LOGIC;
+  SIGNAL rdrdy      : STD_LOGIC;
+
+
+BEGIN
+
+  rdaddr    <= i_rdaddr;
+  rden      <= i_rden;
+  page_done <= rdrdy;
+
+  registers : PROCESS (rst, clk)
+  BEGIN
+    IF rst = '1' THEN
+      -- Output signals.
+      i_rdaddr <= (OTHERS => '0');
+      i_rden   <= '0';
+      -- Internal signals.
+    ELSIF rising_edge(clk) THEN
+      -- Output signals.
+      i_rdaddr <= nxt_rdaddr;
+      i_rden   <= nxt_rden;
+      -- Internal signals.
+    END IF;
+  END PROCESS;
+
+
+  read_enable_control : PROCESS (i_rden, page_rdy, rdrdy)
+  BEGIN
+    nxt_rden <= i_rden;
+    IF page_rdy = '1' THEN
+      nxt_rden <= '1';
+    ELSIF rdrdy = '1' THEN
+      nxt_rden <= '0';
+    END IF;
+  END PROCESS;
+
+
+  read_addr_control : PROCESS (i_rdaddr, i_rden)
+  BEGIN
+    rdrdy      <= '0';
+    nxt_rdaddr <= i_rdaddr;
+    IF UNSIGNED(i_rdaddr) >= g_fft_sz-1 THEN
+      nxt_rdaddr <= (OTHERS => '0');
+      rdrdy      <= '1';
+    ELSIF i_rden = '1' THEN
+      nxt_rdaddr <= STD_LOGIC_VECTOR(UNSIGNED(i_rdaddr) + 1);
+    END IF;
+  END PROCESS;
+
+
+  out_dat_re <= rddata_re WHEN rdval = '1' ELSE (OTHERS => '0');
+  out_dat_im <= rddata_im WHEN rdval = '1' ELSE (OTHERS => '0');
+  out_val    <= '1' WHEN rdval = '1' ELSE '0';
+  out_sync   <= '1' WHEN rdsync = '1' ELSE '0'; 
+END rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_reverse.vhd b/applications/lofar1/pft2/src/vhdl/pft_reverse.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..5f42d6600a389dde3a1c90c63ca4177f89cd6d7b
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_reverse.vhd
@@ -0,0 +1,28 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+
+ENTITY pft_reverse IS
+  GENERIC (
+    g_fft_sz          : NATURAL;
+    g_fft_sz_w        : NATURAL;
+    g_data_w          : NATURAL
+  );
+
+  PORT (
+    rddata_re         : IN  STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
+    rddata_im         : IN  STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
+    rdaddr            : OUT STD_LOGIC_VECTOR(g_fft_sz_w-1 DOWNTO 0);
+    rden              : OUT STD_LOGIC;
+    rdval             : IN  STD_LOGIC;
+    rdsync            : IN  STD_LOGIC;
+    out_dat_re        : OUT STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
+    out_dat_im        : OUT STD_LOGIC_VECTOR(g_data_w-1 DOWNTO 0);
+    out_val           : OUT STD_LOGIC;
+    out_sync          : OUT STD_LOGIC;
+    page_rdy          : IN  STD_LOGIC;
+    page_done         : OUT STD_LOGIC;
+    clk               : IN  STD_LOGIC;
+    rst               : IN  STD_LOGIC
+  );
+END pft_reverse;
diff --git a/applications/lofar1/pft2/src/vhdl/pft_separate(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_separate(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..2c2322b2809cd04e8d2b20ae4ccfa63c39f0aa48
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_separate(rtl).vhd
@@ -0,0 +1,242 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY common_lib;
+USE common_lib.ALL;
+
+ARCHITECTURE rtl OF pft_separate IS
+
+  CONSTANT c_reg_delay     : NATURAL := 2;  
+  CONSTANT c_add_delay     : NATURAL := 2;
+  CONSTANT c_tot_delay     : NATURAL := c_reg_delay + c_add_delay;
+ 
+  TYPE data_dly_arr IS ARRAY (NATURAL RANGE <>) OF STD_LOGIC_VECTOR(rddata_re'RANGE);
+
+  SIGNAL nxt_rden          : STD_LOGIC;
+  
+  SIGNAL cnt               : STD_LOGIC_VECTOR(rdaddr'HIGH DOWNTO 0);
+  SIGNAL nxt_cnt           : STD_LOGIC_VECTOR(cnt'RANGE);
+
+  SIGNAL rddata_re_dly     : data_dly_arr(0 TO c_reg_delay);
+  SIGNAL rddata_im_dly     : data_dly_arr(0 TO c_reg_delay);
+
+  SIGNAL rd_cnt            : STD_LOGIC_VECTOR(g_fft_sz_w-1 DOWNTO 0);
+  SIGNAL nxt_rd_cnt        : STD_LOGIC_VECTOR(rd_cnt'RANGE);
+  
+  SIGNAL page_rdy_dly      : STD_LOGIC_VECTOR( 0 TO c_tot_delay-1);
+  SIGNAL rdval_dly         : STD_LOGIC_VECTOR( 0 TO c_tot_delay-1);
+  SIGNAL rdsync_dly        : STD_LOGIC_VECTOR( 0 TO c_tot_delay+1);
+  SIGNAL nxt_rdsync_dly    : STD_LOGIC_VECTOR(rdsync_dly'RANGE);
+  SIGNAL rdsync_reg        : STD_LOGIC;
+  SIGNAL nxt_rdsync_reg    : STD_LOGIC;
+  
+  SIGNAL nxt_out_dat_re    : STD_LOGIC_VECTOR(out_dat_re'RANGE);
+  SIGNAL nxt_out_dat_im    : STD_LOGIC_VECTOR(out_dat_im'RANGE);
+  SIGNAL nxt_out_val       : STD_LOGIC;
+  SIGNAL nxt_out_sync      : STD_LOGIC;  
+
+  SIGNAL add_out           : STD_LOGIC_VECTOR(out_dat_re'RANGE);
+  SIGNAL add0              : STD_LOGIC_VECTOR(rddata_re'RANGE);
+  SIGNAL add1              : STD_LOGIC_VECTOR(rddata_re'RANGE);
+  SIGNAL nxt_add0          : STD_LOGIC_VECTOR(rddata_re'RANGE);
+  SIGNAL nxt_add1          : STD_LOGIC_VECTOR(rddata_re'RANGE);
+  SIGNAL sub_out           : STD_LOGIC_VECTOR(out_dat_im'RANGE);
+  SIGNAL sub0              : STD_LOGIC_VECTOR(rddata_re'RANGE);
+  SIGNAL sub1              : STD_LOGIC_VECTOR(rddata_re'RANGE);
+  SIGNAL nxt_sub0          : STD_LOGIC_VECTOR(rddata_re'RANGE);
+  SIGNAL nxt_sub1          : STD_LOGIC_VECTOR(rddata_re'RANGE);
+
+BEGIN
+
+
+  registers : PROCESS (rst, clk)
+  BEGIN
+    IF rst = '1' THEN
+      -- Output signals.
+      out_dat_re    <= (OTHERS => '0');
+      out_dat_im    <= (OTHERS => '0');
+      out_val       <= '0';
+      out_sync      <= '0';      
+      rden          <= '0';
+      -- Internal signals.
+      cnt           <= STD_LOGIC_VECTOR(TO_SIGNED(-2,g_fft_sz_w));
+      rd_cnt        <= (OTHERS => '0');
+      page_rdy_dly  <= (OTHERS => '0');
+      rddata_re_dly <= (OTHERS => (OTHERS => '0'));
+      rddata_im_dly <= (OTHERS => (OTHERS => '0'));
+      rdval_dly     <= (OTHERS => '0');
+      rdsync_dly    <= (OTHERS => '0');      
+      rdsync_reg    <= '0';
+      add0          <= (OTHERS => '0');
+      add1          <= (OTHERS => '0');
+      sub0          <= (OTHERS => '0');
+      sub1          <= (OTHERS => '0');
+    ELSIF rising_edge(clk) THEN
+      -- Output signals.
+      out_dat_re    <= nxt_out_dat_re;
+      out_dat_im    <= nxt_out_dat_im;
+      out_val       <= nxt_out_val;
+      out_sync      <= nxt_out_sync;
+      rden          <= nxt_rden;
+      -- Internal signals.
+      cnt           <= nxt_cnt;
+      rd_cnt        <= nxt_rd_cnt;
+      page_rdy_dly  <= page_rdy & page_rdy_dly(0 TO page_rdy_dly'HIGH -1);
+      rddata_re_dly <= rddata_re & rddata_re_dly(0 TO rddata_re_dly'HIGH-1);
+      rddata_im_dly <= rddata_im & rddata_im_dly(0 TO rddata_im_dly'HIGH-1);
+      rdval_dly     <= rdval  & rdval_dly(0 TO rdval_dly'HIGH-1);
+      rdsync_dly    <= nxt_rdsync_dly;
+      rdsync_reg    <= nxt_rdsync_reg;
+      add0          <= nxt_add0;
+      add1          <= nxt_add1;
+      sub0          <= nxt_sub0;
+      sub1          <= nxt_sub1;
+    END IF;
+  END PROCESS;
+  
+  sync_proc : PROCESS(page_rdy, rdsync, rdsync_dly, rdsync_reg)
+  BEGIN
+    nxt_rdsync_reg <= rdsync_reg;
+    nxt_rdsync_dly <= '0' & rdsync_dly(0 TO rdsync_dly'HIGH -1);
+    IF page_rdy='1' THEN
+      nxt_rdsync_reg <= rdsync;  
+      nxt_rdsync_dly <= ( 0 => rdsync_reg, OTHERS => '0');
+    END IF;
+  END PROCESS;
+
+  cnt_control : PROCESS (cnt, page_rdy)
+  BEGIN
+    nxt_rden <= '0';
+    nxt_cnt <= cnt;
+    IF page_rdy='1' THEN
+      nxt_cnt      <= (OTHERS => '1');
+      nxt_rden <= '1';      
+    ELSIF SIGNED(cnt)/=-2 THEN
+      nxt_cnt <= STD_LOGIC_VECTOR(UNSIGNED(cnt) + 1);
+      nxt_rden <= '1';      
+    END IF;
+  END PROCESS;
+
+
+  addr_gen : PROCESS (cnt)
+  BEGIN
+    rdaddr <= (OTHERS => '0');
+    IF cnt(0) = '0' THEN
+      rdaddr <= '0' & cnt(cnt'HIGH DOWNTO 1);
+    ELSE
+      rdaddr <= '1' & STD_LOGIC_VECTOR(NOT(UNSIGNED(cnt(cnt'HIGH DOWNTO 1))));
+    END IF;
+  END PROCESS;
+
+  rd_counter : PROCESS (rd_cnt, rdval_dly, page_rdy_dly)
+  BEGIN
+    nxt_rd_cnt <= rd_cnt;
+    IF page_rdy_dly(3) = '1' THEN
+      nxt_rd_cnt <= (OTHERS => '0');      
+    ELSIF rdval_dly(1) = '1' THEN
+      nxt_rd_cnt <= STD_LOGIC_VECTOR(UNSIGNED(rd_cnt) + 1);
+    END IF;    
+  END PROCESS;
+
+  adder_inputs : PROCESS (rddata_re_dly, rddata_im_dly, rd_cnt)
+  BEGIN
+    IF UNSIGNED(rd_cnt)=0 THEN
+      nxt_add0 <= rddata_re_dly(0);
+      nxt_add1 <= rddata_re_dly(0);
+      nxt_sub0 <= rddata_re_dly(1);
+      nxt_sub1 <= STD_LOGIC_VECTOR(-SIGNED(rddata_re_dly(1)));
+    ELSIF UNSIGNED(rd_cnt)=1 THEN
+      nxt_add0 <= rddata_im_dly(1);
+      nxt_add1 <= rddata_im_dly(1);
+      nxt_sub0 <= rddata_im_dly(2); 
+      nxt_sub1 <= STD_LOGIC_VECTOR(-SIGNED(rddata_im_dly(2)));
+    ELSIF rd_cnt(0) = '0' THEN    
+      nxt_add0 <= rddata_re_dly(0);
+      nxt_add1 <= rddata_re_dly(1);    
+      nxt_sub0 <= rddata_im_dly(0);
+      nxt_sub1 <= rddata_im_dly(1);
+    ELSE
+      nxt_add0 <= rddata_im_dly(2);
+      nxt_add1 <= rddata_im_dly(1);
+      nxt_sub0 <= rddata_re_dly(2);
+      nxt_sub1 <= rddata_re_dly(1);
+    END IF;
+  END PROCESS;
+
+
+  nxt_out_dat_re <= add_out;
+  nxt_out_dat_im <= sub_out;
+  nxt_out_val    <= rdval_dly(rdval_dly'HIGH);
+  nxt_out_sync   <= rdsync_dly(rdsync_dly'HIGH);  
+
+--  Intel Altera lmp_add_sub carry in:
+--  ADD: out = a + b + cin      => cin = '0' to have out = a + b
+--  SUB: out = a - b + cin - 1  => cin = '1' to have out = a - b
+
+--  add : ENTITY common_lib.common_addsub
+--  GENERIC MAP (
+--    g_in_a_w   => add0'LENGTH,
+--    g_in_b_w   => add1'LENGTH,
+--    g_out_c_w  => add_out'LENGTH,    
+--    g_pipeline => c_add_delay-1,
+--    g_add_sub  => "ADD"
+--  )
+--  PORT MAP (
+--    in_a       => add0,
+--    in_b       => add1,
+--    in_cry     => '0',
+--    out_c      => add_out,
+--    clk        => clk
+--  );
+
+  add : ENTITY common_add_sub
+  GENERIC MAP (
+    g_direction       => "ADD",
+    g_representation  => "SIGNED",
+    g_pipeline_input  => 0,               -- 0 or 1
+    g_pipeline_output => c_add_delay-1,  -- >= 0
+    g_in_dat_w        => g_rd_dat_w,
+    g_out_dat_w       => g_out_dat_w          -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+  )
+  PORT MAP (
+    clk      => clk,
+    in_a     => add0,
+    in_b     => add1,
+    result   => add_out
+  );
+  
+--  sub : ENTITY common_lib.common_addsub
+--  GENERIC MAP (
+--    g_in_a_w   => sub0'LENGTH,
+--    g_in_b_w   => sub1'LENGTH,
+--    g_out_c_w  => sub_out'LENGTH,    
+--    g_pipeline => c_add_delay-1,
+--    g_add_sub  => "SUB"
+--  )
+--  PORT MAP (
+--    in_a       => sub0,
+--    in_b       => sub1,
+--    in_cry     => '1',
+--    out_c      => sub_out,
+--    clk        => clk
+--  );
+
+  sub : ENTITY common_add_sub
+  GENERIC MAP (
+    g_direction       => "SUB",
+    g_representation  => "SIGNED",
+    g_pipeline_input  => 0,               -- 0 or 1
+    g_pipeline_output => c_add_delay-1,  -- >= 0
+    g_in_dat_w        => g_rd_dat_w,
+    g_out_dat_w       => g_out_dat_w          -- only support g_out_dat_w=g_in_dat_w and g_out_dat_w=g_in_dat_w+1
+  )
+  PORT MAP (
+    clk      => clk,
+    in_a     => sub0,
+    in_b     => sub1,
+    result   => sub_out
+  );
+
+END rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_separate.vhd b/applications/lofar1/pft2/src/vhdl/pft_separate.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..c3bd638faa3d6376f5fe0fb4687f110a74c88ec0
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_separate.vhd
@@ -0,0 +1,28 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+
+ENTITY pft_separate IS
+  GENERIC (
+    g_fft_sz          : NATURAL;
+    g_fft_sz_w        : NATURAL;
+    g_rd_dat_w        : NATURAL;
+    g_out_dat_w       : NATURAL
+  );
+
+  PORT (
+    rddata_re         : IN  STD_LOGIC_VECTOR(g_rd_dat_w-1 DOWNTO 0);
+    rddata_im         : IN  STD_LOGIC_VECTOR(g_rd_dat_w-1 DOWNTO 0);
+    rdaddr            : OUT STD_LOGIC_VECTOR(g_fft_sz_w-1 DOWNTO 0);
+    rden              : OUT STD_LOGIC;
+    rdval             : IN  STD_LOGIC;
+    rdsync            : IN  STD_LOGIC;
+    out_dat_re        : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_dat_im        : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val           : OUT STD_LOGIC;
+    out_sync          : OUT STD_LOGIC;
+    page_rdy          : IN  STD_LOGIC;
+    clk               : IN  STD_LOGIC;
+    rst               : IN  STD_LOGIC
+  );
+END pft_separate;
diff --git a/applications/lofar1/pft2/src/vhdl/pft_stage(str).vhd b/applications/lofar1/pft2/src/vhdl/pft_stage(str).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..5fc944bfc78f34e1f5016eba463209319f372deb
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_stage(str).vhd
@@ -0,0 +1,190 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright (C) 2012
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- This program is free software: you can redistribute it and/or modify
+-- it under the terms of the GNU General Public License as published by
+-- the Free Software Foundation, either version 3 of the License, or
+-- (at your option) any later version.
+--
+-- This program is distributed in the hope that it will be useful,
+-- but WITHOUT ANY WARRANTY; without even the implied warranty of
+-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+-- GNU General Public License for more details.
+--
+-- You should have received a copy of the GNU General Public License
+-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
+--
+-------------------------------------------------------------------------------
+
+LIBRARY common_lib, pft2_lib;
+USE common_lib.ALL;
+
+ARCHITECTURE str OF pft_stage IS
+  
+  CONSTANT c_round_pipeline_in  : NATURAL := 1;
+  CONSTANT c_round_pipeline_out : NATURAL := 1;
+  CONSTANT c_round_pipeline     : NATURAL := c_round_pipeline_in + c_round_pipeline_out;
+  
+  CONSTANT c_bf1_out_w   : NATURAL := g_in_dat_w + 1;
+  CONSTANT c_bf2_out_w   : NATURAL := g_in_dat_w + 2;
+    
+  SIGNAL bf1_re    : STD_LOGIC_VECTOR(c_bf1_out_w-1 DOWNTO 0);
+  SIGNAL bf1_im    : STD_LOGIC_VECTOR(c_bf1_out_w-1 DOWNTO 0);
+  SIGNAL bf1_val   : STD_LOGIC;  
+  SIGNAL bf1_sync  : STD_LOGIC;  
+  
+  SIGNAL bf2_re    : STD_LOGIC_VECTOR(c_bf2_out_w-1 DOWNTO 0);
+  SIGNAL bf2_im    : STD_LOGIC_VECTOR(c_bf2_out_w-1 DOWNTO 0);
+  SIGNAL bf2_val   : STD_LOGIC;
+  SIGNAL bf2_sync  : STD_LOGIC;
+  
+BEGIN
+
+  gen_middle: IF g_index>0 GENERATE
+      
+    bf1 : ENTITY pft2_lib.pft_bf
+    GENERIC MAP (
+      g_index          => 2*g_index+1,
+      g_in_dat_w       => g_in_dat_w,      
+      g_out_dat_w      => c_bf1_out_w,
+      g_bf_name        => "bf1"
+    )
+    PORT MAP (
+      in_re            => in_re,
+      in_im            => in_im,
+      in_val           => in_val,
+      in_sync          => in_sync,      
+      out_re           => bf1_re,
+      out_im           => bf1_im,
+      out_val          => bf1_val,
+      out_sync         => bf1_sync,
+      clk              => clk,
+      rst              => rst
+    );
+  
+    bf2 : ENTITY pft2_lib.pft_bf
+    GENERIC MAP (
+      g_index          => 2*g_index,
+      g_in_dat_w       => c_bf1_out_w,      
+      g_out_dat_w      => c_bf2_out_w,
+      g_bf_name        => "bf2"
+    )
+    PORT MAP (
+      in_re            => bf1_re,
+      in_im            => bf1_im,
+      in_val           => bf1_val,
+      in_sync          => bf1_sync,
+      out_re           => bf2_re,
+      out_im           => bf2_im,
+      out_val          => bf2_val,
+      out_sync         => bf2_sync,      
+      clk              => clk,
+      rst              => rst
+    );
+    
+    tmult : ENTITY pft2_lib.pft_tmult
+    GENERIC MAP (
+      g_in_dat_w     => c_bf2_out_w,
+      g_out_dat_w    => g_out_dat_w, 
+      g_index        => g_index
+    )                
+    PORT MAP (       
+      in_re          => bf2_re,
+      in_im          => bf2_im,
+      in_val         => bf2_val,
+      in_sync        => bf2_sync,      
+      out_re         => out_re,
+      out_im         => out_im,
+      out_val        => out_val,
+      out_sync       => out_sync,
+      clk            => clk,
+      rst            => rst
+    );
+  END GENERATE;
+  
+  gen_last: IF g_index=0 GENERATE  
+  
+    SIGNAL reg_val  : STD_LOGIC;
+    SIGNAL reg_sync : STD_LOGIC; 
+
+  BEGIN
+
+    bf1_fw : ENTITY pft2_lib.pft_bf_fw
+    GENERIC MAP (
+      g_index          => 2*g_index+1,
+      g_in_dat_w       => g_in_dat_w,      
+      g_out_dat_w      => c_bf1_out_w,
+      g_bf_name        => "bf1"
+    )
+    PORT MAP (
+      in_re            => in_re,
+      in_im            => in_im,
+      in_val           => in_val,
+      in_sync          => in_sync,      
+      out_re           => bf1_re,
+      out_im           => bf1_im,
+      out_val          => bf1_val,
+      out_sync         => bf1_sync,
+      clk              => clk,
+      rst              => rst
+    );
+  
+    bf2_fw : ENTITY pft2_lib.pft_bf_fw
+    GENERIC MAP (
+      g_index          => 2*g_index,
+      g_in_dat_w       => c_bf1_out_w,      
+      g_out_dat_w      => c_bf2_out_w,
+      g_bf_name        => "bf2"
+    )
+    PORT MAP (
+      in_re            => bf1_re,
+      in_im            => bf1_im,
+      in_val           => bf1_val,
+      in_sync          => bf1_sync,
+      out_re           => bf2_re,
+      out_im           => bf2_im,
+      out_val          => bf2_val,
+      out_sync         => bf2_sync,      
+      clk              => clk,
+      rst              => rst
+    );
+  
+    u_rnd : ENTITY common_lib.common_complex_round
+    GENERIC MAP (
+      g_representation  => "SIGNED",
+      g_round           => TRUE,
+      g_round_clip      => FALSE,
+      g_pipeline_input  => c_round_pipeline_in,
+      g_pipeline_output => c_round_pipeline_out,
+      g_in_dat_w        => c_bf2_out_w,
+      g_out_dat_w       => g_out_dat_w
+    )                
+    PORT MAP (       
+      in_re          => bf2_re,
+      in_im          => bf2_im,
+      out_re         => out_re,
+      out_im         => out_im,
+      clk            => clk
+    );
+    
+    p_regs: PROCESS(clk,rst)
+    BEGIN
+      IF rst='1' THEN
+        reg_val  <= '0';
+        reg_sync <= '0';
+        out_val  <= '0';
+        out_sync <= '0';
+      ELSIF rising_edge(clk) THEN
+        out_val  <= reg_val;        
+        out_sync <= reg_sync;        
+        reg_val  <= bf2_val;
+        reg_sync <= bf2_sync;        
+      END IF;
+    END PROCESS;   
+    
+  END GENERATE;
+  
+END str;     
diff --git a/applications/lofar1/pft2/src/vhdl/pft_stage.vhd b/applications/lofar1/pft2/src/vhdl/pft_stage.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..558c51bc5ef55eaac5aed20be3389dfd9162d212
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_stage.vhd
@@ -0,0 +1,22 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+ENTITY pft_stage IS
+  GENERIC (
+    g_index          : NATURAL;
+    g_in_dat_w       : NATURAL;
+    g_out_dat_w      : NATURAL    
+  );
+  PORT (
+    in_re            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_im            : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val           : IN  STD_LOGIC;
+    in_sync          : IN  STD_LOGIC;
+    out_re           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_im           : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);     
+    out_val          : OUT STD_LOGIC;
+    out_sync         : OUT STD_LOGIC;
+    clk              : IN  STD_LOGIC;
+    rst              : IN  STD_LOGIC
+ );
+END pft_stage;  
\ No newline at end of file
diff --git a/applications/lofar1/pft2/src/vhdl/pft_switch(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_switch(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..d7cce0a84fe6068dd47202d91e5cf933f3636fe1
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_switch(rtl).vhd
@@ -0,0 +1,89 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY pft2_lib;
+USE pft2_lib.ALL;
+
+ARCHITECTURE rtl OF pft_switch IS
+
+SIGNAL cnt         : STD_LOGIC_VECTOR(g_fft_sz_w DOWNTO 0);
+SIGNAL nxt_cnt     : STD_LOGIC_VECTOR(cnt'RANGE);
+
+SIGNAL lfsr_bit1   : STD_LOGIC;
+SIGNAL lfsr_bit2   : STD_LOGIC;
+SIGNAL lfsr_en     : STD_LOGIC;
+
+SIGNAL nxt_out_val : STD_LOGIC;
+SIGNAL nxt_out_sync : STD_LOGIC;
+SIGNAL nxt_out_re   : STD_LOGIC_VECTOR(in_re'RANGE);
+SIGNAL nxt_out_im   : STD_LOGIC_VECTOR(in_im'RANGE);
+
+BEGIN
+
+  registers : PROCESS (rst, clk)
+  BEGIN
+    IF rst = '1' THEN
+      cnt      <= (OTHERS => '0');      
+      out_val  <= '0';
+      out_sync <= '0';      
+      out_re   <= (OTHERS => '0');
+      out_im   <= (OTHERS => '0');      
+    ELSIF rising_edge(clk) THEN
+      cnt      <= nxt_cnt;      
+      out_val  <= nxt_out_val;
+      out_re   <= nxt_out_re;
+      out_im   <= nxt_out_im;
+      out_sync <= nxt_out_sync;
+    END IF;
+  END PROCESS;
+
+  counter: PROCESS(cnt, in_val, in_sync)
+  BEGIN
+    nxt_cnt <= cnt;        
+    IF in_sync='1' THEN
+      nxt_cnt <= (OTHERS => '0');
+    ELSIF in_val='1' THEN
+      nxt_cnt <= STD_LOGIC_VECTOR(UNSIGNED(cnt)+1);
+    END IF;
+  END PROCESS;
+  
+  lfsr_ctrl: PROCESS(cnt,in_val)
+  BEGIN
+    if SIGNED(cnt)=-1 AND in_val='1' THEN
+      lfsr_en <= '1';
+    ELSE
+      lfsr_en <= '0';
+    END IF;
+  END PROCESS;
+
+  proc: PROCESS(cnt, lfsr_bit1, lfsr_bit2, in_im, in_re, in_val, in_sync, switch_en)
+  BEGIN
+    nxt_out_val  <= in_val;
+    nxt_out_sync <= in_sync AND in_val;
+    
+    IF lfsr_bit1=cnt(cnt'HIGH) AND switch_en='1' THEN
+      nxt_out_re <= STD_LOGIC_VECTOR(-SIGNED(in_re));
+    ELSE
+      nxt_out_re <= in_re;
+    END IF;
+    
+    IF lfsr_bit2=cnt(cnt'HIGH) AND switch_en='1' THEN
+      nxt_out_im <= STD_LOGIC_VECTOR(-SIGNED(in_im));
+    ELSE
+      nxt_out_im <= in_im;
+    END IF;    
+  END PROCESS;
+    
+  lfsr: ENTITY pft2_lib.pft_lfsr
+  PORT MAP (
+    clk      => clk,
+    rst      => rst,
+    in_en    => lfsr_en,
+    out_bit1 => lfsr_bit1,
+    out_bit2 => lfsr_bit2
+  ); 
+    
+
+END rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_switch.vhd b/applications/lofar1/pft2/src/vhdl/pft_switch.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..f8be448d483fd5fa341317147413748d742b14c0
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_switch.vhd
@@ -0,0 +1,23 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+ENTITY pft_switch IS
+  GENERIC (
+    g_fft_sz_w        : NATURAL;
+    g_dat_w           : NATURAL
+  );
+
+  PORT (
+    in_re             : IN  STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    in_im             : IN  STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    in_val            : IN  STD_LOGIC;
+    in_sync           : IN  STD_LOGIC;
+    switch_en         : IN  STD_LOGIC;
+    out_re            : OUT STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    out_im            : OUT STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    out_val           : OUT STD_LOGIC;
+    out_sync          : OUT STD_LOGIC;    
+    clk               : IN  STD_LOGIC;
+    rst               : IN  STD_LOGIC
+  );
+END pft_switch;
diff --git a/applications/lofar1/pft2/src/vhdl/pft_tmult(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_tmult(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..07dc3b973233c3568664a2a5db84b24b416ec75e
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_tmult(rtl).vhd
@@ -0,0 +1,202 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright (C) 2012
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- This program is free software: you can redistribute it and/or modify
+-- it under the terms of the GNU General Public License as published by
+-- the Free Software Foundation, either version 3 of the License, or
+-- (at your option) any later version.
+--
+-- This program is distributed in the hope that it will be useful,
+-- but WITHOUT ANY WARRANTY; without even the implied warranty of
+-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+-- GNU General Public License for more details.
+--
+-- You should have received a copy of the GNU General Public License
+-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
+--
+-------------------------------------------------------------------------------
+
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY common_mult_lib;
+LIBRARY common_lib;
+USE common_lib.common_pkg.ALL;
+USE common_lib.common_mem_pkg.ALL;
+
+ARCHITECTURE rtl OF pft_tmult IS
+
+  CONSTANT c_nof_twids      : NATURAL := 16 * 2**(2*(g_index-1));
+  CONSTANT c_adr_w          : NATURAL := 2 + 2*g_index;
+  
+  CONSTANT c_mult_in_w      : NATURAL := 18;
+  CONSTANT c_coeff_w        : NATURAL := 16;
+  CONSTANT c_mult_out_w     : NATURAL := c_mult_in_w + c_coeff_w-1;    
+  
+  CONSTANT c_twid_rom       : t_c_mem := (latency  => 2,
+                                          adr_w    => c_adr_w,
+                                          dat_w    => 2*c_coeff_w,   -- complex
+                                          nof_dat  => 3*c_nof_twids/4,  -- <= 2**g_addr_w
+                                          init_sl  => '0');
+  
+  CONSTANT c_twid_file      : STRING  := 
+    "data/twiddle_" & NATURAL'IMAGE(c_coeff_w) 
+    & "_" & NATURAL'IMAGE(g_index) & ".hex";    -- Quartus .hex extension, replaced by .bin in common_rom works for XST
+  --CONSTANT c_twid_file      : STRING  := 
+  --  "../../../../../pft2/src/data/twiddle_" & NATURAL'IMAGE(c_coeff_w) 
+  --  & "_" & NATURAL'IMAGE(g_index) & ".bin";    -- Synplify fails on file extension change to .bin in common_rom and requires extra ../
+  
+  CONSTANT c_read_pipeline      : NATURAL := 1;
+  CONSTANT c_mult_pipeline_input   : NATURAL := 1;     -- 0 or 1
+  CONSTANT c_mult_pipeline_product : NATURAL := 0;     -- 0 or 1
+  CONSTANT c_mult_pipeline_adder   : NATURAL := 1;     -- 0 or 1
+  CONSTANT c_mult_pipeline_output  : NATURAL := 1;     -- >= 0
+  CONSTANT c_mult_pipeline         : NATURAL := c_mult_pipeline_input + c_mult_pipeline_product + c_mult_pipeline_adder + c_mult_pipeline_output;  -- = 3
+  CONSTANT c_round_pipeline_in  : NATURAL := 1;
+  CONSTANT c_round_pipeline_out : NATURAL := 1;
+  CONSTANT c_round_pipeline     : NATURAL := c_round_pipeline_in + c_round_pipeline_out;
+  CONSTANT c_pipeline           : NATURAL := c_round_pipeline + c_mult_pipeline + c_round_pipeline;  
+  
+  SIGNAL reg_val            : STD_LOGIC_VECTOR(c_pipeline-1 DOWNTO 0);
+  SIGNAL nxt_reg_val        : STD_LOGIC_VECTOR(reg_val'RANGE);  
+  SIGNAL reg_sync           : STD_LOGIC_VECTOR(c_pipeline-1 DOWNTO 0);  
+  
+  SIGNAL nxt_reg_sync       : STD_LOGIC_VECTOR(reg_sync'RANGE); 
+  
+  SIGNAL adr                : STD_LOGIC_VECTOR(c_adr_w-1 DOWNTO 0);  
+  SIGNAL nxt_adr            : STD_LOGIC_VECTOR(c_adr_w-1 DOWNTO 0);  
+  
+  SIGNAL cnt                : STD_LOGIC_VECTOR(c_adr_w-1 DOWNTO 0);
+  SIGNAL nxt_cnt            : STD_LOGIC_VECTOR(cnt'RANGE);
+
+  SIGNAL mult_in_re         : STD_LOGIC_VECTOR(c_mult_in_w-1 DOWNTO 0);
+  SIGNAL mult_in_im         : STD_LOGIC_VECTOR(c_mult_in_w-1 DOWNTO 0);
+    
+  SIGNAL mult_out_re        : STD_LOGIC_VECTOR(c_mult_out_w-1 DOWNTO 0);
+  SIGNAL mult_out_im        : STD_LOGIC_VECTOR(c_mult_out_w-1 DOWNTO 0);
+  
+  SIGNAL coeff_dat          : STD_LOGIC_VECTOR(2*c_coeff_w-1 DOWNTO 0);
+  SIGNAL coeff_re           : STD_LOGIC_VECTOR(c_coeff_w-1 DOWNTO 0);
+  SIGNAL coeff_im           : STD_LOGIC_VECTOR(c_coeff_w-1 DOWNTO 0);
+  
+BEGIN
+
+  p_regs : PROCESS (clk, rst)
+  BEGIN
+    IF rst = '1' THEN
+      reg_val     <= (OTHERS => '0');
+      reg_sync    <= (OTHERS => '0');      
+      cnt         <= (OTHERS => '0');
+      adr         <= (OTHERS => '0');
+      coeff_re    <= (OTHERS => '0');
+      coeff_im    <= (OTHERS => '0');      
+    ELSIF RISING_EDGE(clk) THEN
+      reg_val     <= nxt_reg_val;
+      reg_sync    <= nxt_reg_sync;
+      cnt         <= nxt_cnt;
+      adr         <= nxt_adr;      
+      coeff_re    <= coeff_dat(coeff_re'RANGE);  
+      coeff_im    <= coeff_dat(coeff_dat'HIGH DOWNTO coeff_re'LENGTH);  
+    END IF;
+  END PROCESS;
+  
+  p_cnt : PROCESS (cnt, in_val, in_sync)
+  BEGIN
+    nxt_cnt <= cnt;
+    IF in_sync = '1' THEN
+      nxt_cnt <= (OTHERS => '0');
+    ELSIF in_val = '1' THEN
+      nxt_cnt <= STD_LOGIC_VECTOR(UNSIGNED(cnt) + 1);
+    END IF;
+  END PROCESS;
+  
+  p_adr : PROCESS (adr, cnt, reg_sync)
+  BEGIN
+    nxt_adr <= adr;
+    IF UNSIGNED(adr)=3*c_nof_twids/4-1 OR reg_sync(reg_sync'HIGH)='1' THEN
+      nxt_adr <= (OTHERS => '0');
+    ELSIF UNSIGNED(adr) > 0 OR UNSIGNED(cnt) = c_nof_twids/4-1 THEN
+      nxt_adr <= STD_LOGIC_VECTOR(UNSIGNED(adr) + 1);
+    END IF;
+  END PROCESS;
+  
+  nxt_reg_val   <= in_val  & reg_val(reg_val'HIGH  DOWNTO 1);  
+  nxt_reg_sync  <= in_sync & reg_sync(reg_sync'HIGH DOWNTO 1);        
+  out_val       <= reg_val(0);    
+  out_sync      <= reg_sync(0);      
+
+  u_coeff : ENTITY common_lib.common_rom  
+  GENERIC MAP (
+    g_ram        => c_twid_rom,
+    g_init_file  => c_twid_file    
+  )
+  PORT MAP (
+    rst          => rst,
+    clk          => clk,
+    rd_adr       => adr,
+    rd_dat       => coeff_dat
+  );
+  
+  u_rnd1 : ENTITY common_lib.common_complex_round
+  GENERIC MAP (
+    g_representation  => "SIGNED",
+    g_round           => TRUE,
+    g_round_clip      => FALSE,
+    g_pipeline_input  => c_round_pipeline_in,
+    g_pipeline_output => c_round_pipeline_out,
+    g_in_dat_w        => g_in_dat_w,
+    g_out_dat_w       => c_mult_in_w
+  )                
+  PORT MAP (       
+    in_re          => in_re,
+    in_im          => in_im,
+    out_re         => mult_in_re,
+    out_im         => mult_in_im,
+    clk            => clk
+  );
+        
+  u_cmult : ENTITY common_mult_lib.common_complex_mult
+  GENERIC MAP (
+    g_variant     => "IP",
+    g_in_a_w      => c_mult_in_w,
+    g_in_b_w      => c_coeff_w,
+    g_out_p_w     => c_mult_out_w, 
+    g_conjugate_b => FALSE,
+    g_pipeline_input   => c_mult_pipeline_input,     -- 0 or 1
+    g_pipeline_product => c_mult_pipeline_product,   -- 0 or 1
+    g_pipeline_adder   => c_mult_pipeline_adder,     -- 0 or 1
+    g_pipeline_output  => c_mult_pipeline_output     -- >= 0
+  )
+  PORT MAP (       
+    in_ar         => mult_in_re,
+    in_ai         => mult_in_im,
+    in_br         => coeff_re,
+    in_bi         => coeff_im,
+    out_pr        => mult_out_re,
+    out_pi        => mult_out_im,
+    clk           => clk
+  );
+  
+  u_rnd2 : ENTITY common_lib.common_complex_round
+  GENERIC MAP (
+    g_representation  => "SIGNED",
+    g_round           => TRUE,
+    g_round_clip      => FALSE,
+    g_pipeline_input  => c_round_pipeline_in,
+    g_pipeline_output => c_round_pipeline_out,
+    g_in_dat_w        => c_mult_out_w,      
+    g_out_dat_w       => g_out_dat_w
+  )                
+  PORT MAP (       
+    in_re          => mult_out_re,
+    in_im          => mult_out_im,
+    out_re         => out_re,
+    out_im         => out_im,
+    clk            => clk
+  );                
+  
+END rtl;
diff --git a/applications/lofar1/pft2/src/vhdl/pft_tmult.vhd b/applications/lofar1/pft2/src/vhdl/pft_tmult.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..dea2aba47e94459a501dbd45a1e6438ff68b088d
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_tmult.vhd
@@ -0,0 +1,26 @@
+LIBRARY ieee;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+
+ENTITY pft_tmult IS
+  GENERIC (
+    g_in_dat_w     : NATURAL;
+    g_out_dat_w    : NATURAL;
+    g_index        : NATURAL
+  );
+  PORT (
+    in_re          : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_im          : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val         : IN  STD_LOGIC;
+    in_sync        : IN  STD_LOGIC;    
+    out_re         : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_im         : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val        : OUT STD_LOGIC;
+    out_sync       : OUT STD_LOGIC;    
+    clk            : IN STD_LOGIC;
+    rst            : IN STD_LOGIC
+  );
+END pft_tmult;
+
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_top(str).vhd b/applications/lofar1/pft2/src/vhdl/pft_top(str).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..50dd0554d981dfbea70151e7590b384870c86530
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_top(str).vhd
@@ -0,0 +1,60 @@
+LIBRARY IEEE, common_lib, pft2_lib;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE common_lib.common_pkg.ALL;
+
+
+ARCHITECTURE str OF pft_top IS
+
+  SIGNAL reg_in_re    : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL reg_in_im    : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL reg_in_val   : STD_LOGIC;
+  SIGNAL reg_in_sync  : STD_LOGIC;
+  SIGNAL d_out_re     : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL d_out_im     : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL d_out_val    : STD_LOGIC;
+  SIGNAL d_out_sync   : STD_LOGIC;
+  
+  SIGNAL pfft_in_sync : STD_LOGIC;
+  SIGNAL pfft_in_re   : STD_LOGIC_VECTOR(17 DOWNTO 0);
+  SIGNAL pfft_in_im   : STD_LOGIC_VECTOR(17 DOWNTO 0);
+  SIGNAL pfft_out_re  : STD_LOGIC_VECTOR(17 DOWNTO 0);
+  SIGNAL pfft_out_im  : STD_LOGIC_VECTOR(17 DOWNTO 0);
+  
+BEGIN
+
+  registers : PROCESS(clk)
+  BEGIN
+    IF rising_edge(clk) THEN
+      reg_in_re     <= in_re;
+      reg_in_im     <= in_im;
+      reg_in_val    <= in_val;
+      reg_in_sync   <= in_sync;
+      out_re        <= d_out_re;
+      out_im        <= d_out_im;
+      out_val       <= d_out_val;
+      out_sync      <= d_out_sync;
+    END IF;
+  END PROCESS;
+  
+  u_pft : ENTITY pft2_lib.pft
+  GENERIC MAP (
+    g_fft_size_w => g_fft_size_w,
+    g_in_dat_w   => g_in_dat_w,
+    g_out_dat_w  => g_out_dat_w,
+    g_mode       => g_mode
+  )
+  PORT MAP (
+    in_re        => reg_in_re,
+    in_im        => reg_in_im,
+    in_val       => reg_in_val,
+    in_sync      => reg_in_sync,
+    switch_en    => switch_en,
+    out_re       => d_out_re,
+    out_im       => d_out_im,
+    out_val      => d_out_val,
+    out_sync     => d_out_sync,
+    clk          => clk,
+    rst          => rst
+  );
+
+END str;
diff --git a/applications/lofar1/pft2/src/vhdl/pft_top.vhd b/applications/lofar1/pft2/src/vhdl/pft_top.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..26700b7f66339fb24f30078696107c3a5443383d
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_top.vhd
@@ -0,0 +1,29 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+
+LIBRARY pft2_lib;
+USE pft2_lib.pft_pkg.ALL;
+
+ENTITY pft_top IS
+  GENERIC (
+    g_fft_size_w   : NATURAL := 10;
+    g_in_dat_w     : NATURAL := 18;
+    g_out_dat_w    : NATURAL := 18;
+    g_mode         : PFT_MODE_TYPE := PFT_MODE_BITREV
+  );
+  PORT (
+    in_re          : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_im          : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+    in_val         : IN  STD_LOGIC;
+    in_sync        : IN  STD_LOGIC;
+    switch_en      : IN  STD_LOGIC := '1';
+    out_re         : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_im         : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+    out_val        : OUT STD_LOGIC;
+    out_sync       : OUT STD_LOGIC;
+    clk            : IN  STD_LOGIC;
+    rst            : IN  STD_LOGIC
+  );
+END pft_top;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_unswitch(rtl).vhd b/applications/lofar1/pft2/src/vhdl/pft_unswitch(rtl).vhd
new file mode 100644
index 0000000000000000000000000000000000000000..e48eb1dc2e7b70067f485eaf2c09e9114ab3eca6
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_unswitch(rtl).vhd
@@ -0,0 +1,85 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY pft2_lib;
+USE pft2_lib.ALL;
+
+ARCHITECTURE rtl OF pft_unswitch IS
+
+SIGNAL cnt          : STD_LOGIC_VECTOR(g_fft_sz_w DOWNTO 0);
+SIGNAL nxt_cnt      : STD_LOGIC_VECTOR(cnt'RANGE);
+
+SIGNAL lfsr_bit1    : STD_LOGIC;
+SIGNAL lfsr_bit2    : STD_LOGIC;
+
+SIGNAL lfsr_en      : STD_LOGIC;
+
+SIGNAL nxt_out_val  : STD_LOGIC;
+SIGNAL nxt_out_sync : STD_LOGIC;
+SIGNAL nxt_out_re   : STD_LOGIC_VECTOR(in_re'RANGE);
+SIGNAL nxt_out_im   : STD_LOGIC_VECTOR(in_im'RANGE);
+
+BEGIN
+
+  registers : PROCESS (rst, clk)
+  BEGIN
+    IF rst = '1' THEN
+      cnt      <= (OTHERS => '0');      
+      out_val  <= '0';
+      out_sync <= '0';      
+      out_re   <= (OTHERS => '0');
+      out_im   <= (OTHERS => '0');      
+    ELSIF rising_edge(clk) THEN
+      cnt      <= nxt_cnt;      
+      out_val  <= nxt_out_val;
+      out_sync <= nxt_out_sync;
+      out_re   <= nxt_out_re;
+      out_im   <= nxt_out_im;
+    END IF;
+  END PROCESS;
+
+  counter: PROCESS(cnt, in_val, in_sync)
+  BEGIN
+    nxt_cnt <= cnt;        
+    IF in_sync='1' THEN
+      nxt_cnt <= (OTHERS => '0');
+    ELSIF in_val='1' THEN
+      nxt_cnt <= STD_LOGIC_VECTOR(UNSIGNED(cnt)+1);
+    END IF;
+  END PROCESS;
+  
+  lfsr_ctrl: PROCESS(cnt,in_val)
+  BEGIN
+    if SIGNED(cnt)=-1 AND in_val='1' THEN
+      lfsr_en <= '1';
+    ELSE
+      lfsr_en <= '0';
+    END IF;
+  END PROCESS;
+
+  proc: PROCESS(in_re, in_im, in_val, in_sync, cnt, lfsr_bit1, lfsr_bit2, switch_en)
+  BEGIN
+    nxt_out_val  <= in_val;
+    nxt_out_sync <= in_sync AND in_val;    
+    nxt_out_re   <= in_re;        
+    nxt_out_im   <= in_im;    
+    IF    ((cnt(0)='0' AND cnt(cnt'HIGH)=lfsr_bit1) 
+       OR (cnt(0)='1' AND cnt(cnt'HIGH)=lfsr_bit2)) AND (switch_en='1') THEN
+        nxt_out_re <= STD_LOGIC_VECTOR(-SIGNED(in_re));
+        nxt_out_im <= STD_LOGIC_VECTOR(-SIGNED(in_im));
+    END IF;
+  END PROCESS;
+    
+  lfsr: ENTITY pft2_lib.pft_lfsr
+  PORT MAP (
+    clk      => clk,
+    rst      => rst,
+    in_en    => lfsr_en,
+    out_bit1 => lfsr_bit1,
+    out_bit2 => lfsr_bit2
+  ); 
+    
+
+END rtl;
+
diff --git a/applications/lofar1/pft2/src/vhdl/pft_unswitch.vhd b/applications/lofar1/pft2/src/vhdl/pft_unswitch.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..ded20e91067be5adee421b8d9e665198cbcd2df6
--- /dev/null
+++ b/applications/lofar1/pft2/src/vhdl/pft_unswitch.vhd
@@ -0,0 +1,23 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+
+ENTITY pft_unswitch IS
+  GENERIC (
+    g_fft_sz_w        : NATURAL;
+    g_dat_w           : NATURAL
+  );
+
+  PORT (
+    in_re             : IN STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    in_im             : IN STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    in_val            : IN STD_LOGIC;
+    in_sync           : IN STD_LOGIC;
+    switch_en         : IN STD_LOGIC;
+    out_re            : OUT STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    out_im            : OUT STD_LOGIC_VECTOR(g_dat_w-1 DOWNTO 0);
+    out_val           : OUT STD_LOGIC;
+    out_sync          : OUT STD_LOGIC;
+    clk               : IN STD_LOGIC;
+    rst               : IN STD_LOGIC   
+  );
+END pft_unswitch;
diff --git a/applications/lofar1/pft2/tb/data/block_1.im b/applications/lofar1/pft2/tb/data/block_1.im
new file mode 100644
index 0000000000000000000000000000000000000000..12657e81ae3dcb6f3082d5247f350efc88d59cf1
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/block_1.im
@@ -0,0 +1,1024 @@
+0.000000
+-66493453.362731
+0.000000
+-22163928.127054
+0.000000
+-13297689.273550
+0.000000
+-9497634.174565
+0.000000
+-7386306.976993
+0.000000
+-6042583.352688
+0.000000
+-5112184.710029
+0.000000
+-4429781.036238
+0.000000
+-3907844.674525
+0.000000
+-3495701.715677
+0.000000
+-3161982.594441
+0.000000
+-2886228.896502
+0.000000
+-2654528.898101
+0.000000
+-2457092.865831
+0.000000
+-2286831.680648
+0.000000
+-2138485.671946
+0.000000
+-2008070.239241
+0.000000
+-1892511.546419
+0.000000
+-1789400.369200
+0.000000
+-1696821.681849
+0.000000
+-1613234.120936
+0.000000
+-1537383.087132
+0.000000
+-1468237.019841
+0.000000
+-1404939.942157
+0.000000
+-1346775.627451
+0.000000
+-1293140.197351
+0.000000
+-1243520.923961
+0.000000
+-1197479.657040
+0.000000
+-1154639.740203
+0.000000
+-1114675.588230
+0.000000
+-1077304.314740
+0.000000
+-1042278.954598
+0.000000
+-1009382.937565
+0.000000
+-978425.551743
+0.000000
+-949238.195988
+0.000000
+-921671.265717
+0.000000
+-895591.550636
+0.000000
+-870880.048831
+0.000000
+-847430.121524
+0.000000
+-825145.928114
+0.000000
+-803941.093069
+0.000000
+-783737.565548
+0.000000
+-764464.640030
+0.000000
+-746058.112015
+0.000000
+-728459.547575
+0.000000
+-711615.649219
+0.000000
+-695477.703587
+0.000000
+-680001.098904
+0.000000
+-665144.902138
+0.000000
+-650871.487406
+0.000000
+-637146.208527
+0.000000
+-623937.109730
+0.000000
+-611214.669425
+0.000000
+-598951.572702
+0.000000
+-587122.508880
+0.000000
+-575703.990949
+0.000000
+-564674.194173
+0.000000
+-554012.811547
+0.000000
+-543700.924070
+0.000000
+-533720.884105
+0.000000
+-524056.210306
+0.000000
+-514691.492789
+0.000000
+-505612.307420
+0.000000
+-496805.138188
+0.000000
+-488257.306805
+0.000000
+-479956.908761
+0.000000
+-471892.755134
+0.000000
+-464054.319585
+0.000000
+-456431.689991
+0.000000
+-449015.524252
+0.000000
+-441797.009864
+0.000000
+-434767.826877
+0.000000
+-427920.113926
+0.000000
+-421246.437029
+0.000000
+-414739.760904
+0.000000
+-408393.422559
+0.000000
+-402201.106955
+0.000000
+-396156.824558
+0.000000
+-390254.890602
+0.000000
+-384489.905915
+0.000000
+-378856.739179
+0.000000
+-373350.510496
+0.000000
+-367966.576145
+0.000000
+-362700.514434
+0.000000
+-357548.112564
+0.000000
+-352505.354407
+0.000000
+-347568.409132
+0.000000
+-342733.620620
+0.000000
+-337997.497581
+0.000000
+-333356.704350
+0.000000
+-328808.052282
+0.000000
+-324348.491712
+0.000000
+-319975.104447
+0.000000
+-315685.096722
+0.000000
+-311475.792622
+0.000000
+-307344.627900
+0.000000
+-303289.144196
+0.000000
+-299306.983597
+0.000000
+-295395.883535
+0.000000
+-291553.671996
+0.000000
+-287778.263007
+0.000000
+-284067.652398
+0.000000
+-280419.913802
+0.000000
+-276833.194899
+0.000000
+-273305.713865
+0.000000
+-269835.756028
+0.000000
+-266421.670714
+0.000000
+-263061.868261
+0.000000
+-259754.817211
+0.000000
+-256499.041641
+0.000000
+-253293.118650
+0.000000
+-250135.675978
+0.000000
+-247025.389749
+0.000000
+-243960.982336
+0.000000
+-240941.220339
+0.000000
+-237964.912662
+0.000000
+-235030.908700
+0.000000
+-232138.096606
+0.000000
+-229285.401652
+0.000000
+-226471.784676
+0.000000
+-223696.240597
+0.000000
+-220957.797010
+0.000000
+-218255.512848
+0.000000
+-215588.477110
+0.000000
+-212955.807646
+0.000000
+-210356.650006
+0.000000
+-207790.176339
+0.000000
+-205255.584347
+0.000000
+-202752.096282
+0.000000
+-200278.958001
+0.000000
+-197835.438047
+0.000000
+-195420.826790
+0.000000
+-193034.435596
+0.000000
+-190675.596034
+0.000000
+-188343.659120
+0.000000
+-186037.994599
+0.000000
+-183757.990248
+0.000000
+-181503.051220
+0.000000
+-179272.599408
+0.000000
+-177066.072842
+0.000000
+-174882.925110
+0.000000
+-172722.624802
+0.000000
+-170584.654978
+0.000000
+-168468.512659
+0.000000
+-166373.708337
+0.000000
+-164299.765512
+0.000000
+-162246.220235
+0.000000
+-160212.620681
+0.000000
+-158198.526738
+0.000000
+-156203.509604
+0.000000
+-154227.151407
+0.000000
+-152269.044842
+0.000000
+-150328.792814
+0.000000
+-148406.008103
+0.000000
+-146500.313037
+0.000000
+-144611.339179
+0.000000
+-142738.727024
+0.000000
+-140882.125715
+0.000000
+-139041.192758
+0.000000
+-137215.593754
+0.000000
+-135405.002145
+0.000000
+-133609.098960
+0.000000
+-131827.572578
+0.000000
+-130060.118496
+0.000000
+-128306.439103
+0.000000
+-126566.243470
+0.000000
+-124839.247140
+0.000000
+-123125.171925
+0.000000
+-121423.745721
+0.000000
+-119734.702311
+0.000000
+-118057.781193
+0.000000
+-116392.727405
+0.000000
+-114739.291354
+0.000000
+-113097.228656
+0.000000
+-111466.299978
+0.000000
+-109846.270889
+0.000000
+-108236.911710
+0.000000
+-106637.997376
+0.000000
+-105049.307295
+0.000000
+-103470.625218
+0.000000
+-101901.739110
+0.000000
+-100342.441027
+0.000000
+-98792.526991
+0.000000
+-97251.796879
+0.000000
+-95720.054308
+0.000000
+-94197.106524
+0.000000
+-92682.764297
+0.000000
+-91176.841820
+0.000000
+-89679.156607
+0.000000
+-88189.529395
+0.000000
+-86707.784054
+0.000000
+-85233.747493
+0.000000
+-83767.249574
+0.000000
+-82308.123021
+0.000000
+-80856.203343
+0.000000
+-79411.328750
+0.000000
+-77973.340072
+0.000000
+-76542.080687
+0.000000
+-75117.396444
+0.000000
+-73699.135589
+0.000000
+-72287.148698
+0.000000
+-70881.288608
+0.000000
+-69481.410346
+0.000000
+-68087.371069
+0.000000
+-66699.030000
+0.000000
+-65316.248364
+0.000000
+-63938.889328
+0.000000
+-62566.817946
+0.000000
+-61199.901099
+0.000000
+-59838.007438
+0.000000
+-58481.007333
+0.000000
+-57128.772817
+0.000000
+-55781.177538
+0.000000
+-54438.096703
+0.000000
+-53099.407033
+0.000000
+-51764.986712
+0.000000
+-50434.715342
+0.000000
+-49108.473894
+0.000000
+-47786.144666
+0.000000
+-46467.611235
+0.000000
+-45152.758416
+0.000000
+-43841.472220
+0.000000
+-42533.639811
+0.000000
+-41229.149465
+0.000000
+-39927.890529
+0.000000
+-38629.753386
+0.000000
+-37334.629411
+0.000000
+-36042.410936
+0.000000
+-34752.991214
+0.000000
+-33466.264377
+0.000000
+-32182.125407
+0.000000
+-30900.470094
+0.000000
+-29621.195007
+0.000000
+-28344.197454
+0.000000
+-27069.375453
+0.000000
+-25796.627693
+0.000000
+-24525.853509
+0.000000
+-23256.952841
+0.000000
+-21989.826207
+0.000000
+-20724.374669
+0.000000
+-19460.499804
+0.000000
+-18198.103670
+0.000000
+-16937.088776
+0.000000
+-15677.358054
+0.000000
+-14418.814825
+0.000000
+-13161.362771
+0.000000
+-11904.905906
+0.000000
+-10649.348545
+0.000000
+-9394.595277
+0.000000
+-8140.550931
+0.000000
+-6887.120553
+0.000000
+-5634.209373
+0.000000
+-4381.722778
+0.000000
+-3129.566284
+0.000000
+-1877.645504
+0.000000
+-625.866125
+0.000000
+625.866125
+0.000000
+1877.645504
+0.000000
+3129.566284
+0.000000
+4381.722778
+0.000000
+5634.209373
+0.000000
+6887.120553
+0.000000
+8140.550931
+0.000000
+9394.595277
+0.000000
+10649.348545
+0.000000
+11904.905906
+0.000000
+13161.362771
+0.000000
+14418.814825
+0.000000
+15677.358054
+0.000000
+16937.088776
+0.000000
+18198.103670
+0.000000
+19460.499804
+0.000000
+20724.374669
+0.000000
+21989.826207
+0.000000
+23256.952841
+0.000000
+24525.853509
+0.000000
+25796.627693
+0.000000
+27069.375453
+0.000000
+28344.197454
+0.000000
+29621.195007
+0.000000
+30900.470094
+0.000000
+32182.125407
+0.000000
+33466.264377
+0.000000
+34752.991214
+0.000000
+36042.410936
+0.000000
+37334.629411
+0.000000
+38629.753386
+0.000000
+39927.890529
+0.000000
+41229.149465
+0.000000
+42533.639811
+0.000000
+43841.472220
+0.000000
+45152.758416
+0.000000
+46467.611235
+0.000000
+47786.144666
+0.000000
+49108.473894
+0.000000
+50434.715342
+0.000000
+51764.986712
+0.000000
+53099.407033
+0.000000
+54438.096703
+0.000000
+55781.177538
+0.000000
+57128.772817
+0.000000
+58481.007333
+0.000000
+59838.007438
+0.000000
+61199.901099
+0.000000
+62566.817946
+0.000000
+63938.889328
+0.000000
+65316.248364
+0.000000
+66699.030000
+0.000000
+68087.371069
+0.000000
+69481.410346
+0.000000
+70881.288608
+0.000000
+72287.148698
+0.000000
+73699.135589
+0.000000
+75117.396444
+0.000000
+76542.080687
+0.000000
+77973.340072
+0.000000
+79411.328750
+0.000000
+80856.203343
+0.000000
+82308.123021
+0.000000
+83767.249574
+0.000000
+85233.747493
+0.000000
+86707.784054
+0.000000
+88189.529395
+0.000000
+89679.156607
+0.000000
+91176.841820
+0.000000
+92682.764297
+0.000000
+94197.106524
+0.000000
+95720.054308
+0.000000
+97251.796879
+0.000000
+98792.526991
+0.000000
+100342.441027
+0.000000
+101901.739110
+0.000000
+103470.625218
+0.000000
+105049.307295
+0.000000
+106637.997376
+0.000000
+108236.911710
+0.000000
+109846.270889
+0.000000
+111466.299978
+0.000000
+113097.228656
+0.000000
+114739.291354
+0.000000
+116392.727405
+0.000000
+118057.781193
+0.000000
+119734.702311
+0.000000
+121423.745721
+0.000000
+123125.171925
+0.000000
+124839.247140
+0.000000
+126566.243470
+0.000000
+128306.439103
+0.000000
+130060.118496
+0.000000
+131827.572578
+0.000000
+133609.098960
+0.000000
+135405.002145
+0.000000
+137215.593754
+0.000000
+139041.192758
+0.000000
+140882.125715
+0.000000
+142738.727024
+0.000000
+144611.339179
+0.000000
+146500.313037
+0.000000
+148406.008103
+0.000000
+150328.792814
+0.000000
+152269.044842
+0.000000
+154227.151407
+0.000000
+156203.509604
+0.000000
+158198.526738
+0.000000
+160212.620681
+0.000000
+162246.220235
+0.000000
+164299.765512
+0.000000
+166373.708337
+0.000000
+168468.512659
+0.000000
+170584.654978
+0.000000
+172722.624802
+0.000000
+174882.925110
+0.000000
+177066.072842
+0.000000
+179272.599408
+0.000000
+181503.051220
+0.000000
+183757.990248
+0.000000
+186037.994599
+0.000000
+188343.659120
+0.000000
+190675.596034
+0.000000
+193034.435596
+0.000000
+195420.826790
+0.000000
+197835.438047
+0.000000
+200278.958001
+0.000000
+202752.096282
+0.000000
+205255.584347
+0.000000
+207790.176339
+0.000000
+210356.650006
+0.000000
+212955.807646
+0.000000
+215588.477110
+0.000000
+218255.512848
+0.000000
+220957.797010
+0.000000
+223696.240597
+0.000000
+226471.784676
+0.000000
+229285.401652
+0.000000
+232138.096606
+0.000000
+235030.908700
+0.000000
+237964.912662
+0.000000
+240941.220339
+0.000000
+243960.982336
+0.000000
+247025.389749
+0.000000
+250135.675978
+0.000000
+253293.118650
+0.000000
+256499.041641
+0.000000
+259754.817211
+0.000000
+263061.868261
+0.000000
+266421.670714
+0.000000
+269835.756028
+0.000000
+273305.713865
+0.000000
+276833.194899
+0.000000
+280419.913802
+0.000000
+284067.652398
+0.000000
+287778.263007
+0.000000
+291553.671996
+0.000000
+295395.883535
+0.000000
+299306.983597
+0.000000
+303289.144196
+0.000000
+307344.627900
+0.000000
+311475.792622
+0.000000
+315685.096722
+0.000000
+319975.104447
+0.000000
+324348.491712
+0.000000
+328808.052282
+0.000000
+333356.704350
+0.000000
+337997.497581
+0.000000
+342733.620620
+0.000000
+347568.409132
+0.000000
+352505.354407
+0.000000
+357548.112564
+0.000000
+362700.514434
+0.000000
+367966.576145
+0.000000
+373350.510496
+0.000000
+378856.739179
+0.000000
+384489.905915
+0.000000
+390254.890602
+0.000000
+396156.824558
+0.000000
+402201.106955
+0.000000
+408393.422559
+0.000000
+414739.760904
+0.000000
+421246.437029
+0.000000
+427920.113926
+0.000000
+434767.826877
+0.000000
+441797.009864
+0.000000
+449015.524252
+0.000000
+456431.689991
+0.000000
+464054.319585
+0.000000
+471892.755134
+0.000000
+479956.908761
+0.000000
+488257.306805
+0.000000
+496805.138188
+0.000000
+505612.307420
+0.000000
+514691.492789
+0.000000
+524056.210306
+0.000000
+533720.884105
+0.000000
+543700.924070
+0.000000
+554012.811547
+0.000000
+564674.194173
+0.000000
+575703.990949
+0.000000
+587122.508880
+0.000000
+598951.572702
+0.000000
+611214.669425
+0.000000
+623937.109730
+0.000000
+637146.208527
+0.000000
+650871.487406
+0.000000
+665144.902138
+0.000000
+680001.098904
+0.000000
+695477.703587
+0.000000
+711615.649219
+0.000000
+728459.547575
+0.000000
+746058.112015
+0.000000
+764464.640030
+0.000000
+783737.565548
+0.000000
+803941.093069
+0.000000
+825145.928114
+0.000000
+847430.121524
+0.000000
+870880.048831
+0.000000
+895591.550636
+0.000000
+921671.265717
+0.000000
+949238.195988
+0.000000
+978425.551743
+0.000000
+1009382.937565
+0.000000
+1042278.954598
+0.000000
+1077304.314740
+0.000000
+1114675.588230
+0.000000
+1154639.740203
+0.000000
+1197479.657040
+0.000000
+1243520.923961
+0.000000
+1293140.197351
+0.000000
+1346775.627451
+0.000000
+1404939.942157
+0.000000
+1468237.019841
+0.000000
+1537383.087132
+0.000000
+1613234.120936
+0.000000
+1696821.681849
+0.000000
+1789400.369200
+0.000000
+1892511.546419
+0.000000
+2008070.239241
+0.000000
+2138485.671946
+0.000000
+2286831.680648
+0.000000
+2457092.865831
+0.000000
+2654528.898101
+0.000000
+2886228.896502
+0.000000
+3161982.594441
+0.000000
+3495701.715677
+0.000000
+3907844.674525
+0.000000
+4429781.036238
+0.000000
+5112184.710029
+0.000000
+6042583.352688
+0.000000
+7386306.976993
+0.000000
+9497634.174565
+0.000000
+13297689.273550
+0.000000
+22163928.127054
+0.000000
+66493453.362731
diff --git a/applications/lofar1/pft2/tb/data/block_1.re b/applications/lofar1/pft2/tb/data/block_1.re
new file mode 100644
index 0000000000000000000000000000000000000000..c291b56b357bdc3676b2df6029b6bbb9afc2af07
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/block_1.re
@@ -0,0 +1,1024 @@
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
+0.000000
+204000.000000
diff --git a/applications/lofar1/pft2/tb/data/block_117.im b/applications/lofar1/pft2/tb/data/block_117.im
new file mode 100644
index 0000000000000000000000000000000000000000..1db3988023ae075315dee7c68ec82e4fc3c6a3ee
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/block_117.im
@@ -0,0 +1,1024 @@
+0.000000
+-64231.263303
+-113210.613590
+-78500.205535
+-168223.190134
+-110858.825587
+98873.771889
+-89637.938640
+140441.113840
+711561.778648
+-746409.483255
+-225692.875149
+-301349.073215
+799629.730107
+231296.292325
+79591.991479
+470485.641565
+42223.116108
+-40065.503724
+240740.023409
+-108339.748498
+-62287.624207
+252206.874805
+-34957.425227
+140120.848082
+490573.689134
+148007.089490
+411048.779824
+780501.367685
+175916.615503
+746941.180528
+1985024.917528
+-5186745.437579
+-1408038.539041
+-1011657.966357
+-313675.117081
+-664622.210956
+-621637.880939
+-382077.591078
+-491283.592926
+-390113.696945
+-236594.939149
+-240391.482043
+-161587.554499
+-115848.789128
+-109993.478650
+-135481.477497
+-216508.701965
+-170930.759625
+-303383.560653
+-445569.551939
+-46380.223278
+-297000.861223
+-196951.517021
+-2836087.056250
+-670244.005882
+-522842.739357
+-868747.704627
+-284206.338420
+-263917.276366
+-485695.048256
+-84640.963294
+-192506.343131
+-432618.349330
+-125932.579798
+-344407.368094
+-578896.252287
+-234836.016769
+-497838.045292
+-647272.584749
+-94282.570558
+-432112.672480
+-481119.182259
+800418.715445
+-210390.591179
+-250703.592282
+-1359091.935011
+-35753.906302
+-150994.602746
+-460846.623248
+-155405.835010
+-323675.121593
+-518150.569969
+-412060.685335
+-544857.522233
+-626390.403876
+-547724.626028
+-578064.752509
+-549643.198363
+-492075.258872
+-442939.850109
+-394693.259181
+-494217.303893
+-394654.168550
+-459646.350955
+-1414163.475010
+-352024.908830
+-469756.525031
+-190877.231909
+-1026731.538748
+-987059.300894
+-874570.034673
+-1356404.707564
+-1244827.933883
+-1150911.207233
+-1534779.802418
+-1378461.838807
+-1362039.906747
+-1824755.455726
+-1738067.460277
+-1954570.919236
+-2726206.095854
+-2862968.356268
+-3638219.890737
+-5406750.523156
+-6750648.942217
+-12078830.452554
+-52130881.844302
+22234472.887318
+9166029.770688
+6075333.646457
+3848048.734945
+3270023.914290
+2881559.369975
+2232699.909625
+2140466.542620
+1968593.435721
+1605173.396726
+1537248.916810
+1362087.246963
+1099546.366829
+1017433.985260
+873912.598682
+749033.659895
+684811.608044
+646157.569385
+734357.792506
+485978.011943
+472477.339259
+53018.588432
+1464322.868461
+1015320.983195
+833135.879139
+1194188.208269
+893334.379239
+741830.484935
+954931.280938
+619460.906960
+513105.427390
+728523.738447
+407627.330339
+425081.652720
+734271.133471
+409166.548530
+564072.911852
+972291.455456
+444649.026347
+731023.030207
+1361406.285835
+-529764.724661
+10458.848002
+-203926.510318
+1255967.045450
+418277.643494
+218437.924587
+575917.635522
+192194.991856
+157782.987463
+390717.862939
+201624.168825
+276385.826796
+467343.396919
+360445.276429
+439898.847031
+530280.668332
+408531.978644
+405704.553648
+359217.988197
+243756.686441
+140299.999867
+-70046.226929
+656808.123924
+191896.904188
+147385.556765
+-251067.507212
+81641.982208
+147523.765423
+-25568.881480
+306520.203434
+338882.720579
+178095.366306
+475693.294005
+392471.424632
+169963.492175
+454536.207692
+258141.860643
+17259.626410
+446462.254917
+188080.368734
+27462.020803
+1033586.750623
+694358.870430
+1230337.434442
+-8965457.609552
+-580763.147976
+-144286.163339
+-544023.734130
+191064.297030
+169525.647770
+-89047.361541
+233727.039850
+95914.106112
+-106534.591578
+88251.079442
+-45809.377540
+-142647.841105
+59096.703965
+157.182018
+20715.359073
+237441.112672
+223244.887770
+282684.920986
+391716.242283
+377018.243926
+389647.278325
+681868.639552
+252262.517680
+217972.655751
+301289.081300
+-18166.161622
+-13979.047365
+76051.954990
+-182643.760465
+-60520.959614
+89027.727403
+-131772.436860
+95552.396302
+243574.555017
+-60418.680029
+220269.140167
+267924.489754
+-296661.546772
+124994.117698
+4562.805789
+-1633676.182475
+325364.415326
+249308.213943
+953287.262272
+-427714.605660
+-152746.453935
+159822.486939
+-296880.192136
+-26258.995756
+174941.876306
+-91052.115029
+128934.078201
+204000.000000
+-52842.333488
+78329.339841
+34945.039625
+-231702.892591
+-130649.508289
+-206033.309289
+-412624.918660
+-241528.861451
+-277743.917673
+-478401.342144
+7163.065107
+-11364.065049
+-20066.955194
+199114.116535
+175477.674183
+134906.487994
+311549.167903
+165032.946996
+59777.158131
+192254.922163
+-49724.984870
+-145101.233612
+39904.715161
+-238707.070175
+-232444.104226
+91009.940214
+-290793.162716
+-173030.547836
+329774.230309
+-761262.364274
+-895641.862263
+-2729773.565159
+2911993.962794
+998980.303856
+421154.189826
+960385.953871
+402220.672452
+184499.131266
+474911.966546
+113158.174606
+62243.907603
+327619.741603
+97421.808027
+170367.062501
+429357.562168
+233613.979314
+334303.535323
+519200.326633
+237575.529895
+299921.824911
+401234.071263
+-117265.314430
+-47423.051772
+-121507.897739
+-38143.969307
+-146231.516957
+-162438.912766
+-243427.947715
+-137257.568998
+-76778.297728
+-137059.773012
+61764.987405
+100330.031925
+-15528.575368
+191913.733672
+113448.313401
+-114072.797538
+124342.345509
+-91925.388458
+-408638.717528
+84543.824807
+-316653.065579
+-837932.434749
+1847020.987026
+415042.714920
+347741.168387
+-781699.783289
+120367.538343
+193182.855746
+-195158.047295
+277150.588120
+220390.328409
+-75150.637594
+215133.161525
+55583.795331
+-201980.115005
+39636.558248
+-135260.662335
+-299379.551907
+11333.810095
+-137752.633435
+-209955.445651
+216341.157797
+-74228.445116
+-411391.282464
+6817502.075394
+946081.986675
+662184.031565
+479291.930226
+436422.629787
+336907.201915
+282810.951914
+129055.547229
+82939.580996
+110616.265513
+-41098.787017
+36416.581400
+161742.412659
+4320.771481
+199429.253357
+352085.061625
+43984.209535
+336694.448981
+420723.250097
+-428842.847815
+309542.111057
+389982.429501
+2827508.623304
+-222186.811181
+-81568.550635
+349709.552558
+-334497.980637
+-158218.838940
+116605.218903
+-256078.916621
+-13353.489905
+197584.770075
+-94751.083190
+137608.824913
+233106.889308
+-111224.765586
+78316.739100
+51869.789841
+-380887.212370
+-132111.547816
+-214635.615826
+-885574.443201
+-82470.105612
+-81771.355632
+229967.460258
+-223751.693026
+-62760.364881
+56061.721242
+77720.818793
+146170.595221
+162826.936585
+219633.609288
+157473.146839
+83586.203549
+146776.731587
+-14620.561323
+-87913.305689
+84241.432113
+-121386.292947
+-76526.008364
+363632.523281
+12941.795259
+339708.110989
+2179338.558381
+-1127982.547989
+-549180.194350
+-762770.194254
+387822.444792
+21453.707674
+-202712.880037
+245014.479509
+-116031.984171
+-277167.559173
+24104.456161
+-296247.473623
+-343220.226299
+-31520.995505
+-307186.341915
+-250890.102830
+72711.019420
+-288923.832147
+-239464.850095
+-4970.640216
+-894777.895419
+-1374583.513365
+-4329810.411797
+4385574.706020
+1390384.235589
+682365.083599
+640081.728792
+326632.764592
+203964.528400
+197047.164472
+161296.371370
+186919.621082
+191433.798473
+282067.420202
+317563.709260
+246787.471498
+374917.467068
+311762.791973
+101072.661997
+322905.103664
+128365.862853
+-244046.655493
+671024.242639
+387601.824771
+710514.048174
+-1569282.789082
+-350158.741244
+-102960.608878
+-575737.967611
+-29908.010750
+70479.097346
+-222001.050746
+172765.614918
+136475.010385
+-157680.195467
+142117.416865
+-12027.150677
+-308675.051977
+16263.315583
+-181927.886175
+-418428.765085
+111751.144494
+-136242.424690
+-366626.912656
+1162689.117184
+430832.852385
+437080.578807
+-241093.300206
+308508.917022
+321649.748258
+147926.139591
+255857.054410
+177551.818425
+71306.536048
+43517.133157
+-14242.381503
+-24376.333584
+-69439.879221
+-16981.398148
+91946.549241
+15616.831663
+187510.450776
+356898.848139
+41509.586495
+386897.989905
+629880.081426
+-3324251.483695
+-92600.295545
+22603.350081
+654124.999919
+-123234.940247
+-101675.885070
+178391.429356
+-282921.367193
+-154227.685229
+97409.956575
+-225835.989895
+0.000000
+225835.989895
+-97409.956575
+154227.685229
+282921.367193
+-178391.429356
+101675.885070
+123234.940247
+-654124.999919
+-22603.350081
+92600.295545
+3324251.483695
+-629880.081426
+-386897.989905
+-41509.586495
+-356898.848139
+-187510.450776
+-15616.831663
+-91946.549241
+16981.398148
+69439.879221
+24376.333584
+14242.381503
+-43517.133157
+-71306.536048
+-177551.818425
+-255857.054410
+-147926.139591
+-321649.748258
+-308508.917022
+241093.300206
+-437080.578807
+-430832.852385
+-1162689.117184
+366626.912656
+136242.424690
+-111751.144494
+418428.765085
+181927.886175
+-16263.315583
+308675.051977
+12027.150677
+-142117.416865
+157680.195467
+-136475.010385
+-172765.614918
+222001.050746
+-70479.097346
+29908.010750
+575737.967611
+102960.608878
+350158.741244
+1569282.789082
+-710514.048174
+-387601.824771
+-671024.242639
+244046.655493
+-128365.862853
+-322905.103664
+-101072.661997
+-311762.791973
+-374917.467068
+-246787.471498
+-317563.709260
+-282067.420202
+-191433.798473
+-186919.621082
+-161296.371370
+-197047.164472
+-203964.528400
+-326632.764592
+-640081.728792
+-682365.083599
+-1390384.235589
+-4385574.706020
+4329810.411797
+1374583.513365
+894777.895419
+4970.640216
+239464.850095
+288923.832147
+-72711.019420
+250890.102830
+307186.341915
+31520.995505
+343220.226299
+296247.473623
+-24104.456161
+277167.559173
+116031.984171
+-245014.479509
+202712.880037
+-21453.707674
+-387822.444792
+762770.194254
+549180.194350
+1127982.547989
+-2179338.558381
+-339708.110989
+-12941.795259
+-363632.523281
+76526.008364
+121386.292947
+-84241.432113
+87913.305689
+14620.561323
+-146776.731587
+-83586.203549
+-157473.146839
+-219633.609288
+-162826.936585
+-146170.595221
+-77720.818793
+-56061.721242
+62760.364881
+223751.693026
+-229967.460258
+81771.355632
+82470.105612
+885574.443201
+214635.615826
+132111.547816
+380887.212370
+-51869.789841
+-78316.739100
+111224.765586
+-233106.889308
+-137608.824913
+94751.083190
+-197584.770075
+13353.489905
+256078.916621
+-116605.218903
+158218.838940
+334497.980637
+-349709.552558
+81568.550635
+222186.811181
+-2827508.623304
+-389982.429501
+-309542.111057
+428842.847815
+-420723.250097
+-336694.448981
+-43984.209535
+-352085.061625
+-199429.253357
+-4320.771481
+-161742.412659
+-36416.581400
+41098.787017
+-110616.265513
+-82939.580996
+-129055.547229
+-282810.951914
+-336907.201915
+-436422.629787
+-479291.930226
+-662184.031565
+-946081.986675
+-6817502.075394
+411391.282464
+74228.445116
+-216341.157797
+209955.445651
+137752.633435
+-11333.810095
+299379.551907
+135260.662335
+-39636.558248
+201980.115005
+-55583.795331
+-215133.161525
+75150.637594
+-220390.328409
+-277150.588120
+195158.047295
+-193182.855746
+-120367.538343
+781699.783289
+-347741.168387
+-415042.714920
+-1847020.987026
+837932.434749
+316653.065579
+-84543.824807
+408638.717528
+91925.388458
+-124342.345509
+114072.797538
+-113448.313401
+-191913.733672
+15528.575368
+-100330.031925
+-61764.987405
+137059.773012
+76778.297728
+137257.568998
+243427.947715
+162438.912766
+146231.516957
+38143.969307
+121507.897739
+47423.051772
+117265.314430
+-401234.071263
+-299921.824911
+-237575.529895
+-519200.326633
+-334303.535323
+-233613.979314
+-429357.562168
+-170367.062501
+-97421.808027
+-327619.741603
+-62243.907603
+-113158.174606
+-474911.966546
+-184499.131266
+-402220.672452
+-960385.953871
+-421154.189826
+-998980.303856
+-2911993.962794
+2729773.565159
+895641.862263
+761262.364274
+-329774.230309
+173030.547836
+290793.162716
+-91009.940214
+232444.104226
+238707.070175
+-39904.715161
+145101.233612
+49724.984870
+-192254.922163
+-59777.158131
+-165032.946996
+-311549.167903
+-134906.487994
+-175477.674183
+-199114.116535
+20066.955194
+11364.065049
+-7163.065107
+478401.342144
+277743.917673
+241528.861451
+412624.918660
+206033.309289
+130649.508289
+231702.892591
+-34945.039625
+-78329.339841
+52842.333488
+-204000.000000
+-128934.078201
+91052.115029
+-174941.876306
+26258.995756
+296880.192136
+-159822.486939
+152746.453935
+427714.605660
+-953287.262272
+-249308.213943
+-325364.415326
+1633676.182475
+-4562.805789
+-124994.117698
+296661.546772
+-267924.489754
+-220269.140167
+60418.680029
+-243574.555017
+-95552.396302
+131772.436860
+-89027.727403
+60520.959614
+182643.760465
+-76051.954990
+13979.047365
+18166.161622
+-301289.081300
+-217972.655751
+-252262.517680
+-681868.639552
+-389647.278325
+-377018.243926
+-391716.242283
+-282684.920986
+-223244.887770
+-237441.112672
+-20715.359073
+-157.182018
+-59096.703965
+142647.841105
+45809.377540
+-88251.079442
+106534.591578
+-95914.106112
+-233727.039850
+89047.361541
+-169525.647770
+-191064.297030
+544023.734130
+144286.163339
+580763.147976
+8965457.609552
+-1230337.434442
+-694358.870430
+-1033586.750623
+-27462.020803
+-188080.368734
+-446462.254917
+-17259.626410
+-258141.860643
+-454536.207692
+-169963.492175
+-392471.424632
+-475693.294005
+-178095.366306
+-338882.720579
+-306520.203434
+25568.881480
+-147523.765423
+-81641.982208
+251067.507212
+-147385.556765
+-191896.904188
+-656808.123924
+70046.226929
+-140299.999867
+-243756.686441
+-359217.988197
+-405704.553648
+-408531.978644
+-530280.668332
+-439898.847031
+-360445.276429
+-467343.396919
+-276385.826796
+-201624.168825
+-390717.862939
+-157782.987463
+-192194.991856
+-575917.635522
+-218437.924587
+-418277.643494
+-1255967.045450
+203926.510318
+-10458.848002
+529764.724661
+-1361406.285835
+-731023.030207
+-444649.026347
+-972291.455456
+-564072.911852
+-409166.548530
+-734271.133471
+-425081.652720
+-407627.330339
+-728523.738447
+-513105.427390
+-619460.906960
+-954931.280938
+-741830.484935
+-893334.379239
+-1194188.208269
+-833135.879139
+-1015320.983195
+-1464322.868461
+-53018.588432
+-472477.339259
+-485978.011943
+-734357.792506
+-646157.569385
+-684811.608044
+-749033.659895
+-873912.598682
+-1017433.985260
+-1099546.366829
+-1362087.246963
+-1537248.916810
+-1605173.396726
+-1968593.435721
+-2140466.542620
+-2232699.909625
+-2881559.369975
+-3270023.914290
+-3848048.734945
+-6075333.646457
+-9166029.770688
+-22234472.887318
+52130881.844302
+12078830.452554
+6750648.942217
+5406750.523156
+3638219.890737
+2862968.356268
+2726206.095854
+1954570.919236
+1738067.460277
+1824755.455726
+1362039.906747
+1378461.838807
+1534779.802418
+1150911.207233
+1244827.933883
+1356404.707564
+874570.034673
+987059.300894
+1026731.538748
+190877.231909
+469756.525031
+352024.908830
+1414163.475010
+459646.350955
+394654.168550
+494217.303893
+394693.259181
+442939.850109
+492075.258872
+549643.198363
+578064.752509
+547724.626028
+626390.403876
+544857.522233
+412060.685335
+518150.569969
+323675.121593
+155405.835010
+460846.623248
+150994.602746
+35753.906302
+1359091.935011
+250703.592282
+210390.591179
+-800418.715445
+481119.182259
+432112.672480
+94282.570558
+647272.584749
+497838.045292
+234836.016769
+578896.252287
+344407.368094
+125932.579798
+432618.349330
+192506.343131
+84640.963294
+485695.048256
+263917.276366
+284206.338420
+868747.704627
+522842.739357
+670244.005882
+2836087.056250
+196951.517021
+297000.861223
+46380.223278
+445569.551939
+303383.560653
+170930.759625
+216508.701965
+135481.477497
+109993.478650
+115848.789128
+161587.554499
+240391.482043
+236594.939149
+390113.696945
+491283.592926
+382077.591078
+621637.880939
+664622.210956
+313675.117081
+1011657.966357
+1408038.539041
+5186745.437579
+-1985024.917528
+-746941.180528
+-175916.615503
+-780501.367685
+-411048.779824
+-148007.089490
+-490573.689134
+-140120.848082
+34957.425227
+-252206.874805
+62287.624207
+108339.748498
+-240740.023409
+40065.503724
+-42223.116108
+-470485.641565
+-79591.991479
+-231296.292325
+-799629.730107
+301349.073215
+225692.875149
+746409.483255
+-711561.778648
+-140441.113840
+89637.938640
+-98873.771889
+110858.825587
+168223.190134
+78500.205535
+113210.613590
+64231.263303
diff --git a/applications/lofar1/pft2/tb/data/block_117.re b/applications/lofar1/pft2/tb/data/block_117.re
new file mode 100644
index 0000000000000000000000000000000000000000..6696d50029e6d4b0c5b7484d3513757f35c3dad9
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/block_117.re
@@ -0,0 +1,1024 @@
+204000.000000
+-98376.972803
+-29198.964993
+333675.143835
+27706.413495
+197845.564049
+597252.536020
+84207.850307
+345474.736381
+968587.636090
+-982008.772327
+-428117.827678
+-536870.164380
+292158.310823
+-193997.975991
+-305356.224553
+-110439.904998
+-281821.442981
+-262708.027583
+-137698.336976
+-152593.676824
+-84637.640154
+-26531.486941
+5650.722454
+4796.436444
+-75141.969175
+-41170.696088
+-186942.838034
+-441111.553349
+-276329.929236
+-731850.552493
+-1785422.906595
+3775071.508211
+750078.434120
+427505.123766
+-360665.202535
+149995.317321
+195230.515287
+-119825.368521
+294233.490878
+273723.238543
+7705.985663
+334132.354972
+192637.345442
+-93077.368544
+221063.645478
+2727.456396
+-245130.328566
+225406.815532
+-26328.983090
+-183716.487669
+820914.048389
+395080.693486
+586054.017275
+-2763261.755336
+21669.921829
+174446.450266
+-141112.907013
+271179.939227
+209108.364195
+19737.734556
+145789.270636
+39335.777738
+-69722.782631
+-15528.575368
+-53100.814492
+-41348.796529
+11630.070916
+82079.854380
+181864.257968
+146183.783537
+299917.057018
+388343.152998
+-150866.816609
+345375.813545
+371903.189876
+1194518.166380
+114881.823699
+127885.439237
+371801.562639
+-129989.418648
+-39625.817050
+163134.866890
+-174590.654885
+32231.158925
+245526.415826
+-44906.256738
+225666.241318
+377129.991887
+-12114.696160
+278541.984186
+302084.397383
+-338286.194495
+105773.491599
+-30555.294170
+-2052138.915024
+413608.473182
+331893.110322
+850646.091540
+-57085.791105
+189307.162022
+461376.103286
+220650.414816
+450315.223121
+618093.172260
+514974.906540
+666633.197268
+730755.340373
+666199.336205
+753667.159237
+800384.197708
+872393.348405
+1035017.723980
+1312894.854888
+1918852.922502
+2705201.139642
+5109813.926593
+23187234.105183
+-10235474.333565
+-4285280.683306
+-2895479.579250
+-1605084.793156
+-1382157.255680
+-1261142.785637
+-757625.297655
+-889161.029296
+-930993.745212
+-601735.655180
+-840749.350086
+-857196.311429
+-502845.231711
+-769729.429541
+-676760.961513
+-214652.965312
+-611104.789007
+-422443.457575
+217854.763900
+-963677.583296
+-916537.907856
+-1917914.597025
+1171269.271333
+93922.176067
+-284891.117284
+142469.952214
+-255307.929157
+-390218.053199
+-164332.218648
+-369343.887139
+-357133.942062
+-158512.988989
+-238933.695132
+-158595.348888
+-17234.802067
+-69943.418057
+-33906.635702
+-26609.124242
+-85646.232013
+-155400.180484
+-333435.856771
+-39343.403520
+-203545.184438
+-160196.620174
+-852073.229780
+-514647.755383
+-421281.383968
+-688111.999129
+-368322.116912
+-279467.635446
+-465814.451698
+-147396.792434
+-145427.472380
+-381522.201268
+-97403.980381
+-234646.097148
+-552776.390869
+-219780.150011
+-478433.186920
+-846509.301579
+-205280.066032
+-689570.228907
+-1447126.955846
+2799400.203485
+571601.809296
+403914.557802
+-546977.898642
+149736.508155
+180116.066094
+-158198.765276
+169432.938546
+86317.516416
+-161770.284844
+4852.339752
+-125932.579798
+-294813.634914
+-156256.767252
+-235770.628818
+-279253.115725
+-110875.119064
+-112167.105168
+-63052.867230
+35520.148241
+46735.402846
+-8653.836761
+1874305.236565
+352656.991008
+252287.367352
+416712.209491
+57265.968155
+4843.890517
+111068.283912
+-202668.721118
+-162569.824434
+-8172.311639
+-264562.336719
+-94717.669692
+109555.068423
+-169338.967686
+89179.255766
+258410.973744
+-222521.068573
+127314.976784
+196664.114704
+-976331.550973
+-25573.046472
+25814.607408
+1787310.404391
+-398573.722535
+-274319.269893
+128469.681498
+-422742.416648
+-235432.093506
+23457.806095
+-246614.359744
+-40801.233296
+119052.533304
+-96111.109249
+44321.067607
+74490.661989
+-166776.614572
+-96516.298548
+-150079.480846
+-370786.049856
+-289006.070096
+-323515.066840
+-415135.036198
+-289757.406065
+-261607.459673
+-326790.619880
+-16848.520853
+7803.006307
+-23672.745224
+243243.711358
+178941.240620
+93893.725643
+297850.633343
+117121.962383
+0.000000
+214961.466856
+-23816.211718
+-68380.550499
+294600.242297
+32012.289483
+161572.717757
+860146.191796
+431369.351804
+1066958.693472
+5091752.032099
+-2299741.275185
+-1023408.409906
+-1055872.093095
+62966.988995
+-246953.053876
+-434859.964693
+-18469.383879
+-311463.144510
+-414420.594754
+-125249.461185
+-331975.759924
+-311137.955961
+-24934.898449
+-164144.341524
+-71203.026045
+178412.432971
+3655.157481
+71901.918832
+223783.983543
+-106519.096521
+-124032.971308
+-297557.106997
+-6644.488229
+-184046.647353
+-233731.429483
+-395268.506338
+-334574.542325
+-285941.360344
+-395559.977726
+-207615.461941
+-123356.526740
+-235205.475499
+2840.059721
+16178.046710
+-193680.013136
+60056.711496
+-62399.633462
+-406327.444792
+6311.243482
+-259801.528713
+-796950.702071
+689371.462471
+250368.278889
+540790.174363
+-1458298.844541
+-353248.112588
+-106565.997619
+-570122.632722
+-50331.352942
+25742.254284
+-269110.473184
+57112.508408
+-6553.125893
+-282067.420202
+-55042.875104
+-188881.590448
+-411639.558248
+-157972.452936
+-271959.712500
+-389164.501694
+-10009.866679
+-114303.198835
+-199551.136825
+694672.261828
+312733.363081
+311890.046165
+234407.048891
+285552.517438
+255175.714708
+267377.728285
+133818.240857
+79532.687328
+121345.593385
+-52740.011049
+-21440.174463
+118079.198214
+-39862.085003
+134199.853530
+373281.397047
+154462.041039
+477331.050379
+783899.671603
+278713.348355
+1084229.756698
+2318609.187964
+-20821576.166259
+-1919707.275431
+-1065094.926759
+-121906.909421
+-782526.995250
+-645276.710701
+-266461.040826
+-650748.387174
+-458673.228788
+-169376.888090
+-433179.515113
+-208221.065282
+-7203.224231
+-289574.689721
+-101789.539475
+-29771.697597
+-413418.724257
+-238054.786562
+-254462.685058
+-808086.398419
+-410869.337961
+-387472.387969
+405423.694184
+-513130.347603
+-408747.815633
+-287577.496738
+-259060.617924
+-170666.106900
+-125788.806912
+-14294.223365
+-22396.313242
+-75686.142115
+24749.350086
+-109036.153353
+-223660.025805
+-71739.328557
+-286996.494925
+-355928.680094
+11322.008810
+-312564.857193
+-238771.805057
+874054.713683
+-454469.511235
+-410389.024396
+-1211310.958162
+421012.137493
+141432.694939
+-170867.255484
+387594.627963
+104447.643961
+-118157.120534
+216530.851033
+-71101.029529
+-196565.891636
+122270.553247
+-111307.762088
+-100150.720021
+284662.669664
+71056.959690
+182882.590829
+635635.874142
+278460.290219
+481803.311688
+1553225.193139
+-481468.924898
+-189676.608939
+-286802.201785
+45094.194429
+-107281.799648
+-170041.210114
+-136928.608273
+-144902.413584
+-96734.484370
+-74156.368738
+56226.478092
+166466.867126
+158918.505538
+370146.674983
+446559.631221
+335192.860248
+653314.558093
+684298.527745
+503685.409975
+1515294.679981
+2281146.819744
+7044435.881200
+-7474655.144295
+-2644591.599106
+-1504388.785229
+-1850361.533076
+-1141184.288125
+-824616.533236
+-1077154.340056
+-606441.285111
+-481944.564559
+-736896.497862
+-392471.424632
+-428657.552774
+-730857.953108
+-429670.479259
+-561150.501567
+-859274.154746
+-431723.323506
+-588998.664582
+-865390.023107
+129122.529639
+-63929.591780
+208906.603671
+-1115767.559454
+-321769.570557
+-162936.313411
+-303046.083060
+-136192.942068
+-146888.763739
+-225592.164628
+-246799.666305
+-309707.169723
+-335932.211430
+-417660.215741
+-414491.898158
+-323551.451367
+-420149.303182
+-289969.222237
+-80156.009766
+-328550.986534
+-53161.840398
+277603.524745
+-1177581.961621
+-362347.206209
+-347046.169375
+762554.232998
+-153163.272335
+-245488.761159
+112898.371171
+-402721.018674
+-386898.780836
+-114073.889663
+-471945.873851
+-327652.689443
+-50717.120903
+-351012.200143
+-133381.505730
+95816.544331
+-293789.423972
+-58506.347992
+75621.212226
+-578793.577690
+-195325.738894
+-21719.627362
+-4005734.812824
+-805138.010766
+-672587.794123
+-220849.398189
+-634934.714775
+-541033.917110
+-354994.042547
+-432613.963924
+-323159.874872
+-229551.811257
+-229987.138276
+-204000.000000
+-229987.138276
+-229551.811257
+-323159.874872
+-432613.963924
+-354994.042547
+-541033.917110
+-634934.714775
+-220849.398189
+-672587.794123
+-805138.010766
+-4005734.812824
+-21719.627362
+-195325.738894
+-578793.577690
+75621.212226
+-58506.347992
+-293789.423972
+95816.544331
+-133381.505730
+-351012.200143
+-50717.120903
+-327652.689443
+-471945.873851
+-114073.889663
+-386898.780836
+-402721.018674
+112898.371171
+-245488.761159
+-153163.272335
+762554.232998
+-347046.169375
+-362347.206209
+-1177581.961621
+277603.524745
+-53161.840398
+-328550.986534
+-80156.009766
+-289969.222237
+-420149.303182
+-323551.451367
+-414491.898158
+-417660.215741
+-335932.211430
+-309707.169723
+-246799.666305
+-225592.164628
+-146888.763739
+-136192.942068
+-303046.083060
+-162936.313411
+-321769.570557
+-1115767.559454
+208906.603671
+-63929.591780
+129122.529639
+-865390.023107
+-588998.664582
+-431723.323506
+-859274.154746
+-561150.501567
+-429670.479259
+-730857.953108
+-428657.552774
+-392471.424632
+-736896.497862
+-481944.564559
+-606441.285111
+-1077154.340056
+-824616.533236
+-1141184.288125
+-1850361.533076
+-1504388.785229
+-2644591.599106
+-7474655.144295
+7044435.881200
+2281146.819744
+1515294.679981
+503685.409975
+684298.527745
+653314.558093
+335192.860248
+446559.631221
+370146.674983
+158918.505538
+166466.867126
+56226.478092
+-74156.368738
+-96734.484370
+-144902.413584
+-136928.608273
+-170041.210114
+-107281.799648
+45094.194429
+-286802.201785
+-189676.608939
+-481468.924898
+1553225.193139
+481803.311688
+278460.290219
+635635.874142
+182882.590829
+71056.959690
+284662.669664
+-100150.720021
+-111307.762088
+122270.553247
+-196565.891636
+-71101.029529
+216530.851033
+-118157.120534
+104447.643961
+387594.627963
+-170867.255484
+141432.694939
+421012.137493
+-1211310.958162
+-410389.024396
+-454469.511235
+874054.713683
+-238771.805057
+-312564.857193
+11322.008810
+-355928.680094
+-286996.494925
+-71739.328557
+-223660.025805
+-109036.153353
+24749.350086
+-75686.142115
+-22396.313242
+-14294.223365
+-125788.806912
+-170666.106900
+-259060.617924
+-287577.496738
+-408747.815633
+-513130.347603
+405423.694184
+-387472.387969
+-410869.337961
+-808086.398419
+-254462.685058
+-238054.786562
+-413418.724257
+-29771.697597
+-101789.539475
+-289574.689721
+-7203.224231
+-208221.065282
+-433179.515113
+-169376.888090
+-458673.228788
+-650748.387174
+-266461.040826
+-645276.710701
+-782526.995250
+-121906.909421
+-1065094.926759
+-1919707.275431
+-20821576.166259
+2318609.187964
+1084229.756698
+278713.348355
+783899.671603
+477331.050379
+154462.041039
+373281.397047
+134199.853530
+-39862.085003
+118079.198214
+-21440.174463
+-52740.011049
+121345.593385
+79532.687328
+133818.240857
+267377.728285
+255175.714708
+285552.517438
+234407.048891
+311890.046165
+312733.363081
+694672.261828
+-199551.136825
+-114303.198835
+-10009.866679
+-389164.501694
+-271959.712500
+-157972.452936
+-411639.558248
+-188881.590448
+-55042.875104
+-282067.420202
+-6553.125893
+57112.508408
+-269110.473184
+25742.254284
+-50331.352942
+-570122.632722
+-106565.997619
+-353248.112588
+-1458298.844541
+540790.174363
+250368.278889
+689371.462471
+-796950.702071
+-259801.528713
+6311.243482
+-406327.444792
+-62399.633462
+60056.711496
+-193680.013136
+16178.046710
+2840.059721
+-235205.475499
+-123356.526740
+-207615.461941
+-395559.977726
+-285941.360344
+-334574.542325
+-395268.506338
+-233731.429483
+-184046.647353
+-6644.488229
+-297557.106997
+-124032.971308
+-106519.096521
+223783.983543
+71901.918832
+3655.157481
+178412.432971
+-71203.026045
+-164144.341524
+-24934.898449
+-311137.955961
+-331975.759924
+-125249.461185
+-414420.594754
+-311463.144510
+-18469.383879
+-434859.964693
+-246953.053876
+62966.988995
+-1055872.093095
+-1023408.409906
+-2299741.275185
+5091752.032099
+1066958.693472
+431369.351804
+860146.191796
+161572.717757
+32012.289483
+294600.242297
+-68380.550499
+-23816.211718
+214961.466856
+0.000000
+117121.962383
+297850.633343
+93893.725643
+178941.240620
+243243.711358
+-23672.745224
+7803.006307
+-16848.520853
+-326790.619880
+-261607.459673
+-289757.406065
+-415135.036198
+-323515.066840
+-289006.070096
+-370786.049856
+-150079.480846
+-96516.298548
+-166776.614572
+74490.661989
+44321.067607
+-96111.109249
+119052.533304
+-40801.233296
+-246614.359744
+23457.806095
+-235432.093506
+-422742.416648
+128469.681498
+-274319.269893
+-398573.722535
+1787310.404391
+25814.607408
+-25573.046472
+-976331.550973
+196664.114704
+127314.976784
+-222521.068573
+258410.973744
+89179.255766
+-169338.967686
+109555.068423
+-94717.669692
+-264562.336719
+-8172.311639
+-162569.824434
+-202668.721118
+111068.283912
+4843.890517
+57265.968155
+416712.209491
+252287.367352
+352656.991008
+1874305.236565
+-8653.836761
+46735.402846
+35520.148241
+-63052.867230
+-112167.105168
+-110875.119064
+-279253.115725
+-235770.628818
+-156256.767252
+-294813.634914
+-125932.579798
+4852.339752
+-161770.284844
+86317.516416
+169432.938546
+-158198.765276
+180116.066094
+149736.508155
+-546977.898642
+403914.557802
+571601.809296
+2799400.203485
+-1447126.955846
+-689570.228907
+-205280.066032
+-846509.301579
+-478433.186920
+-219780.150011
+-552776.390869
+-234646.097148
+-97403.980381
+-381522.201268
+-145427.472380
+-147396.792434
+-465814.451698
+-279467.635446
+-368322.116912
+-688111.999129
+-421281.383968
+-514647.755383
+-852073.229780
+-160196.620174
+-203545.184438
+-39343.403520
+-333435.856771
+-155400.180484
+-85646.232013
+-26609.124242
+-33906.635702
+-69943.418057
+-17234.802067
+-158595.348888
+-238933.695132
+-158512.988989
+-357133.942062
+-369343.887139
+-164332.218648
+-390218.053199
+-255307.929157
+142469.952214
+-284891.117284
+93922.176067
+1171269.271333
+-1917914.597025
+-916537.907856
+-963677.583296
+217854.763900
+-422443.457575
+-611104.789007
+-214652.965312
+-676760.961513
+-769729.429541
+-502845.231711
+-857196.311429
+-840749.350086
+-601735.655180
+-930993.745212
+-889161.029296
+-757625.297655
+-1261142.785637
+-1382157.255680
+-1605084.793156
+-2895479.579250
+-4285280.683306
+-10235474.333565
+23187234.105183
+5109813.926593
+2705201.139642
+1918852.922502
+1312894.854888
+1035017.723980
+872393.348405
+800384.197708
+753667.159237
+666199.336205
+730755.340373
+666633.197268
+514974.906540
+618093.172260
+450315.223121
+220650.414816
+461376.103286
+189307.162022
+-57085.791105
+850646.091540
+331893.110322
+413608.473182
+-2052138.915024
+-30555.294170
+105773.491599
+-338286.194495
+302084.397383
+278541.984186
+-12114.696160
+377129.991887
+225666.241318
+-44906.256738
+245526.415826
+32231.158925
+-174590.654885
+163134.866890
+-39625.817050
+-129989.418648
+371801.562639
+127885.439237
+114881.823699
+1194518.166380
+371903.189876
+345375.813545
+-150866.816609
+388343.152998
+299917.057018
+146183.783537
+181864.257968
+82079.854380
+11630.070916
+-41348.796529
+-53100.814492
+-15528.575368
+-69722.782631
+39335.777738
+145789.270636
+19737.734556
+209108.364195
+271179.939227
+-141112.907013
+174446.450266
+21669.921829
+-2763261.755336
+586054.017275
+395080.693486
+820914.048389
+-183716.487669
+-26328.983090
+225406.815532
+-245130.328566
+2727.456396
+221063.645478
+-93077.368544
+192637.345442
+334132.354972
+7705.985663
+273723.238543
+294233.490878
+-119825.368521
+195230.515287
+149995.317321
+-360665.202535
+427505.123766
+750078.434120
+3775071.508211
+-1785422.906595
+-731850.552493
+-276329.929236
+-441111.553349
+-186942.838034
+-41170.696088
+-75141.969175
+4796.436444
+5650.722454
+-26531.486941
+-84637.640154
+-152593.676824
+-137698.336976
+-262708.027583
+-281821.442981
+-110439.904998
+-305356.224553
+-193997.975991
+292158.310823
+-536870.164380
+-428117.827678
+-982008.772327
+968587.636090
+345474.736381
+84207.850307
+597252.536020
+197845.564049
+27706.413495
+333675.143835
+-29198.964993
+-98376.972803
diff --git a/applications/lofar1/pft2/tb/data/cosin_1.im b/applications/lofar1/pft2/tb/data/cosin_1.im
new file mode 100644
index 0000000000000000000000000000000000000000..97d9ba7fb59d9c95789d4e4c8952be02129d66ea
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/cosin_1.im
@@ -0,0 +1,1024 @@
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/data/cosin_1.re b/applications/lofar1/pft2/tb/data/cosin_1.re
new file mode 100644
index 0000000000000000000000000000000000000000..bff3960ab99bf2af87c13236d1107b3c79e8df9b
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/cosin_1.re
@@ -0,0 +1,1024 @@
+0.000000
+67072002.936860
+0.000000
+-22.099055
+0.000000
+0.212586
+0.000000
+23.528122
+0.000000
+-6.295358
+0.000000
+8.266215
+0.000000
+0.091964
+0.000000
+-13.835926
+0.000000
+-8.499227
+0.000000
+-1.587890
+0.000000
+-2.270459
+0.000000
+-3.763615
+0.000000
+2.300530
+0.000000
+14.702789
+0.000000
+-11.180432
+0.000000
+4.259274
+0.000000
+6.358329
+0.000000
+2.098656
+0.000000
+0.101694
+0.000000
+-11.683707
+0.000000
+32.405202
+0.000000
+-5.995771
+0.000000
+6.872080
+0.000000
+-1.372631
+0.000000
+-14.917847
+0.000000
+8.553844
+0.000000
+22.238255
+0.000000
+17.219319
+0.000000
+16.513754
+0.000000
+14.998168
+0.000000
+10.758218
+0.000000
+-8.045941
+0.000000
+24.486976
+0.000000
+9.613151
+0.000000
+5.688583
+0.000000
+8.385816
+0.000000
+-3.416765
+0.000000
+-4.155836
+0.000000
+2.354702
+0.000000
+3.895756
+0.000000
+-0.025954
+0.000000
+-19.479308
+0.000000
+-10.795067
+0.000000
+3.420875
+0.000000
+-16.705095
+0.000000
+28.370178
+0.000000
+8.272897
+0.000000
+25.967668
+0.000000
+0.747202
+0.000000
+10.302088
+0.000000
+11.702364
+0.000000
+-2.705850
+0.000000
+-36.112379
+0.000000
+-11.168564
+0.000000
+-12.609514
+0.000000
+-14.926297
+0.000000
+29.995494
+0.000000
+7.524290
+0.000000
+-0.561018
+0.000000
+-1.216307
+0.000000
+5.603243
+0.000000
+-12.535694
+0.000000
+-12.777977
+0.000000
+15.313020
+0.000000
+12.533462
+0.000000
+-31.931775
+0.000000
+14.171678
+0.000000
+-9.404224
+0.000000
+-0.478472
+0.000000
+2.386642
+0.000000
+0.220147
+0.000000
+5.776409
+0.000000
+1.012246
+0.000000
+-8.124947
+0.000000
+-8.934418
+0.000000
+-17.529273
+0.000000
+20.189581
+0.000000
+3.830725
+0.000000
+-16.268526
+0.000000
+-16.861382
+0.000000
+14.980338
+0.000000
+2.138151
+0.000000
+-24.015176
+0.000000
+-12.371268
+0.000000
+35.042396
+0.000000
+3.074771
+0.000000
+-1.726070
+0.000000
+-3.842605
+0.000000
+-3.679795
+0.000000
+15.747858
+0.000000
+-5.248983
+0.000000
+-3.367812
+0.000000
+12.679332
+0.000000
+-17.614058
+0.000000
+7.551545
+0.000000
+7.175915
+0.000000
+-0.752705
+0.000000
+-34.467136
+0.000000
+-9.743164
+0.000000
+-10.408623
+0.000000
+2.666785
+0.000000
+4.193842
+0.000000
+11.712613
+0.000000
+14.493512
+0.000000
+-18.192235
+0.000000
+31.551387
+0.000000
+29.741654
+0.000000
+15.128761
+0.000000
+9.329436
+0.000000
+-27.410766
+0.000000
+-16.396660
+0.000000
+-8.422886
+0.000000
+14.105541
+0.000000
+-9.661955
+0.000000
+-10.578082
+0.000000
+-23.149308
+0.000000
+22.759070
+0.000000
+-10.654984
+0.000000
+-27.378512
+0.000000
+5.488226
+0.000000
+1.831857
+0.000000
+30.529255
+0.000000
+-7.251411
+0.000000
+-4.064737
+0.000000
+-4.605121
+0.000000
+-3.394078
+0.000000
+7.179187
+0.000000
+-14.812683
+0.000000
+7.115838
+0.000000
+14.108741
+0.000000
+11.689861
+0.000000
+13.537655
+0.000000
+3.772116
+0.000000
+7.877508
+0.000000
+2.700824
+0.000000
+-5.272106
+0.000000
+4.450223
+0.000000
+-3.535553
+0.000000
+43.599088
+0.000000
+5.314605
+0.000000
+11.356012
+0.000000
+13.634679
+0.000000
+-3.684240
+0.000000
+15.837909
+0.000000
+10.659401
+0.000000
+4.444965
+0.000000
+8.924167
+0.000000
+-0.925673
+0.000000
+-15.352035
+0.000000
+6.713462
+0.000000
+-15.743267
+0.000000
+-7.886453
+0.000000
+-2.805977
+0.000000
+5.920690
+0.000000
+24.547280
+0.000000
+-9.457710
+0.000000
+-27.620727
+0.000000
+-3.955539
+0.000000
+13.563618
+0.000000
+4.934604
+0.000000
+-12.908707
+0.000000
+-1.814486
+0.000000
+-7.427993
+0.000000
+15.584406
+0.000000
+-0.337752
+0.000000
+-9.999393
+0.000000
+-20.614946
+0.000000
+-29.302256
+0.000000
+4.023389
+0.000000
+9.315353
+0.000000
+3.348369
+0.000000
+27.067877
+0.000000
+11.305833
+0.000000
+-9.155543
+0.000000
+-4.775541
+0.000000
+-3.482195
+0.000000
+11.516314
+0.000000
+11.905728
+0.000000
+19.138379
+0.000000
+-20.633196
+0.000000
+1.701520
+0.000000
+-3.465559
+0.000000
+6.281184
+0.000000
+14.484849
+0.000000
+-3.967915
+0.000000
+-20.170786
+0.000000
+3.042477
+0.000000
+10.721890
+0.000000
+-23.583245
+0.000000
+-13.563712
+0.000000
+13.589460
+0.000000
+-14.335230
+0.000000
+0.134412
+0.000000
+-4.372280
+0.000000
+-7.311047
+0.000000
+-8.784500
+0.000000
+-10.379036
+0.000000
+-6.604451
+0.000000
+0.144913
+0.000000
+8.248513
+0.000000
+-20.691641
+0.000000
+-21.487196
+0.000000
+1.673233
+0.000000
+0.229383
+0.000000
+-0.039508
+0.000000
+36.495150
+0.000000
+-19.087444
+0.000000
+-12.038925
+0.000000
+-19.884444
+0.000000
+13.593137
+0.000000
+-16.128602
+0.000000
+5.430124
+0.000000
+-3.733465
+0.000000
+-6.514450
+0.000000
+-1.309108
+0.000000
+-34.574054
+0.000000
+5.089687
+0.000000
+3.087154
+0.000000
+4.431996
+0.000000
+-11.830501
+0.000000
+-12.754587
+0.000000
+8.620244
+0.000000
+-23.430605
+0.000000
+15.594396
+0.000000
+2.032755
+0.000000
+7.906965
+0.000000
+-4.626442
+0.000000
+14.933553
+0.000000
+-12.405753
+0.000000
+-4.719061
+0.000000
+8.830767
+0.000000
+7.476651
+0.000000
+-16.622330
+0.000000
+-4.966734
+0.000000
+-4.166903
+0.000000
+0.830210
+0.000000
+-8.092950
+0.000000
+-4.242637
+0.000000
+-18.778348
+0.000000
+4.252439
+0.000000
+8.652756
+0.000000
+5.780228
+0.000000
+13.494756
+0.000000
+-3.431774
+0.000000
+-2.641077
+0.000000
+25.806750
+0.000000
+-3.603761
+0.000000
+3.370909
+0.000000
+-3.755798
+0.000000
+-8.611079
+0.000000
+2.746078
+0.000000
+-11.829935
+0.000000
+9.925066
+0.000000
+5.311252
+0.000000
+-27.359663
+0.000000
+-7.065096
+0.000000
+-7.065096
+0.000000
+-27.359663
+0.000000
+5.311252
+0.000000
+9.925066
+0.000000
+-11.829935
+0.000000
+2.746078
+0.000000
+-8.611079
+0.000000
+-3.755798
+0.000000
+3.370909
+0.000000
+-3.603761
+0.000000
+25.806750
+0.000000
+-2.641077
+0.000000
+-3.431774
+0.000000
+13.494756
+0.000000
+5.780228
+0.000000
+8.652756
+0.000000
+4.252439
+0.000000
+-18.778348
+0.000000
+-4.242637
+0.000000
+-8.092950
+0.000000
+0.830210
+0.000000
+-4.166903
+0.000000
+-4.966734
+0.000000
+-16.622330
+0.000000
+7.476651
+0.000000
+8.830767
+0.000000
+-4.719061
+0.000000
+-12.405753
+0.000000
+14.933553
+0.000000
+-4.626442
+0.000000
+7.906965
+0.000000
+2.032755
+0.000000
+15.594396
+0.000000
+-23.430605
+0.000000
+8.620244
+0.000000
+-12.754587
+0.000000
+-11.830501
+0.000000
+4.431996
+0.000000
+3.087154
+0.000000
+5.089687
+0.000000
+-34.574054
+0.000000
+-1.309108
+0.000000
+-6.514450
+0.000000
+-3.733465
+0.000000
+5.430124
+0.000000
+-16.128602
+0.000000
+13.593137
+0.000000
+-19.884444
+0.000000
+-12.038925
+0.000000
+-19.087444
+0.000000
+36.495150
+0.000000
+-0.039508
+0.000000
+0.229383
+0.000000
+1.673233
+0.000000
+-21.487196
+0.000000
+-20.691641
+0.000000
+8.248513
+0.000000
+0.144913
+0.000000
+-6.604451
+0.000000
+-10.379036
+0.000000
+-8.784500
+0.000000
+-7.311047
+0.000000
+-4.372280
+0.000000
+0.134412
+0.000000
+-14.335230
+0.000000
+13.589460
+0.000000
+-13.563712
+0.000000
+-23.583245
+0.000000
+10.721890
+0.000000
+3.042477
+0.000000
+-20.170786
+0.000000
+-3.967915
+0.000000
+14.484849
+0.000000
+6.281184
+0.000000
+-3.465559
+0.000000
+1.701520
+0.000000
+-20.633196
+0.000000
+19.138379
+0.000000
+11.905728
+0.000000
+11.516314
+0.000000
+-3.482195
+0.000000
+-4.775541
+0.000000
+-9.155543
+0.000000
+11.305833
+0.000000
+27.067877
+0.000000
+3.348369
+0.000000
+9.315353
+0.000000
+4.023389
+0.000000
+-29.302256
+0.000000
+-20.614946
+0.000000
+-9.999393
+0.000000
+-0.337752
+0.000000
+15.584406
+0.000000
+-7.427993
+0.000000
+-1.814486
+0.000000
+-12.908707
+0.000000
+4.934604
+0.000000
+13.563618
+0.000000
+-3.955539
+0.000000
+-27.620727
+0.000000
+-9.457710
+0.000000
+24.547280
+0.000000
+5.920690
+0.000000
+-2.805977
+0.000000
+-7.886453
+0.000000
+-15.743267
+0.000000
+6.713462
+0.000000
+-15.352035
+0.000000
+-0.925673
+0.000000
+8.924167
+0.000000
+4.444965
+0.000000
+10.659401
+0.000000
+15.837909
+0.000000
+-3.684240
+0.000000
+13.634679
+0.000000
+11.356012
+0.000000
+5.314605
+0.000000
+43.599088
+0.000000
+-3.535553
+0.000000
+4.450223
+0.000000
+-5.272106
+0.000000
+2.700824
+0.000000
+7.877508
+0.000000
+3.772116
+0.000000
+13.537655
+0.000000
+11.689861
+0.000000
+14.108741
+0.000000
+7.115838
+0.000000
+-14.812683
+0.000000
+7.179187
+0.000000
+-3.394078
+0.000000
+-4.605121
+0.000000
+-4.064737
+0.000000
+-7.251411
+0.000000
+30.529255
+0.000000
+1.831857
+0.000000
+5.488226
+0.000000
+-27.378512
+0.000000
+-10.654984
+0.000000
+22.759070
+0.000000
+-23.149308
+0.000000
+-10.578082
+0.000000
+-9.661955
+0.000000
+14.105541
+0.000000
+-8.422886
+0.000000
+-16.396660
+0.000000
+-27.410766
+0.000000
+9.329436
+0.000000
+15.128761
+0.000000
+29.741654
+0.000000
+31.551387
+0.000000
+-18.192235
+0.000000
+14.493512
+0.000000
+11.712613
+0.000000
+4.193842
+0.000000
+2.666785
+0.000000
+-10.408623
+0.000000
+-9.743164
+0.000000
+-34.467136
+0.000000
+-0.752705
+0.000000
+7.175915
+0.000000
+7.551545
+0.000000
+-17.614058
+0.000000
+12.679332
+0.000000
+-3.367812
+0.000000
+-5.248983
+0.000000
+15.747858
+0.000000
+-3.679795
+0.000000
+-3.842605
+0.000000
+-1.726070
+0.000000
+3.074771
+0.000000
+35.042396
+0.000000
+-12.371268
+0.000000
+-24.015176
+0.000000
+2.138151
+0.000000
+14.980338
+0.000000
+-16.861382
+0.000000
+-16.268526
+0.000000
+3.830725
+0.000000
+20.189581
+0.000000
+-17.529273
+0.000000
+-8.934418
+0.000000
+-8.124947
+0.000000
+1.012246
+0.000000
+5.776409
+0.000000
+0.220147
+0.000000
+2.386642
+0.000000
+-0.478472
+0.000000
+-9.404224
+0.000000
+14.171678
+0.000000
+-31.931775
+0.000000
+12.533462
+0.000000
+15.313020
+0.000000
+-12.777977
+0.000000
+-12.535694
+0.000000
+5.603243
+0.000000
+-1.216307
+0.000000
+-0.561018
+0.000000
+7.524290
+0.000000
+29.995494
+0.000000
+-14.926297
+0.000000
+-12.609514
+0.000000
+-11.168564
+0.000000
+-36.112379
+0.000000
+-2.705850
+0.000000
+11.702364
+0.000000
+10.302088
+0.000000
+0.747202
+0.000000
+25.967668
+0.000000
+8.272897
+0.000000
+28.370178
+0.000000
+-16.705095
+0.000000
+3.420875
+0.000000
+-10.795067
+0.000000
+-19.479308
+0.000000
+-0.025954
+0.000000
+3.895756
+0.000000
+2.354702
+0.000000
+-4.155836
+0.000000
+-3.416765
+0.000000
+8.385816
+0.000000
+5.688583
+0.000000
+9.613151
+0.000000
+24.486976
+0.000000
+-8.045941
+0.000000
+10.758218
+0.000000
+14.998168
+0.000000
+16.513754
+0.000000
+17.219319
+0.000000
+22.238255
+0.000000
+8.553844
+0.000000
+-14.917847
+0.000000
+-1.372631
+0.000000
+6.872080
+0.000000
+-5.995771
+0.000000
+32.405202
+0.000000
+-11.683707
+0.000000
+0.101694
+0.000000
+2.098656
+0.000000
+6.358329
+0.000000
+4.259274
+0.000000
+-11.180432
+0.000000
+14.702789
+0.000000
+2.300530
+0.000000
+-3.763615
+0.000000
+-2.270459
+0.000000
+-1.587890
+0.000000
+-8.499227
+0.000000
+-13.835926
+0.000000
+0.091964
+0.000000
+8.266215
+0.000000
+-6.295358
+0.000000
+23.528122
+0.000000
+0.212586
+0.000000
+-22.099055
+0.000000
+67072002.936860
diff --git a/applications/lofar1/pft2/tb/data/cosin_39.im b/applications/lofar1/pft2/tb/data/cosin_39.im
new file mode 100644
index 0000000000000000000000000000000000000000..cbe5735405a81007a6c3b0d44b5cee5bd82d597c
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/cosin_39.im
@@ -0,0 +1,1024 @@
+0.000000
+-0.078192
+0.000000
+6.905507
+0.000000
+-6.910492
+0.000000
+-12.734473
+0.000000
+8.217588
+0.000000
+3.449984
+0.000000
+-8.943062
+0.000000
+19.239678
+0.000000
+1.032916
+0.000000
+0.916512
+0.000000
+-2.265088
+0.000000
+-9.679428
+0.000000
+12.988308
+0.000000
+1.325702
+0.000000
+17.099473
+0.000000
+5.088121
+0.000000
+5.148957
+0.000000
+10.825823
+0.000000
+7.004596
+0.000000
+44970556.581749
+0.000000
+16.226684
+0.000000
+1.283199
+0.000000
+2.358982
+0.000000
+-3.565171
+0.000000
+4.498148
+0.000000
+7.268981
+0.000000
+-4.022714
+0.000000
+0.240225
+0.000000
+5.537802
+0.000000
+-0.660439
+0.000000
+-3.664546
+0.000000
+6.606932
+0.000000
+-0.675385
+0.000000
+2.152546
+0.000000
+-5.348929
+0.000000
+-2.934357
+0.000000
+-18.364547
+0.000000
+9.727785
+0.000000
+-3.426765
+0.000000
+4.589744
+0.000000
+-5.470380
+0.000000
+-1.684086
+0.000000
+1.223786
+0.000000
+11.328086
+0.000000
+3.219091
+0.000000
+12.151677
+0.000000
+-2.385083
+0.000000
+-0.211590
+0.000000
+-1.909406
+0.000000
+-4.651460
+0.000000
+-6.645631
+0.000000
+0.951020
+0.000000
+4.063274
+0.000000
+10.383875
+0.000000
+-6.442133
+0.000000
+1.992654
+0.000000
+-5.325355
+0.000000
+9.014748
+0.000000
+2.181417
+0.000000
+-0.548376
+0.000000
+6.610329
+0.000000
+-1.019586
+0.000000
+-11.846168
+0.000000
+5.186858
+0.000000
+4.432432
+0.000000
+5.053207
+0.000000
+-20.619686
+0.000000
+-5.016146
+0.000000
+7.637293
+0.000000
+-16.549795
+0.000000
+-9.156302
+0.000000
+-7.646483
+0.000000
+1.680290
+0.000000
+-6.650455
+0.000000
+7.372849
+0.000000
+-6.997544
+0.000000
+6.060279
+0.000000
+6.206871
+0.000000
+1.326258
+0.000000
+-2.634468
+0.000000
+4.665855
+0.000000
+-2.027860
+0.000000
+14.098207
+0.000000
+16.935462
+0.000000
+-3.384907
+0.000000
+-3.936294
+0.000000
+-9.464871
+0.000000
+7.417063
+0.000000
+9.130842
+0.000000
+-15.825757
+0.000000
+-5.945748
+0.000000
+1.008639
+0.000000
+8.385517
+0.000000
+-16.093951
+0.000000
+-0.293492
+0.000000
+6.410161
+0.000000
+-3.826981
+0.000000
+-1.574701
+0.000000
+5.181388
+0.000000
+-0.081370
+0.000000
+-1.884616
+0.000000
+-6.860672
+0.000000
+13.796235
+0.000000
+-2.075885
+0.000000
+-5.451970
+0.000000
+4.289018
+0.000000
+-0.391532
+0.000000
+-14.275689
+0.000000
+7.180032
+0.000000
+11.832842
+0.000000
+-18.441212
+0.000000
+-1.159258
+0.000000
+11.316042
+0.000000
+-0.747518
+0.000000
+-6.306646
+0.000000
+-5.415695
+0.000000
+7.420505
+0.000000
+7.505245
+0.000000
+-2.699813
+0.000000
+-0.372509
+0.000000
+-1.394852
+0.000000
+-2.062104
+0.000000
+4.864228
+0.000000
+-12.985264
+0.000000
+-31.595123
+0.000000
+-12.011423
+0.000000
+3.865282
+0.000000
+-4.901381
+0.000000
+4.685444
+0.000000
+-1.751144
+0.000000
+-13.893281
+0.000000
+6.861865
+0.000000
+2.490738
+0.000000
+3.685989
+0.000000
+7.871300
+0.000000
+-5.949624
+0.000000
+3.823911
+0.000000
+13.967820
+0.000000
+4.696152
+0.000000
+12.370283
+0.000000
+11.152110
+0.000000
+-2.995088
+0.000000
+-16.667089
+0.000000
+-10.577111
+0.000000
+-2.634310
+0.000000
+11.312820
+0.000000
+11.583445
+0.000000
+-2.853160
+0.000000
+-11.934338
+0.000000
+6.486409
+0.000000
+-9.473889
+0.000000
+5.378384
+0.000000
+12.014866
+0.000000
+-4.663446
+0.000000
+-4.639041
+0.000000
+2.850048
+0.000000
+-17.946528
+0.000000
+8.297528
+0.000000
+-9.041077
+0.000000
+10.066803
+0.000000
+-2.962635
+0.000000
+1.875072
+0.000000
+6.872627
+0.000000
+-8.179263
+0.000000
+-4.691213
+0.000000
+12.580854
+0.000000
+-10.012039
+0.000000
+-5.546245
+0.000000
+6.787376
+0.000000
+1.208637
+0.000000
+11.895117
+0.000000
+-12.718366
+0.000000
+-9.442683
+0.000000
+4.515430
+0.000000
+0.724009
+0.000000
+-3.083469
+0.000000
+16.556985
+0.000000
+-2.018770
+0.000000
+-5.578847
+0.000000
+-1.152720
+0.000000
+18.090856
+0.000000
+3.098075
+0.000000
+-8.701191
+0.000000
+-9.901981
+0.000000
+-0.357624
+0.000000
+-6.410808
+0.000000
+-3.615481
+0.000000
+9.755366
+0.000000
+6.166836
+0.000000
+3.169391
+0.000000
+-6.568997
+0.000000
+8.183804
+0.000000
+-14.343086
+0.000000
+-1.291500
+0.000000
+-23.782432
+0.000000
+-13.676842
+0.000000
+-2.166667
+0.000000
+-15.933376
+0.000000
+-5.077225
+0.000000
+1.291816
+0.000000
+-7.544166
+0.000000
+10.010600
+0.000000
+-2.134744
+0.000000
+5.592908
+0.000000
+12.575641
+0.000000
+-20.050664
+0.000000
+8.048729
+0.000000
+-9.853047
+0.000000
+20.778869
+0.000000
+3.307762
+0.000000
+18.435901
+0.000000
+0.097803
+0.000000
+11.584464
+0.000000
+7.122953
+0.000000
+12.179799
+0.000000
+-6.583377
+0.000000
+1.116589
+0.000000
+20.367401
+0.000000
+-3.341908
+0.000000
+-5.909915
+0.000000
+2.091827
+0.000000
+6.671914
+0.000000
+-1.002643
+0.000000
+13.000232
+0.000000
+5.796041
+0.000000
+4.839322
+0.000000
+23.663363
+0.000000
+1.695670
+0.000000
+13.421885
+0.000000
+-12.982559
+0.000000
+-3.047959
+0.000000
+-13.572037
+0.000000
+6.849111
+0.000000
+-13.837169
+0.000000
+7.182650
+0.000000
+-6.908187
+0.000000
+-7.416315
+0.000000
+-9.609879
+0.000000
+-0.471012
+0.000000
+7.214707
+0.000000
+3.929499
+0.000000
+14.632337
+0.000000
+8.084619
+0.000000
+-12.267936
+0.000000
+-9.308378
+0.000000
+12.305228
+0.000000
+11.921121
+0.000000
+8.946600
+0.000000
+3.583385
+0.000000
+-5.338365
+0.000000
+19.940024
+0.000000
+-0.779198
+0.000000
+-1.550030
+0.000000
+8.036098
+0.000000
+-4.856612
+0.000000
+-3.046299
+0.000000
+3.046299
+0.000000
+4.856612
+0.000000
+-8.036098
+0.000000
+1.550030
+0.000000
+0.779198
+0.000000
+-19.940024
+0.000000
+5.338365
+0.000000
+-3.583385
+0.000000
+-8.946600
+0.000000
+-11.921121
+0.000000
+-12.305228
+0.000000
+9.308378
+0.000000
+12.267936
+0.000000
+-8.084619
+0.000000
+-14.632337
+0.000000
+-3.929499
+0.000000
+-7.214707
+0.000000
+0.471012
+0.000000
+9.609879
+0.000000
+7.416315
+0.000000
+6.908187
+0.000000
+-7.182650
+0.000000
+13.837169
+0.000000
+-6.849111
+0.000000
+13.572037
+0.000000
+3.047959
+0.000000
+12.982559
+0.000000
+-13.421885
+0.000000
+-1.695670
+0.000000
+-23.663363
+0.000000
+-4.839322
+0.000000
+-5.796041
+0.000000
+-13.000232
+0.000000
+1.002643
+0.000000
+-6.671914
+0.000000
+-2.091827
+0.000000
+5.909915
+0.000000
+3.341908
+0.000000
+-20.367401
+0.000000
+-1.116589
+0.000000
+6.583377
+0.000000
+-12.179799
+0.000000
+-7.122953
+0.000000
+-11.584464
+0.000000
+-0.097803
+0.000000
+-18.435901
+0.000000
+-3.307762
+0.000000
+-20.778869
+0.000000
+9.853047
+0.000000
+-8.048729
+0.000000
+20.050664
+0.000000
+-12.575641
+0.000000
+-5.592908
+0.000000
+2.134744
+0.000000
+-10.010600
+0.000000
+7.544166
+0.000000
+-1.291816
+0.000000
+5.077225
+0.000000
+15.933376
+0.000000
+2.166667
+0.000000
+13.676842
+0.000000
+23.782432
+0.000000
+1.291500
+0.000000
+14.343086
+0.000000
+-8.183804
+0.000000
+6.568997
+0.000000
+-3.169391
+0.000000
+-6.166836
+0.000000
+-9.755366
+0.000000
+3.615481
+0.000000
+6.410808
+0.000000
+0.357624
+0.000000
+9.901981
+0.000000
+8.701191
+0.000000
+-3.098075
+0.000000
+-18.090856
+0.000000
+1.152720
+0.000000
+5.578847
+0.000000
+2.018770
+0.000000
+-16.556985
+0.000000
+3.083469
+0.000000
+-0.724009
+0.000000
+-4.515430
+0.000000
+9.442683
+0.000000
+12.718366
+0.000000
+-11.895117
+0.000000
+-1.208637
+0.000000
+-6.787376
+0.000000
+5.546245
+0.000000
+10.012039
+0.000000
+-12.580854
+0.000000
+4.691213
+0.000000
+8.179263
+0.000000
+-6.872627
+0.000000
+-1.875072
+0.000000
+2.962635
+0.000000
+-10.066803
+0.000000
+9.041077
+0.000000
+-8.297528
+0.000000
+17.946528
+0.000000
+-2.850048
+0.000000
+4.639041
+0.000000
+4.663446
+0.000000
+-12.014866
+0.000000
+-5.378384
+0.000000
+9.473889
+0.000000
+-6.486409
+0.000000
+11.934338
+0.000000
+2.853160
+0.000000
+-11.583445
+0.000000
+-11.312820
+0.000000
+2.634310
+0.000000
+10.577111
+0.000000
+16.667089
+0.000000
+2.995088
+0.000000
+-11.152110
+0.000000
+-12.370283
+0.000000
+-4.696152
+0.000000
+-13.967820
+0.000000
+-3.823911
+0.000000
+5.949624
+0.000000
+-7.871300
+0.000000
+-3.685989
+0.000000
+-2.490738
+0.000000
+-6.861865
+0.000000
+13.893281
+0.000000
+1.751144
+0.000000
+-4.685444
+0.000000
+4.901381
+0.000000
+-3.865282
+0.000000
+12.011423
+0.000000
+31.595123
+0.000000
+12.985264
+0.000000
+-4.864228
+0.000000
+2.062104
+0.000000
+1.394852
+0.000000
+0.372509
+0.000000
+2.699813
+0.000000
+-7.505245
+0.000000
+-7.420505
+0.000000
+5.415695
+0.000000
+6.306646
+0.000000
+0.747518
+0.000000
+-11.316042
+0.000000
+1.159258
+0.000000
+18.441212
+0.000000
+-11.832842
+0.000000
+-7.180032
+0.000000
+14.275689
+0.000000
+0.391532
+0.000000
+-4.289018
+0.000000
+5.451970
+0.000000
+2.075885
+0.000000
+-13.796235
+0.000000
+6.860672
+0.000000
+1.884616
+0.000000
+0.081370
+0.000000
+-5.181388
+0.000000
+1.574701
+0.000000
+3.826981
+0.000000
+-6.410161
+0.000000
+0.293492
+0.000000
+16.093951
+0.000000
+-8.385517
+0.000000
+-1.008639
+0.000000
+5.945748
+0.000000
+15.825757
+0.000000
+-9.130842
+0.000000
+-7.417063
+0.000000
+9.464871
+0.000000
+3.936294
+0.000000
+3.384907
+0.000000
+-16.935462
+0.000000
+-14.098207
+0.000000
+2.027860
+0.000000
+-4.665855
+0.000000
+2.634468
+0.000000
+-1.326258
+0.000000
+-6.206871
+0.000000
+-6.060279
+0.000000
+6.997544
+0.000000
+-7.372849
+0.000000
+6.650455
+0.000000
+-1.680290
+0.000000
+7.646483
+0.000000
+9.156302
+0.000000
+16.549795
+0.000000
+-7.637293
+0.000000
+5.016146
+0.000000
+20.619686
+0.000000
+-5.053207
+0.000000
+-4.432432
+0.000000
+-5.186858
+0.000000
+11.846168
+0.000000
+1.019586
+0.000000
+-6.610329
+0.000000
+0.548376
+0.000000
+-2.181417
+0.000000
+-9.014748
+0.000000
+5.325355
+0.000000
+-1.992654
+0.000000
+6.442133
+0.000000
+-10.383875
+0.000000
+-4.063274
+0.000000
+-0.951020
+0.000000
+6.645631
+0.000000
+4.651460
+0.000000
+1.909406
+0.000000
+0.211590
+0.000000
+2.385083
+0.000000
+-12.151677
+0.000000
+-3.219091
+0.000000
+-11.328086
+0.000000
+-1.223786
+0.000000
+1.684086
+0.000000
+5.470380
+0.000000
+-4.589744
+0.000000
+3.426765
+0.000000
+-9.727785
+0.000000
+18.364547
+0.000000
+2.934357
+0.000000
+5.348929
+0.000000
+-2.152546
+0.000000
+0.675385
+0.000000
+-6.606932
+0.000000
+3.664546
+0.000000
+0.660439
+0.000000
+-5.537802
+0.000000
+-0.240225
+0.000000
+4.022714
+0.000000
+-7.268981
+0.000000
+-4.498148
+0.000000
+3.565171
+0.000000
+-2.358982
+0.000000
+-1.283199
+0.000000
+-16.226684
+0.000000
+-44970556.581749
+0.000000
+-7.004596
+0.000000
+-10.825823
+0.000000
+-5.148957
+0.000000
+-5.088121
+0.000000
+-17.099473
+0.000000
+-1.325702
+0.000000
+-12.988308
+0.000000
+9.679428
+0.000000
+2.265088
+0.000000
+-0.916512
+0.000000
+-1.032916
+0.000000
+-19.239678
+0.000000
+8.943062
+0.000000
+-3.449984
+0.000000
+-8.217588
+0.000000
+12.734473
+0.000000
+6.910492
+0.000000
+-6.905507
+0.000000
+0.078192
diff --git a/applications/lofar1/pft2/tb/data/cosin_39.re b/applications/lofar1/pft2/tb/data/cosin_39.re
new file mode 100644
index 0000000000000000000000000000000000000000..d6704fa71283b490041e581f182d7fb68fbf84d7
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/cosin_39.re
@@ -0,0 +1,1024 @@
+0.000000
+0.638448
+0.000000
+16.517380
+0.000000
+9.611152
+0.000000
+-2.804575
+0.000000
+-5.619300
+0.000000
+1.493301
+0.000000
+16.843912
+0.000000
+10.663861
+0.000000
+-7.413804
+0.000000
+-0.089348
+0.000000
+-10.280242
+0.000000
+2.814375
+0.000000
+-2.023523
+0.000000
+5.462951
+0.000000
+-3.282589
+0.000000
+4.010829
+0.000000
+3.371566
+0.000000
+-0.712877
+0.000000
+26.185638
+0.000000
+29204234.759813
+0.000000
+-8.213947
+0.000000
+-2.365827
+0.000000
+0.722026
+0.000000
+-2.530088
+0.000000
+-0.044334
+0.000000
+2.318328
+0.000000
+25.238054
+0.000000
+17.340108
+0.000000
+-15.771365
+0.000000
+6.203652
+0.000000
+-2.541764
+0.000000
+-0.153815
+0.000000
+6.027220
+0.000000
+16.967380
+0.000000
+-8.962688
+0.000000
+-7.221703
+0.000000
+6.267050
+0.000000
+-4.325890
+0.000000
+9.413030
+0.000000
+8.998259
+0.000000
+10.360474
+0.000000
+4.640748
+0.000000
+-2.271227
+0.000000
+9.890785
+0.000000
+-9.817684
+0.000000
+7.974066
+0.000000
+-0.337699
+0.000000
+7.347048
+0.000000
+-1.382670
+0.000000
+2.532769
+0.000000
+16.331140
+0.000000
+-13.696451
+0.000000
+-10.016723
+0.000000
+2.433490
+0.000000
+0.336240
+0.000000
+-7.380379
+0.000000
+1.904033
+0.000000
+6.909398
+0.000000
+-4.102814
+0.000000
+11.015074
+0.000000
+6.270497
+0.000000
+-5.225832
+0.000000
+5.032698
+0.000000
+9.330956
+0.000000
+-6.101976
+0.000000
+5.061327
+0.000000
+12.213329
+0.000000
+6.177131
+0.000000
+-12.251194
+0.000000
+5.927393
+0.000000
+12.825120
+0.000000
+13.063496
+0.000000
+2.013088
+0.000000
+-7.811047
+0.000000
+-11.325826
+0.000000
+1.855804
+0.000000
+8.683107
+0.000000
+-5.817822
+0.000000
+7.137946
+0.000000
+-2.165822
+0.000000
+11.929705
+0.000000
+-6.844022
+0.000000
+-13.098039
+0.000000
+-14.078955
+0.000000
+7.780940
+0.000000
+-2.457027
+0.000000
+8.408618
+0.000000
+-5.886259
+0.000000
+8.064742
+0.000000
+-0.473162
+0.000000
+-18.653877
+0.000000
+4.728885
+0.000000
+-5.484366
+0.000000
+7.401557
+0.000000
+4.376783
+0.000000
+0.532516
+0.000000
+-9.870619
+0.000000
+-14.660578
+0.000000
+-13.856971
+0.000000
+-17.205809
+0.000000
+5.329721
+0.000000
+-2.648452
+0.000000
+20.537556
+0.000000
+2.842797
+0.000000
+12.473333
+0.000000
+4.813081
+0.000000
+0.962054
+0.000000
+-1.832895
+0.000000
+12.750757
+0.000000
+-9.223767
+0.000000
+5.373515
+0.000000
+-3.118798
+0.000000
+4.305502
+0.000000
+20.995753
+0.000000
+7.607688
+0.000000
+6.798065
+0.000000
+6.409254
+0.000000
+19.241061
+0.000000
+12.481444
+0.000000
+-8.202724
+0.000000
+1.785721
+0.000000
+-14.374055
+0.000000
+9.860853
+0.000000
+8.737997
+0.000000
+5.064596
+0.000000
+-3.451526
+0.000000
+3.888906
+0.000000
+5.717078
+0.000000
+-5.890177
+0.000000
+16.233540
+0.000000
+6.078385
+0.000000
+2.448329
+0.000000
+-2.651986
+0.000000
+-2.297210
+0.000000
+-7.000085
+0.000000
+13.739945
+0.000000
+12.016438
+0.000000
+-4.729243
+0.000000
+4.767692
+0.000000
+8.097479
+0.000000
+-4.413152
+0.000000
+-7.950464
+0.000000
+9.887938
+0.000000
+8.354069
+0.000000
+-6.863349
+0.000000
+-17.188486
+0.000000
+-0.288809
+0.000000
+9.850128
+0.000000
+1.319813
+0.000000
+-0.918449
+0.000000
+-3.421886
+0.000000
+11.388281
+0.000000
+-0.309169
+0.000000
+-4.499324
+0.000000
+0.252155
+0.000000
+3.925775
+0.000000
+-11.142047
+0.000000
+-9.274917
+0.000000
+10.283347
+0.000000
+-17.134914
+0.000000
+14.127173
+0.000000
+-1.176460
+0.000000
+4.030613
+0.000000
+5.019888
+0.000000
+7.873487
+0.000000
+-5.508578
+0.000000
+-17.773082
+0.000000
+11.742873
+0.000000
+0.952527
+0.000000
+5.640218
+0.000000
+3.902618
+0.000000
+-10.147475
+0.000000
+0.646587
+0.000000
+-4.803468
+0.000000
+-2.663991
+0.000000
+-16.232959
+0.000000
+-7.470109
+0.000000
+3.533033
+0.000000
+6.303352
+0.000000
+16.463666
+0.000000
+-15.210769
+0.000000
+-3.101355
+0.000000
+-9.185854
+0.000000
+7.830383
+0.000000
+-0.537001
+0.000000
+7.429833
+0.000000
+3.266405
+0.000000
+9.734484
+0.000000
+-1.595444
+0.000000
+1.894752
+0.000000
+-7.795807
+0.000000
+-9.296428
+0.000000
+2.386085
+0.000000
+2.649504
+0.000000
+2.848682
+0.000000
+-12.614504
+0.000000
+-2.041135
+0.000000
+5.849675
+0.000000
+13.960504
+0.000000
+-4.755714
+0.000000
+0.616477
+0.000000
+-11.898196
+0.000000
+-0.656979
+0.000000
+-0.324760
+0.000000
+11.299481
+0.000000
+-8.354530
+0.000000
+-8.584566
+0.000000
+-8.877652
+0.000000
+18.871847
+0.000000
+-20.540745
+0.000000
+-5.981062
+0.000000
+-1.717652
+0.000000
+-4.915768
+0.000000
+20.404433
+0.000000
+6.562347
+0.000000
+-5.798325
+0.000000
+9.093777
+0.000000
+-14.715578
+0.000000
+7.268690
+0.000000
+-8.741517
+0.000000
+18.979837
+0.000000
+0.110582
+0.000000
+-9.024981
+0.000000
+1.028965
+0.000000
+-0.428451
+0.000000
+6.691000
+0.000000
+-0.472665
+0.000000
+20.930043
+0.000000
+5.302611
+0.000000
+6.236902
+0.000000
+11.061348
+0.000000
+4.131338
+0.000000
+5.062909
+0.000000
+3.077015
+0.000000
+-4.831624
+0.000000
+2.303614
+0.000000
+-3.307634
+0.000000
+-13.171458
+0.000000
+-13.410853
+0.000000
+-4.459991
+0.000000
+8.562139
+0.000000
+4.120365
+0.000000
+-4.917983
+0.000000
+-11.625039
+0.000000
+-7.778152
+0.000000
+0.665711
+0.000000
+-0.130804
+0.000000
+-3.650201
+0.000000
+4.518342
+0.000000
+2.054039
+0.000000
+15.078669
+0.000000
+-1.788400
+0.000000
+-12.896724
+0.000000
+9.154247
+0.000000
+-23.870942
+0.000000
+-16.010580
+0.000000
+-16.010580
+0.000000
+-23.870942
+0.000000
+9.154247
+0.000000
+-12.896724
+0.000000
+-1.788400
+0.000000
+15.078669
+0.000000
+2.054039
+0.000000
+4.518342
+0.000000
+-3.650201
+0.000000
+-0.130804
+0.000000
+0.665711
+0.000000
+-7.778152
+0.000000
+-11.625039
+0.000000
+-4.917983
+0.000000
+4.120365
+0.000000
+8.562139
+0.000000
+-4.459991
+0.000000
+-13.410853
+0.000000
+-13.171458
+0.000000
+-3.307634
+0.000000
+2.303614
+0.000000
+-4.831624
+0.000000
+3.077015
+0.000000
+5.062909
+0.000000
+4.131338
+0.000000
+11.061348
+0.000000
+6.236902
+0.000000
+5.302611
+0.000000
+20.930043
+0.000000
+-0.472665
+0.000000
+6.691000
+0.000000
+-0.428451
+0.000000
+1.028965
+0.000000
+-9.024981
+0.000000
+0.110582
+0.000000
+18.979837
+0.000000
+-8.741517
+0.000000
+7.268690
+0.000000
+-14.715578
+0.000000
+9.093777
+0.000000
+-5.798325
+0.000000
+6.562347
+0.000000
+20.404433
+0.000000
+-4.915768
+0.000000
+-1.717652
+0.000000
+-5.981062
+0.000000
+-20.540745
+0.000000
+18.871847
+0.000000
+-8.877652
+0.000000
+-8.584566
+0.000000
+-8.354530
+0.000000
+11.299481
+0.000000
+-0.324760
+0.000000
+-0.656979
+0.000000
+-11.898196
+0.000000
+0.616477
+0.000000
+-4.755714
+0.000000
+13.960504
+0.000000
+5.849675
+0.000000
+-2.041135
+0.000000
+-12.614504
+0.000000
+2.848682
+0.000000
+2.649504
+0.000000
+2.386085
+0.000000
+-9.296428
+0.000000
+-7.795807
+0.000000
+1.894752
+0.000000
+-1.595444
+0.000000
+9.734484
+0.000000
+3.266405
+0.000000
+7.429833
+0.000000
+-0.537001
+0.000000
+7.830383
+0.000000
+-9.185854
+0.000000
+-3.101355
+0.000000
+-15.210769
+0.000000
+16.463666
+0.000000
+6.303352
+0.000000
+3.533033
+0.000000
+-7.470109
+0.000000
+-16.232959
+0.000000
+-2.663991
+0.000000
+-4.803468
+0.000000
+0.646587
+0.000000
+-10.147475
+0.000000
+3.902618
+0.000000
+5.640218
+0.000000
+0.952527
+0.000000
+11.742873
+0.000000
+-17.773082
+0.000000
+-5.508578
+0.000000
+7.873487
+0.000000
+5.019888
+0.000000
+4.030613
+0.000000
+-1.176460
+0.000000
+14.127173
+0.000000
+-17.134914
+0.000000
+10.283347
+0.000000
+-9.274917
+0.000000
+-11.142047
+0.000000
+3.925775
+0.000000
+0.252155
+0.000000
+-4.499324
+0.000000
+-0.309169
+0.000000
+11.388281
+0.000000
+-3.421886
+0.000000
+-0.918449
+0.000000
+1.319813
+0.000000
+9.850128
+0.000000
+-0.288809
+0.000000
+-17.188486
+0.000000
+-6.863349
+0.000000
+8.354069
+0.000000
+9.887938
+0.000000
+-7.950464
+0.000000
+-4.413152
+0.000000
+8.097479
+0.000000
+4.767692
+0.000000
+-4.729243
+0.000000
+12.016438
+0.000000
+13.739945
+0.000000
+-7.000085
+0.000000
+-2.297210
+0.000000
+-2.651986
+0.000000
+2.448329
+0.000000
+6.078385
+0.000000
+16.233540
+0.000000
+-5.890177
+0.000000
+5.717078
+0.000000
+3.888906
+0.000000
+-3.451526
+0.000000
+5.064596
+0.000000
+8.737997
+0.000000
+9.860853
+0.000000
+-14.374055
+0.000000
+1.785721
+0.000000
+-8.202724
+0.000000
+12.481444
+0.000000
+19.241061
+0.000000
+6.409254
+0.000000
+6.798065
+0.000000
+7.607688
+0.000000
+20.995753
+0.000000
+4.305502
+0.000000
+-3.118798
+0.000000
+5.373515
+0.000000
+-9.223767
+0.000000
+12.750757
+0.000000
+-1.832895
+0.000000
+0.962054
+0.000000
+4.813081
+0.000000
+12.473333
+0.000000
+2.842797
+0.000000
+20.537556
+0.000000
+-2.648452
+0.000000
+5.329721
+0.000000
+-17.205809
+0.000000
+-13.856971
+0.000000
+-14.660578
+0.000000
+-9.870619
+0.000000
+0.532516
+0.000000
+4.376783
+0.000000
+7.401557
+0.000000
+-5.484366
+0.000000
+4.728885
+0.000000
+-18.653877
+0.000000
+-0.473162
+0.000000
+8.064742
+0.000000
+-5.886259
+0.000000
+8.408618
+0.000000
+-2.457027
+0.000000
+7.780940
+0.000000
+-14.078955
+0.000000
+-13.098039
+0.000000
+-6.844022
+0.000000
+11.929705
+0.000000
+-2.165822
+0.000000
+7.137946
+0.000000
+-5.817822
+0.000000
+8.683107
+0.000000
+1.855804
+0.000000
+-11.325826
+0.000000
+-7.811047
+0.000000
+2.013088
+0.000000
+13.063496
+0.000000
+12.825120
+0.000000
+5.927393
+0.000000
+-12.251194
+0.000000
+6.177131
+0.000000
+12.213329
+0.000000
+5.061327
+0.000000
+-6.101976
+0.000000
+9.330956
+0.000000
+5.032698
+0.000000
+-5.225832
+0.000000
+6.270497
+0.000000
+11.015074
+0.000000
+-4.102814
+0.000000
+6.909398
+0.000000
+1.904033
+0.000000
+-7.380379
+0.000000
+0.336240
+0.000000
+2.433490
+0.000000
+-10.016723
+0.000000
+-13.696451
+0.000000
+16.331140
+0.000000
+2.532769
+0.000000
+-1.382670
+0.000000
+7.347048
+0.000000
+-0.337699
+0.000000
+7.974066
+0.000000
+-9.817684
+0.000000
+9.890785
+0.000000
+-2.271227
+0.000000
+4.640748
+0.000000
+10.360474
+0.000000
+8.998259
+0.000000
+9.413030
+0.000000
+-4.325890
+0.000000
+6.267050
+0.000000
+-7.221703
+0.000000
+-8.962688
+0.000000
+16.967380
+0.000000
+6.027220
+0.000000
+-0.153815
+0.000000
+-2.541764
+0.000000
+6.203652
+0.000000
+-15.771365
+0.000000
+17.340108
+0.000000
+25.238054
+0.000000
+2.318328
+0.000000
+-0.044334
+0.000000
+-2.530088
+0.000000
+0.722026
+0.000000
+-2.365827
+0.000000
+-8.213947
+0.000000
+29204234.759813
+0.000000
+26.185638
+0.000000
+-0.712877
+0.000000
+3.371566
+0.000000
+4.010829
+0.000000
+-3.282589
+0.000000
+5.462951
+0.000000
+-2.023523
+0.000000
+2.814375
+0.000000
+-10.280242
+0.000000
+-0.089348
+0.000000
+-7.413804
+0.000000
+10.663861
+0.000000
+16.843912
+0.000000
+1.493301
+0.000000
+-5.619300
+0.000000
+-2.804575
+0.000000
+9.611152
+0.000000
+16.517380
+0.000000
+0.638448
diff --git a/applications/lofar1/pft2/tb/data/cosin_N2.im b/applications/lofar1/pft2/tb/data/cosin_N2.im
new file mode 100644
index 0000000000000000000000000000000000000000..2d652cb006511b3cb1709570e163c98b23862dbf
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/cosin_N2.im
@@ -0,0 +1,1024 @@
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/data/cosin_N2.re b/applications/lofar1/pft2/tb/data/cosin_N2.re
new file mode 100644
index 0000000000000000000000000000000000000000..331d00469935d01dcdafbf7aabb8630a7a5f6e70
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/cosin_N2.re
@@ -0,0 +1,1024 @@
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+66560000.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/data/dc.im b/applications/lofar1/pft2/tb/data/dc.im
new file mode 100644
index 0000000000000000000000000000000000000000..2d652cb006511b3cb1709570e163c98b23862dbf
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/dc.im
@@ -0,0 +1,1024 @@
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/data/dc.re b/applications/lofar1/pft2/tb/data/dc.re
new file mode 100644
index 0000000000000000000000000000000000000000..603fc100307b84ab2f380008b437c689c86ad7de
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/dc.re
@@ -0,0 +1,1024 @@
+66560000.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/data/impulse_0.im b/applications/lofar1/pft2/tb/data/impulse_0.im
new file mode 100644
index 0000000000000000000000000000000000000000..2d652cb006511b3cb1709570e163c98b23862dbf
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/impulse_0.im
@@ -0,0 +1,1024 @@
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/data/impulse_0.re b/applications/lofar1/pft2/tb/data/impulse_0.re
new file mode 100644
index 0000000000000000000000000000000000000000..24128819d55966cf73653153f10fca1daafa989c
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/impulse_0.re
@@ -0,0 +1,1024 @@
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
+131000.000000
diff --git a/applications/lofar1/pft2/tb/data/impulse_1.im b/applications/lofar1/pft2/tb/data/impulse_1.im
new file mode 100644
index 0000000000000000000000000000000000000000..283c54d9f49c138975c2364874b436c54d1e3db4
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/impulse_1.im
@@ -0,0 +1,1024 @@
+0.000000
+-803.800889
+-1607.571515
+-2411.281618
+-3214.900937
+-4018.399216
+-4821.746205
+-5624.911658
+-6427.865337
+-7230.577010
+-8033.016456
+-8835.153463
+-9636.957832
+-10438.399374
+-11239.447917
+-12040.073301
+-12840.245383
+-13639.934037
+-14439.109155
+-15237.740649
+-16035.798451
+-16833.252514
+-17630.072814
+-18426.229353
+-19221.692154
+-20016.431269
+-20810.416777
+-21603.618784
+-22396.007428
+-23187.552874
+-23978.225322
+-24767.995004
+-25556.832184
+-26344.707164
+-27131.590281
+-27917.451909
+-28702.262461
+-29485.992388
+-30268.612185
+-31050.092385
+-31830.403567
+-32609.516353
+-33387.401408
+-34164.029447
+-34939.371229
+-35713.397564
+-36486.079309
+-37257.387375
+-38027.292720
+-38795.766360
+-39562.779361
+-40328.302845
+-41092.307992
+-41854.766037
+-42615.648273
+-43374.926055
+-44132.570794
+-44888.553968
+-45642.847113
+-46395.421830
+-47146.249786
+-47895.302712
+-48642.552408
+-49387.970738
+-50131.529640
+-50873.201118
+-51612.957248
+-52350.770180
+-53086.612135
+-53820.455409
+-54552.272373
+-55282.035475
+-56009.717239
+-56735.290270
+-57458.727249
+-58180.000939
+-58899.084185
+-59615.949914
+-60330.571136
+-61042.920946
+-61752.972524
+-62460.699138
+-63166.074142
+-63869.070979
+-64569.663182
+-65267.824373
+-65963.528268
+-66656.748673
+-67347.459489
+-68035.634712
+-68721.248431
+-69404.274834
+-70084.688205
+-70762.462928
+-71437.573483
+-72109.994455
+-72779.700526
+-73446.666482
+-74110.867213
+-74772.277711
+-75430.873076
+-76086.628511
+-76739.519327
+-77389.520944
+-78036.608889
+-78680.758799
+-79321.946424
+-79960.147622
+-80595.338366
+-81227.494741
+-81856.592947
+-82482.609298
+-83105.520225
+-83725.302277
+-84341.932119
+-84955.386534
+-85565.642427
+-86172.676822
+-86776.466864
+-87376.989822
+-87974.223085
+-88568.144168
+-89158.730711
+-89745.960478
+-90329.811361
+-90910.261377
+-91487.288673
+-92060.871524
+-92630.988335
+-93197.617643
+-93760.738112
+-94320.328543
+-94876.367867
+-95428.835149
+-95977.709589
+-96522.970523
+-97064.597421
+-97602.569893
+-98136.867683
+-98667.470675
+-99194.358892
+-99717.512498
+-100236.911797
+-100752.537232
+-101264.369391
+-101772.389003
+-102276.576943
+-102776.914227
+-103273.382019
+-103765.961626
+-104254.634504
+-104739.382253
+-105220.186624
+-105697.029514
+-106169.892971
+-106638.759191
+-107103.610523
+-107564.429464
+-108021.198665
+-108473.900929
+-108922.519212
+-109367.036623
+-109807.436428
+-110243.702044
+-110675.817048
+-111103.765169
+-111527.530297
+-111947.096476
+-112362.447910
+-112773.568962
+-113180.444152
+-113583.058163
+-113981.395835
+-114375.442173
+-114765.182340
+-115150.601662
+-115531.685630
+-115908.419894
+-116280.790273
+-116648.782745
+-117012.383457
+-117371.578718
+-117726.355006
+-118076.698963
+-118422.597399
+-118764.037291
+-119101.005785
+-119433.490193
+-119761.477997
+-120084.956850
+-120403.914571
+-120718.339154
+-121028.218759
+-121333.541720
+-121634.296542
+-121930.471901
+-122222.056647
+-122509.039802
+-122791.410561
+-123069.158292
+-123342.272539
+-123610.743019
+-123874.559625
+-124133.712423
+-124388.191658
+-124637.987747
+-124883.091286
+-125123.493048
+-125359.183981
+-125590.155211
+-125816.398043
+-126037.903959
+-126254.664619
+-126466.671862
+-126673.917707
+-126876.394350
+-127074.094168
+-127267.009719
+-127455.133739
+-127638.459145
+-127816.979035
+-127990.686688
+-128159.575564
+-128323.639305
+-128482.871733
+-128637.266853
+-128786.818853
+-128931.522103
+-129071.371153
+-129206.360739
+-129336.485779
+-129461.741373
+-129582.122805
+-129697.625544
+-129808.245241
+-129913.977731
+-130014.819032
+-130110.765350
+-130201.813070
+-130287.958766
+-130369.199194
+-130445.531295
+-130516.952196
+-130583.459207
+-130645.049825
+-130701.721730
+-130753.472790
+-130800.301055
+-130842.204763
+-130879.182336
+-130911.232381
+-130938.353693
+-130960.545249
+-130977.806215
+-130990.135941
+-130997.533962
+-131000.000000
+-130997.533962
+-130990.135941
+-130977.806215
+-130960.545249
+-130938.353693
+-130911.232381
+-130879.182336
+-130842.204763
+-130800.301055
+-130753.472790
+-130701.721730
+-130645.049825
+-130583.459207
+-130516.952196
+-130445.531295
+-130369.199194
+-130287.958766
+-130201.813070
+-130110.765350
+-130014.819032
+-129913.977731
+-129808.245241
+-129697.625544
+-129582.122805
+-129461.741373
+-129336.485779
+-129206.360739
+-129071.371153
+-128931.522103
+-128786.818853
+-128637.266853
+-128482.871733
+-128323.639305
+-128159.575564
+-127990.686688
+-127816.979035
+-127638.459145
+-127455.133739
+-127267.009719
+-127074.094168
+-126876.394350
+-126673.917707
+-126466.671862
+-126254.664619
+-126037.903959
+-125816.398043
+-125590.155211
+-125359.183981
+-125123.493048
+-124883.091286
+-124637.987747
+-124388.191658
+-124133.712423
+-123874.559625
+-123610.743019
+-123342.272539
+-123069.158292
+-122791.410561
+-122509.039802
+-122222.056647
+-121930.471901
+-121634.296542
+-121333.541720
+-121028.218759
+-120718.339154
+-120403.914571
+-120084.956850
+-119761.477997
+-119433.490193
+-119101.005785
+-118764.037291
+-118422.597399
+-118076.698963
+-117726.355006
+-117371.578718
+-117012.383457
+-116648.782745
+-116280.790273
+-115908.419894
+-115531.685630
+-115150.601662
+-114765.182340
+-114375.442173
+-113981.395835
+-113583.058163
+-113180.444152
+-112773.568962
+-112362.447910
+-111947.096476
+-111527.530297
+-111103.765169
+-110675.817048
+-110243.702044
+-109807.436428
+-109367.036623
+-108922.519212
+-108473.900929
+-108021.198665
+-107564.429464
+-107103.610523
+-106638.759191
+-106169.892971
+-105697.029514
+-105220.186624
+-104739.382253
+-104254.634504
+-103765.961626
+-103273.382019
+-102776.914227
+-102276.576943
+-101772.389003
+-101264.369391
+-100752.537232
+-100236.911797
+-99717.512498
+-99194.358892
+-98667.470675
+-98136.867683
+-97602.569893
+-97064.597421
+-96522.970523
+-95977.709589
+-95428.835149
+-94876.367867
+-94320.328543
+-93760.738112
+-93197.617643
+-92630.988335
+-92060.871524
+-91487.288673
+-90910.261377
+-90329.811361
+-89745.960478
+-89158.730711
+-88568.144168
+-87974.223085
+-87376.989822
+-86776.466864
+-86172.676822
+-85565.642427
+-84955.386534
+-84341.932119
+-83725.302277
+-83105.520225
+-82482.609298
+-81856.592947
+-81227.494741
+-80595.338366
+-79960.147622
+-79321.946424
+-78680.758799
+-78036.608889
+-77389.520944
+-76739.519327
+-76086.628511
+-75430.873076
+-74772.277711
+-74110.867213
+-73446.666482
+-72779.700526
+-72109.994455
+-71437.573483
+-70762.462928
+-70084.688205
+-69404.274834
+-68721.248431
+-68035.634712
+-67347.459489
+-66656.748673
+-65963.528268
+-65267.824373
+-64569.663182
+-63869.070979
+-63166.074142
+-62460.699138
+-61752.972524
+-61042.920946
+-60330.571136
+-59615.949914
+-58899.084185
+-58180.000939
+-57458.727249
+-56735.290270
+-56009.717239
+-55282.035475
+-54552.272373
+-53820.455409
+-53086.612135
+-52350.770180
+-51612.957248
+-50873.201118
+-50131.529640
+-49387.970738
+-48642.552408
+-47895.302712
+-47146.249786
+-46395.421830
+-45642.847113
+-44888.553968
+-44132.570794
+-43374.926055
+-42615.648273
+-41854.766037
+-41092.307992
+-40328.302845
+-39562.779361
+-38795.766360
+-38027.292720
+-37257.387375
+-36486.079309
+-35713.397564
+-34939.371229
+-34164.029447
+-33387.401408
+-32609.516353
+-31830.403567
+-31050.092385
+-30268.612185
+-29485.992388
+-28702.262461
+-27917.451909
+-27131.590281
+-26344.707164
+-25556.832184
+-24767.995004
+-23978.225322
+-23187.552874
+-22396.007428
+-21603.618784
+-20810.416777
+-20016.431269
+-19221.692154
+-18426.229353
+-17630.072814
+-16833.252514
+-16035.798451
+-15237.740649
+-14439.109155
+-13639.934037
+-12840.245383
+-12040.073301
+-11239.447917
+-10438.399374
+-9636.957832
+-8835.153463
+-8033.016456
+-7230.577010
+-6427.865337
+-5624.911658
+-4821.746205
+-4018.399216
+-3214.900937
+-2411.281618
+-1607.571515
+-803.800889
+0.000000
+803.800889
+1607.571515
+2411.281618
+3214.900937
+4018.399216
+4821.746205
+5624.911658
+6427.865337
+7230.577010
+8033.016456
+8835.153463
+9636.957832
+10438.399374
+11239.447917
+12040.073301
+12840.245383
+13639.934037
+14439.109155
+15237.740649
+16035.798451
+16833.252514
+17630.072814
+18426.229353
+19221.692154
+20016.431269
+20810.416777
+21603.618784
+22396.007428
+23187.552874
+23978.225322
+24767.995004
+25556.832184
+26344.707164
+27131.590281
+27917.451909
+28702.262461
+29485.992388
+30268.612185
+31050.092385
+31830.403567
+32609.516353
+33387.401408
+34164.029447
+34939.371229
+35713.397564
+36486.079309
+37257.387375
+38027.292720
+38795.766360
+39562.779361
+40328.302845
+41092.307992
+41854.766037
+42615.648273
+43374.926055
+44132.570794
+44888.553968
+45642.847113
+46395.421830
+47146.249786
+47895.302712
+48642.552408
+49387.970738
+50131.529640
+50873.201118
+51612.957248
+52350.770180
+53086.612135
+53820.455409
+54552.272373
+55282.035475
+56009.717239
+56735.290270
+57458.727249
+58180.000939
+58899.084185
+59615.949914
+60330.571136
+61042.920946
+61752.972524
+62460.699138
+63166.074142
+63869.070979
+64569.663182
+65267.824373
+65963.528268
+66656.748673
+67347.459489
+68035.634712
+68721.248431
+69404.274834
+70084.688205
+70762.462928
+71437.573483
+72109.994455
+72779.700526
+73446.666482
+74110.867213
+74772.277711
+75430.873076
+76086.628511
+76739.519327
+77389.520944
+78036.608889
+78680.758799
+79321.946424
+79960.147622
+80595.338366
+81227.494741
+81856.592947
+82482.609298
+83105.520225
+83725.302277
+84341.932119
+84955.386534
+85565.642427
+86172.676822
+86776.466864
+87376.989822
+87974.223085
+88568.144168
+89158.730711
+89745.960478
+90329.811361
+90910.261377
+91487.288673
+92060.871524
+92630.988335
+93197.617643
+93760.738112
+94320.328543
+94876.367867
+95428.835149
+95977.709589
+96522.970523
+97064.597421
+97602.569893
+98136.867683
+98667.470675
+99194.358892
+99717.512498
+100236.911797
+100752.537232
+101264.369391
+101772.389003
+102276.576943
+102776.914227
+103273.382019
+103765.961626
+104254.634504
+104739.382253
+105220.186624
+105697.029514
+106169.892971
+106638.759191
+107103.610523
+107564.429464
+108021.198665
+108473.900929
+108922.519212
+109367.036623
+109807.436428
+110243.702044
+110675.817048
+111103.765169
+111527.530297
+111947.096476
+112362.447910
+112773.568962
+113180.444152
+113583.058163
+113981.395835
+114375.442173
+114765.182340
+115150.601662
+115531.685630
+115908.419894
+116280.790273
+116648.782745
+117012.383457
+117371.578718
+117726.355006
+118076.698963
+118422.597399
+118764.037291
+119101.005785
+119433.490193
+119761.477997
+120084.956850
+120403.914571
+120718.339154
+121028.218759
+121333.541720
+121634.296542
+121930.471901
+122222.056647
+122509.039802
+122791.410561
+123069.158292
+123342.272539
+123610.743019
+123874.559625
+124133.712423
+124388.191658
+124637.987747
+124883.091286
+125123.493048
+125359.183981
+125590.155211
+125816.398043
+126037.903959
+126254.664619
+126466.671862
+126673.917707
+126876.394350
+127074.094168
+127267.009719
+127455.133739
+127638.459145
+127816.979035
+127990.686688
+128159.575564
+128323.639305
+128482.871733
+128637.266853
+128786.818853
+128931.522103
+129071.371153
+129206.360739
+129336.485779
+129461.741373
+129582.122805
+129697.625544
+129808.245241
+129913.977731
+130014.819032
+130110.765350
+130201.813070
+130287.958766
+130369.199194
+130445.531295
+130516.952196
+130583.459207
+130645.049825
+130701.721730
+130753.472790
+130800.301055
+130842.204763
+130879.182336
+130911.232381
+130938.353693
+130960.545249
+130977.806215
+130990.135941
+130997.533962
+131000.000000
+130997.533962
+130990.135941
+130977.806215
+130960.545249
+130938.353693
+130911.232381
+130879.182336
+130842.204763
+130800.301055
+130753.472790
+130701.721730
+130645.049825
+130583.459207
+130516.952196
+130445.531295
+130369.199194
+130287.958766
+130201.813070
+130110.765350
+130014.819032
+129913.977731
+129808.245241
+129697.625544
+129582.122805
+129461.741373
+129336.485779
+129206.360739
+129071.371153
+128931.522103
+128786.818853
+128637.266853
+128482.871733
+128323.639305
+128159.575564
+127990.686688
+127816.979035
+127638.459145
+127455.133739
+127267.009719
+127074.094168
+126876.394350
+126673.917707
+126466.671862
+126254.664619
+126037.903959
+125816.398043
+125590.155211
+125359.183981
+125123.493048
+124883.091286
+124637.987747
+124388.191658
+124133.712423
+123874.559625
+123610.743019
+123342.272539
+123069.158292
+122791.410561
+122509.039802
+122222.056647
+121930.471901
+121634.296542
+121333.541720
+121028.218759
+120718.339154
+120403.914571
+120084.956850
+119761.477997
+119433.490193
+119101.005785
+118764.037291
+118422.597399
+118076.698963
+117726.355006
+117371.578718
+117012.383457
+116648.782745
+116280.790273
+115908.419894
+115531.685630
+115150.601662
+114765.182340
+114375.442173
+113981.395835
+113583.058163
+113180.444152
+112773.568962
+112362.447910
+111947.096476
+111527.530297
+111103.765169
+110675.817048
+110243.702044
+109807.436428
+109367.036623
+108922.519212
+108473.900929
+108021.198665
+107564.429464
+107103.610523
+106638.759191
+106169.892971
+105697.029514
+105220.186624
+104739.382253
+104254.634504
+103765.961626
+103273.382019
+102776.914227
+102276.576943
+101772.389003
+101264.369391
+100752.537232
+100236.911797
+99717.512498
+99194.358892
+98667.470675
+98136.867683
+97602.569893
+97064.597421
+96522.970523
+95977.709589
+95428.835149
+94876.367867
+94320.328543
+93760.738112
+93197.617643
+92630.988335
+92060.871524
+91487.288673
+90910.261377
+90329.811361
+89745.960478
+89158.730711
+88568.144168
+87974.223085
+87376.989822
+86776.466864
+86172.676822
+85565.642427
+84955.386534
+84341.932119
+83725.302277
+83105.520225
+82482.609298
+81856.592947
+81227.494741
+80595.338366
+79960.147622
+79321.946424
+78680.758799
+78036.608889
+77389.520944
+76739.519327
+76086.628511
+75430.873076
+74772.277711
+74110.867213
+73446.666482
+72779.700526
+72109.994455
+71437.573483
+70762.462928
+70084.688205
+69404.274834
+68721.248431
+68035.634712
+67347.459489
+66656.748673
+65963.528268
+65267.824373
+64569.663182
+63869.070979
+63166.074142
+62460.699138
+61752.972524
+61042.920946
+60330.571136
+59615.949914
+58899.084185
+58180.000939
+57458.727249
+56735.290270
+56009.717239
+55282.035475
+54552.272373
+53820.455409
+53086.612135
+52350.770180
+51612.957248
+50873.201118
+50131.529640
+49387.970738
+48642.552408
+47895.302712
+47146.249786
+46395.421830
+45642.847113
+44888.553968
+44132.570794
+43374.926055
+42615.648273
+41854.766037
+41092.307992
+40328.302845
+39562.779361
+38795.766360
+38027.292720
+37257.387375
+36486.079309
+35713.397564
+34939.371229
+34164.029447
+33387.401408
+32609.516353
+31830.403567
+31050.092385
+30268.612185
+29485.992388
+28702.262461
+27917.451909
+27131.590281
+26344.707164
+25556.832184
+24767.995004
+23978.225322
+23187.552874
+22396.007428
+21603.618784
+20810.416777
+20016.431269
+19221.692154
+18426.229353
+17630.072814
+16833.252514
+16035.798451
+15237.740649
+14439.109155
+13639.934037
+12840.245383
+12040.073301
+11239.447917
+10438.399374
+9636.957832
+8835.153463
+8033.016456
+7230.577010
+6427.865337
+5624.911658
+4821.746205
+4018.399216
+3214.900937
+2411.281618
+1607.571515
+803.800889
diff --git a/applications/lofar1/pft2/tb/data/impulse_1.re b/applications/lofar1/pft2/tb/data/impulse_1.re
new file mode 100644
index 0000000000000000000000000000000000000000..25763fb3e7065edcc7fbf4965d21b60541404db1
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/impulse_1.re
@@ -0,0 +1,1024 @@
+131000.000000
+130997.533962
+130990.135941
+130977.806215
+130960.545249
+130938.353693
+130911.232381
+130879.182336
+130842.204763
+130800.301055
+130753.472790
+130701.721730
+130645.049825
+130583.459207
+130516.952196
+130445.531295
+130369.199194
+130287.958766
+130201.813070
+130110.765350
+130014.819032
+129913.977731
+129808.245241
+129697.625544
+129582.122805
+129461.741373
+129336.485779
+129206.360739
+129071.371153
+128931.522103
+128786.818853
+128637.266853
+128482.871733
+128323.639305
+128159.575564
+127990.686688
+127816.979035
+127638.459145
+127455.133739
+127267.009719
+127074.094168
+126876.394350
+126673.917707
+126466.671862
+126254.664619
+126037.903959
+125816.398043
+125590.155211
+125359.183981
+125123.493048
+124883.091286
+124637.987747
+124388.191658
+124133.712423
+123874.559625
+123610.743019
+123342.272539
+123069.158292
+122791.410561
+122509.039802
+122222.056647
+121930.471901
+121634.296542
+121333.541720
+121028.218759
+120718.339154
+120403.914571
+120084.956850
+119761.477997
+119433.490193
+119101.005785
+118764.037291
+118422.597399
+118076.698963
+117726.355006
+117371.578718
+117012.383457
+116648.782745
+116280.790273
+115908.419894
+115531.685630
+115150.601662
+114765.182340
+114375.442173
+113981.395835
+113583.058163
+113180.444152
+112773.568962
+112362.447910
+111947.096476
+111527.530297
+111103.765169
+110675.817048
+110243.702044
+109807.436428
+109367.036623
+108922.519212
+108473.900929
+108021.198665
+107564.429464
+107103.610523
+106638.759191
+106169.892971
+105697.029514
+105220.186624
+104739.382253
+104254.634504
+103765.961626
+103273.382019
+102776.914227
+102276.576943
+101772.389003
+101264.369391
+100752.537232
+100236.911797
+99717.512498
+99194.358892
+98667.470675
+98136.867683
+97602.569893
+97064.597421
+96522.970523
+95977.709589
+95428.835149
+94876.367867
+94320.328543
+93760.738112
+93197.617643
+92630.988335
+92060.871524
+91487.288673
+90910.261377
+90329.811361
+89745.960478
+89158.730711
+88568.144168
+87974.223085
+87376.989822
+86776.466864
+86172.676822
+85565.642427
+84955.386534
+84341.932119
+83725.302277
+83105.520225
+82482.609298
+81856.592947
+81227.494741
+80595.338366
+79960.147622
+79321.946424
+78680.758799
+78036.608889
+77389.520944
+76739.519327
+76086.628511
+75430.873076
+74772.277711
+74110.867213
+73446.666482
+72779.700526
+72109.994455
+71437.573483
+70762.462928
+70084.688205
+69404.274834
+68721.248431
+68035.634712
+67347.459489
+66656.748673
+65963.528268
+65267.824373
+64569.663182
+63869.070979
+63166.074142
+62460.699138
+61752.972524
+61042.920946
+60330.571136
+59615.949914
+58899.084185
+58180.000939
+57458.727249
+56735.290270
+56009.717239
+55282.035475
+54552.272373
+53820.455409
+53086.612135
+52350.770180
+51612.957248
+50873.201118
+50131.529640
+49387.970738
+48642.552408
+47895.302712
+47146.249786
+46395.421830
+45642.847113
+44888.553968
+44132.570794
+43374.926055
+42615.648273
+41854.766037
+41092.307992
+40328.302845
+39562.779361
+38795.766360
+38027.292720
+37257.387375
+36486.079309
+35713.397564
+34939.371229
+34164.029447
+33387.401408
+32609.516353
+31830.403567
+31050.092385
+30268.612185
+29485.992388
+28702.262461
+27917.451909
+27131.590281
+26344.707164
+25556.832184
+24767.995004
+23978.225322
+23187.552874
+22396.007428
+21603.618784
+20810.416777
+20016.431269
+19221.692154
+18426.229353
+17630.072814
+16833.252514
+16035.798451
+15237.740649
+14439.109155
+13639.934037
+12840.245383
+12040.073301
+11239.447917
+10438.399374
+9636.957832
+8835.153463
+8033.016456
+7230.577010
+6427.865337
+5624.911658
+4821.746205
+4018.399216
+3214.900937
+2411.281618
+1607.571515
+803.800889
+0.000000
+-803.800889
+-1607.571515
+-2411.281618
+-3214.900937
+-4018.399216
+-4821.746205
+-5624.911658
+-6427.865337
+-7230.577010
+-8033.016456
+-8835.153463
+-9636.957832
+-10438.399374
+-11239.447917
+-12040.073301
+-12840.245383
+-13639.934037
+-14439.109155
+-15237.740649
+-16035.798451
+-16833.252514
+-17630.072814
+-18426.229353
+-19221.692154
+-20016.431269
+-20810.416777
+-21603.618784
+-22396.007428
+-23187.552874
+-23978.225322
+-24767.995004
+-25556.832184
+-26344.707164
+-27131.590281
+-27917.451909
+-28702.262461
+-29485.992388
+-30268.612185
+-31050.092385
+-31830.403567
+-32609.516353
+-33387.401408
+-34164.029447
+-34939.371229
+-35713.397564
+-36486.079309
+-37257.387375
+-38027.292720
+-38795.766360
+-39562.779361
+-40328.302845
+-41092.307992
+-41854.766037
+-42615.648273
+-43374.926055
+-44132.570794
+-44888.553968
+-45642.847113
+-46395.421830
+-47146.249786
+-47895.302712
+-48642.552408
+-49387.970738
+-50131.529640
+-50873.201118
+-51612.957248
+-52350.770180
+-53086.612135
+-53820.455409
+-54552.272373
+-55282.035475
+-56009.717239
+-56735.290270
+-57458.727249
+-58180.000939
+-58899.084185
+-59615.949914
+-60330.571136
+-61042.920946
+-61752.972524
+-62460.699138
+-63166.074142
+-63869.070979
+-64569.663182
+-65267.824373
+-65963.528268
+-66656.748673
+-67347.459489
+-68035.634712
+-68721.248431
+-69404.274834
+-70084.688205
+-70762.462928
+-71437.573483
+-72109.994455
+-72779.700526
+-73446.666482
+-74110.867213
+-74772.277711
+-75430.873076
+-76086.628511
+-76739.519327
+-77389.520944
+-78036.608889
+-78680.758799
+-79321.946424
+-79960.147622
+-80595.338366
+-81227.494741
+-81856.592947
+-82482.609298
+-83105.520225
+-83725.302277
+-84341.932119
+-84955.386534
+-85565.642427
+-86172.676822
+-86776.466864
+-87376.989822
+-87974.223085
+-88568.144168
+-89158.730711
+-89745.960478
+-90329.811361
+-90910.261377
+-91487.288673
+-92060.871524
+-92630.988335
+-93197.617643
+-93760.738112
+-94320.328543
+-94876.367867
+-95428.835149
+-95977.709589
+-96522.970523
+-97064.597421
+-97602.569893
+-98136.867683
+-98667.470675
+-99194.358892
+-99717.512498
+-100236.911797
+-100752.537232
+-101264.369391
+-101772.389003
+-102276.576943
+-102776.914227
+-103273.382019
+-103765.961626
+-104254.634504
+-104739.382253
+-105220.186624
+-105697.029514
+-106169.892971
+-106638.759191
+-107103.610523
+-107564.429464
+-108021.198665
+-108473.900929
+-108922.519212
+-109367.036623
+-109807.436428
+-110243.702044
+-110675.817048
+-111103.765169
+-111527.530297
+-111947.096476
+-112362.447910
+-112773.568962
+-113180.444152
+-113583.058163
+-113981.395835
+-114375.442173
+-114765.182340
+-115150.601662
+-115531.685630
+-115908.419894
+-116280.790273
+-116648.782745
+-117012.383457
+-117371.578718
+-117726.355006
+-118076.698963
+-118422.597399
+-118764.037291
+-119101.005785
+-119433.490193
+-119761.477997
+-120084.956850
+-120403.914571
+-120718.339154
+-121028.218759
+-121333.541720
+-121634.296542
+-121930.471901
+-122222.056647
+-122509.039802
+-122791.410561
+-123069.158292
+-123342.272539
+-123610.743019
+-123874.559625
+-124133.712423
+-124388.191658
+-124637.987747
+-124883.091286
+-125123.493048
+-125359.183981
+-125590.155211
+-125816.398043
+-126037.903959
+-126254.664619
+-126466.671862
+-126673.917707
+-126876.394350
+-127074.094168
+-127267.009719
+-127455.133739
+-127638.459145
+-127816.979035
+-127990.686688
+-128159.575564
+-128323.639305
+-128482.871733
+-128637.266853
+-128786.818853
+-128931.522103
+-129071.371153
+-129206.360739
+-129336.485779
+-129461.741373
+-129582.122805
+-129697.625544
+-129808.245241
+-129913.977731
+-130014.819032
+-130110.765350
+-130201.813070
+-130287.958766
+-130369.199194
+-130445.531295
+-130516.952196
+-130583.459207
+-130645.049825
+-130701.721730
+-130753.472790
+-130800.301055
+-130842.204763
+-130879.182336
+-130911.232381
+-130938.353693
+-130960.545249
+-130977.806215
+-130990.135941
+-130997.533962
+-131000.000000
+-130997.533962
+-130990.135941
+-130977.806215
+-130960.545249
+-130938.353693
+-130911.232381
+-130879.182336
+-130842.204763
+-130800.301055
+-130753.472790
+-130701.721730
+-130645.049825
+-130583.459207
+-130516.952196
+-130445.531295
+-130369.199194
+-130287.958766
+-130201.813070
+-130110.765350
+-130014.819032
+-129913.977731
+-129808.245241
+-129697.625544
+-129582.122805
+-129461.741373
+-129336.485779
+-129206.360739
+-129071.371153
+-128931.522103
+-128786.818853
+-128637.266853
+-128482.871733
+-128323.639305
+-128159.575564
+-127990.686688
+-127816.979035
+-127638.459145
+-127455.133739
+-127267.009719
+-127074.094168
+-126876.394350
+-126673.917707
+-126466.671862
+-126254.664619
+-126037.903959
+-125816.398043
+-125590.155211
+-125359.183981
+-125123.493048
+-124883.091286
+-124637.987747
+-124388.191658
+-124133.712423
+-123874.559625
+-123610.743019
+-123342.272539
+-123069.158292
+-122791.410561
+-122509.039802
+-122222.056647
+-121930.471901
+-121634.296542
+-121333.541720
+-121028.218759
+-120718.339154
+-120403.914571
+-120084.956850
+-119761.477997
+-119433.490193
+-119101.005785
+-118764.037291
+-118422.597399
+-118076.698963
+-117726.355006
+-117371.578718
+-117012.383457
+-116648.782745
+-116280.790273
+-115908.419894
+-115531.685630
+-115150.601662
+-114765.182340
+-114375.442173
+-113981.395835
+-113583.058163
+-113180.444152
+-112773.568962
+-112362.447910
+-111947.096476
+-111527.530297
+-111103.765169
+-110675.817048
+-110243.702044
+-109807.436428
+-109367.036623
+-108922.519212
+-108473.900929
+-108021.198665
+-107564.429464
+-107103.610523
+-106638.759191
+-106169.892971
+-105697.029514
+-105220.186624
+-104739.382253
+-104254.634504
+-103765.961626
+-103273.382019
+-102776.914227
+-102276.576943
+-101772.389003
+-101264.369391
+-100752.537232
+-100236.911797
+-99717.512498
+-99194.358892
+-98667.470675
+-98136.867683
+-97602.569893
+-97064.597421
+-96522.970523
+-95977.709589
+-95428.835149
+-94876.367867
+-94320.328543
+-93760.738112
+-93197.617643
+-92630.988335
+-92060.871524
+-91487.288673
+-90910.261377
+-90329.811361
+-89745.960478
+-89158.730711
+-88568.144168
+-87974.223085
+-87376.989822
+-86776.466864
+-86172.676822
+-85565.642427
+-84955.386534
+-84341.932119
+-83725.302277
+-83105.520225
+-82482.609298
+-81856.592947
+-81227.494741
+-80595.338366
+-79960.147622
+-79321.946424
+-78680.758799
+-78036.608889
+-77389.520944
+-76739.519327
+-76086.628511
+-75430.873076
+-74772.277711
+-74110.867213
+-73446.666482
+-72779.700526
+-72109.994455
+-71437.573483
+-70762.462928
+-70084.688205
+-69404.274834
+-68721.248431
+-68035.634712
+-67347.459489
+-66656.748673
+-65963.528268
+-65267.824373
+-64569.663182
+-63869.070979
+-63166.074142
+-62460.699138
+-61752.972524
+-61042.920946
+-60330.571136
+-59615.949914
+-58899.084185
+-58180.000939
+-57458.727249
+-56735.290270
+-56009.717239
+-55282.035475
+-54552.272373
+-53820.455409
+-53086.612135
+-52350.770180
+-51612.957248
+-50873.201118
+-50131.529640
+-49387.970738
+-48642.552408
+-47895.302712
+-47146.249786
+-46395.421830
+-45642.847113
+-44888.553968
+-44132.570794
+-43374.926055
+-42615.648273
+-41854.766037
+-41092.307992
+-40328.302845
+-39562.779361
+-38795.766360
+-38027.292720
+-37257.387375
+-36486.079309
+-35713.397564
+-34939.371229
+-34164.029447
+-33387.401408
+-32609.516353
+-31830.403567
+-31050.092385
+-30268.612185
+-29485.992388
+-28702.262461
+-27917.451909
+-27131.590281
+-26344.707164
+-25556.832184
+-24767.995004
+-23978.225322
+-23187.552874
+-22396.007428
+-21603.618784
+-20810.416777
+-20016.431269
+-19221.692154
+-18426.229353
+-17630.072814
+-16833.252514
+-16035.798451
+-15237.740649
+-14439.109155
+-13639.934037
+-12840.245383
+-12040.073301
+-11239.447917
+-10438.399374
+-9636.957832
+-8835.153463
+-8033.016456
+-7230.577010
+-6427.865337
+-5624.911658
+-4821.746205
+-4018.399216
+-3214.900937
+-2411.281618
+-1607.571515
+-803.800889
+0.000000
+803.800889
+1607.571515
+2411.281618
+3214.900937
+4018.399216
+4821.746205
+5624.911658
+6427.865337
+7230.577010
+8033.016456
+8835.153463
+9636.957832
+10438.399374
+11239.447917
+12040.073301
+12840.245383
+13639.934037
+14439.109155
+15237.740649
+16035.798451
+16833.252514
+17630.072814
+18426.229353
+19221.692154
+20016.431269
+20810.416777
+21603.618784
+22396.007428
+23187.552874
+23978.225322
+24767.995004
+25556.832184
+26344.707164
+27131.590281
+27917.451909
+28702.262461
+29485.992388
+30268.612185
+31050.092385
+31830.403567
+32609.516353
+33387.401408
+34164.029447
+34939.371229
+35713.397564
+36486.079309
+37257.387375
+38027.292720
+38795.766360
+39562.779361
+40328.302845
+41092.307992
+41854.766037
+42615.648273
+43374.926055
+44132.570794
+44888.553968
+45642.847113
+46395.421830
+47146.249786
+47895.302712
+48642.552408
+49387.970738
+50131.529640
+50873.201118
+51612.957248
+52350.770180
+53086.612135
+53820.455409
+54552.272373
+55282.035475
+56009.717239
+56735.290270
+57458.727249
+58180.000939
+58899.084185
+59615.949914
+60330.571136
+61042.920946
+61752.972524
+62460.699138
+63166.074142
+63869.070979
+64569.663182
+65267.824373
+65963.528268
+66656.748673
+67347.459489
+68035.634712
+68721.248431
+69404.274834
+70084.688205
+70762.462928
+71437.573483
+72109.994455
+72779.700526
+73446.666482
+74110.867213
+74772.277711
+75430.873076
+76086.628511
+76739.519327
+77389.520944
+78036.608889
+78680.758799
+79321.946424
+79960.147622
+80595.338366
+81227.494741
+81856.592947
+82482.609298
+83105.520225
+83725.302277
+84341.932119
+84955.386534
+85565.642427
+86172.676822
+86776.466864
+87376.989822
+87974.223085
+88568.144168
+89158.730711
+89745.960478
+90329.811361
+90910.261377
+91487.288673
+92060.871524
+92630.988335
+93197.617643
+93760.738112
+94320.328543
+94876.367867
+95428.835149
+95977.709589
+96522.970523
+97064.597421
+97602.569893
+98136.867683
+98667.470675
+99194.358892
+99717.512498
+100236.911797
+100752.537232
+101264.369391
+101772.389003
+102276.576943
+102776.914227
+103273.382019
+103765.961626
+104254.634504
+104739.382253
+105220.186624
+105697.029514
+106169.892971
+106638.759191
+107103.610523
+107564.429464
+108021.198665
+108473.900929
+108922.519212
+109367.036623
+109807.436428
+110243.702044
+110675.817048
+111103.765169
+111527.530297
+111947.096476
+112362.447910
+112773.568962
+113180.444152
+113583.058163
+113981.395835
+114375.442173
+114765.182340
+115150.601662
+115531.685630
+115908.419894
+116280.790273
+116648.782745
+117012.383457
+117371.578718
+117726.355006
+118076.698963
+118422.597399
+118764.037291
+119101.005785
+119433.490193
+119761.477997
+120084.956850
+120403.914571
+120718.339154
+121028.218759
+121333.541720
+121634.296542
+121930.471901
+122222.056647
+122509.039802
+122791.410561
+123069.158292
+123342.272539
+123610.743019
+123874.559625
+124133.712423
+124388.191658
+124637.987747
+124883.091286
+125123.493048
+125359.183981
+125590.155211
+125816.398043
+126037.903959
+126254.664619
+126466.671862
+126673.917707
+126876.394350
+127074.094168
+127267.009719
+127455.133739
+127638.459145
+127816.979035
+127990.686688
+128159.575564
+128323.639305
+128482.871733
+128637.266853
+128786.818853
+128931.522103
+129071.371153
+129206.360739
+129336.485779
+129461.741373
+129582.122805
+129697.625544
+129808.245241
+129913.977731
+130014.819032
+130110.765350
+130201.813070
+130287.958766
+130369.199194
+130445.531295
+130516.952196
+130583.459207
+130645.049825
+130701.721730
+130753.472790
+130800.301055
+130842.204763
+130879.182336
+130911.232381
+130938.353693
+130960.545249
+130977.806215
+130990.135941
+130997.533962
diff --git a/applications/lofar1/pft2/tb/data/sinus_1.im b/applications/lofar1/pft2/tb/data/sinus_1.im
new file mode 100644
index 0000000000000000000000000000000000000000..cfe8ad4c52b92f897aefa4dad7562895a77b458d
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/sinus_1.im
@@ -0,0 +1,1024 @@
+0.000000
+-67072002.936860
+0.000000
+-22.099055
+0.000000
+-0.212586
+0.000000
+23.528122
+0.000000
+6.295358
+0.000000
+8.266215
+0.000000
+-0.091964
+0.000000
+-13.835926
+0.000000
+8.499227
+0.000000
+-1.587890
+0.000000
+2.270459
+0.000000
+-3.763615
+0.000000
+-2.300530
+0.000000
+14.702789
+0.000000
+11.180432
+0.000000
+4.259274
+0.000000
+-6.358329
+0.000000
+2.098656
+0.000000
+-0.101694
+0.000000
+-11.683707
+0.000000
+-32.405202
+0.000000
+-5.995771
+0.000000
+-6.872080
+0.000000
+-1.372631
+0.000000
+14.917847
+0.000000
+8.553844
+0.000000
+-22.238255
+0.000000
+17.219319
+0.000000
+-16.513754
+0.000000
+14.998168
+0.000000
+-10.758218
+0.000000
+-8.045941
+0.000000
+-24.486976
+0.000000
+9.613151
+0.000000
+-5.688583
+0.000000
+8.385816
+0.000000
+3.416765
+0.000000
+-4.155836
+0.000000
+-2.354702
+0.000000
+3.895756
+0.000000
+0.025954
+0.000000
+-19.479308
+0.000000
+10.795067
+0.000000
+3.420875
+0.000000
+16.705095
+0.000000
+28.370178
+0.000000
+-8.272897
+0.000000
+25.967668
+0.000000
+-0.747202
+0.000000
+10.302088
+0.000000
+-11.702364
+0.000000
+-2.705850
+0.000000
+36.112379
+0.000000
+-11.168564
+0.000000
+12.609514
+0.000000
+-14.926297
+0.000000
+-29.995494
+0.000000
+7.524290
+0.000000
+0.561018
+0.000000
+-1.216307
+0.000000
+-5.603243
+0.000000
+-12.535694
+0.000000
+12.777977
+0.000000
+15.313020
+0.000000
+-12.533462
+0.000000
+-31.931775
+0.000000
+-14.171678
+0.000000
+-9.404224
+0.000000
+0.478472
+0.000000
+2.386642
+0.000000
+-0.220147
+0.000000
+5.776409
+0.000000
+-1.012246
+0.000000
+-8.124947
+0.000000
+8.934418
+0.000000
+-17.529273
+0.000000
+-20.189581
+0.000000
+3.830725
+0.000000
+16.268526
+0.000000
+-16.861382
+0.000000
+-14.980338
+0.000000
+2.138151
+0.000000
+24.015176
+0.000000
+-12.371268
+0.000000
+-35.042396
+0.000000
+3.074771
+0.000000
+1.726070
+0.000000
+-3.842605
+0.000000
+3.679795
+0.000000
+15.747858
+0.000000
+5.248983
+0.000000
+-3.367812
+0.000000
+-12.679332
+0.000000
+-17.614058
+0.000000
+-7.551545
+0.000000
+7.175915
+0.000000
+0.752705
+0.000000
+-34.467136
+0.000000
+9.743164
+0.000000
+-10.408623
+0.000000
+-2.666785
+0.000000
+4.193842
+0.000000
+-11.712613
+0.000000
+14.493512
+0.000000
+18.192235
+0.000000
+31.551387
+0.000000
+-29.741654
+0.000000
+15.128761
+0.000000
+-9.329436
+0.000000
+-27.410766
+0.000000
+16.396660
+0.000000
+-8.422886
+0.000000
+-14.105541
+0.000000
+-9.661955
+0.000000
+10.578082
+0.000000
+-23.149308
+0.000000
+-22.759070
+0.000000
+-10.654984
+0.000000
+27.378512
+0.000000
+5.488226
+0.000000
+-1.831857
+0.000000
+30.529255
+0.000000
+7.251411
+0.000000
+-4.064737
+0.000000
+4.605121
+0.000000
+-3.394078
+0.000000
+-7.179187
+0.000000
+-14.812683
+0.000000
+-7.115838
+0.000000
+14.108741
+0.000000
+-11.689861
+0.000000
+13.537655
+0.000000
+-3.772116
+0.000000
+7.877508
+0.000000
+-2.700824
+0.000000
+-5.272106
+0.000000
+-4.450223
+0.000000
+-3.535553
+0.000000
+-43.599088
+0.000000
+5.314605
+0.000000
+-11.356012
+0.000000
+13.634679
+0.000000
+3.684240
+0.000000
+15.837909
+0.000000
+-10.659401
+0.000000
+4.444965
+0.000000
+-8.924167
+0.000000
+-0.925673
+0.000000
+15.352035
+0.000000
+6.713462
+0.000000
+15.743267
+0.000000
+-7.886453
+0.000000
+2.805977
+0.000000
+5.920690
+0.000000
+-24.547280
+0.000000
+-9.457710
+0.000000
+27.620727
+0.000000
+-3.955539
+0.000000
+-13.563618
+0.000000
+4.934604
+0.000000
+12.908707
+0.000000
+-1.814486
+0.000000
+7.427993
+0.000000
+15.584406
+0.000000
+0.337752
+0.000000
+-9.999393
+0.000000
+20.614946
+0.000000
+-29.302256
+0.000000
+-4.023389
+0.000000
+9.315353
+0.000000
+-3.348369
+0.000000
+27.067877
+0.000000
+-11.305833
+0.000000
+-9.155543
+0.000000
+4.775541
+0.000000
+-3.482195
+0.000000
+-11.516314
+0.000000
+11.905728
+0.000000
+-19.138379
+0.000000
+-20.633196
+0.000000
+-1.701520
+0.000000
+-3.465559
+0.000000
+-6.281184
+0.000000
+14.484849
+0.000000
+3.967915
+0.000000
+-20.170786
+0.000000
+-3.042477
+0.000000
+10.721890
+0.000000
+23.583245
+0.000000
+-13.563712
+0.000000
+-13.589460
+0.000000
+-14.335230
+0.000000
+-0.134412
+0.000000
+-4.372280
+0.000000
+7.311047
+0.000000
+-8.784500
+0.000000
+10.379036
+0.000000
+-6.604451
+0.000000
+-0.144913
+0.000000
+8.248513
+0.000000
+20.691641
+0.000000
+-21.487196
+0.000000
+-1.673233
+0.000000
+0.229383
+0.000000
+0.039508
+0.000000
+36.495150
+0.000000
+19.087444
+0.000000
+-12.038925
+0.000000
+19.884444
+0.000000
+13.593137
+0.000000
+16.128602
+0.000000
+5.430124
+0.000000
+3.733465
+0.000000
+-6.514450
+0.000000
+1.309108
+0.000000
+-34.574054
+0.000000
+-5.089687
+0.000000
+3.087154
+0.000000
+-4.431996
+0.000000
+-11.830501
+0.000000
+12.754587
+0.000000
+8.620244
+0.000000
+23.430605
+0.000000
+15.594396
+0.000000
+-2.032755
+0.000000
+7.906965
+0.000000
+4.626442
+0.000000
+14.933553
+0.000000
+12.405753
+0.000000
+-4.719061
+0.000000
+-8.830767
+0.000000
+7.476651
+0.000000
+16.622330
+0.000000
+-4.966734
+0.000000
+4.166903
+0.000000
+0.830210
+0.000000
+8.092950
+0.000000
+-4.242637
+0.000000
+18.778348
+0.000000
+4.252439
+0.000000
+-8.652756
+0.000000
+5.780228
+0.000000
+-13.494756
+0.000000
+-3.431774
+0.000000
+2.641077
+0.000000
+25.806750
+0.000000
+3.603761
+0.000000
+3.370909
+0.000000
+3.755798
+0.000000
+-8.611079
+0.000000
+-2.746078
+0.000000
+-11.829935
+0.000000
+-9.925066
+0.000000
+5.311252
+0.000000
+27.359663
+0.000000
+-7.065096
+0.000000
+7.065096
+0.000000
+-27.359663
+0.000000
+-5.311252
+0.000000
+9.925066
+0.000000
+11.829935
+0.000000
+2.746078
+0.000000
+8.611079
+0.000000
+-3.755798
+0.000000
+-3.370909
+0.000000
+-3.603761
+0.000000
+-25.806750
+0.000000
+-2.641077
+0.000000
+3.431774
+0.000000
+13.494756
+0.000000
+-5.780228
+0.000000
+8.652756
+0.000000
+-4.252439
+0.000000
+-18.778348
+0.000000
+4.242637
+0.000000
+-8.092950
+0.000000
+-0.830210
+0.000000
+-4.166903
+0.000000
+4.966734
+0.000000
+-16.622330
+0.000000
+-7.476651
+0.000000
+8.830767
+0.000000
+4.719061
+0.000000
+-12.405753
+0.000000
+-14.933553
+0.000000
+-4.626442
+0.000000
+-7.906965
+0.000000
+2.032755
+0.000000
+-15.594396
+0.000000
+-23.430605
+0.000000
+-8.620244
+0.000000
+-12.754587
+0.000000
+11.830501
+0.000000
+4.431996
+0.000000
+-3.087154
+0.000000
+5.089687
+0.000000
+34.574054
+0.000000
+-1.309108
+0.000000
+6.514450
+0.000000
+-3.733465
+0.000000
+-5.430124
+0.000000
+-16.128602
+0.000000
+-13.593137
+0.000000
+-19.884444
+0.000000
+12.038925
+0.000000
+-19.087444
+0.000000
+-36.495150
+0.000000
+-0.039508
+0.000000
+-0.229383
+0.000000
+1.673233
+0.000000
+21.487196
+0.000000
+-20.691641
+0.000000
+-8.248513
+0.000000
+0.144913
+0.000000
+6.604451
+0.000000
+-10.379036
+0.000000
+8.784500
+0.000000
+-7.311047
+0.000000
+4.372280
+0.000000
+0.134412
+0.000000
+14.335230
+0.000000
+13.589460
+0.000000
+13.563712
+0.000000
+-23.583245
+0.000000
+-10.721890
+0.000000
+3.042477
+0.000000
+20.170786
+0.000000
+-3.967915
+0.000000
+-14.484849
+0.000000
+6.281184
+0.000000
+3.465559
+0.000000
+1.701520
+0.000000
+20.633196
+0.000000
+19.138379
+0.000000
+-11.905728
+0.000000
+11.516314
+0.000000
+3.482195
+0.000000
+-4.775541
+0.000000
+9.155543
+0.000000
+11.305833
+0.000000
+-27.067877
+0.000000
+3.348369
+0.000000
+-9.315353
+0.000000
+4.023389
+0.000000
+29.302256
+0.000000
+-20.614946
+0.000000
+9.999393
+0.000000
+-0.337752
+0.000000
+-15.584406
+0.000000
+-7.427993
+0.000000
+1.814486
+0.000000
+-12.908707
+0.000000
+-4.934604
+0.000000
+13.563618
+0.000000
+3.955539
+0.000000
+-27.620727
+0.000000
+9.457710
+0.000000
+24.547280
+0.000000
+-5.920690
+0.000000
+-2.805977
+0.000000
+7.886453
+0.000000
+-15.743267
+0.000000
+-6.713462
+0.000000
+-15.352035
+0.000000
+0.925673
+0.000000
+8.924167
+0.000000
+-4.444965
+0.000000
+10.659401
+0.000000
+-15.837909
+0.000000
+-3.684240
+0.000000
+-13.634679
+0.000000
+11.356012
+0.000000
+-5.314605
+0.000000
+43.599088
+0.000000
+3.535553
+0.000000
+4.450223
+0.000000
+5.272106
+0.000000
+2.700824
+0.000000
+-7.877508
+0.000000
+3.772116
+0.000000
+-13.537655
+0.000000
+11.689861
+0.000000
+-14.108741
+0.000000
+7.115838
+0.000000
+14.812683
+0.000000
+7.179187
+0.000000
+3.394078
+0.000000
+-4.605121
+0.000000
+4.064737
+0.000000
+-7.251411
+0.000000
+-30.529255
+0.000000
+1.831857
+0.000000
+-5.488226
+0.000000
+-27.378512
+0.000000
+10.654984
+0.000000
+22.759070
+0.000000
+23.149308
+0.000000
+-10.578082
+0.000000
+9.661955
+0.000000
+14.105541
+0.000000
+8.422886
+0.000000
+-16.396660
+0.000000
+27.410766
+0.000000
+9.329436
+0.000000
+-15.128761
+0.000000
+29.741654
+0.000000
+-31.551387
+0.000000
+-18.192235
+0.000000
+-14.493512
+0.000000
+11.712613
+0.000000
+-4.193842
+0.000000
+2.666785
+0.000000
+10.408623
+0.000000
+-9.743164
+0.000000
+34.467136
+0.000000
+-0.752705
+0.000000
+-7.175915
+0.000000
+7.551545
+0.000000
+17.614058
+0.000000
+12.679332
+0.000000
+3.367812
+0.000000
+-5.248983
+0.000000
+-15.747858
+0.000000
+-3.679795
+0.000000
+3.842605
+0.000000
+-1.726070
+0.000000
+-3.074771
+0.000000
+35.042396
+0.000000
+12.371268
+0.000000
+-24.015176
+0.000000
+-2.138151
+0.000000
+14.980338
+0.000000
+16.861382
+0.000000
+-16.268526
+0.000000
+-3.830725
+0.000000
+20.189581
+0.000000
+17.529273
+0.000000
+-8.934418
+0.000000
+8.124947
+0.000000
+1.012246
+0.000000
+-5.776409
+0.000000
+0.220147
+0.000000
+-2.386642
+0.000000
+-0.478472
+0.000000
+9.404224
+0.000000
+14.171678
+0.000000
+31.931775
+0.000000
+12.533462
+0.000000
+-15.313020
+0.000000
+-12.777977
+0.000000
+12.535694
+0.000000
+5.603243
+0.000000
+1.216307
+0.000000
+-0.561018
+0.000000
+-7.524290
+0.000000
+29.995494
+0.000000
+14.926297
+0.000000
+-12.609514
+0.000000
+11.168564
+0.000000
+-36.112379
+0.000000
+2.705850
+0.000000
+11.702364
+0.000000
+-10.302088
+0.000000
+0.747202
+0.000000
+-25.967668
+0.000000
+8.272897
+0.000000
+-28.370178
+0.000000
+-16.705095
+0.000000
+-3.420875
+0.000000
+-10.795067
+0.000000
+19.479308
+0.000000
+-0.025954
+0.000000
+-3.895756
+0.000000
+2.354702
+0.000000
+4.155836
+0.000000
+-3.416765
+0.000000
+-8.385816
+0.000000
+5.688583
+0.000000
+-9.613151
+0.000000
+24.486976
+0.000000
+8.045941
+0.000000
+10.758218
+0.000000
+-14.998168
+0.000000
+16.513754
+0.000000
+-17.219319
+0.000000
+22.238255
+0.000000
+-8.553844
+0.000000
+-14.917847
+0.000000
+1.372631
+0.000000
+6.872080
+0.000000
+5.995771
+0.000000
+32.405202
+0.000000
+11.683707
+0.000000
+0.101694
+0.000000
+-2.098656
+0.000000
+6.358329
+0.000000
+-4.259274
+0.000000
+-11.180432
+0.000000
+-14.702789
+0.000000
+2.300530
+0.000000
+3.763615
+0.000000
+-2.270459
+0.000000
+1.587890
+0.000000
+-8.499227
+0.000000
+13.835926
+0.000000
+0.091964
+0.000000
+-8.266215
+0.000000
+-6.295358
+0.000000
+-23.528122
+0.000000
+0.212586
+0.000000
+22.099055
+0.000000
+67072002.936860
diff --git a/applications/lofar1/pft2/tb/data/sinus_1.re b/applications/lofar1/pft2/tb/data/sinus_1.re
new file mode 100644
index 0000000000000000000000000000000000000000..1a352dd45b76d29f95712a97ee54b0b31cb5dca8
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/sinus_1.re
@@ -0,0 +1,1024 @@
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+-0.000000
+0.000000
+-0.000000
diff --git a/applications/lofar1/pft2/tb/data/sinus_13.im b/applications/lofar1/pft2/tb/data/sinus_13.im
new file mode 100644
index 0000000000000000000000000000000000000000..b92141c9920ee838880baa6218c357c9ef052699
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/sinus_13.im
@@ -0,0 +1,1024 @@
+0.000000
+-6.269965
+0.000000
+-7.708823
+0.000000
+9.815724
+0.000000
+-15.171212
+0.000000
+-16.471167
+0.000000
+-2.342118
+0.000000
+-58086074.660002
+0.000000
+11.337704
+0.000000
+-7.892040
+0.000000
+-1.090148
+0.000000
+-8.858656
+0.000000
+0.602303
+0.000000
+-2.607475
+0.000000
+-9.605386
+0.000000
+20.904057
+0.000000
+-0.487316
+0.000000
+13.117588
+0.000000
+-2.918047
+0.000000
+4.996465
+0.000000
+-13.105017
+0.000000
+3.835169
+0.000000
+-14.707530
+0.000000
+-7.048226
+0.000000
+-4.575829
+0.000000
+-0.005130
+0.000000
+-8.286936
+0.000000
+9.903211
+0.000000
+7.817025
+0.000000
+-13.339491
+0.000000
+-8.433770
+0.000000
+-0.462996
+0.000000
+-1.598968
+0.000000
+18.123208
+0.000000
+-7.677380
+0.000000
+-2.650293
+0.000000
+-7.348481
+0.000000
+2.340905
+0.000000
+-1.837202
+0.000000
+4.768254
+0.000000
+4.947304
+0.000000
+5.543942
+0.000000
+-13.094756
+0.000000
+-0.618601
+0.000000
+14.019664
+0.000000
+-9.320041
+0.000000
+-5.560990
+0.000000
+5.657652
+0.000000
+-0.606029
+0.000000
+9.882371
+0.000000
+-0.101411
+0.000000
+-0.878986
+0.000000
+-9.241102
+0.000000
+10.424573
+0.000000
+1.439265
+0.000000
+-23.369594
+0.000000
+-9.299521
+0.000000
+-5.162866
+0.000000
+2.814043
+0.000000
+1.834453
+0.000000
+-8.175058
+0.000000
+-9.779784
+0.000000
+-0.263157
+0.000000
+-9.472850
+0.000000
+-7.206905
+0.000000
+7.379250
+0.000000
+-8.413468
+0.000000
+4.102254
+0.000000
+4.911398
+0.000000
+-9.482002
+0.000000
+-12.504550
+0.000000
+-6.089701
+0.000000
+5.889110
+0.000000
+0.913459
+0.000000
+1.875731
+0.000000
+-3.920440
+0.000000
+12.373373
+0.000000
+2.687774
+0.000000
+-15.053453
+0.000000
+-6.168630
+0.000000
+-15.371299
+0.000000
+-5.184689
+0.000000
+-3.193076
+0.000000
+-7.865099
+0.000000
+-5.318295
+0.000000
+-0.479064
+0.000000
+7.078806
+0.000000
+-13.563790
+0.000000
+2.524537
+0.000000
+-13.270764
+0.000000
+-3.897548
+0.000000
+11.519436
+0.000000
+23.118277
+0.000000
+22.586321
+0.000000
+11.984531
+0.000000
+17.809002
+0.000000
+-4.894919
+0.000000
+7.684810
+0.000000
+-2.165830
+0.000000
+-5.571148
+0.000000
+1.420977
+0.000000
+-13.243607
+0.000000
+1.187470
+0.000000
+-14.883182
+0.000000
+-18.900540
+0.000000
+1.249705
+0.000000
+-12.039314
+0.000000
+1.536607
+0.000000
+1.710195
+0.000000
+1.561239
+0.000000
+0.291530
+0.000000
+-11.107618
+0.000000
+5.919276
+0.000000
+1.150868
+0.000000
+1.390176
+0.000000
+7.063580
+0.000000
+14.058392
+0.000000
+-3.641424
+0.000000
+-7.931236
+0.000000
+16.554457
+0.000000
+10.923013
+0.000000
+19.210031
+0.000000
+-6.331835
+0.000000
+-8.482307
+0.000000
+-8.421935
+0.000000
+4.386152
+0.000000
+15.861072
+0.000000
+10.416540
+0.000000
+-4.355098
+0.000000
+9.933864
+0.000000
+-2.210825
+0.000000
+7.153680
+0.000000
+21.855618
+0.000000
+7.609476
+0.000000
+7.531139
+0.000000
+3.176563
+0.000000
+-11.122758
+0.000000
+-11.163094
+0.000000
+-16.371955
+0.000000
+8.870754
+0.000000
+10.294472
+0.000000
+-17.023501
+0.000000
+-0.974510
+0.000000
+2.103305
+0.000000
+3.544868
+0.000000
+2.999791
+0.000000
+-11.874199
+0.000000
+-3.731322
+0.000000
+8.501683
+0.000000
+-5.851921
+0.000000
+-0.304338
+0.000000
+-8.042048
+0.000000
+-5.023564
+0.000000
+0.648988
+0.000000
+3.424718
+0.000000
+-4.254619
+0.000000
+-17.420117
+0.000000
+5.782971
+0.000000
+-0.274640
+0.000000
+-7.351110
+0.000000
+2.761844
+0.000000
+-4.332061
+0.000000
+8.362226
+0.000000
+3.075268
+0.000000
+-11.084555
+0.000000
+1.599813
+0.000000
+-9.061009
+0.000000
+6.124929
+0.000000
+5.442058
+0.000000
+-3.127000
+0.000000
+-4.071914
+0.000000
+26.866903
+0.000000
+-24.675674
+0.000000
+-2.638644
+0.000000
+-8.234895
+0.000000
+0.015060
+0.000000
+0.629202
+0.000000
+7.726872
+0.000000
+-3.024829
+0.000000
+-1.568721
+0.000000
+5.745408
+0.000000
+-1.584559
+0.000000
+25.411216
+0.000000
+5.368921
+0.000000
+19.805679
+0.000000
+-2.978784
+0.000000
+15.133159
+0.000000
+2.538717
+0.000000
+0.553504
+0.000000
+-8.805485
+0.000000
+-5.539556
+0.000000
+-2.833757
+0.000000
+-12.492295
+0.000000
+6.417428
+0.000000
+-0.502677
+0.000000
+0.397984
+0.000000
+2.212504
+0.000000
+3.541944
+0.000000
+15.858543
+0.000000
+0.751688
+0.000000
+5.608374
+0.000000
+-7.274147
+0.000000
+-5.975475
+0.000000
+1.100027
+0.000000
+-7.699234
+0.000000
+-9.514119
+0.000000
+-0.582387
+0.000000
+6.625810
+0.000000
+8.854900
+0.000000
+-14.315553
+0.000000
+6.704504
+0.000000
+-9.581534
+0.000000
+5.386717
+0.000000
+-0.963533
+0.000000
+4.926547
+0.000000
+-5.152721
+0.000000
+5.601443
+0.000000
+-8.157106
+0.000000
+-7.316789
+0.000000
+-3.852323
+0.000000
+-15.946874
+0.000000
+-4.355418
+0.000000
+-10.889310
+0.000000
+-1.656822
+0.000000
+6.379121
+0.000000
+-7.493748
+0.000000
+-9.401385
+0.000000
+-2.391395
+0.000000
+8.689595
+0.000000
+8.027760
+0.000000
+-13.710542
+0.000000
+13.334635
+0.000000
+0.285620
+0.000000
+-10.981966
+0.000000
+-2.448810
+0.000000
+-4.240884
+0.000000
+5.826839
+0.000000
+-14.082599
+0.000000
+4.482504
+0.000000
+5.513489
+0.000000
+-9.477765
+0.000000
+6.460519
+0.000000
+-7.259030
+0.000000
+1.321188
+0.000000
+-4.380455
+0.000000
+14.790149
+0.000000
+-9.000004
+0.000000
+9.896216
+0.000000
+0.826123
+0.000000
+4.663372
+0.000000
+-6.715129
+0.000000
+15.792912
+0.000000
+-1.695183
+0.000000
+2.097866
+0.000000
+16.182244
+0.000000
+7.172318
+0.000000
+-10.981263
+0.000000
+10.981263
+0.000000
+-7.172318
+0.000000
+-16.182244
+0.000000
+-2.097866
+0.000000
+1.695183
+0.000000
+-15.792912
+0.000000
+6.715129
+0.000000
+-4.663372
+0.000000
+-0.826123
+0.000000
+-9.896216
+0.000000
+9.000004
+0.000000
+-14.790149
+0.000000
+4.380455
+0.000000
+-1.321188
+0.000000
+7.259030
+0.000000
+-6.460519
+0.000000
+9.477765
+0.000000
+-5.513489
+0.000000
+-4.482504
+0.000000
+14.082599
+0.000000
+-5.826839
+0.000000
+4.240884
+0.000000
+2.448810
+0.000000
+10.981966
+0.000000
+-0.285620
+0.000000
+-13.334635
+0.000000
+13.710542
+0.000000
+-8.027760
+0.000000
+-8.689595
+0.000000
+2.391395
+0.000000
+9.401385
+0.000000
+7.493748
+0.000000
+-6.379121
+0.000000
+1.656822
+0.000000
+10.889310
+0.000000
+4.355418
+0.000000
+15.946874
+0.000000
+3.852323
+0.000000
+7.316789
+0.000000
+8.157106
+0.000000
+-5.601443
+0.000000
+5.152721
+0.000000
+-4.926547
+0.000000
+0.963533
+0.000000
+-5.386717
+0.000000
+9.581534
+0.000000
+-6.704504
+0.000000
+14.315553
+0.000000
+-8.854900
+0.000000
+-6.625810
+0.000000
+0.582387
+0.000000
+9.514119
+0.000000
+7.699234
+0.000000
+-1.100027
+0.000000
+5.975475
+0.000000
+7.274147
+0.000000
+-5.608374
+0.000000
+-0.751688
+0.000000
+-15.858543
+0.000000
+-3.541944
+0.000000
+-2.212504
+0.000000
+-0.397984
+0.000000
+0.502677
+0.000000
+-6.417428
+0.000000
+12.492295
+0.000000
+2.833757
+0.000000
+5.539556
+0.000000
+8.805485
+0.000000
+-0.553504
+0.000000
+-2.538717
+0.000000
+-15.133159
+0.000000
+2.978784
+0.000000
+-19.805679
+0.000000
+-5.368921
+0.000000
+-25.411216
+0.000000
+1.584559
+0.000000
+-5.745408
+0.000000
+1.568721
+0.000000
+3.024829
+0.000000
+-7.726872
+0.000000
+-0.629202
+0.000000
+-0.015060
+0.000000
+8.234895
+0.000000
+2.638644
+0.000000
+24.675674
+0.000000
+-26.866903
+0.000000
+4.071914
+0.000000
+3.127000
+0.000000
+-5.442058
+0.000000
+-6.124929
+0.000000
+9.061009
+0.000000
+-1.599813
+0.000000
+11.084555
+0.000000
+-3.075268
+0.000000
+-8.362226
+0.000000
+4.332061
+0.000000
+-2.761844
+0.000000
+7.351110
+0.000000
+0.274640
+0.000000
+-5.782971
+0.000000
+17.420117
+0.000000
+4.254619
+0.000000
+-3.424718
+0.000000
+-0.648988
+0.000000
+5.023564
+0.000000
+8.042048
+0.000000
+0.304338
+0.000000
+5.851921
+0.000000
+-8.501683
+0.000000
+3.731322
+0.000000
+11.874199
+0.000000
+-2.999791
+0.000000
+-3.544868
+0.000000
+-2.103305
+0.000000
+0.974510
+0.000000
+17.023501
+0.000000
+-10.294472
+0.000000
+-8.870754
+0.000000
+16.371955
+0.000000
+11.163094
+0.000000
+11.122758
+0.000000
+-3.176563
+0.000000
+-7.531139
+0.000000
+-7.609476
+0.000000
+-21.855618
+0.000000
+-7.153680
+0.000000
+2.210825
+0.000000
+-9.933864
+0.000000
+4.355098
+0.000000
+-10.416540
+0.000000
+-15.861072
+0.000000
+-4.386152
+0.000000
+8.421935
+0.000000
+8.482307
+0.000000
+6.331835
+0.000000
+-19.210031
+0.000000
+-10.923013
+0.000000
+-16.554457
+0.000000
+7.931236
+0.000000
+3.641424
+0.000000
+-14.058392
+0.000000
+-7.063580
+0.000000
+-1.390176
+0.000000
+-1.150868
+0.000000
+-5.919276
+0.000000
+11.107618
+0.000000
+-0.291530
+0.000000
+-1.561239
+0.000000
+-1.710195
+0.000000
+-1.536607
+0.000000
+12.039314
+0.000000
+-1.249705
+0.000000
+18.900540
+0.000000
+14.883182
+0.000000
+-1.187470
+0.000000
+13.243607
+0.000000
+-1.420977
+0.000000
+5.571148
+0.000000
+2.165830
+0.000000
+-7.684810
+0.000000
+4.894919
+0.000000
+-17.809002
+0.000000
+-11.984531
+0.000000
+-22.586321
+0.000000
+-23.118277
+0.000000
+-11.519436
+0.000000
+3.897548
+0.000000
+13.270764
+0.000000
+-2.524537
+0.000000
+13.563790
+0.000000
+-7.078806
+0.000000
+0.479064
+0.000000
+5.318295
+0.000000
+7.865099
+0.000000
+3.193076
+0.000000
+5.184689
+0.000000
+15.371299
+0.000000
+6.168630
+0.000000
+15.053453
+0.000000
+-2.687774
+0.000000
+-12.373373
+0.000000
+3.920440
+0.000000
+-1.875731
+0.000000
+-0.913459
+0.000000
+-5.889110
+0.000000
+6.089701
+0.000000
+12.504550
+0.000000
+9.482002
+0.000000
+-4.911398
+0.000000
+-4.102254
+0.000000
+8.413468
+0.000000
+-7.379250
+0.000000
+7.206905
+0.000000
+9.472850
+0.000000
+0.263157
+0.000000
+9.779784
+0.000000
+8.175058
+0.000000
+-1.834453
+0.000000
+-2.814043
+0.000000
+5.162866
+0.000000
+9.299521
+0.000000
+23.369594
+0.000000
+-1.439265
+0.000000
+-10.424573
+0.000000
+9.241102
+0.000000
+0.878986
+0.000000
+0.101411
+0.000000
+-9.882371
+0.000000
+0.606029
+0.000000
+-5.657652
+0.000000
+5.560990
+0.000000
+9.320041
+0.000000
+-14.019664
+0.000000
+0.618601
+0.000000
+13.094756
+0.000000
+-5.543942
+0.000000
+-4.947304
+0.000000
+-4.768254
+0.000000
+1.837202
+0.000000
+-2.340905
+0.000000
+7.348481
+0.000000
+2.650293
+0.000000
+7.677380
+0.000000
+-18.123208
+0.000000
+1.598968
+0.000000
+0.462996
+0.000000
+8.433770
+0.000000
+13.339491
+0.000000
+-7.817025
+0.000000
+-9.903211
+0.000000
+8.286936
+0.000000
+0.005130
+0.000000
+4.575829
+0.000000
+7.048226
+0.000000
+14.707530
+0.000000
+-3.835169
+0.000000
+13.105017
+0.000000
+-4.996465
+0.000000
+2.918047
+0.000000
+-13.117588
+0.000000
+0.487316
+0.000000
+-20.904057
+0.000000
+9.605386
+0.000000
+2.607475
+0.000000
+-0.602303
+0.000000
+8.858656
+0.000000
+1.090148
+0.000000
+7.892040
+0.000000
+-11.337704
+0.000000
+58086074.660002
+0.000000
+2.342118
+0.000000
+16.471167
+0.000000
+15.171212
+0.000000
+-9.815724
+0.000000
+7.708823
+0.000000
+6.269965
diff --git a/applications/lofar1/pft2/tb/data/sinus_13.re b/applications/lofar1/pft2/tb/data/sinus_13.re
new file mode 100644
index 0000000000000000000000000000000000000000..7b098dc68778247f0ec1c48ca7cf102e9ba23157
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/sinus_13.re
@@ -0,0 +1,1024 @@
+0.000000
+-1.907467
+0.000000
+0.232639
+0.000000
+18.796068
+0.000000
+8.762354
+0.000000
+-13.701388
+0.000000
+8.484399
+0.000000
+33535998.180348
+0.000000
+-3.688582
+0.000000
+5.871326
+0.000000
+-5.416574
+0.000000
+-3.755693
+0.000000
+7.875480
+0.000000
+15.837685
+0.000000
+0.203541
+0.000000
+7.678894
+0.000000
+3.670546
+0.000000
+-4.439574
+0.000000
+-10.847687
+0.000000
+15.520828
+0.000000
+2.050212
+0.000000
+2.195185
+0.000000
+6.589356
+0.000000
+2.044749
+0.000000
+-10.529106
+0.000000
+-0.348422
+0.000000
+3.400530
+0.000000
+15.811487
+0.000000
+12.681516
+0.000000
+-4.778780
+0.000000
+9.337742
+0.000000
+0.956743
+0.000000
+9.320124
+0.000000
+20.187971
+0.000000
+-2.574110
+0.000000
+-17.437500
+0.000000
+-2.550664
+0.000000
+-1.303112
+0.000000
+18.138936
+0.000000
+17.194414
+0.000000
+-9.858610
+0.000000
+-4.025955
+0.000000
+-10.261193
+0.000000
+-8.490409
+0.000000
+-8.759060
+0.000000
+-3.246666
+0.000000
+-2.512550
+0.000000
+-8.931228
+0.000000
+-3.660164
+0.000000
+8.165226
+0.000000
+10.130289
+0.000000
+15.199790
+0.000000
+-13.318305
+0.000000
+3.532057
+0.000000
+-10.187741
+0.000000
+-9.275931
+0.000000
+-11.077450
+0.000000
+4.094441
+0.000000
+2.147172
+0.000000
+2.159192
+0.000000
+5.499430
+0.000000
+12.732996
+0.000000
+-2.260689
+0.000000
+-7.002181
+0.000000
+-22.591160
+0.000000
+17.737162
+0.000000
+-12.777674
+0.000000
+11.522302
+0.000000
+-17.961074
+0.000000
+9.217581
+0.000000
+16.647362
+0.000000
+-2.167951
+0.000000
+-16.132425
+0.000000
+12.984714
+0.000000
+-5.330296
+0.000000
+8.584818
+0.000000
+-1.656751
+0.000000
+11.707848
+0.000000
+1.285563
+0.000000
+6.804556
+0.000000
+-3.421770
+0.000000
+5.291188
+0.000000
+4.916460
+0.000000
+5.871227
+0.000000
+0.698986
+0.000000
+-6.374891
+0.000000
+-5.472716
+0.000000
+-2.885682
+0.000000
+0.281270
+0.000000
+7.287386
+0.000000
+2.541817
+0.000000
+7.268390
+0.000000
+24.201177
+0.000000
+2.961551
+0.000000
+-2.874568
+0.000000
+-1.237968
+0.000000
+7.057124
+0.000000
+8.582387
+0.000000
+-1.651648
+0.000000
+-4.419611
+0.000000
+-6.466014
+0.000000
+2.937229
+0.000000
+-5.322946
+0.000000
+7.567424
+0.000000
+-4.076582
+0.000000
+-9.158337
+0.000000
+-9.255114
+0.000000
+-10.935404
+0.000000
+-6.876291
+0.000000
+7.904449
+0.000000
+2.100137
+0.000000
+10.447676
+0.000000
+2.177462
+0.000000
+6.449536
+0.000000
+2.083721
+0.000000
+-14.552197
+0.000000
+4.296211
+0.000000
+-10.254819
+0.000000
+-5.677214
+0.000000
+-9.408497
+0.000000
+3.115609
+0.000000
+-3.679720
+0.000000
+17.838242
+0.000000
+-0.897156
+0.000000
+-10.905583
+0.000000
+-1.828305
+0.000000
+-5.157717
+0.000000
+-3.876506
+0.000000
+-9.320841
+0.000000
+13.296737
+0.000000
+-6.118857
+0.000000
+-4.734073
+0.000000
+9.596595
+0.000000
+-1.082110
+0.000000
+16.383612
+0.000000
+-15.024372
+0.000000
+-1.274280
+0.000000
+-4.286797
+0.000000
+-7.571590
+0.000000
+3.188244
+0.000000
+-5.834931
+0.000000
+2.357237
+0.000000
+3.359588
+0.000000
+2.183212
+0.000000
+-0.828503
+0.000000
+2.226598
+0.000000
+-14.739564
+0.000000
+-5.560538
+0.000000
+-11.606943
+0.000000
+-5.231379
+0.000000
+5.479013
+0.000000
+12.036156
+0.000000
+-22.335818
+0.000000
+-11.992596
+0.000000
+-16.762345
+0.000000
+-9.695195
+0.000000
+20.908238
+0.000000
+7.656849
+0.000000
+-0.803444
+0.000000
+-1.079846
+0.000000
+1.909666
+0.000000
+-9.331745
+0.000000
+4.413331
+0.000000
+-9.607321
+0.000000
+7.558138
+0.000000
+9.878206
+0.000000
+-3.861284
+0.000000
+-17.636601
+0.000000
+16.640953
+0.000000
+-3.417191
+0.000000
+-8.059510
+0.000000
+1.554203
+0.000000
+0.053434
+0.000000
+4.308429
+0.000000
+-4.018410
+0.000000
+-5.653312
+0.000000
+-15.633246
+0.000000
+2.784726
+0.000000
+20.562169
+0.000000
+-7.702790
+0.000000
+2.039454
+0.000000
+2.363090
+0.000000
+-0.442454
+0.000000
+-3.433132
+0.000000
+-22.689869
+0.000000
+10.740644
+0.000000
+6.418173
+0.000000
+-9.543000
+0.000000
+-10.334856
+0.000000
+-11.692549
+0.000000
+9.484722
+0.000000
+7.445750
+0.000000
+-9.888815
+0.000000
+0.604260
+0.000000
+-5.432985
+0.000000
+-3.771663
+0.000000
+-3.002692
+0.000000
+20.295219
+0.000000
+-3.692119
+0.000000
+2.923161
+0.000000
+9.821250
+0.000000
+-14.215220
+0.000000
+0.662017
+0.000000
+-6.126690
+0.000000
+4.827602
+0.000000
+10.521815
+0.000000
+12.617881
+0.000000
+12.753810
+0.000000
+6.673569
+0.000000
+-9.651506
+0.000000
+-6.998058
+0.000000
+19.356330
+0.000000
+7.871692
+0.000000
+-3.235663
+0.000000
+-7.842684
+0.000000
+19.843298
+0.000000
+-9.986469
+0.000000
+3.937466
+0.000000
+-4.833676
+0.000000
+1.911668
+0.000000
+-9.097128
+0.000000
+-8.826509
+0.000000
+8.909496
+0.000000
+7.091191
+0.000000
+-3.769819
+0.000000
+-3.202022
+0.000000
+-9.930351
+0.000000
+-9.878329
+0.000000
+-13.023036
+0.000000
+1.030707
+0.000000
+-9.832929
+0.000000
+-6.125650
+0.000000
+-8.511489
+0.000000
+9.656742
+0.000000
+14.456457
+0.000000
+7.305483
+0.000000
+3.543239
+0.000000
+0.969710
+0.000000
+17.562893
+0.000000
+-13.959244
+0.000000
+-9.634206
+0.000000
+9.458304
+0.000000
+8.012740
+0.000000
+9.706844
+0.000000
+-2.953496
+0.000000
+5.303080
+0.000000
+-11.490617
+0.000000
+3.265432
+0.000000
+9.163544
+0.000000
+1.381673
+0.000000
+-9.284467
+0.000000
+-5.270653
+0.000000
+-4.806824
+0.000000
+-4.602801
+0.000000
+-13.643767
+0.000000
+-11.446307
+0.000000
+5.022579
+0.000000
+5.022579
+0.000000
+-11.446307
+0.000000
+-13.643767
+0.000000
+-4.602801
+0.000000
+-4.806824
+0.000000
+-5.270653
+0.000000
+-9.284467
+0.000000
+1.381673
+0.000000
+9.163544
+0.000000
+3.265432
+0.000000
+-11.490617
+0.000000
+5.303080
+0.000000
+-2.953496
+0.000000
+9.706844
+0.000000
+8.012740
+0.000000
+9.458304
+0.000000
+-9.634206
+0.000000
+-13.959244
+0.000000
+17.562893
+0.000000
+0.969710
+0.000000
+3.543239
+0.000000
+7.305483
+0.000000
+14.456457
+0.000000
+9.656742
+0.000000
+-8.511489
+0.000000
+-6.125650
+0.000000
+-9.832929
+0.000000
+1.030707
+0.000000
+-13.023036
+0.000000
+-9.878329
+0.000000
+-9.930351
+0.000000
+-3.202022
+0.000000
+-3.769819
+0.000000
+7.091191
+0.000000
+8.909496
+0.000000
+-8.826509
+0.000000
+-9.097128
+0.000000
+1.911668
+0.000000
+-4.833676
+0.000000
+3.937466
+0.000000
+-9.986469
+0.000000
+19.843298
+0.000000
+-7.842684
+0.000000
+-3.235663
+0.000000
+7.871692
+0.000000
+19.356330
+0.000000
+-6.998058
+0.000000
+-9.651506
+0.000000
+6.673569
+0.000000
+12.753810
+0.000000
+12.617881
+0.000000
+10.521815
+0.000000
+4.827602
+0.000000
+-6.126690
+0.000000
+0.662017
+0.000000
+-14.215220
+0.000000
+9.821250
+0.000000
+2.923161
+0.000000
+-3.692119
+0.000000
+20.295219
+0.000000
+-3.002692
+0.000000
+-3.771663
+0.000000
+-5.432985
+0.000000
+0.604260
+0.000000
+-9.888815
+0.000000
+7.445750
+0.000000
+9.484722
+0.000000
+-11.692549
+0.000000
+-10.334856
+0.000000
+-9.543000
+0.000000
+6.418173
+0.000000
+10.740644
+0.000000
+-22.689869
+0.000000
+-3.433132
+0.000000
+-0.442454
+0.000000
+2.363090
+0.000000
+2.039454
+0.000000
+-7.702790
+0.000000
+20.562169
+0.000000
+2.784726
+0.000000
+-15.633246
+0.000000
+-5.653312
+0.000000
+-4.018410
+0.000000
+4.308429
+0.000000
+0.053434
+0.000000
+1.554203
+0.000000
+-8.059510
+0.000000
+-3.417191
+0.000000
+16.640953
+0.000000
+-17.636601
+0.000000
+-3.861284
+0.000000
+9.878206
+0.000000
+7.558138
+0.000000
+-9.607321
+0.000000
+4.413331
+0.000000
+-9.331745
+0.000000
+1.909666
+0.000000
+-1.079846
+0.000000
+-0.803444
+0.000000
+7.656849
+0.000000
+20.908238
+0.000000
+-9.695195
+0.000000
+-16.762345
+0.000000
+-11.992596
+0.000000
+-22.335818
+0.000000
+12.036156
+0.000000
+5.479013
+0.000000
+-5.231379
+0.000000
+-11.606943
+0.000000
+-5.560538
+0.000000
+-14.739564
+0.000000
+2.226598
+0.000000
+-0.828503
+0.000000
+2.183212
+0.000000
+3.359588
+0.000000
+2.357237
+0.000000
+-5.834931
+0.000000
+3.188244
+0.000000
+-7.571590
+0.000000
+-4.286797
+0.000000
+-1.274280
+0.000000
+-15.024372
+0.000000
+16.383612
+0.000000
+-1.082110
+0.000000
+9.596595
+0.000000
+-4.734073
+0.000000
+-6.118857
+0.000000
+13.296737
+0.000000
+-9.320841
+0.000000
+-3.876506
+0.000000
+-5.157717
+0.000000
+-1.828305
+0.000000
+-10.905583
+0.000000
+-0.897156
+0.000000
+17.838242
+0.000000
+-3.679720
+0.000000
+3.115609
+0.000000
+-9.408497
+0.000000
+-5.677214
+0.000000
+-10.254819
+0.000000
+4.296211
+0.000000
+-14.552197
+0.000000
+2.083721
+0.000000
+6.449536
+0.000000
+2.177462
+0.000000
+10.447676
+0.000000
+2.100137
+0.000000
+7.904449
+0.000000
+-6.876291
+0.000000
+-10.935404
+0.000000
+-9.255114
+0.000000
+-9.158337
+0.000000
+-4.076582
+0.000000
+7.567424
+0.000000
+-5.322946
+0.000000
+2.937229
+0.000000
+-6.466014
+0.000000
+-4.419611
+0.000000
+-1.651648
+0.000000
+8.582387
+0.000000
+7.057124
+0.000000
+-1.237968
+0.000000
+-2.874568
+0.000000
+2.961551
+0.000000
+24.201177
+0.000000
+7.268390
+0.000000
+2.541817
+0.000000
+7.287386
+0.000000
+0.281270
+0.000000
+-2.885682
+0.000000
+-5.472716
+0.000000
+-6.374891
+0.000000
+0.698986
+0.000000
+5.871227
+0.000000
+4.916460
+0.000000
+5.291188
+0.000000
+-3.421770
+0.000000
+6.804556
+0.000000
+1.285563
+0.000000
+11.707848
+0.000000
+-1.656751
+0.000000
+8.584818
+0.000000
+-5.330296
+0.000000
+12.984714
+0.000000
+-16.132425
+0.000000
+-2.167951
+0.000000
+16.647362
+0.000000
+9.217581
+0.000000
+-17.961074
+0.000000
+11.522302
+0.000000
+-12.777674
+0.000000
+17.737162
+0.000000
+-22.591160
+0.000000
+-7.002181
+0.000000
+-2.260689
+0.000000
+12.732996
+0.000000
+5.499430
+0.000000
+2.159192
+0.000000
+2.147172
+0.000000
+4.094441
+0.000000
+-11.077450
+0.000000
+-9.275931
+0.000000
+-10.187741
+0.000000
+3.532057
+0.000000
+-13.318305
+0.000000
+15.199790
+0.000000
+10.130289
+0.000000
+8.165226
+0.000000
+-3.660164
+0.000000
+-8.931228
+0.000000
+-2.512550
+0.000000
+-3.246666
+0.000000
+-8.759060
+0.000000
+-8.490409
+0.000000
+-10.261193
+0.000000
+-4.025955
+0.000000
+-9.858610
+0.000000
+17.194414
+0.000000
+18.138936
+0.000000
+-1.303112
+0.000000
+-2.550664
+0.000000
+-17.437500
+0.000000
+-2.574110
+0.000000
+20.187971
+0.000000
+9.320124
+0.000000
+0.956743
+0.000000
+9.337742
+0.000000
+-4.778780
+0.000000
+12.681516
+0.000000
+15.811487
+0.000000
+3.400530
+0.000000
+-0.348422
+0.000000
+-10.529106
+0.000000
+2.044749
+0.000000
+6.589356
+0.000000
+2.195185
+0.000000
+2.050212
+0.000000
+15.520828
+0.000000
+-10.847687
+0.000000
+-4.439574
+0.000000
+3.670546
+0.000000
+7.678894
+0.000000
+0.203541
+0.000000
+15.837685
+0.000000
+7.875480
+0.000000
+-3.755693
+0.000000
+-5.416574
+0.000000
+5.871326
+0.000000
+-3.688582
+0.000000
+33535998.180348
+0.000000
+8.484399
+0.000000
+-13.701388
+0.000000
+8.762354
+0.000000
+18.796068
+0.000000
+0.232639
+0.000000
+-1.907467
diff --git a/applications/lofar1/pft2/tb/data/sinus_13s.im b/applications/lofar1/pft2/tb/data/sinus_13s.im
new file mode 100644
index 0000000000000000000000000000000000000000..36803555d377fa88660db8af78f4924c412e8d1a
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/sinus_13s.im
@@ -0,0 +1,1024 @@
+0.000000
+-3.386242
+0.000000
+30.446843
+0.000000
+7.267854
+0.000000
+-4.430766
+0.000000
+-2.933040
+0.000000
+-2.964136
+0.000000
+-8434.805204
+0.000000
+-1.646647
+0.000000
+-10.460283
+0.000000
+-1.752998
+0.000000
+-2.382418
+0.000000
+4.108322
+0.000000
+5.352291
+0.000000
+-2.737288
+0.000000
+-2.031352
+0.000000
+0.986187
+0.000000
+0.637531
+0.000000
+-12.468655
+0.000000
+6.229289
+0.000000
+5.046102
+0.000000
+-1.994977
+0.000000
+-13.338180
+0.000000
+-3.400409
+0.000000
+3.379802
+0.000000
+-3.639581
+0.000000
+-4.015071
+0.000000
+10.374058
+0.000000
+28.325293
+0.000000
+1.606056
+0.000000
+-0.608886
+0.000000
+2.699972
+0.000000
+1.432911
+0.000000
+11.359896
+0.000000
+-0.784646
+0.000000
+-2.026530
+0.000000
+-11.963855
+0.000000
+7.559289
+0.000000
+-0.919139
+0.000000
+-2.023861
+0.000000
+0.437389
+0.000000
+-23.129027
+0.000000
+0.356260
+0.000000
+-11.615616
+0.000000
+-1.787967
+0.000000
+-2.997541
+0.000000
+-8.426646
+0.000000
+-4.243238
+0.000000
+6.340102
+0.000000
+-1.686578
+0.000000
+2.834420
+0.000000
+25.350070
+0.000000
+-6.306465
+0.000000
+11.513468
+0.000000
+2.188515
+0.000000
+-1.349177
+0.000000
+-0.421522
+0.000000
+7.135222
+0.000000
+1.614984
+0.000000
+-0.777053
+0.000000
+-3.195576
+0.000000
+-9.526030
+0.000000
+-8.567380
+0.000000
+-1.087996
+0.000000
+6.906958
+0.000000
+-2.650959
+0.000000
+-0.481243
+0.000000
+-4.907084
+0.000000
+2.168205
+0.000000
+0.072091
+0.000000
+2.595769
+0.000000
+-2.931527
+0.000000
+4.471241
+0.000000
+-1.329367
+0.000000
+-5.451006
+0.000000
+11.571265
+0.000000
+2.479615
+0.000000
+11.507567
+0.000000
+0.142679
+0.000000
+0.268529
+0.000000
+-27.295923
+0.000000
+1.321966
+0.000000
+14.691583
+0.000000
+0.563661
+0.000000
+-2.859871
+0.000000
+-3.458517
+0.000000
+-2.342418
+0.000000
+14.804231
+0.000000
+2.679419
+0.000000
+6.124451
+0.000000
+-30.294636
+0.000000
+-8.637747
+0.000000
+1.927950
+0.000000
+4.785787
+0.000000
+-0.561008
+0.000000
+1.272540
+0.000000
+-3.555389
+0.000000
+4.328464
+0.000000
+0.906360
+0.000000
+3.725740
+0.000000
+6.401979
+0.000000
+10.484951
+0.000000
+-11.895428
+0.000000
+-5.486822
+0.000000
+-9.149859
+0.000000
+6.126368
+0.000000
+-24.337426
+0.000000
+0.272937
+0.000000
+0.903445
+0.000000
+-4.450001
+0.000000
+-1.269581
+0.000000
+-4.956339
+0.000000
+2.810241
+0.000000
+-3.254629
+0.000000
+-2.304958
+0.000000
+0.283286
+0.000000
+-2.997779
+0.000000
+4.903106
+0.000000
+-2.937824
+0.000000
+-17.185497
+0.000000
+-1.755406
+0.000000
+-4.675091
+0.000000
+2.111412
+0.000000
+3.407786
+0.000000
+13.433588
+0.000000
+3.418657
+0.000000
+6.342066
+0.000000
+-1.060681
+0.000000
+4.105762
+0.000000
+17.335625
+0.000000
+5.213607
+0.000000
+0.623745
+0.000000
+-4.379967
+0.000000
+-4.383601
+0.000000
+-0.818668
+0.000000
+-1.427146
+0.000000
+-8.249701
+0.000000
+-3.003578
+0.000000
+-8.080797
+0.000000
+15.862860
+0.000000
+9.672254
+0.000000
+2.476765
+0.000000
+-0.602390
+0.000000
+-1.818115
+0.000000
+6.175622
+0.000000
+-25.025269
+0.000000
+1.893351
+0.000000
+-2.127646
+0.000000
+-6.669093
+0.000000
+-3.477489
+0.000000
+-16.161363
+0.000000
+3.231785
+0.000000
+6.910404
+0.000000
+1.927895
+0.000000
+-3.958728
+0.000000
+5.586705
+0.000000
+3.029938
+0.000000
+2.246660
+0.000000
+-2.327477
+0.000000
+-2.351249
+0.000000
+-5.216817
+0.000000
+-3.863899
+0.000000
+-3.585737
+0.000000
+19.632795
+0.000000
+-1.975654
+0.000000
+0.554202
+0.000000
+1.100430
+0.000000
+-2.512558
+0.000000
+-16.010444
+0.000000
+12.832941
+0.000000
+8.802337
+0.000000
+-3.609082
+0.000000
+-2.591630
+0.000000
+3.122624
+0.000000
+-10.410180
+0.000000
+4.061061
+0.000000
+2.635773
+0.000000
+-4.225966
+0.000000
+2.929931
+0.000000
+-4.465719
+0.000000
+0.909904
+0.000000
+3.830401
+0.000000
+5.432147
+0.000000
+-0.565143
+0.000000
+-41.324075
+0.000000
+4.248279
+0.000000
+3.546910
+0.000000
+13.325378
+0.000000
+-0.098145
+0.000000
+-3.076020
+0.000000
+-0.362614
+0.000000
+-2.712917
+0.000000
+-8.189431
+0.000000
+-2.245866
+0.000000
+-8.467909
+0.000000
+-1.433895
+0.000000
+-1.961177
+0.000000
+-12.224642
+0.000000
+0.774571
+0.000000
+5.108883
+0.000000
+-0.669184
+0.000000
+3.214973
+0.000000
+-7.777082
+0.000000
+-7.845496
+0.000000
+3.540600
+0.000000
+3.096368
+0.000000
+-9.488782
+0.000000
+24.417036
+0.000000
+3.321325
+0.000000
+1.399558
+0.000000
+1.915967
+0.000000
+1.700853
+0.000000
+-0.100570
+0.000000
+7.164747
+0.000000
+-5.104555
+0.000000
+-1.325810
+0.000000
+-0.281655
+0.000000
+12.512039
+0.000000
+-5.774259
+0.000000
+8.082504
+0.000000
+-0.900919
+0.000000
+5.194924
+0.000000
+-2.937219
+0.000000
+-16.724224
+0.000000
+-2.129910
+0.000000
+-1.209302
+0.000000
+0.767023
+0.000000
+0.768921
+0.000000
+23.525584
+0.000000
+2.640537
+0.000000
+-0.405099
+0.000000
+-8.140345
+0.000000
+3.531487
+0.000000
+-19.412132
+0.000000
+-3.474871
+0.000000
+-5.946969
+0.000000
+-51.417323
+0.000000
+4.817016
+0.000000
+-23.931346
+0.000000
+-2.282745
+0.000000
+0.874138
+0.000000
+-26.647595
+0.000000
+6.033042
+0.000000
+12.660187
+0.000000
+-1.039846
+0.000000
+4.906883
+0.000000
+1.328605
+0.000000
+-15.036358
+0.000000
+3.250531
+0.000000
+4.369323
+0.000000
+-5.484081
+0.000000
+-26.646657
+0.000000
+4.469357
+0.000000
+5.103050
+0.000000
+1.063957
+0.000000
+2.703022
+0.000000
+5.801157
+0.000000
+-5.801157
+0.000000
+-2.703022
+0.000000
+-1.063957
+0.000000
+-5.103050
+0.000000
+-4.469357
+0.000000
+26.646657
+0.000000
+5.484081
+0.000000
+-4.369323
+0.000000
+-3.250531
+0.000000
+15.036358
+0.000000
+-1.328605
+0.000000
+-4.906883
+0.000000
+1.039846
+0.000000
+-12.660187
+0.000000
+-6.033042
+0.000000
+26.647595
+0.000000
+-0.874138
+0.000000
+2.282745
+0.000000
+23.931346
+0.000000
+-4.817016
+0.000000
+51.417323
+0.000000
+5.946969
+0.000000
+3.474871
+0.000000
+19.412132
+0.000000
+-3.531487
+0.000000
+8.140345
+0.000000
+0.405099
+0.000000
+-2.640537
+0.000000
+-23.525584
+0.000000
+-0.768921
+0.000000
+-0.767023
+0.000000
+1.209302
+0.000000
+2.129910
+0.000000
+16.724224
+0.000000
+2.937219
+0.000000
+-5.194924
+0.000000
+0.900919
+0.000000
+-8.082504
+0.000000
+5.774259
+0.000000
+-12.512039
+0.000000
+0.281655
+0.000000
+1.325810
+0.000000
+5.104555
+0.000000
+-7.164747
+0.000000
+0.100570
+0.000000
+-1.700853
+0.000000
+-1.915967
+0.000000
+-1.399558
+0.000000
+-3.321325
+0.000000
+-24.417036
+0.000000
+9.488782
+0.000000
+-3.096368
+0.000000
+-3.540600
+0.000000
+7.845496
+0.000000
+7.777082
+0.000000
+-3.214973
+0.000000
+0.669184
+0.000000
+-5.108883
+0.000000
+-0.774571
+0.000000
+12.224642
+0.000000
+1.961177
+0.000000
+1.433895
+0.000000
+8.467909
+0.000000
+2.245866
+0.000000
+8.189431
+0.000000
+2.712917
+0.000000
+0.362614
+0.000000
+3.076020
+0.000000
+0.098145
+0.000000
+-13.325378
+0.000000
+-3.546910
+0.000000
+-4.248279
+0.000000
+41.324075
+0.000000
+0.565143
+0.000000
+-5.432147
+0.000000
+-3.830401
+0.000000
+-0.909904
+0.000000
+4.465719
+0.000000
+-2.929931
+0.000000
+4.225966
+0.000000
+-2.635773
+0.000000
+-4.061061
+0.000000
+10.410180
+0.000000
+-3.122624
+0.000000
+2.591630
+0.000000
+3.609082
+0.000000
+-8.802337
+0.000000
+-12.832941
+0.000000
+16.010444
+0.000000
+2.512558
+0.000000
+-1.100430
+0.000000
+-0.554202
+0.000000
+1.975654
+0.000000
+-19.632795
+0.000000
+3.585737
+0.000000
+3.863899
+0.000000
+5.216817
+0.000000
+2.351249
+0.000000
+2.327477
+0.000000
+-2.246660
+0.000000
+-3.029938
+0.000000
+-5.586705
+0.000000
+3.958728
+0.000000
+-1.927895
+0.000000
+-6.910404
+0.000000
+-3.231785
+0.000000
+16.161363
+0.000000
+3.477489
+0.000000
+6.669093
+0.000000
+2.127646
+0.000000
+-1.893351
+0.000000
+25.025269
+0.000000
+-6.175622
+0.000000
+1.818115
+0.000000
+0.602390
+0.000000
+-2.476765
+0.000000
+-9.672254
+0.000000
+-15.862860
+0.000000
+8.080797
+0.000000
+3.003578
+0.000000
+8.249701
+0.000000
+1.427146
+0.000000
+0.818668
+0.000000
+4.383601
+0.000000
+4.379967
+0.000000
+-0.623745
+0.000000
+-5.213607
+0.000000
+-17.335625
+0.000000
+-4.105762
+0.000000
+1.060681
+0.000000
+-6.342066
+0.000000
+-3.418657
+0.000000
+-13.433588
+0.000000
+-3.407786
+0.000000
+-2.111412
+0.000000
+4.675091
+0.000000
+1.755406
+0.000000
+17.185497
+0.000000
+2.937824
+0.000000
+-4.903106
+0.000000
+2.997779
+0.000000
+-0.283286
+0.000000
+2.304958
+0.000000
+3.254629
+0.000000
+-2.810241
+0.000000
+4.956339
+0.000000
+1.269581
+0.000000
+4.450001
+0.000000
+-0.903445
+0.000000
+-0.272937
+0.000000
+24.337426
+0.000000
+-6.126368
+0.000000
+9.149859
+0.000000
+5.486822
+0.000000
+11.895428
+0.000000
+-10.484951
+0.000000
+-6.401979
+0.000000
+-3.725740
+0.000000
+-0.906360
+0.000000
+-4.328464
+0.000000
+3.555389
+0.000000
+-1.272540
+0.000000
+0.561008
+0.000000
+-4.785787
+0.000000
+-1.927950
+0.000000
+8.637747
+0.000000
+30.294636
+0.000000
+-6.124451
+0.000000
+-2.679419
+0.000000
+-14.804231
+0.000000
+2.342418
+0.000000
+3.458517
+0.000000
+2.859871
+0.000000
+-0.563661
+0.000000
+-14.691583
+0.000000
+-1.321966
+0.000000
+27.295923
+0.000000
+-0.268529
+0.000000
+-0.142679
+0.000000
+-11.507567
+0.000000
+-2.479615
+0.000000
+-11.571265
+0.000000
+5.451006
+0.000000
+1.329367
+0.000000
+-4.471241
+0.000000
+2.931527
+0.000000
+-2.595769
+0.000000
+-0.072091
+0.000000
+-2.168205
+0.000000
+4.907084
+0.000000
+0.481243
+0.000000
+2.650959
+0.000000
+-6.906958
+0.000000
+1.087996
+0.000000
+8.567380
+0.000000
+9.526030
+0.000000
+3.195576
+0.000000
+0.777053
+0.000000
+-1.614984
+0.000000
+-7.135222
+0.000000
+0.421522
+0.000000
+1.349177
+0.000000
+-2.188515
+0.000000
+-11.513468
+0.000000
+6.306465
+0.000000
+-25.350070
+0.000000
+-2.834420
+0.000000
+1.686578
+0.000000
+-6.340102
+0.000000
+4.243238
+0.000000
+8.426646
+0.000000
+2.997541
+0.000000
+1.787967
+0.000000
+11.615616
+0.000000
+-0.356260
+0.000000
+23.129027
+0.000000
+-0.437389
+0.000000
+2.023861
+0.000000
+0.919139
+0.000000
+-7.559289
+0.000000
+11.963855
+0.000000
+2.026530
+0.000000
+0.784646
+0.000000
+-11.359896
+0.000000
+-1.432911
+0.000000
+-2.699972
+0.000000
+0.608886
+0.000000
+-1.606056
+0.000000
+-28.325293
+0.000000
+-10.374058
+0.000000
+4.015071
+0.000000
+3.639581
+0.000000
+-3.379802
+0.000000
+3.400409
+0.000000
+13.338180
+0.000000
+1.994977
+0.000000
+-5.046102
+0.000000
+-6.229289
+0.000000
+12.468655
+0.000000
+-0.637531
+0.000000
+-0.986187
+0.000000
+2.031352
+0.000000
+2.737288
+0.000000
+-5.352291
+0.000000
+-4.108322
+0.000000
+2.382418
+0.000000
+1.752998
+0.000000
+10.460283
+0.000000
+1.646647
+0.000000
+8434.805204
+0.000000
+2.964136
+0.000000
+2.933040
+0.000000
+4.430766
+0.000000
+-7.267854
+0.000000
+-30.446843
+0.000000
+3.386242
diff --git a/applications/lofar1/pft2/tb/data/sinus_13s.re b/applications/lofar1/pft2/tb/data/sinus_13s.re
new file mode 100644
index 0000000000000000000000000000000000000000..1891cba7596890f500f4fcb00cd4724247b4d3c3
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/sinus_13s.re
@@ -0,0 +1,1024 @@
+1.000000
+-0.543952
+1.000000
+-17.547288
+1.000000
+5.889973
+1.000000
+2.809462
+1.000000
+-17.367722
+1.000000
+2.510524
+1.000000
+4869.560208
+1.000000
+-4.106519
+1.000000
+-11.211120
+1.000000
+7.901394
+1.000000
+8.456776
+1.000000
+-7.089685
+1.000000
+-1.931303
+1.000000
+-0.192449
+1.000000
+7.112022
+1.000000
+-2.036649
+1.000000
+2.761005
+1.000000
+-10.248618
+1.000000
+1.003266
+1.000000
+-12.420187
+1.000000
+3.308440
+1.000000
+5.952350
+1.000000
+-1.451117
+1.000000
+3.884309
+1.000000
+-11.720779
+1.000000
+-0.536785
+1.000000
+-7.797583
+1.000000
+13.578120
+1.000000
+-3.878128
+1.000000
+11.348778
+1.000000
+3.684793
+1.000000
+3.668551
+1.000000
+6.090794
+1.000000
+-5.002642
+1.000000
+22.217244
+1.000000
+7.124168
+1.000000
+2.656172
+1.000000
+-0.694620
+1.000000
+0.602618
+1.000000
+-9.513351
+1.000000
+8.946949
+1.000000
+-2.555394
+1.000000
+-1.296612
+1.000000
+2.911261
+1.000000
+2.028757
+1.000000
+4.887478
+1.000000
+-7.593091
+1.000000
+4.800290
+1.000000
+-6.329334
+1.000000
+-3.474895
+1.000000
+-13.965404
+1.000000
+-8.949181
+1.000000
+-5.428979
+1.000000
+18.280834
+1.000000
+5.491956
+1.000000
+3.808353
+1.000000
+8.933162
+1.000000
+1.565811
+1.000000
+-6.984513
+1.000000
+-3.809114
+1.000000
+4.131296
+1.000000
+-0.509293
+1.000000
+0.399819
+1.000000
+17.206287
+1.000000
+2.650485
+1.000000
+-2.550944
+1.000000
+-3.318640
+1.000000
+11.133976
+1.000000
+-16.102679
+1.000000
+-2.621791
+1.000000
+-6.092616
+1.000000
+1.553062
+1.000000
+-0.824575
+1.000000
+5.505209
+1.000000
+-4.472469
+1.000000
+2.589649
+1.000000
+7.714132
+1.000000
+2.646701
+1.000000
+-2.794466
+1.000000
+14.218879
+1.000000
+-0.714892
+1.000000
+4.835399
+1.000000
+4.277749
+1.000000
+0.907460
+1.000000
+-5.741914
+1.000000
+-6.233911
+1.000000
+8.878590
+1.000000
+-9.081843
+1.000000
+-10.036830
+1.000000
+22.031146
+1.000000
+0.384408
+1.000000
+3.994704
+1.000000
+5.037273
+1.000000
+2.727176
+1.000000
+-0.046583
+1.000000
+1.307465
+1.000000
+2.616596
+1.000000
+7.990097
+1.000000
+-2.605775
+1.000000
+-7.210771
+1.000000
+8.250823
+1.000000
+-4.296908
+1.000000
+-22.140800
+1.000000
+6.709660
+1.000000
+-5.427323
+1.000000
+-18.982018
+1.000000
+2.199723
+1.000000
+6.363050
+1.000000
+7.315299
+1.000000
+3.649168
+1.000000
+-10.793447
+1.000000
+-3.996104
+1.000000
+-2.737396
+1.000000
+-2.854841
+1.000000
+-0.725115
+1.000000
+0.242575
+1.000000
+-0.022515
+1.000000
+-2.284232
+1.000000
+8.222632
+1.000000
+-1.181026
+1.000000
+0.855397
+1.000000
+-3.109441
+1.000000
+10.806666
+1.000000
+-9.288294
+1.000000
+-1.729668
+1.000000
+1.564153
+1.000000
+4.391861
+1.000000
+0.682037
+1.000000
+-9.461921
+1.000000
+-1.956524
+1.000000
+-0.781230
+1.000000
+-22.934754
+1.000000
+3.914017
+1.000000
+7.905421
+1.000000
+4.033797
+1.000000
+0.474104
+1.000000
+19.079296
+1.000000
+0.468186
+1.000000
+-8.943390
+1.000000
+-1.134729
+1.000000
+-5.850044
+1.000000
+11.429964
+1.000000
+1.117665
+1.000000
+-8.079450
+1.000000
+-13.801624
+1.000000
+-3.737018
+1.000000
+-5.566315
+1.000000
+4.034103
+1.000000
+0.762133
+1.000000
+-10.186604
+1.000000
+0.619804
+1.000000
+0.133254
+1.000000
+-0.983353
+1.000000
+4.536461
+1.000000
+4.895215
+1.000000
+8.613839
+1.000000
+4.021544
+1.000000
+-0.869077
+1.000000
+-6.088468
+1.000000
+-1.275361
+1.000000
+-1.214036
+1.000000
+-0.640565
+1.000000
+-8.986367
+1.000000
+-4.601890
+1.000000
+-1.295231
+1.000000
+13.785202
+1.000000
+6.818487
+1.000000
+14.723368
+1.000000
+7.891285
+1.000000
+2.952495
+1.000000
+-11.148452
+1.000000
+-6.305718
+1.000000
+-9.058380
+1.000000
+-2.299718
+1.000000
+1.239271
+1.000000
+11.928770
+1.000000
+-2.905935
+1.000000
+-5.663896
+1.000000
+-2.532989
+1.000000
+11.454464
+1.000000
+-9.082064
+1.000000
+-5.810499
+1.000000
+-3.304454
+1.000000
+-26.548374
+1.000000
+-3.366791
+1.000000
+9.363923
+1.000000
+-1.742665
+1.000000
+0.848732
+1.000000
+-0.911440
+1.000000
+-2.717061
+1.000000
+-14.071729
+1.000000
+6.996678
+1.000000
+2.762002
+1.000000
+-1.298091
+1.000000
+7.954258
+1.000000
+2.285177
+1.000000
+5.195095
+1.000000
+1.808103
+1.000000
+0.176458
+1.000000
+-1.565682
+1.000000
+-6.286294
+1.000000
+1.710437
+1.000000
+-4.410541
+1.000000
+-0.015735
+1.000000
+8.643064
+1.000000
+4.890865
+1.000000
+-14.932320
+1.000000
+-1.622953
+1.000000
+3.498054
+1.000000
+-16.508785
+1.000000
+-0.333278
+1.000000
+-0.411702
+1.000000
+4.851277
+1.000000
+-4.369543
+1.000000
+-20.554676
+1.000000
+5.452377
+1.000000
+-13.133319
+1.000000
+-10.362057
+1.000000
+3.681534
+1.000000
+28.169353
+1.000000
+-0.002784
+1.000000
+5.422658
+1.000000
+-9.789391
+1.000000
+1.859527
+1.000000
+-9.953153
+1.000000
+-2.365722
+1.000000
+8.623558
+1.000000
+14.363049
+1.000000
+-1.701820
+1.000000
+0.944505
+1.000000
+2.966794
+1.000000
+-1.931130
+1.000000
+-6.261112
+1.000000
+-12.264439
+1.000000
+4.889699
+1.000000
+29.291952
+1.000000
+-8.774218
+1.000000
+-16.910856
+1.000000
+3.411021
+1.000000
+3.818646
+1.000000
+15.238279
+1.000000
+-2.413107
+1.000000
+1.646933
+1.000000
+-12.558665
+1.000000
+4.503359
+1.000000
+3.680278
+1.000000
+-2.065624
+1.000000
+0.146451
+1.000000
+36.364924
+1.000000
+-1.926685
+1.000000
+10.203342
+1.000000
+-1.862816
+1.000000
+8.721759
+1.000000
+-15.577134
+1.000000
+-3.997916
+1.000000
+1.243114
+1.000000
+1.243114
+1.000000
+-3.997916
+1.000000
+-15.577134
+1.000000
+8.721759
+1.000000
+-1.862816
+1.000000
+10.203342
+1.000000
+-1.926685
+1.000000
+36.364924
+1.000000
+0.146451
+1.000000
+-2.065624
+1.000000
+3.680278
+1.000000
+4.503359
+1.000000
+-12.558665
+1.000000
+1.646933
+1.000000
+-2.413107
+1.000000
+15.238279
+1.000000
+3.818646
+1.000000
+3.411021
+1.000000
+-16.910856
+1.000000
+-8.774218
+1.000000
+29.291952
+1.000000
+4.889699
+1.000000
+-12.264439
+1.000000
+-6.261112
+1.000000
+-1.931130
+1.000000
+2.966794
+1.000000
+0.944505
+1.000000
+-1.701820
+1.000000
+14.363049
+1.000000
+8.623558
+1.000000
+-2.365722
+1.000000
+-9.953153
+1.000000
+1.859527
+1.000000
+-9.789391
+1.000000
+5.422658
+1.000000
+-0.002784
+1.000000
+28.169353
+1.000000
+3.681534
+1.000000
+-10.362057
+1.000000
+-13.133319
+1.000000
+5.452377
+1.000000
+-20.554676
+1.000000
+-4.369543
+1.000000
+4.851277
+1.000000
+-0.411702
+1.000000
+-0.333278
+1.000000
+-16.508785
+1.000000
+3.498054
+1.000000
+-1.622953
+1.000000
+-14.932320
+1.000000
+4.890865
+1.000000
+8.643064
+1.000000
+-0.015735
+1.000000
+-4.410541
+1.000000
+1.710437
+1.000000
+-6.286294
+1.000000
+-1.565682
+1.000000
+0.176458
+1.000000
+1.808103
+1.000000
+5.195095
+1.000000
+2.285177
+1.000000
+7.954258
+1.000000
+-1.298091
+1.000000
+2.762002
+1.000000
+6.996678
+1.000000
+-14.071729
+1.000000
+-2.717061
+1.000000
+-0.911440
+1.000000
+0.848732
+1.000000
+-1.742665
+1.000000
+9.363923
+1.000000
+-3.366791
+1.000000
+-26.548374
+1.000000
+-3.304454
+1.000000
+-5.810499
+1.000000
+-9.082064
+1.000000
+11.454464
+1.000000
+-2.532989
+1.000000
+-5.663896
+1.000000
+-2.905935
+1.000000
+11.928770
+1.000000
+1.239271
+1.000000
+-2.299718
+1.000000
+-9.058380
+1.000000
+-6.305718
+1.000000
+-11.148452
+1.000000
+2.952495
+1.000000
+7.891285
+1.000000
+14.723368
+1.000000
+6.818487
+1.000000
+13.785202
+1.000000
+-1.295231
+1.000000
+-4.601890
+1.000000
+-8.986367
+1.000000
+-0.640565
+1.000000
+-1.214036
+1.000000
+-1.275361
+1.000000
+-6.088468
+1.000000
+-0.869077
+1.000000
+4.021544
+1.000000
+8.613839
+1.000000
+4.895215
+1.000000
+4.536461
+1.000000
+-0.983353
+1.000000
+0.133254
+1.000000
+0.619804
+1.000000
+-10.186604
+1.000000
+0.762133
+1.000000
+4.034103
+1.000000
+-5.566315
+1.000000
+-3.737018
+1.000000
+-13.801624
+1.000000
+-8.079450
+1.000000
+1.117665
+1.000000
+11.429964
+1.000000
+-5.850044
+1.000000
+-1.134729
+1.000000
+-8.943390
+1.000000
+0.468186
+1.000000
+19.079296
+1.000000
+0.474104
+1.000000
+4.033797
+1.000000
+7.905421
+1.000000
+3.914017
+1.000000
+-22.934754
+1.000000
+-0.781230
+1.000000
+-1.956524
+1.000000
+-9.461921
+1.000000
+0.682037
+1.000000
+4.391861
+1.000000
+1.564153
+1.000000
+-1.729668
+1.000000
+-9.288294
+1.000000
+10.806666
+1.000000
+-3.109441
+1.000000
+0.855397
+1.000000
+-1.181026
+1.000000
+8.222632
+1.000000
+-2.284232
+1.000000
+-0.022515
+1.000000
+0.242575
+1.000000
+-0.725115
+1.000000
+-2.854841
+1.000000
+-2.737396
+1.000000
+-3.996104
+1.000000
+-10.793447
+1.000000
+3.649168
+1.000000
+7.315299
+1.000000
+6.363050
+1.000000
+2.199723
+1.000000
+-18.982018
+1.000000
+-5.427323
+1.000000
+6.709660
+1.000000
+-22.140800
+1.000000
+-4.296908
+1.000000
+8.250823
+1.000000
+-7.210771
+1.000000
+-2.605775
+1.000000
+7.990097
+1.000000
+2.616596
+1.000000
+1.307465
+1.000000
+-0.046583
+1.000000
+2.727176
+1.000000
+5.037273
+1.000000
+3.994704
+1.000000
+0.384408
+1.000000
+22.031146
+1.000000
+-10.036830
+1.000000
+-9.081843
+1.000000
+8.878590
+1.000000
+-6.233911
+1.000000
+-5.741914
+1.000000
+0.907460
+1.000000
+4.277749
+1.000000
+4.835399
+1.000000
+-0.714892
+1.000000
+14.218879
+1.000000
+-2.794466
+1.000000
+2.646701
+1.000000
+7.714132
+1.000000
+2.589649
+1.000000
+-4.472469
+1.000000
+5.505209
+1.000000
+-0.824575
+1.000000
+1.553062
+1.000000
+-6.092616
+1.000000
+-2.621791
+1.000000
+-16.102679
+1.000000
+11.133976
+1.000000
+-3.318640
+1.000000
+-2.550944
+1.000000
+2.650485
+1.000000
+17.206287
+1.000000
+0.399819
+1.000000
+-0.509293
+1.000000
+4.131296
+1.000000
+-3.809114
+1.000000
+-6.984513
+1.000000
+1.565811
+1.000000
+8.933162
+1.000000
+3.808353
+1.000000
+5.491956
+1.000000
+18.280834
+1.000000
+-5.428979
+1.000000
+-8.949181
+1.000000
+-13.965404
+1.000000
+-3.474895
+1.000000
+-6.329334
+1.000000
+4.800290
+1.000000
+-7.593091
+1.000000
+4.887478
+1.000000
+2.028757
+1.000000
+2.911261
+1.000000
+-1.296612
+1.000000
+-2.555394
+1.000000
+8.946949
+1.000000
+-9.513351
+1.000000
+0.602618
+1.000000
+-0.694620
+1.000000
+2.656172
+1.000000
+7.124168
+1.000000
+22.217244
+1.000000
+-5.002642
+1.000000
+6.090794
+1.000000
+3.668551
+1.000000
+3.684793
+1.000000
+11.348778
+1.000000
+-3.878128
+1.000000
+13.578120
+1.000000
+-7.797583
+1.000000
+-0.536785
+1.000000
+-11.720779
+1.000000
+3.884309
+1.000000
+-1.451117
+1.000000
+5.952350
+1.000000
+3.308440
+1.000000
+-12.420187
+1.000000
+1.003266
+1.000000
+-10.248618
+1.000000
+2.761005
+1.000000
+-2.036649
+1.000000
+7.112022
+1.000000
+-0.192449
+1.000000
+-1.931303
+1.000000
+-7.089685
+1.000000
+8.456776
+1.000000
+7.901394
+1.000000
+-11.211120
+1.000000
+-4.106519
+1.000000
+4869.560208
+1.000000
+2.510524
+1.000000
+-17.367722
+1.000000
+2.809462
+1.000000
+5.889973
+1.000000
+-17.547288
+1.000000
+-0.543952
diff --git a/applications/lofar1/pft2/tb/data/tc.m b/applications/lofar1/pft2/tb/data/tc.m
new file mode 100644
index 0000000000000000000000000000000000000000..0cc89c703584cb154c6fd0f128b2e62476148a62
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/tc.m
@@ -0,0 +1,73 @@
+%
+% Calculate golden FFT results for TCL signals
+%
+clear all;
+
+% Prepare position and size on screen
+close all;
+h = get(0,'ScreenSize');
+px = round(0.2*h(3));
+py = round(0.4*h(4));
+pw = round(0.5*h(3));
+ph = round(0.5*h(4));
+pdx = ceil(0.04*pw);
+pdy = ceil(0.04*ph);
+
+
+fnames = char('cosin_N2.sig',    ...
+              'cosin_1.sig',     ...
+              'cosin_39.sig',     ...
+              'sinus_1.sig',     ...
+              'sinus_13.sig',    ...
+              'sinus_13s.sig',   ...
+              'impulse_0.sig',   ...
+              'impulse_1.sig',   ...
+              'zeros.sig',       ...
+              'dc.sig',          ...
+              'block_1.sig',     ...
+              'block_117.sig',   ...
+              'u_noise.sig');
+              
+[r c]     = size(fnames);
+nof_files = r;
+
+
+for i = 1:nof_files,
+  % Load signal file
+  fname = deblank(fnames(i,:));
+  s = load(fname);
+  
+  norm = max([max(s) -min(s)]);
+  if norm == 0
+    norm = 1;
+  end
+  fprintf('%20s, norm = %f\n',fname,norm);
+
+  % Calculate FFT
+  n = length(s);
+  b = fft(s,n);
+  re = real(b);
+  im = imag(b);
+  
+  % Plot FFT
+  f = 0:n-1;
+  figure('position',[px py pw ph]); px = px + pdx; py = py - pdy;
+  plot(f, re/norm, 'b', f, im/norm, 'r');
+  title(fname)
+  grid on;
+
+  % Save FFT  
+  fname = fname(1:length(fname)-4);  % strip '.sig'
+  
+  % Save Re part
+  fname_re = strcat(fname, '.re');
+  fid = fopen(fname_re,'w');
+  fprintf(fid,'%f\n',re);
+  fclose(fid);
+  
+  % Save Im part
+  fname_im = strcat(fname, '.im');
+  fid = fopen(fname_im,'w');
+  fprintf(fid,'%f\n',im);
+  fclose(fid);
+end
diff --git a/applications/lofar1/pft2/tb/data/tc.tcl b/applications/lofar1/pft2/tb/data/tc.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..9b1d96432ed52b18122a900b338eb9fe91bc47a2
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/tc.tcl
@@ -0,0 +1,661 @@
+###############################################################################
+#
+# Copyright (C) 2012
+# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+###############################################################################
+
+#
+# This test verifies the PFT output for several input signals agains golden results. The
+# expected results are read from files that can be generated by means of MATLAB program
+# tc.m.
+#
+# Features:
+# - Several signals can be applied per slice, e.g. noise, sinus, block, impulse.
+# - Subband 0 should reflect DC or fs/2:
+#   . f = 0 (DC) --> subband 0 real
+#   . f = fs/2   --> subband 0 imag
+# - Some quatization error per subband is accepted and the threshold can be set via c_diff_max.
+# - When both X and Y are zero then the PFT output must be exactly zero.
+# - Quantization crosstalk between X and Y is shown by keeping one signal zero.
+# - The simulation is rerun as often as necessary to get all subbands in the result buffer via SS.
+#
+
+Testcase tc 5.2 "PFT test" {
+  ::mep::MepMessage msg
+
+  global env
+
+  source "$env(RSP)/rsp/tb/tc/5. Datapath/constants.tcl"
+  source "$env(RSP)/rsp/tb/tc/5. Datapath/wg.tcl"
+
+  set dir_tc "$env(RSP)/rsp/tb/tc/5. Datapath/5.2 PFT/"
+
+  #############################################################################
+  #
+  # - Arguments: -args [blp "0 1 2 3"]
+  #
+  #############################################################################
+
+  set arg_blp    0                        ;# default arguments
+
+  # - Get the arguments if any, search for arg name and then use next arg as value.
+  set n [llength $args]
+  if {$n > 0} {
+    # - arg_blp
+    set i [lsearch $args blp]
+    if {$i > -1} {
+      incr i
+      if {$i <= $n} {
+        set arg_blp [lindex $args $i]
+      }
+    }
+  }
+
+
+  # Adapt blpId format
+  set blpId {}
+  foreach bi $arg_blp {
+    lappend blpId "blp$bi"
+  }
+
+  # Use BM bank 0
+  set bank 0
+  
+  tc appendLog 11 ""
+  tc appendLog 11 ">>> Selected PFT test for RSP-$rspId, BLP-$blpId."
+  tc appendLog 11 ""
+
+
+  #############################################################################
+  #
+  # - Initializations
+  #
+  #############################################################################
+
+  set c_slice_size [expr $c_cpx * $c_rsp_nof_subbands]
+
+  # Not allowed to define polId because use of both x and y is fixed in this testcase.
+  set debug_per_ss_map    0   ;# 0 = only report aggregate results, 1 = also report result per SS map
+  set debug_signal_files  1   ;# 0 = run PFT tests, 1 = only generate waveform signal files
+  set c_diff_max         20   ;# Maximum quantization error in PFT output
+
+  if {$debug_signal_files == 0} {
+    # - Switch RCUs off
+    # - Soft reset AP
+    # - Soft reset BP
+
+    # - Disable external sync
+    wg_write_cr_sync_off $blpId $rspId
+
+    # - Make sure waveform generators are stopped
+    wg_setup_diag_waveform_generator idle $c_slice_size 0 0 0 {x y} $blpId $rspId
+    wg_write_cr_softsync $blpId $rspId
+
+    # - Keep RCUs off
+
+    # - Bypass DC, PFS, PFT and BF, disable SI for simulation speed. Use softsync instead of default datapath sync.
+    set bypass [expr 0x14F]
+    wg_write_diag_bypass $bypass $blpId $rspId
+
+    # - Number of samples per sync interval
+    set c_nof_slices_psync 2
+    set nof_samples_psync [expr round($c_nof_slices_psync * $c_slice_size)]
+    wg_write_bs_nof_samples_psync $nof_samples_psync $blpId $rspId
+    tc appendLog 21 "> Number of slices per sync interval: $c_nof_slices_psync"
+
+    # - Prepare waveform generator for X and Y
+    wg_setup_diag_waveform_generator single $c_slice_size 0 0 0 {x y} $blpId $rspId
+
+    # - Prepare the subband select maps
+    # There are more subbands than beamlets, so use multiple subband to beamlet maps.
+    set ss_full_size  [expr $c_pol * $c_rsp_nof_subbands]
+    set ss_map_size   [expr $c_pol * $c_rsp_nof_beamlets]
+    set m {}
+    for {set i 0} {$i < [expr $c_pol * $c_rsp_nof_subbands]} {incr i} {
+     lappend m $i
+    }
+    foreach i $m {lappend m $i}
+    set k 0
+    while {$k*$ss_map_size < $ss_full_size} {
+      set lo [expr  $k   *$ss_map_size    ]
+      if {($k+1)*$ss_map_size <= $ss_full_size} {
+        set hi [expr ($k+1)*$ss_map_size - 1]
+      } else {
+        set hi [expr $ss_full_size - 1]
+      }
+      set ss_map($k) [lrange $m $lo $hi]
+      tc appendLog 21 "> Length of SS map $k = [llength $ss_map($k)]."
+      incr k
+    }
+    set nof_maps $k
+    set nof_maps 2          ;# To shorten run time
+
+    set nof_subbands $c_rsp_nof_subbands
+    if {$nof_maps < $k} {
+      set nof_subbands [expr $ss_map_size/$c_pol * $nof_maps]
+    }
+    tc appendLog 21 "> Used number of SS maps = $nof_maps."
+
+    # - Result buffer
+    set c_res_word_width  4
+    set c_res_buffer_size [expr $c_pol*$c_cpx * $c_rsp_nof_beamlets * $c_res_word_width]
+  } else {
+    # Only generate waveform signal files
+    set nof_maps 0
+  }
+
+
+  #############################################################################
+  #
+  # - Prepare waveform signals.
+  #
+  #############################################################################
+
+  set pi            [expr 4*atan(1)]
+  set n             $c_slice_size                    ;# One FFT-slice
+  set a_max         [expr int(pow(2,$c_diag_reg_wave_dat_w-1)-1)]     ;# WG maximum amplitude
+  set a_large       [expr int(1000*floor(0.001 * $a_max))]            ;# Large amplitude intended for impulse and sinusoids
+  set a_large_prime 104729
+  set a_large_2     [expr int(1000*floor(0.001 * $a_max/2))]          ;# Large amplitude intended for DC and Fs/2
+  set a_large_pi4   [expr int(1000*floor(0.001 * $a_max * $pi/4))]    ;# Large amplitude intended for square wave
+  set a_medium      [expr int($a_max/2)]
+  set a_small_n     [expr 19*$c_slice_size]                           ;# Small amplitude intended for impulse
+  set a_small       19                                                ;# Small amplitude intended for DC and sinusoids
+
+  # >>> Cosinus
+  set amp         $a_large_2
+  set ofs         0
+  set phs         0
+  set nof_per     [expr $n/2]                     ;# N/2 periods per slice, so freq is N/2 * (2/N * fs/2) = fs/2
+  set per         [expr $n/$nof_per]
+  set cosin_N2    [wg_adc [wg_calculate_analogue_waveform cosin $amp $ofs $phs $per 0 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "cosin_N2"
+  wg_write_file   $f $cosin_N2
+
+  set amp         $a_large
+  set ofs         0
+  set phs         0
+  set nof_per     1                               ;# n periods per slice, so freq is n * fs/N
+  set per         [expr $n/$nof_per]
+  set cosin_1     [wg_adc [wg_calculate_analogue_waveform cosin $amp $ofs $phs $per 0 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "cosin_1"
+  wg_write_file   $f $cosin_1
+
+  set amp         $a_large_prime
+  set ofs         0
+  set nof_per     39.0                            ;# n periods per slice, so freq is n * fs/N
+  set per         [expr $n/$nof_per]
+  set phs         57                              ;# degrees
+  set phs         [expr $phs * $per/360]
+  set cosin_39    [wg_adc [wg_calculate_analogue_waveform cosin $amp $ofs $phs $per 0 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "cosin_39"
+  wg_write_file   $f $cosin_39
+
+  # >>> Sinus
+  set amp         $a_large
+  set ofs         0
+  set phs         0
+  set nof_per     1                               ;# n periods per slice, so freq is n * fs/N
+  set per         [expr $n/$nof_per]
+  set sinus_1     [wg_adc [wg_calculate_analogue_waveform sinus $amp $ofs $phs $per 0 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "sinus_1"
+  wg_write_file   $f $sinus_1
+
+  set amp         $a_large
+  set ofs         0
+  set nof_per     13.0                            ;# n periods per slice, so freq is n * fs/N
+  set per         [expr $n/$nof_per]
+  set phs         30                              ;# degrees
+  set phs         [expr $phs * $per/360]
+  set sinus_13    [wg_adc [wg_calculate_analogue_waveform sinus $amp $ofs $phs $per 0 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "sinus_13"
+  wg_write_file   $f $sinus_13
+
+  set amp         $a_small
+  set ofs         0
+  set nof_per     13.0                            ;# n periods per slice, so freq is n * fs/N
+  set per         [expr $n/$nof_per]
+  set phs         30                              ;# degrees
+  set phs         [expr $phs * $per/360]
+  set sinus_13s   [wg_adc [wg_calculate_analogue_waveform sinus $amp $ofs $phs $per 0 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "sinus_13s"
+  wg_write_file   $f $sinus_13s
+
+  # >>> Impulse
+  # [1 0 0 0 ... 0]
+  set amp         $a_large
+  set ofs         0
+  set phs         0
+  set impulse_0   [wg_adc [wg_calculate_analogue_waveform block $amp $ofs $phs $n 1 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "impulse_0"
+  wg_write_file   $f $impulse_0
+
+  # [0 1 0 0 ... 0]
+  set amp         $a_large
+  set ofs         0
+  set phs         -1
+  set impulse_1   [wg_adc [wg_calculate_analogue_waveform block $amp $ofs $phs $n 1 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "impulse_1"
+  wg_write_file   $f $impulse_1
+
+  # >>> Zeros
+  set amp         0
+  set ofs         0
+  set zeros       [wg_adc [wg_calculate_analogue_waveform block $amp $ofs 0 1 1 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "zeros"
+  wg_write_file   $f $zeros
+
+  # >>> DC
+  set amp         $a_large_2
+  set ofs         0
+  set dc          [wg_adc [wg_calculate_analogue_waveform block $amp $ofs 0 1 1 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "dc"
+  wg_write_file   $f $dc
+
+  # >>> Block
+  # [1 1 1 ... 1 0 0 0 ... 0]
+  set amp         [expr 2*$a_large_pi4]
+  set ofs         -$a_large_pi4
+  set phs         0
+  set nof_per     1                               ;# n periods per slice, so freq is n * fs/N
+  set per         [expr $n/$nof_per]
+  set duty        [expr 0.5*$per]
+  set block_1     [wg_adc [wg_calculate_analogue_waveform block $amp $ofs $phs $per $duty $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "block_1"
+  wg_write_file   $f $block_1
+
+  # [0 1 1 ... 1 1 0 0 ... 0]
+  set amp         [expr 2*$a_large_pi4]
+  set ofs         -$a_large_pi4
+  set nof_per     117.3                           ;# n periods per slice, so freq is n * fs/N
+  set per         [expr $n/$nof_per]
+  set duty        [expr 0.5*$per]
+  set phs         30                              ;# degrees
+  set phs         [expr -$phs * $per/360]
+  set block_117   [wg_adc [wg_calculate_analogue_waveform block $amp $ofs $phs $per $duty $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "block_117"
+  wg_write_file   $f $block_117
+
+  # >>> Noise (uniform)
+  set amp         $a_medium
+  set ofs         0
+  set phs         0
+  set u_noise     [wg_adc [wg_calculate_analogue_waveform uniform $amp $ofs $phs 1 1 $n] $c_diag_reg_wave_dat_w]
+  set f           {}
+  append f        "$dir_tc" "u_noise"
+  wg_write_file   $f $u_noise
+
+
+  #############################################################################
+  #
+  # List of waveforms to be put through the data path
+  #
+  #############################################################################
+
+  # X input                           # Y input
+  set i 0
+  set waveform_x($i) $impulse_0     ; set waveform_y($i) $impulse_1
+  set wavename_x($i)  impulse_0     ; set wavename_y($i)  impulse_1    ; incr i
+
+  set waveform_x($i) $impulse_0     ; set waveform_y($i) $zeros
+  set wavename_x($i)  impulse_0     ; set wavename_y($i)  zeros        ; incr i
+
+  set waveform_x($i) $cosin_39      ; set waveform_y($i) $zeros
+  set wavename_x($i)  cosin_39      ; set wavename_y($i)  zeros        ; incr i
+
+#   set waveform_x($i) $zeros         ; set waveform_y($i) $zeros
+#   set wavename_x($i)  zeros         ; set wavename_y($i)  zeros        ; incr i
+
+#   set waveform_x($i) $dc            ; set waveform_y($i) $cosin_N2
+#   set wavename_x($i)  dc            ; set wavename_y($i)  cosin_N2     ; incr i
+
+#   set waveform_x($i) $dc            ; set waveform_y($i) $sinus_13
+#   set wavename_x($i)  dc            ; set wavename_y($i)  sinus_13     ; incr i
+
+#   set waveform_x($i) $block_117     ; set waveform_y($i) $u_noise
+#   set wavename_x($i)  block_117     ; set wavename_y($i)  u_noise      ; incr i
+
+#   set waveform_x($i) $u_noise       ; set waveform_y($i) $u_noise
+#   set wavename_x($i)  u_noise       ; set wavename_y($i)  u_noise      ; incr i
+  if {$debug_signal_files == 0} {
+    set nof_waveforms $i
+  } else {
+    set nof_waveforms 0  ;# Only generate waveform signal files
+  }
+  tc appendLog 21 "> Number of waveforms: $nof_waveforms"
+
+
+  #############################################################################
+  #
+  # - Derive expected results from golden results
+  #
+  #############################################################################
+
+  for {set k 0} {$k < $nof_waveforms} {incr k} {
+    # Read the golden FFT results (generated by MATLAB: tc.m)
+    set f {}; append f "$dir_tc" "$wavename_x($k).re"; set fft_x_re($k) [wg_read_file $f]
+    set f {}; append f "$dir_tc" "$wavename_x($k).im"; set fft_x_im($k) [wg_read_file $f]
+    set f {}; append f "$dir_tc" "$wavename_y($k).re"; set fft_y_re($k) [wg_read_file $f]
+    set f {}; append f "$dir_tc" "$wavename_y($k).im"; set fft_y_im($k) [wg_read_file $f]
+
+    # Scale and round the golden FFT results
+    # - The PFT stages scale the output by the FFT size N so by 1/N = 1/$c_slice_size.
+    # - PFT seperate does not divide by 2 in Xa(m) = [X*(N-m) + X(m)]/2, Xb(m)=j[X*(N-m) - X(m)]/2
+    # - PFT seperate result for m=N is same as for m=0
+    # - PFT seperate puts real result for m=N/2 in imag of m=0
+    set N $c_slice_size
+
+    set f [expr round (2*[lindex $fft_x_re($k) [expr $N/2]]/$N)]
+    for {set i 1} {$i < $N/2} {incr i} {
+      set lo [lindex $fft_x_im($k) $i]
+      set hi [lindex $fft_x_im($k) [expr $N - $i]]
+      lappend f [expr round ((-$hi + $lo)/$N)]
+    }
+    set fft_x_im($k) $f
+
+    set f [expr round (2*[lindex $fft_x_re($k) 0]/$N)]
+    for {set i 1} {$i < $N/2} {incr i} {
+      set lo [lindex $fft_x_re($k) $i]
+      set hi [lindex $fft_x_re($k) [expr $N - $i]]
+      lappend f [expr round (($hi + $lo)/$N)]
+    }
+    set fft_x_re($k) $f
+
+    set f [expr round (2*[lindex $fft_y_re($k) [expr $N/2]]/$N)]
+    for {set i 1} {$i < $N/2} {incr i} {
+      set lo [lindex $fft_y_im($k) $i]
+      set hi [lindex $fft_y_im($k) [expr $N - $i]]
+      lappend f [expr round ((-$hi + $lo)/$N)]
+    }
+    set fft_y_im($k) $f
+
+    set f [expr round (2*[lindex $fft_y_re($k) 0]/$N)]
+    for {set i 1} {$i < $N/2} {incr i} {
+      set lo [lindex $fft_y_re($k) $i]
+      set hi [lindex $fft_y_re($k) [expr $N - $i]]
+      lappend f [expr round (($hi + $lo)/$N)]
+    }
+    set fft_y_re($k) $f
+  }
+
+  #############################################################################
+  #
+  # - Run the slices
+  #
+  #############################################################################
+
+  if {$debug_per_ss_map == 1} {
+    tc appendLog 11 ""
+    tc appendLog 11 ">>> Report intermediate PFT results:"
+    tc appendLog 11 ""
+  }
+
+  for {set m 0} {$m < $nof_maps} {incr m} {
+
+    #############################################################################
+    #
+    # - Write the subband select map into both memory pages of SS
+    #
+    #############################################################################
+
+    set ss_lo [expr  [lindex $ss_map($m) 0  ]   /2]
+    set ss_hi [expr ([lindex $ss_map($m) end]-1)/2]
+
+    # SS page 0
+    wg_write_ss $ss_map($m) $bank $blpId $rspId
+
+    # Simulate one sync interval
+    for {set i 0} {$i < $c_nof_slices_psync} {incr i} {
+      # Dummy DIAG access to prepare the WG and apply softsync to run one WG slice
+      wg_write_diag_bypass $bypass $blpId $rspId
+      wg_write_cr_softsync $blpId $rspId
+      rsp run "5 us"
+    }
+
+    # SS page 1
+    wg_write_ss $ss_map($m) $bank $blpId $rspId
+
+    # Prepare size to read from result buffer
+    set res_buffer_size [expr $c_cpx * [llength $ss_map($m)] * $c_res_word_width]
+
+    for {set k 0} {$k < $nof_waveforms} {incr k} {
+      #############################################################################
+      #
+      # - Fill and run the waveform generator
+      #
+      #############################################################################
+
+      # Write the waveform X and Y buffers (if necessary).
+      if {$k == 0} {
+        wg_write_diag_waveform $waveform_x($k) x $blpId $rspId
+        wg_write_diag_waveform $waveform_y($k) y $blpId $rspId
+      } else {
+        if {[string equal $waveform_x($k) $waveform_x([expr $k-1])] == 0} {
+          wg_write_diag_waveform $waveform_x($k) x $blpId $rspId
+        }
+        if {[string equal $waveform_y($k) $waveform_y([expr $k-1])] == 0} {
+          wg_write_diag_waveform $waveform_y($k) y $blpId $rspId
+        }
+      }
+
+      # Simulate one sync interval
+      for {set i 0} {$i < $c_nof_slices_psync} {incr i} {
+        # DIAG access to prepare the WG and apply softsync to run one WG slice
+        set bypass [expr 0x14B] ;# Bypass --> Run the PFT
+        wg_write_diag_bypass $bypass $blpId $rspId
+        wg_write_cr_softsync $blpId $rspId
+        rsp run "10 us"
+      }
+      set bypass [expr 0x14F]   ;# Bypass --> For faster simulation speed
+      wg_write_diag_bypass $bypass $blpId $rspId
+
+      # Strip out the desired subband range from the golden results
+      set exp_x_re [lrange $fft_x_re($k) $ss_lo $ss_hi]
+      set exp_x_im [lrange $fft_x_im($k) $ss_lo $ss_hi]
+      set exp_y_re [lrange $fft_y_re($k) $ss_lo $ss_hi]
+      set exp_y_im [lrange $fft_y_im($k) $ss_lo $ss_hi]
+
+      foreach ri $rspId {
+        foreach bi $blpId {
+
+          #############################################################################
+          #
+          # - Read the results buffer
+          #
+          #############################################################################
+
+          set res_buffer [wg_read_diag_result_buffer $res_buffer_size $c_res_word_width $bi $ri]
+
+          set res_buffer_x_re {}
+          set res_buffer_x_im {}
+          set res_buffer_y_re {}
+          set res_buffer_y_im {}
+
+          set j 0
+          foreach i $res_buffer {
+            switch $j {
+              0       {lappend res_buffer_x_re $i; incr j  }
+              1       {lappend res_buffer_x_im $i; incr j  }
+              2       {lappend res_buffer_y_re $i; incr j  }
+              default {lappend res_buffer_y_im $i; set  j 0}
+            }
+          }
+
+          lappend res_x_re($k) $res_buffer_x_re
+          lappend res_x_im($k) $res_buffer_x_im
+          lappend res_y_re($k) $res_buffer_y_re
+          lappend res_y_im($k) $res_buffer_y_im
+
+          if {$debug_per_ss_map == 1} {
+            #############################################################################
+            #
+            # - Compare the result buffer with the expected result buffer
+            #
+            #############################################################################
+
+            # Determine difference between golden FFT results and PFT results
+            set diff_x_re {}; foreach i $res_buffer_x_re j $exp_x_re {lappend diff_x_re [expr $i - $j]}
+            set diff_x_im {}; foreach i $res_buffer_x_im j $exp_x_im {lappend diff_x_im [expr $i - $j]}
+            set diff_y_re {}; foreach i $res_buffer_y_re j $exp_y_re {lappend diff_y_re [expr $i - $j]}
+            set diff_y_im {}; foreach i $res_buffer_y_im j $exp_y_im {lappend diff_y_im [expr $i - $j]}
+
+            # Determine maximum acceptable difference (for zero inputs they PFT must work exact)
+            set c_diff  0
+            foreach i $waveform_x($k) {if {$i != 0} {set c_diff $c_diff_max}}
+            foreach i $waveform_y($k) {if {$i != 0} {set c_diff $c_diff_max}}
+
+            # Verify the difference
+            set j 0; foreach i $diff_x_re {if {abs($i) > $c_diff} {break}; incr j}
+            if {$j == [llength $diff_x_re]} {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT X real for subbands $ss_lo .. $ss_hi of $wavename_x($k) is OK"
+              tc setResult PASSED
+            } else {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT X real for subbands $ss_lo .. $ss_hi of $wavename_x($k) is wrong (subband [expr $ss_lo + $j])"
+              tc appendLog 11 "Expected: $exp_x_re" 1 1
+              tc appendLog 11 "Result  : $res_buffer_x_re" 1 1
+              tc setResult FAILED
+            }
+            set j 0; foreach i $diff_x_im {if {abs($i) > $c_diff} {break}; incr j}
+            if {$j == [llength $diff_x_im]} {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT X imag for subbands $ss_lo .. $ss_hi of $wavename_x($k) is OK"
+              tc setResult PASSED
+            } else {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT X imag for subbands $ss_lo .. $ss_hi of $wavename_x($k) is wrong (subband [expr $ss_lo + $j])"
+              tc appendLog 11 "Expected: $exp_x_im" 1 1
+              tc appendLog 11 "Result  : $res_buffer_x_im" 1 1
+              tc setResult FAILED
+            }
+            set j 0; foreach i $diff_y_re {if {abs($i) > $c_diff} {break}; incr j}
+            if {$j == [llength $diff_y_re]} {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT Y real for subbands $ss_lo .. $ss_hi of $wavename_y($k) is OK"
+              tc setResult PASSED
+            } else {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT Y real for subbands $ss_lo .. $ss_hi of $wavename_y($k) is wrong (subband [expr $ss_lo + $j])"
+              tc appendLog 11 "Expected: $exp_y_re" 1 1
+              tc appendLog 11 "Result  : $res_buffer_y_re" 1 1
+              tc setResult FAILED
+            }
+            set j 0; foreach i $diff_y_im {if {abs($i) > $c_diff} {break}; incr j}
+            if {$j == [llength $diff_y_im]} {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT Y imag for subbands $ss_lo .. $ss_hi of $wavename_y($k) is OK"
+              tc setResult PASSED
+            } else {
+              tc appendLog 11 ">>> - RSP-$ri, BLP-$blpId: PFT Y imag for subbands $ss_lo .. $ss_hi of $wavename_y($k) is wrong (subband [expr $ss_lo + $j])"
+              tc appendLog 11 "Expected: $exp_y_im" 1 1
+              tc appendLog 11 "Result  : $res_buffer_y_im" 1 1
+              tc setResult FAILED
+            }
+          }
+        }
+      }
+    }
+  }
+
+  #############################################################################
+  #
+  # - Report aggregate results (only works for 1 RSP and 1 BLP, or the last one)
+  #
+  #############################################################################
+
+  tc appendLog 11 ""
+  tc appendLog 11 ">>> Report aggregate PFT results:"
+  tc appendLog 11 ""
+
+  for {set k 0} {$k < $nof_waveforms} {incr k} {
+
+    # Adjust signal lengths and lists
+    set res_x_re($k) [join $res_x_re($k)]
+    set res_x_im($k) [join $res_x_im($k)]
+    set res_y_re($k) [join $res_y_re($k)]
+    set res_y_im($k) [join $res_y_im($k)]
+
+    set fft_x_re($k) [lrange $fft_x_re($k) 0 [expr $nof_subbands-1]]
+    set fft_x_im($k) [lrange $fft_x_im($k) 0 [expr $nof_subbands-1]]
+    set fft_y_re($k) [lrange $fft_y_re($k) 0 [expr $nof_subbands-1]]
+    set fft_y_im($k) [lrange $fft_y_im($k) 0 [expr $nof_subbands-1]]
+
+    # Determine difference between golden FFT results and PFT results
+    set diff_x_re {}; foreach i $res_x_re($k) j $fft_x_re($k) {lappend diff_x_re [expr abs($i - $j)]}
+    set diff_x_im {}; foreach i $res_x_im($k) j $fft_x_im($k) {lappend diff_x_im [expr abs($i - $j)]}
+    set diff_y_re {}; foreach i $res_y_re($k) j $fft_y_re($k) {lappend diff_y_re [expr abs($i - $j)]}
+    set diff_y_im {}; foreach i $res_y_im($k) j $fft_y_im($k) {lappend diff_y_im [expr abs($i - $j)]}
+
+    # Determine maximum acceptable difference (for zero inputs they PFT must work exact)
+    set c_diff  0
+    foreach i $waveform_x($k) {if {$i != 0} {set c_diff $c_diff_max}}
+    foreach i $waveform_y($k) {if {$i != 0} {set c_diff $c_diff_max}}
+
+    # Verify the difference
+    set j 0; foreach i $diff_x_re {if {abs($i) > $c_diff} {break}; incr j}
+    if {$j == [llength $diff_x_re]} {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT X real of $wavename_x($k) is OK"
+      tc setResult PASSED
+    } else {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT X real of $wavename_x($k) is wrong (subband $j)"
+      tc appendLog 11 "Expected: $fft_x_re($k)" 1 1
+      tc appendLog 11 "Result  : $res_x_re($k)" 1 1
+      tc appendLog 11 "|Diff|  : $diff_x_re" 1 1
+      tc setResult FAILED
+    }
+    set j 0; foreach i $diff_x_im {if {abs($i) > $c_diff} {break}; incr j}
+    if {$j == [llength $diff_x_im]} {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT X imag of $wavename_x($k) is OK"
+      tc setResult PASSED
+    } else {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT X imag of $wavename_x($k) is wrong (subband $j)"
+      tc appendLog 11 "Expected: $fft_x_im($k)" 1 1
+      tc appendLog 11 "Result  : $res_x_im($k)" 1 1
+      tc appendLog 11 "|Diff|  : $diff_x_im" 1 1
+      tc setResult FAILED
+    }
+    set j 0; foreach i $diff_y_re {if {abs($i) > $c_diff} {break}; incr j}
+    if {$j == [llength $diff_y_re]} {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT Y real of $wavename_y($k) is OK"
+      tc setResult PASSED
+    } else {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT Y real of $wavename_y($k) is wrong (subband $j)"
+      tc appendLog 11 "Expected: $fft_y_re($k)" 1 1
+      tc appendLog 11 "Result  : $res_y_re($k)" 1 1
+      tc appendLog 11 "|Diff|  : $diff_y_re" 1 1
+      tc setResult FAILED
+    }
+    set j 0; foreach i $diff_y_im {if {abs($i) > $c_diff} {break}; incr j}
+    if {$j == [llength $diff_y_im]} {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT Y imag of $wavename_y($k) is OK"
+      tc setResult PASSED
+    } else {
+      tc appendLog 11 ">>> - RSP-$rspId, BLP-$blpId: PFT Y imag of $wavename_y($k) is wrong (subband $j)"
+      tc appendLog 11 "Expected: $fft_y_im($k)" 1 1
+      tc appendLog 11 "Result  : $res_y_im($k)" 1 1
+      tc appendLog 11 "|Diff|  : $diff_y_im" 1 1
+      tc setResult FAILED
+    }
+  }
+}
diff --git a/applications/lofar1/pft2/tb/data/u_noise.im b/applications/lofar1/pft2/tb/data/u_noise.im
new file mode 100644
index 0000000000000000000000000000000000000000..5c68face36153d7fdd0628bf088f7a3d2e11915f
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/u_noise.im
@@ -0,0 +1,1024 @@
+0.000000
+930958.967338
+77221.881579
+71561.757489
+864667.473609
+-703746.360855
+2150803.428400
+182261.532587
+-1310743.984027
+-20241.144831
+771027.979746
+-166943.703550
+2754.116882
+1160555.031888
+-664666.749866
+5250.970643
+-325449.391570
+675353.775134
+-505374.892603
+1581962.592250
+29464.265418
+-830491.402127
+-189219.806212
+781030.963787
+-809481.096498
+-1137011.234825
+-438994.587031
+89989.303827
+-72651.917836
+595396.866663
+104261.552149
+108470.155382
+46873.181974
+273146.802394
+12552.104690
+576520.546806
+593075.196117
+-239767.228560
+-703674.834827
+-311718.016680
+-1631420.740144
+-591227.382054
+-2027154.782155
+-398463.637919
+1133477.269931
+29988.228917
+-1366026.141211
+-125222.853653
+784715.092368
+-12188.441153
+188940.898968
+1228431.156666
+-1379351.908184
+-263020.949116
+-673452.613124
+658091.209212
+22871.218694
+-854094.117916
+-377912.611551
+-624752.558395
+224402.624772
+302299.727382
+1070913.968686
+91901.458858
+-718074.043446
+66953.953476
+-504674.714296
+-497239.544029
+767032.954289
+575306.538808
+37072.415580
+743490.809737
+1001931.339523
+68181.564297
+-1001531.777621
+278957.704821
+892038.463409
+987738.566585
+332327.573907
+1195296.325103
+231901.814902
+-358343.018030
+65508.620627
+-108057.249801
+-468045.174682
+609625.924936
+-459443.835678
+-29441.622595
+-949731.585263
+-621273.705579
+-716648.973480
+60877.206380
+109784.201857
+1301867.386345
+514486.241104
+111508.426838
+-201518.576104
+426847.707058
+-1366343.780248
+1195672.065346
+232056.626815
+-1114531.166836
+-940694.363234
+-952333.008115
+398500.349992
+96721.185150
+-1176006.524477
+820140.505905
+-204177.757182
+365746.919159
+-1969111.019532
+408704.864838
+1153719.362762
+-398476.440177
+-186336.493504
+-558767.870331
+668445.825013
+21364.127572
+1366136.280062
+-774191.562116
+-332586.417313
+550708.485983
+-126846.669622
+2435083.487459
+600787.080405
+1126464.820271
+-816897.549143
+117013.858409
+-478145.829875
+-1315556.871439
+-722110.051300
+177618.619329
+267768.879597
+931255.471529
+331328.651893
+-1324950.285966
+573180.375032
+681735.504464
+-102850.459533
+517010.186184
+-914376.676518
+-899971.290942
+437964.613175
+1057933.769520
+729472.440494
+970320.599719
+-987392.512941
+-552411.623720
+-511670.179580
+-286484.454630
+585149.502107
+-783232.989143
+942019.838388
+-59517.355920
+-904726.022473
+-833578.715751
+838096.143120
+-177177.894572
+151040.264034
+238658.092486
+48248.806352
+-928649.473080
+-715710.431736
+823809.936666
+-1333169.798030
+139151.958727
+421006.927494
+-761902.849228
+-494001.622486
+1040228.907736
+276397.650585
+629809.474362
+-1183457.260560
+-1202327.218001
+248231.801482
+-582986.776585
+77977.145830
+643554.052366
+-493075.430218
+744777.691501
+-675572.956264
+-1318280.581732
+245975.157476
+1701729.168626
+1670924.477074
+-1727116.338951
+-1332857.677354
+1444703.772584
+-323512.942970
+-473278.402908
+-2005082.996906
+60410.014901
+-799046.793763
+-1046256.297522
+-749695.932696
+-762710.764396
+-484231.181811
+-718661.084948
+271925.610903
+-1642837.585127
+-45081.259866
+250953.679791
+-374019.349954
+440803.533739
+-263825.061623
+-131510.701986
+506491.948506
+728895.448988
+-140362.532444
+-1511463.732959
+-830872.928211
+472677.485957
+-1854766.622046
+-631837.984504
+-1043661.022570
+-583125.142799
+1048238.605388
+324659.518862
+-879049.609764
+808649.843452
+789756.095288
+-287981.495500
+-622053.682765
+-249758.248542
+1004423.879603
+-511095.108137
+2328143.359156
+310578.731594
+543959.140771
+1284023.762006
+440001.337239
+-436553.797422
+-39548.221607
+701302.977529
+464611.079835
+-1206581.160965
+-316481.845653
+332042.131574
+-299150.239337
+-803852.390666
+-121826.691599
+1574505.158124
+-898109.302952
+-163968.220360
+-913599.515654
+105728.654010
+598560.922158
+-57122.239876
+-917198.181135
+622273.511703
+1372688.321553
+19043.402185
+747722.677910
+560449.673398
+247711.726383
+156458.448999
+37288.000000
+-226554.799840
+-995244.296956
+1693341.618852
+1233639.698701
+-207711.898986
+-1946513.263357
+928855.745005
+-771380.280078
+1529385.534774
+946192.614025
+-1078860.434869
+961014.392989
+2417753.687822
+-1505429.780365
+-917546.330026
+-707738.367629
+29390.454066
+-234999.363509
+1342887.187658
+-556809.693565
+-265118.542966
+292865.285652
+-298469.458063
+-1128731.893904
+928163.280539
+417535.978682
+1494954.032312
+848963.089893
+158451.487969
+-388147.443316
+-791721.395342
+-276560.986980
+69066.259545
+-2001655.840369
+-1255333.770724
+869234.512512
+1428014.029335
+-279689.814803
+771444.200370
+29496.854300
+3300.172272
+922912.144757
+671729.598294
+373459.452821
+-577234.603355
+982219.347490
+-248143.012736
+-193171.958621
+462260.664571
+344969.226540
+-66041.561961
+1161560.241824
+-2215402.890366
+-1032.767636
+-1014823.098261
+63766.671334
+-132638.293061
+100312.658778
+-392238.876110
+-1215849.974470
+-1259890.800121
+699155.942475
+-1040426.660506
+-788057.417423
+-245253.919219
+1504622.222967
+2260559.811628
+78986.328799
+433041.386907
+-622502.704777
+373151.791863
+210545.879701
+-462034.749067
+928008.911187
+247448.310572
+769810.493570
+694973.593446
+1826892.221146
+756613.619597
+1127901.688583
+-37642.374377
+-854999.979829
+-278145.051601
+-648822.651254
+604488.495625
+-1054165.989137
+-708105.032751
+-760432.921098
+919657.843197
+-12640.250769
+466088.693488
+419575.721136
+1548612.501846
+570213.206290
+-29295.493496
+-918221.330952
+609570.176820
+-67437.662216
+931435.045030
+1571653.537339
+352250.691452
+909751.384167
+-17279.874705
+911869.604973
+284571.491946
+1182803.674059
+-30970.127849
+-418126.995137
+-109369.178248
+166770.034224
+1227373.816178
+1699980.650441
+1645781.253533
+149471.668488
+1040836.869058
+-59595.594057
+405282.945546
+-176903.642802
+-27170.973677
+-309372.431423
+-92565.176903
+1341056.490109
+419824.011127
+-1060256.495694
+345753.492089
+374102.097529
+-258317.858781
+-179259.829875
+442005.154896
+1266363.422207
+-845142.788210
+810466.500778
+-916064.031461
+82720.810820
+-480926.303030
+233564.891409
+185229.752137
+205.996194
+-533957.114689
+708632.585493
+367251.388316
+15506.738499
+-1175319.447415
+-190627.453820
+-568802.672570
+482335.867018
+-357440.962406
+-41547.577466
+945128.368881
+-234479.936236
+-501624.468226
+-1008954.890741
+-124487.061073
+266542.803902
+15357.007395
+2320516.907444
+105700.105043
+-655999.490522
+-104.927758
+-1090893.687008
+559958.234797
+-619134.529139
+-188022.629718
+154492.515122
+267524.445217
+1411866.714204
+-938978.440202
+-562582.476495
+-999823.569709
+172287.597541
+202.512354
+-1177437.093734
+201488.481857
+-919783.209934
+-1385587.306742
+755935.635027
+-588099.097854
+896001.465421
+258657.890077
+35554.085186
+412071.564887
+1085134.013331
+-419025.995160
+1912865.666766
+-96780.634090
+-1991019.836952
+341580.976500
+-782264.215353
+-100492.928040
+532940.045775
+-597716.062176
+-1070304.667106
+238589.294185
+-1142282.255255
+617539.456740
+612247.614472
+-376038.372231
+-1459986.342591
+-1524655.660988
+-623703.313773
+899341.492073
+679853.965492
+-103370.730105
+-1540449.782806
+1072166.556954
+-98811.758502
+338936.249566
+-526621.528388
+-1380310.513533
+513173.983251
+-1257993.901519
+-1786510.404116
+-448118.893669
+262009.082485
+-1337067.118492
+-184552.523186
+812773.331216
+264046.991509
+1480642.398429
+-1480810.085404
+-599592.838133
+-1085355.261296
+450692.725920
+918855.341790
+-137540.107491
+-874159.168617
+359564.380306
+-1899775.487280
+401668.207439
+2112673.776707
+539264.080270
+87669.340962
+1388508.704617
+885895.581965
+2578183.587529
+-949998.543465
+69460.951145
+250347.138406
+63731.634377
+746123.433921
+-72537.649679
+258748.950361
+-660605.349674
+-631009.443320
+-344818.485459
+-804557.297430
+-632528.271708
+-383155.799665
+272833.530367
+386316.354251
+-1520498.860528
+-34199.459460
+223010.538398
+2239505.561277
+399746.719355
+0.000000
+-399746.719355
+-2239505.561277
+-223010.538398
+34199.459460
+1520498.860528
+-386316.354251
+-272833.530367
+383155.799665
+632528.271708
+804557.297430
+344818.485459
+631009.443320
+660605.349674
+-258748.950361
+72537.649679
+-746123.433921
+-63731.634377
+-250347.138406
+-69460.951145
+949998.543465
+-2578183.587529
+-885895.581965
+-1388508.704617
+-87669.340962
+-539264.080270
+-2112673.776707
+-401668.207439
+1899775.487280
+-359564.380306
+874159.168617
+137540.107491
+-918855.341790
+-450692.725920
+1085355.261296
+599592.838133
+1480810.085404
+-1480642.398429
+-264046.991509
+-812773.331216
+184552.523186
+1337067.118492
+-262009.082485
+448118.893669
+1786510.404116
+1257993.901519
+-513173.983251
+1380310.513533
+526621.528388
+-338936.249566
+98811.758502
+-1072166.556954
+1540449.782806
+103370.730105
+-679853.965492
+-899341.492073
+623703.313773
+1524655.660988
+1459986.342591
+376038.372231
+-612247.614472
+-617539.456740
+1142282.255255
+-238589.294185
+1070304.667106
+597716.062176
+-532940.045775
+100492.928040
+782264.215353
+-341580.976500
+1991019.836952
+96780.634090
+-1912865.666766
+419025.995160
+-1085134.013331
+-412071.564887
+-35554.085186
+-258657.890077
+-896001.465421
+588099.097854
+-755935.635027
+1385587.306742
+919783.209934
+-201488.481857
+1177437.093734
+-202.512354
+-172287.597541
+999823.569709
+562582.476495
+938978.440202
+-1411866.714204
+-267524.445217
+-154492.515122
+188022.629718
+619134.529139
+-559958.234797
+1090893.687008
+104.927758
+655999.490522
+-105700.105043
+-2320516.907444
+-15357.007395
+-266542.803902
+124487.061073
+1008954.890741
+501624.468226
+234479.936236
+-945128.368881
+41547.577466
+357440.962406
+-482335.867018
+568802.672570
+190627.453820
+1175319.447415
+-15506.738499
+-367251.388316
+-708632.585493
+533957.114689
+-205.996194
+-185229.752137
+-233564.891409
+480926.303030
+-82720.810820
+916064.031461
+-810466.500778
+845142.788210
+-1266363.422207
+-442005.154896
+179259.829875
+258317.858781
+-374102.097529
+-345753.492089
+1060256.495694
+-419824.011127
+-1341056.490109
+92565.176903
+309372.431423
+27170.973677
+176903.642802
+-405282.945546
+59595.594057
+-1040836.869058
+-149471.668488
+-1645781.253533
+-1699980.650441
+-1227373.816178
+-166770.034224
+109369.178248
+418126.995137
+30970.127849
+-1182803.674059
+-284571.491946
+-911869.604973
+17279.874705
+-909751.384167
+-352250.691452
+-1571653.537339
+-931435.045030
+67437.662216
+-609570.176820
+918221.330952
+29295.493496
+-570213.206290
+-1548612.501846
+-419575.721136
+-466088.693488
+12640.250769
+-919657.843197
+760432.921098
+708105.032751
+1054165.989137
+-604488.495625
+648822.651254
+278145.051601
+854999.979829
+37642.374377
+-1127901.688583
+-756613.619597
+-1826892.221146
+-694973.593446
+-769810.493570
+-247448.310572
+-928008.911187
+462034.749067
+-210545.879701
+-373151.791863
+622502.704777
+-433041.386907
+-78986.328799
+-2260559.811628
+-1504622.222967
+245253.919219
+788057.417423
+1040426.660506
+-699155.942475
+1259890.800121
+1215849.974470
+392238.876110
+-100312.658778
+132638.293061
+-63766.671334
+1014823.098261
+1032.767636
+2215402.890366
+-1161560.241824
+66041.561961
+-344969.226540
+-462260.664571
+193171.958621
+248143.012736
+-982219.347490
+577234.603355
+-373459.452821
+-671729.598294
+-922912.144757
+-3300.172272
+-29496.854300
+-771444.200370
+279689.814803
+-1428014.029335
+-869234.512512
+1255333.770724
+2001655.840369
+-69066.259545
+276560.986980
+791721.395342
+388147.443316
+-158451.487969
+-848963.089893
+-1494954.032312
+-417535.978682
+-928163.280539
+1128731.893904
+298469.458063
+-292865.285652
+265118.542966
+556809.693565
+-1342887.187658
+234999.363509
+-29390.454066
+707738.367629
+917546.330026
+1505429.780365
+-2417753.687822
+-961014.392989
+1078860.434869
+-946192.614025
+-1529385.534774
+771380.280078
+-928855.745005
+1946513.263357
+207711.898986
+-1233639.698701
+-1693341.618852
+995244.296956
+226554.799840
+-37288.000000
+-156458.448999
+-247711.726383
+-560449.673398
+-747722.677910
+-19043.402185
+-1372688.321553
+-622273.511703
+917198.181135
+57122.239876
+-598560.922158
+-105728.654010
+913599.515654
+163968.220360
+898109.302952
+-1574505.158124
+121826.691599
+803852.390666
+299150.239337
+-332042.131574
+316481.845653
+1206581.160965
+-464611.079835
+-701302.977529
+39548.221607
+436553.797422
+-440001.337239
+-1284023.762006
+-543959.140771
+-310578.731594
+-2328143.359156
+511095.108137
+-1004423.879603
+249758.248542
+622053.682765
+287981.495500
+-789756.095288
+-808649.843452
+879049.609764
+-324659.518862
+-1048238.605388
+583125.142799
+1043661.022570
+631837.984504
+1854766.622046
+-472677.485957
+830872.928211
+1511463.732959
+140362.532444
+-728895.448988
+-506491.948506
+131510.701986
+263825.061623
+-440803.533739
+374019.349954
+-250953.679791
+45081.259866
+1642837.585127
+-271925.610903
+718661.084948
+484231.181811
+762710.764396
+749695.932696
+1046256.297522
+799046.793763
+-60410.014901
+2005082.996906
+473278.402908
+323512.942970
+-1444703.772584
+1332857.677354
+1727116.338951
+-1670924.477074
+-1701729.168626
+-245975.157476
+1318280.581732
+675572.956264
+-744777.691501
+493075.430218
+-643554.052366
+-77977.145830
+582986.776585
+-248231.801482
+1202327.218001
+1183457.260560
+-629809.474362
+-276397.650585
+-1040228.907736
+494001.622486
+761902.849228
+-421006.927494
+-139151.958727
+1333169.798030
+-823809.936666
+715710.431736
+928649.473080
+-48248.806352
+-238658.092486
+-151040.264034
+177177.894572
+-838096.143120
+833578.715751
+904726.022473
+59517.355920
+-942019.838388
+783232.989143
+-585149.502107
+286484.454630
+511670.179580
+552411.623720
+987392.512941
+-970320.599719
+-729472.440494
+-1057933.769520
+-437964.613175
+899971.290942
+914376.676518
+-517010.186184
+102850.459533
+-681735.504464
+-573180.375032
+1324950.285966
+-331328.651893
+-931255.471529
+-267768.879597
+-177618.619329
+722110.051300
+1315556.871439
+478145.829875
+-117013.858409
+816897.549143
+-1126464.820271
+-600787.080405
+-2435083.487459
+126846.669622
+-550708.485983
+332586.417313
+774191.562116
+-1366136.280062
+-21364.127572
+-668445.825013
+558767.870331
+186336.493504
+398476.440177
+-1153719.362762
+-408704.864838
+1969111.019532
+-365746.919159
+204177.757182
+-820140.505905
+1176006.524477
+-96721.185150
+-398500.349992
+952333.008115
+940694.363234
+1114531.166836
+-232056.626815
+-1195672.065346
+1366343.780248
+-426847.707058
+201518.576104
+-111508.426838
+-514486.241104
+-1301867.386345
+-109784.201857
+-60877.206380
+716648.973480
+621273.705579
+949731.585263
+29441.622595
+459443.835678
+-609625.924936
+468045.174682
+108057.249801
+-65508.620627
+358343.018030
+-231901.814902
+-1195296.325103
+-332327.573907
+-987738.566585
+-892038.463409
+-278957.704821
+1001531.777621
+-68181.564297
+-1001931.339523
+-743490.809737
+-37072.415580
+-575306.538808
+-767032.954289
+497239.544029
+504674.714296
+-66953.953476
+718074.043446
+-91901.458858
+-1070913.968686
+-302299.727382
+-224402.624772
+624752.558395
+377912.611551
+854094.117916
+-22871.218694
+-658091.209212
+673452.613124
+263020.949116
+1379351.908184
+-1228431.156666
+-188940.898968
+12188.441153
+-784715.092368
+125222.853653
+1366026.141211
+-29988.228917
+-1133477.269931
+398463.637919
+2027154.782155
+591227.382054
+1631420.740144
+311718.016680
+703674.834827
+239767.228560
+-593075.196117
+-576520.546806
+-12552.104690
+-273146.802394
+-46873.181974
+-108470.155382
+-104261.552149
+-595396.866663
+72651.917836
+-89989.303827
+438994.587031
+1137011.234825
+809481.096498
+-781030.963787
+189219.806212
+830491.402127
+-29464.265418
+-1581962.592250
+505374.892603
+-675353.775134
+325449.391570
+-5250.970643
+664666.749866
+-1160555.031888
+-2754.116882
+166943.703550
+-771027.979746
+20241.144831
+1310743.984027
+-182261.532587
+-2150803.428400
+703746.360855
+-864667.473609
+-71561.757489
+-77221.881579
+-930958.967338
diff --git a/applications/lofar1/pft2/tb/data/u_noise.re b/applications/lofar1/pft2/tb/data/u_noise.re
new file mode 100644
index 0000000000000000000000000000000000000000..42f49e261bc49da5d02d8408d0293eb87b819554
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/u_noise.re
@@ -0,0 +1,1024 @@
+-790077.000000
+1167264.645811
+-239527.873161
+-174859.792535
+262954.168262
+-961265.706730
+555820.399160
+458408.376186
+408064.343336
+827016.596159
+-874740.019413
+315822.924596
+404288.788825
+804920.422361
+-684125.380188
+-9159.301649
+-1168302.499470
+-418703.415007
+-891476.818057
+123331.769248
+651998.376700
+935662.765206
+-2717.995113
+-599892.700509
+779940.436107
+211821.533160
+-715506.605585
+1068074.687580
+-859491.926268
+-257689.044044
+-129358.639120
+-227219.003945
+-677322.458721
+-259515.060556
+-532686.518585
+-1845475.838682
+-273444.983923
+849792.949726
+586836.529808
+1330774.096472
+493241.001762
+747055.632514
+573906.789681
+1537611.576477
+378438.451756
+-778005.979230
+887099.053339
+-592390.671245
+1400141.578217
+1538660.089658
+589705.078728
+-219752.942649
+438896.274491
+-19408.631761
+1128213.784628
+-466046.732012
+-786898.383788
+-1182423.092089
+606081.037572
+1110294.040506
+-63235.391294
+1899285.443958
+131163.643679
+816517.530483
+145195.886046
+71281.179081
+979024.262767
+299324.619654
+974568.250182
+87533.014748
+50035.186598
+34394.335541
+1839525.656982
+-660131.413123
+-181679.802707
+-121052.976403
+-695713.158805
+-663804.012769
+-844806.352380
+-987554.010256
+-477647.042183
+798947.204825
+-214870.344587
+-212551.021297
+835381.902067
+-229174.409045
+665895.286072
+638871.510309
+-792179.425561
+1379732.205489
+-1033024.009910
+-574574.635739
+1053622.181393
+-550333.460307
+-687815.589886
+-1361599.919434
+-447329.884880
+-398252.046503
+-107357.212183
+1616369.908840
+227582.513206
+983349.327901
+-1212546.315511
+-1535036.230801
+105666.777888
+473281.462402
+-195792.410678
+1148127.990185
+328601.586251
+-601620.987403
+-669120.952690
+-384649.762633
+-269698.158756
+-1060226.721909
+-383190.265347
+1443437.683890
+884877.936129
+-889281.910714
+385294.769754
+-368345.936156
+2458319.386358
+1439586.708803
+-197249.052167
+259000.761459
+450165.150170
+35947.291925
+115430.192339
+227121.535594
+1146637.195717
+627238.320164
+788359.946795
+-697753.071544
+444762.532198
+-184704.195906
+1747941.407519
+24608.423735
+1368540.783036
+-554387.062287
+644506.239059
+591663.375502
+211998.839249
+-50987.455409
+858203.738406
+-297321.656975
+-83202.756666
+-374726.567263
+1203735.523871
+-270966.477555
+110275.703613
+331897.332972
+-306918.246476
+90234.043102
+-2128638.288487
+611940.345322
+684862.669889
+1505507.820437
+-2040.458960
+-353427.048958
+-792777.108814
+-4421.649652
+-98093.694871
+1453701.381010
+-145267.383615
+-181876.009683
+648321.770019
+-646740.189431
+-1323664.737220
+921658.282146
+-481702.750803
+-167416.978825
+-522802.137333
+1263819.271834
+668003.109094
+-1081060.402069
+-493397.270658
+1186481.753525
+382475.034393
+-443113.955080
+-1277494.614864
+96552.289999
+691281.177790
+585499.271244
+29630.456742
+-1058336.107677
+498864.918573
+-798276.817582
+-1367385.816550
+883553.297031
+-1429099.397224
+-98598.115876
+-376651.210981
+-778146.095117
+-449105.197008
+356395.770068
+-1946081.495885
+450220.577551
+352900.796747
+248981.891950
+-595813.743916
+1457187.342490
+141791.640553
+-1037649.141557
+913488.160790
+-134926.437534
+351670.386246
+-44435.948478
+-1634789.223142
+-305069.107263
+-112489.074815
+-179456.331734
+-126449.457217
+-127893.641304
+80890.268463
+519568.564661
+410359.969073
+673969.929348
+1009184.189068
+-1295331.228778
+-66575.662195
+-1338084.173324
+-1284958.183925
+-492238.923658
+491526.580211
+-702307.261808
+376661.464130
+324628.211243
+-236213.273041
+1533017.613536
+-57357.554756
+240888.699933
+134135.615075
+14976.929318
+895789.733709
+339425.067716
+-2043311.877691
+221303.426373
+366248.650031
+-36017.001017
+778700.433924
+657485.837669
+1276360.861567
+448266.927046
+317376.477041
+1048147.719101
+-1944504.303085
+-313082.221797
+1055033.078717
+-121438.116325
+-595273.383728
+-359553.283598
+-1280448.592605
+-222784.239927
+-1316503.570425
+1629780.382644
+1192842.653840
+-1498308.147329
+538397.000000
+1232318.544211
+2115020.682734
+-487779.602108
+1456764.653812
+-61380.491894
+407915.997763
+466669.921389
+542727.685066
+-1168686.962054
+880652.229293
+60308.867581
+1282557.950743
+-131352.239366
+-100579.331661
+133832.938918
+-1391471.139945
+1084454.710828
+238776.970501
+-810414.018751
+2248556.547437
+-174757.930198
+973278.134326
+1207586.513989
+-933413.059509
+24909.227388
+1118706.305329
+263646.729448
+651101.933026
+646456.067683
+-809027.269330
+1869674.069170
+607376.010827
+718387.872683
+-264171.346686
+294598.767059
+-433525.463242
+-871149.966092
+134769.798605
+1834432.333564
+-254357.161976
+293358.646748
+2285450.350663
+386719.160891
+215116.479095
+620751.708006
+-629381.622010
+-607410.995261
+492556.546687
+-24886.368813
+37340.701057
+-1202111.473008
+-799320.622654
+633720.980164
+217042.133762
+-736303.510012
+-1802238.502592
+-704494.430384
+624359.519568
+-1041584.878595
+-534294.482881
+-234188.593265
+-37496.438087
+1337562.622108
+281556.497473
+1677232.351570
+-1090141.493685
+1270948.511866
+152478.633665
+593580.328924
+143216.679945
+-961165.526368
+844392.352845
+150355.250599
+1199168.929515
+-271332.012518
+1351546.437496
+-1016598.560407
+922957.049414
+-252378.281456
+-136492.243124
+-847437.742348
+-14132.084991
+333238.197108
+813957.795109
+1668970.943212
+140131.060152
+1200798.315061
+340788.678460
+572497.488431
+-1134405.119603
+142988.334459
+308778.613625
+-844023.790830
+-221255.216674
+469547.979520
+789255.400653
+-752938.536401
+-1849.809474
+-567488.742484
+38534.125618
+-642242.783967
+-366108.747920
+801645.032459
+177832.764073
+-1425296.314841
+64931.587332
+-314612.959852
+1663371.697864
+741937.687456
+-972594.328987
+716521.087877
+-1323960.130132
+-19394.974403
+-323856.733900
+-140370.808951
+1669677.917515
+-113101.707655
+329982.087233
+479935.591066
+1588415.490122
+576676.560799
+-683942.287739
+767340.634263
+1849756.595507
+1225716.868401
+910671.552014
+-483556.993229
+108202.804283
+-1011415.091676
+-111172.273216
+-1056909.062163
+-1303307.289062
+-273319.432481
+-1350038.552843
+-1316524.917563
+660580.085616
+-287719.973961
+-445841.308619
+360589.428666
+-87645.020535
+-1319721.738979
+1927512.858751
+379719.199310
+1522549.795426
+541232.368973
+-898405.341733
+609895.942378
+437124.746656
+-641068.547759
+-750065.138408
+-565921.463630
+1051543.792064
+145662.226969
+-260825.416709
+269778.051144
+-37276.695134
+213760.102633
+-270744.519001
+-176567.043516
+270741.748035
+-630548.305592
+-484931.386775
+-285216.732891
+880833.623496
+-2195612.779748
+867905.601833
+98336.566087
+-299687.937712
+272129.498550
+-404083.799409
+-222670.823075
+450124.050883
+-169315.287460
+-679935.143659
+-602895.525996
+1851663.032759
+718597.541402
+1132919.319811
+-172762.359373
+-82288.494932
+186579.321172
+-1417948.927666
+-2083245.088491
+458733.047041
+550879.315057
+1035836.735379
+1483077.978520
+584247.627359
+1693941.351087
+1135700.172697
+-1254584.627672
+-816739.186512
+-622052.154008
+488168.534936
+1184802.160110
+450114.480566
+1727010.315039
+-2484582.979123
+-525791.136493
+-214683.095639
+214111.930193
+668338.482554
+-134422.125765
+145820.615053
+-1200815.190568
+-460242.633158
+273446.324694
+-544592.491484
+1188109.259143
+-933460.911469
+1392150.979374
+-652814.670502
+1859836.225782
+-335205.554325
+-1225216.833741
+-485169.882509
+-744205.415811
+-179989.438337
+-1306397.261875
+-514734.031414
+-695419.961172
+-247007.061156
+-1389660.024844
+149519.414826
+-60644.379567
+510633.284397
+-1964264.753191
+-1143639.871627
+-1076606.512058
+-742414.962763
+-811777.168477
+1667218.324802
+-333554.381390
+696245.757137
+631333.364152
+1241783.506897
+-110705.603054
+-254915.425156
+1096326.410337
+-736803.312475
+1161213.926987
+100077.530953
+1744729.397045
+471197.316837
+-908857.233896
+-1822697.882885
+389501.825513
+-4183.215157
+-878606.299311
+750722.070218
+103572.115392
+-816122.590494
+-1727034.796345
+959971.413682
+-520246.231465
+-1410357.000000
+-520246.231465
+959971.413682
+-1727034.796345
+-816122.590494
+103572.115392
+750722.070218
+-878606.299311
+-4183.215157
+389501.825513
+-1822697.882885
+-908857.233896
+471197.316837
+1744729.397045
+100077.530953
+1161213.926987
+-736803.312475
+1096326.410337
+-254915.425156
+-110705.603054
+1241783.506897
+631333.364152
+696245.757137
+-333554.381390
+1667218.324802
+-811777.168477
+-742414.962763
+-1076606.512058
+-1143639.871627
+-1964264.753191
+510633.284397
+-60644.379567
+149519.414826
+-1389660.024844
+-247007.061156
+-695419.961172
+-514734.031414
+-1306397.261875
+-179989.438337
+-744205.415811
+-485169.882509
+-1225216.833741
+-335205.554325
+1859836.225782
+-652814.670502
+1392150.979374
+-933460.911469
+1188109.259143
+-544592.491484
+273446.324694
+-460242.633158
+-1200815.190568
+145820.615053
+-134422.125765
+668338.482554
+214111.930193
+-214683.095639
+-525791.136493
+-2484582.979123
+1727010.315039
+450114.480566
+1184802.160110
+488168.534936
+-622052.154008
+-816739.186512
+-1254584.627672
+1135700.172697
+1693941.351087
+584247.627359
+1483077.978520
+1035836.735379
+550879.315057
+458733.047041
+-2083245.088491
+-1417948.927666
+186579.321172
+-82288.494932
+-172762.359373
+1132919.319811
+718597.541402
+1851663.032759
+-602895.525996
+-679935.143659
+-169315.287460
+450124.050883
+-222670.823075
+-404083.799409
+272129.498550
+-299687.937712
+98336.566087
+867905.601833
+-2195612.779748
+880833.623496
+-285216.732891
+-484931.386775
+-630548.305592
+270741.748035
+-176567.043516
+-270744.519001
+213760.102633
+-37276.695134
+269778.051144
+-260825.416709
+145662.226969
+1051543.792064
+-565921.463630
+-750065.138408
+-641068.547759
+437124.746656
+609895.942378
+-898405.341733
+541232.368973
+1522549.795426
+379719.199310
+1927512.858751
+-1319721.738979
+-87645.020535
+360589.428666
+-445841.308619
+-287719.973961
+660580.085616
+-1316524.917563
+-1350038.552843
+-273319.432481
+-1303307.289062
+-1056909.062163
+-111172.273216
+-1011415.091676
+108202.804283
+-483556.993229
+910671.552014
+1225716.868401
+1849756.595507
+767340.634263
+-683942.287739
+576676.560799
+1588415.490122
+479935.591066
+329982.087233
+-113101.707655
+1669677.917515
+-140370.808951
+-323856.733900
+-19394.974403
+-1323960.130132
+716521.087877
+-972594.328987
+741937.687456
+1663371.697864
+-314612.959852
+64931.587332
+-1425296.314841
+177832.764073
+801645.032459
+-366108.747920
+-642242.783967
+38534.125618
+-567488.742484
+-1849.809474
+-752938.536401
+789255.400653
+469547.979520
+-221255.216674
+-844023.790830
+308778.613625
+142988.334459
+-1134405.119603
+572497.488431
+340788.678460
+1200798.315061
+140131.060152
+1668970.943212
+813957.795109
+333238.197108
+-14132.084991
+-847437.742348
+-136492.243124
+-252378.281456
+922957.049414
+-1016598.560407
+1351546.437496
+-271332.012518
+1199168.929515
+150355.250599
+844392.352845
+-961165.526368
+143216.679945
+593580.328924
+152478.633665
+1270948.511866
+-1090141.493685
+1677232.351570
+281556.497473
+1337562.622108
+-37496.438087
+-234188.593265
+-534294.482881
+-1041584.878595
+624359.519568
+-704494.430384
+-1802238.502592
+-736303.510012
+217042.133762
+633720.980164
+-799320.622654
+-1202111.473008
+37340.701057
+-24886.368813
+492556.546687
+-607410.995261
+-629381.622010
+620751.708006
+215116.479095
+386719.160891
+2285450.350663
+293358.646748
+-254357.161976
+1834432.333564
+134769.798605
+-871149.966092
+-433525.463242
+294598.767059
+-264171.346686
+718387.872683
+607376.010827
+1869674.069170
+-809027.269330
+646456.067683
+651101.933026
+263646.729448
+1118706.305329
+24909.227388
+-933413.059509
+1207586.513989
+973278.134326
+-174757.930198
+2248556.547437
+-810414.018751
+238776.970501
+1084454.710828
+-1391471.139945
+133832.938918
+-100579.331661
+-131352.239366
+1282557.950743
+60308.867581
+880652.229293
+-1168686.962054
+542727.685066
+466669.921389
+407915.997763
+-61380.491894
+1456764.653812
+-487779.602108
+2115020.682734
+1232318.544211
+538397.000000
+-1498308.147329
+1192842.653840
+1629780.382644
+-1316503.570425
+-222784.239927
+-1280448.592605
+-359553.283598
+-595273.383728
+-121438.116325
+1055033.078717
+-313082.221797
+-1944504.303085
+1048147.719101
+317376.477041
+448266.927046
+1276360.861567
+657485.837669
+778700.433924
+-36017.001017
+366248.650031
+221303.426373
+-2043311.877691
+339425.067716
+895789.733709
+14976.929318
+134135.615075
+240888.699933
+-57357.554756
+1533017.613536
+-236213.273041
+324628.211243
+376661.464130
+-702307.261808
+491526.580211
+-492238.923658
+-1284958.183925
+-1338084.173324
+-66575.662195
+-1295331.228778
+1009184.189068
+673969.929348
+410359.969073
+519568.564661
+80890.268463
+-127893.641304
+-126449.457217
+-179456.331734
+-112489.074815
+-305069.107263
+-1634789.223142
+-44435.948478
+351670.386246
+-134926.437534
+913488.160790
+-1037649.141557
+141791.640553
+1457187.342490
+-595813.743916
+248981.891950
+352900.796747
+450220.577551
+-1946081.495885
+356395.770068
+-449105.197008
+-778146.095117
+-376651.210981
+-98598.115876
+-1429099.397224
+883553.297031
+-1367385.816550
+-798276.817582
+498864.918573
+-1058336.107677
+29630.456742
+585499.271244
+691281.177790
+96552.289999
+-1277494.614864
+-443113.955080
+382475.034393
+1186481.753525
+-493397.270658
+-1081060.402069
+668003.109094
+1263819.271834
+-522802.137333
+-167416.978825
+-481702.750803
+921658.282146
+-1323664.737220
+-646740.189431
+648321.770019
+-181876.009683
+-145267.383615
+1453701.381010
+-98093.694871
+-4421.649652
+-792777.108814
+-353427.048958
+-2040.458960
+1505507.820437
+684862.669889
+611940.345322
+-2128638.288487
+90234.043102
+-306918.246476
+331897.332972
+110275.703613
+-270966.477555
+1203735.523871
+-374726.567263
+-83202.756666
+-297321.656975
+858203.738406
+-50987.455409
+211998.839249
+591663.375502
+644506.239059
+-554387.062287
+1368540.783036
+24608.423735
+1747941.407519
+-184704.195906
+444762.532198
+-697753.071544
+788359.946795
+627238.320164
+1146637.195717
+227121.535594
+115430.192339
+35947.291925
+450165.150170
+259000.761459
+-197249.052167
+1439586.708803
+2458319.386358
+-368345.936156
+385294.769754
+-889281.910714
+884877.936129
+1443437.683890
+-383190.265347
+-1060226.721909
+-269698.158756
+-384649.762633
+-669120.952690
+-601620.987403
+328601.586251
+1148127.990185
+-195792.410678
+473281.462402
+105666.777888
+-1535036.230801
+-1212546.315511
+983349.327901
+227582.513206
+1616369.908840
+-107357.212183
+-398252.046503
+-447329.884880
+-1361599.919434
+-687815.589886
+-550333.460307
+1053622.181393
+-574574.635739
+-1033024.009910
+1379732.205489
+-792179.425561
+638871.510309
+665895.286072
+-229174.409045
+835381.902067
+-212551.021297
+-214870.344587
+798947.204825
+-477647.042183
+-987554.010256
+-844806.352380
+-663804.012769
+-695713.158805
+-121052.976403
+-181679.802707
+-660131.413123
+1839525.656982
+34394.335541
+50035.186598
+87533.014748
+974568.250182
+299324.619654
+979024.262767
+71281.179081
+145195.886046
+816517.530483
+131163.643679
+1899285.443958
+-63235.391294
+1110294.040506
+606081.037572
+-1182423.092089
+-786898.383788
+-466046.732012
+1128213.784628
+-19408.631761
+438896.274491
+-219752.942649
+589705.078728
+1538660.089658
+1400141.578217
+-592390.671245
+887099.053339
+-778005.979230
+378438.451756
+1537611.576477
+573906.789681
+747055.632514
+493241.001762
+1330774.096472
+586836.529808
+849792.949726
+-273444.983923
+-1845475.838682
+-532686.518585
+-259515.060556
+-677322.458721
+-227219.003945
+-129358.639120
+-257689.044044
+-859491.926268
+1068074.687580
+-715506.605585
+211821.533160
+779940.436107
+-599892.700509
+-2717.995113
+935662.765206
+651998.376700
+123331.769248
+-891476.818057
+-418703.415007
+-1168302.499470
+-9159.301649
+-684125.380188
+804920.422361
+404288.788825
+315822.924596
+-874740.019413
+827016.596159
+408064.343336
+458408.376186
+555820.399160
+-961265.706730
+262954.168262
+-174859.792535
+-239527.873161
+1167264.645811
diff --git a/applications/lofar1/pft2/tb/data/zeros.im b/applications/lofar1/pft2/tb/data/zeros.im
new file mode 100644
index 0000000000000000000000000000000000000000..2d652cb006511b3cb1709570e163c98b23862dbf
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/zeros.im
@@ -0,0 +1,1024 @@
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/data/zeros.re b/applications/lofar1/pft2/tb/data/zeros.re
new file mode 100644
index 0000000000000000000000000000000000000000..2d652cb006511b3cb1709570e163c98b23862dbf
--- /dev/null
+++ b/applications/lofar1/pft2/tb/data/zeros.re
@@ -0,0 +1,1024 @@
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
+0.000000
diff --git a/applications/lofar1/pft2/tb/vhdl/tb_pft.vhd b/applications/lofar1/pft2/tb/vhdl/tb_pft.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..6278c8aa3cc78561f47c026854a4045e6997e130
--- /dev/null
+++ b/applications/lofar1/pft2/tb/vhdl/tb_pft.vhd
@@ -0,0 +1,143 @@
+LIBRARY ieee, pfs_lib, pft2_lib, tst_lib;
+USE ieee.std_logic_1164.ALL;
+USE ieee.numeric_std.ALL;
+USE pft2_lib.pft_pkg.ALL;
+
+ENTITY tb_pft IS
+  GENERIC (
+    g_fft_size_w         : NATURAL := 10;
+    g_in_w               : NATURAL := 12;
+    g_pfs_w              : NATURAL := 18;
+    g_pfs_coef_w         : NATURAL := 16;
+    g_out_w              : NATURAL := 18;
+    g_clk_period         : TIME    := 10 ns;
+    g_rst_period         : TIME    := 25 ns;
+    g_tst_data_dir       : STRING  := "../../../tb/data/"
+  );
+END tb_pft;
+
+ARCHITECTURE tb OF tb_pft IS
+
+  CONSTANT c_pft_in_file   : STRING  := g_tst_data_dir & "input.txt";
+  CONSTANT c_pft_out_file  : STRING  := g_tst_data_dir & "output.txt";
+  CONSTANT c_pft_ref_file  : STRING  := g_tst_data_dir & "reference.txt";
+
+  -- Signals
+  SIGNAL clk            : STD_LOGIC := '1';
+  SIGNAL rst            : STD_LOGIC := '1';
+  SIGNAL val            : STD_LOGIC := '0';  
+
+  SIGNAL in_x           : STD_LOGIC_VECTOR(g_in_w-1 DOWNTO 0);
+  SIGNAL in_y           : STD_LOGIC_VECTOR(g_in_w-1 DOWNTO 0);
+  SIGNAL in_val         : STD_LOGIC;
+  SIGNAL in_sync        : STD_LOGIC;
+  
+  SIGNAL pfs_x          : STD_LOGIC_VECTOR(g_pfs_w-1 DOWNTO 0);
+  SIGNAL pfs_y          : STD_LOGIC_VECTOR(g_pfs_w-1 DOWNTO 0);
+  SIGNAL pfs_val        : STD_LOGIC;
+  SIGNAL pfs_sync       : STD_LOGIC;
+  
+  SIGNAL out_re         : STD_LOGIC_VECTOR(g_out_w-1 DOWNTO 0);
+  SIGNAL out_im         : STD_LOGIC_VECTOR(g_out_w-1 DOWNTO 0);
+  SIGNAL out_val        : STD_LOGIC;
+  SIGNAL out_sync       : STD_LOGIC;
+  
+--   SIGNAL ref_re         : STD_LOGIC_VECTOR(g_out_w-1 DOWNTO 0);
+--   SIGNAL ref_im         : STD_LOGIC_VECTOR(g_out_w-1 DOWNTO 0);
+--   SIGNAL ref_val        : STD_LOGIC;
+  
+BEGIN
+  rst <= '0' AFTER g_rst_period;
+  val <= '1' AFTER g_rst_period+g_clk_period/2;
+  
+  clk <= NOT clk AFTER g_clk_period / 2;
+  
+
+  in_dat: ENTITY tst_lib.tst_input
+  GENERIC MAP (
+    g_file_name  => c_pft_in_file,
+    g_data_width => g_in_w
+  )
+  PORT MAP (
+    clk      => clk,
+    rst      => rst,
+    en       => '1',
+    out_dat1  => in_x,
+    out_dat2  => in_y,
+    out_val  => OPEN --in_val
+  );
+
+  in_val  <= val;  
+  in_sync <= '0';
+  
+  pfs : ENTITY pfs_lib.pfs
+  GENERIC MAP (
+    g_nof_bands              => 2**g_fft_size_w,         -- 2*g_nof_subbands,
+    g_nof_taps               => 2**g_fft_size_w * 16,    -- 2*16*g_nof_subbands,
+    g_in_dat_w               => g_in_w,
+    g_out_dat_w              => g_pfs_w,
+    g_coef_dat_w             => g_pfs_coef_w
+  )
+  PORT MAP (
+    in_dat_x                 => in_x,
+    in_dat_y                 => in_y,
+    in_val                   => in_val,
+    in_sync                  => in_sync,
+    out_dat_x                => pfs_x,
+    out_dat_y                => pfs_y,
+    out_val                  => pfs_val,
+    out_sync                 => pfs_sync,
+    clk                      => clk,
+    rst                      => rst,
+    restart                  => '0'
+  );
+
+    
+  pft : ENTITY pft2_lib.pft
+  GENERIC MAP (
+    g_fft_size_w   => g_fft_size_w,
+    g_in_dat_w     => g_pfs_w,
+    g_out_dat_w    => g_out_w,
+    g_mode         => PFT_MODE_REAL2
+  )
+  PORT MAP (
+    in_re          => pfs_x,
+    in_im          => pfs_y,
+    in_val         => pfs_val,
+    in_sync        => pfs_sync,
+    switch_en      => '1',
+    out_re         => out_re,
+    out_im         => out_im,
+    out_val        => out_val,
+    out_sync       => out_sync,
+    clk            => clk,
+    rst            => rst
+  );
+
+--   out_dat: ENTITY tst_lib.tst_output
+--   GENERIC MAP (
+--     g_file_name  => c_pft_out_file,
+--     g_data_width => out_re'LENGTH
+--   )
+--   PORT MAP (
+--     clk      => clk,
+--     rst      => rst,
+--     in_dat1  => out_re,
+--     in_dat2  => out_im,
+--     in_val   => out_val
+--   );
+
+--   ref_dat: ENTITY tst_lib.tst_input
+--   GENERIC MAP (
+--     g_file_name  => c_pft_ref_file,
+--     g_data_width => ref_re'LENGTH
+--   )
+--   PORT MAP (
+--     clk      => clk,
+--     rst      => rst,
+--     en       => out_val,
+--     out_dat1 => ref_re,
+--     out_dat2 => ref_im,
+--     out_val  => ref_val
+--   );
+END tb;
\ No newline at end of file
diff --git a/applications/lofar1/pft2/tb/vhdl/tb_pft2.vhd b/applications/lofar1/pft2/tb/vhdl/tb_pft2.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..fe065916f283cd4bdb7fb8ec03238b1d9735ca63
--- /dev/null
+++ b/applications/lofar1/pft2/tb/vhdl/tb_pft2.vhd
@@ -0,0 +1,582 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright (C) 2011
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- This program is free software: you can redistribute it and/or modify
+-- it under the terms of the GNU General Public License as published by
+-- the Free Software Foundation, either version 3 of the License, or
+-- (at your option) any later version.
+--
+-- This program is distributed in the hope that it will be useful,
+-- but WITHOUT ANY WARRANTY; without even the implied warranty of
+-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+-- GNU General Public License for more details.
+--
+-- You should have received a copy of the GNU General Public License
+-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
+--
+-------------------------------------------------------------------------------
+
+LIBRARY IEEE, pft2_lib, tst_lib, common_lib;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.numeric_std.ALL;
+USE pft2_lib.pft_pkg.ALL;
+USE common_lib.common_pkg.ALL;
+
+ENTITY tb_pft2 IS
+  GENERIC (
+    g_clk_period         : TIME    := 10 ns;
+    g_rst_period         : NATURAL := 20;
+    g_fft_size_w         : NATURAL := 10;
+    g_in_dat_w           : NATURAL := 18;
+    g_out_dat_w          : NATURAL := 18;
+    g_tst_data_dir       : STRING  := "data/"
+  );
+END tb_pft2;
+
+ARCHITECTURE tb OF tb_pft2 IS
+  
+  -- Usage:
+  -- - Typically run 50 us when c_repeat=2.
+  -- - The tb works OK for all three PFT modes.
+
+  CONSTANT c_repeat             : NATURAL := 2;  -- minimal 2 due to PFT latency
+  
+  -- Maximum quantization error in PFT output
+  CONSTANT c_diff_max           : NATURAL := 10;  -- value per subband
+  --CONSTANT c_pdiff_max          : NATURAL := 5;  -- average power diff over all subbands from TC 5.19
+
+  -- The input stimuli signals are located in g_tst_data_dir and have been
+  -- generated with TC 5.2 and are used in the PFT continuous test TC 5.19.
+  
+  -- The PFT has 3 modes:
+  -- . PFT_MODE_BITREV   --> DIT output
+  -- . PFT_MODE_COMPLEX  --> DIF output
+  -- . PFT_MODE_REAL2    --> DIT output of two real inputs (default for LOFAR)
+  --CONSTANT c_pft_mode           : pft_mode_type := PFT_MODE_BITREV;
+  --CONSTANT c_pft_mode           : pft_mode_type := PFT_MODE_COMPLEX;
+  CONSTANT c_pft_mode           : pft_mode_type := PFT_MODE_REAL2;
+
+  -- . For PFT_MODE_REAL2 select any signal pair for X and Y input.
+  -- . For PFT_MODE_BITREV and PFT_MODE_COMPLEX select zeros for Y input.
+
+  -- Select one input signal for X (used as real input to the PFT)
+--   CONSTANT c_name_x             : STRING  := "cosin_N2";
+--   CONSTANT c_name_x             : STRING  := "cosin_1";
+--   CONSTANT c_name_x             : STRING  := "cosin_39";
+--   CONSTANT c_name_x             : STRING  := "sinus_1";
+--   CONSTANT c_name_x             : STRING  := "sinus_13";
+--   CONSTANT c_name_x             : STRING  := "sinus_13s";
+  CONSTANT c_name_x             : STRING  := "impulse_0";
+--   CONSTANT c_name_x             : STRING  := "impulse_1";
+--   CONSTANT c_name_x             : STRING  := "zeros";
+--   CONSTANT c_name_x             : STRING  := "dc";
+--   CONSTANT c_name_x             : STRING  := "block_1";
+--   CONSTANT c_name_x             : STRING  := "block_117";
+--   CONSTANT c_name_x             : STRING  := "u_noise";
+
+  -- Select one input signal for Y (used as imag input to the PFT)
+--   CONSTANT c_name_y             : STRING  := "cosin_N2";
+--   CONSTANT c_name_y             : STRING  := "cosin_1";
+--   CONSTANT c_name_y             : STRING  := "cosin_39";
+--   CONSTANT c_name_y             : STRING  := "sinus_1";
+--   CONSTANT c_name_y             : STRING  := "sinus_13";
+--   CONSTANT c_name_y             : STRING  := "sinus_13s";
+--   CONSTANT c_name_y             : STRING  := "impulse_0";
+  CONSTANT c_name_y             : STRING  := "impulse_1";
+--   CONSTANT c_name_y             : STRING  := "zeros";  -- For PFT_MODE_BITREV and PFT_MODE_COMPLEX select zeros for Y input.
+--   CONSTANT c_name_y             : STRING  := "dc";
+--   CONSTANT c_name_y             : STRING  := "block_1";
+--   CONSTANT c_name_y             : STRING  := "block_117";
+--   CONSTANT c_name_y             : STRING  := "u_noise";
+  
+  CONSTANT c_file_pft_in_x      : STRING  := g_tst_data_dir & c_name_x & ".sig";
+  CONSTANT c_file_pft_in_y      : STRING  := g_tst_data_dir & c_name_y & ".sig";
+  
+  -- The reference FFT ouput results have been generated with MATLAB.
+  CONSTANT c_file_pft_ref_x_re  : STRING  := g_tst_data_dir & c_name_x & ".re";
+  CONSTANT c_file_pft_ref_x_im  : STRING  := g_tst_data_dir & c_name_x & ".im";
+  CONSTANT c_file_pft_ref_y_re  : STRING  := g_tst_data_dir & c_name_y & ".re";
+  CONSTANT c_file_pft_ref_y_im  : STRING  := g_tst_data_dir & c_name_y & ".im";
+  CONSTANT c_file_pft_dat_w     : NATURAL := 32;
+  
+  CONSTANT c_fft_size           : NATURAL := 2**g_fft_size_w;
+  
+  TYPE t_ref_dat       IS ARRAY (0 TO c_fft_size    ) OF INTEGER;  -- one extra dummy
+  TYPE t_ref_fft_dat   IS ARRAY (0 TO c_fft_size-1)   OF INTEGER;  -- PFT_MODE_BITREV, PFT_MODE_COMPLEX scaled and rounded
+  TYPE t_ref_real2_dat IS ARRAY (0 TO c_fft_size/2-1) OF INTEGER;  -- PFT_MODE_REAL2 scaled and rounded
+
+  -- Signals
+  SIGNAL clk                : STD_LOGIC := '1';
+  SIGNAL rst                : STD_LOGIC := '1';
+
+  -- PFT input stimuli from file
+  SIGNAL in_en              : STD_LOGIC;
+  SIGNAL in_sync            : STD_LOGIC;
+  SIGNAL in_dat_x           : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL in_dat_y           : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
+  SIGNAL in_val_x           : STD_LOGIC;
+  SIGNAL in_val_y           : STD_LOGIC;
+  SIGNAL in_val             : STD_LOGIC;
+  
+  -- PFT output
+  SIGNAL out_re             : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL out_im             : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL out_val            : STD_LOGIC;
+  SIGNAL toggle             : STD_LOGIC;
+  SIGNAL nxt_toggle         : STD_LOGIC;
+  SIGNAL toggle_dly         : STD_LOGIC;
+  SIGNAL out_re_dly         : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL out_im_dly         : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL out_val_dly        : STD_LOGIC;
+  -- For PFT_MODE_BITREV, PFT_MODE_COMPLEX
+  SIGNAL out_fft_re         : INTEGER;
+  SIGNAL nxt_out_fft_re     : INTEGER;
+  SIGNAL out_fft_im         : INTEGER;
+  SIGNAL nxt_out_fft_im     : INTEGER;
+  -- For PFT_MODE_REAL2
+  SIGNAL out_x_re           : INTEGER;
+  SIGNAL nxt_out_x_re       : INTEGER;
+  SIGNAL out_x_im           : INTEGER;
+  SIGNAL nxt_out_x_im       : INTEGER;
+  SIGNAL out_y_re           : INTEGER;
+  SIGNAL nxt_out_y_re       : INTEGER;
+  SIGNAL out_y_im           : INTEGER;
+  SIGNAL nxt_out_y_im       : INTEGER;
+  SIGNAL out_sync           : STD_LOGIC;
+  
+  -- Reference FFT output data from MATLAB generated files
+  SIGNAL ref_en             : STD_LOGIC := '1';
+  SIGNAL ref_dat_x_re       : STD_LOGIC_VECTOR(c_file_pft_dat_w-1 DOWNTO 0);
+  SIGNAL ref_dat_x_im       : STD_LOGIC_VECTOR(c_file_pft_dat_w-1 DOWNTO 0);
+  SIGNAL ref_dat_y_re       : STD_LOGIC_VECTOR(c_file_pft_dat_w-1 DOWNTO 0);
+  SIGNAL ref_dat_y_im       : STD_LOGIC_VECTOR(c_file_pft_dat_w-1 DOWNTO 0);
+  SIGNAL ref_val_x_re       : STD_LOGIC;
+  SIGNAL ref_val_x_im       : STD_LOGIC;
+  SIGNAL ref_val_y_re       : STD_LOGIC;
+  SIGNAL ref_val_y_im       : STD_LOGIC;
+  SIGNAL ref_val            : STD_LOGIC;
+  SIGNAL ref_val_prev       : STD_LOGIC := '0';
+  SIGNAL ref_rdy            : STD_LOGIC;
+  SIGNAL nxt_ref_rdy        : STD_LOGIC;
+  SIGNAL rd_cnt             : NATURAL := 0;
+  SIGNAL nxt_rd_cnt         : NATURAL;
+  SIGNAL rd_dat_x_re        : t_ref_dat;  -- Keep the ref data in an array
+  SIGNAL rd_dat_x_im        : t_ref_dat;
+  SIGNAL rd_dat_y_re        : t_ref_dat;
+  SIGNAL rd_dat_y_im        : t_ref_dat;
+  -- For PFT_MODE_BITREV, PFT_MODE_COMPLEX
+  SIGNAL ref_fft_dat_re     : t_ref_fft_dat;  -- Scaled and rounded ref data array
+  SIGNAL ref_fft_dat_im     : t_ref_fft_dat;
+  -- For PFT_MODE_REAL2
+  SIGNAL ref_real2_dat_x_re : t_ref_real2_dat;  -- Scaled and rounded ref data array
+  SIGNAL ref_real2_dat_x_im : t_ref_real2_dat;
+  SIGNAL ref_real2_dat_y_re : t_ref_real2_dat;
+  SIGNAL ref_real2_dat_y_im : t_ref_real2_dat;
+  
+  -- Difference between PFT output and the reference FFT output data
+  -- For PFT_MODE_BITREV, PFT_MODE_COMPLEX
+  SIGNAL diff_fft_re        : INTEGER := 0;
+  SIGNAL diff_fft_im        : INTEGER := 0;
+  SIGNAL diff_max_fft_re    : INTEGER := 0;
+  SIGNAL diff_max_fft_im    : INTEGER := 0;
+  SIGNAL pdiff_fft_re       : INTEGER := 0;
+  SIGNAL pdiff_fft_im       : INTEGER := 0;
+  -- For PFT_MODE_REAL2
+  SIGNAL diff_x_re          : INTEGER := 0;
+  SIGNAL diff_x_im          : INTEGER := 0;
+  SIGNAL diff_y_re          : INTEGER := 0;
+  SIGNAL diff_y_im          : INTEGER := 0;
+  SIGNAL diff_max_x_re      : INTEGER := 0;
+  SIGNAL diff_max_x_im      : INTEGER := 0;
+  SIGNAL diff_max_y_re      : INTEGER := 0;
+  SIGNAL diff_max_y_im      : INTEGER := 0;
+  SIGNAL diff_val           : STD_LOGIC;
+  SIGNAL diff_cnt           : NATURAL := 0;
+  SIGNAL nxt_diff_cnt       : NATURAL;
+  SIGNAL diff_rdy           : STD_LOGIC;
+  SIGNAL nxt_diff_rdy       : STD_LOGIC;
+  
+  
+  -----------------------------------------------------------------------------
+  -- Procedures to scale and round the reference FFT results
+  -----------------------------------------------------------------------------
+
+  -- PFT_MODE_BITREV
+  FUNCTION func_bitrev(n, w : IN NATURAL) RETURN NATURAL IS
+    VARIABLE un : UNSIGNED(w-1 DOWNTO 0);
+    VARIABLE ur : UNSIGNED(w-1 DOWNTO 0);
+  BEGIN
+    un := TO_UNSIGNED(n, w);
+    FOR i IN 0 TO w-1 LOOP
+      ur(i) := un(w-1-i);
+    END LOOP;
+    RETURN TO_INTEGER(ur);
+  END func_bitrev;
+  
+  PROCEDURE proc_fft_bitrev(en        : IN  STD_LOGIC;
+                            ref       : IN  t_ref_dat;
+                            SIGNAL sr : OUT t_ref_fft_dat) IS
+    CONSTANT N : NATURAL := c_fft_size;
+    CONSTANT w : NATURAL := g_fft_size_w;
+    VARIABLE r : NATURAL;
+  BEGIN
+    IF en='1' THEN
+      FOR i IN 0 TO N-1 LOOP
+        r := func_bitrev(i, w);
+        sr(r) <= ref(i)/N;
+      END LOOP;
+    END IF;
+  END proc_fft_bitrev;
+  
+  -- PFT_MODE_COMPLEX
+  PROCEDURE proc_fft_complex(en        : IN  STD_LOGIC;
+                             ref       : IN  t_ref_dat;
+                             SIGNAL sr : OUT t_ref_fft_dat) IS
+    CONSTANT N  : NATURAL := c_fft_size;
+  BEGIN
+    IF en='1' THEN
+      FOR i IN 0 TO N-1 LOOP
+        sr(i) <= ref(i)/N;
+      END LOOP;
+    END IF;
+  END proc_fft_complex;
+  
+  -- PFT_MODE_REAL2: The procedures are based on the TCL code from TC 5.19.
+  --
+  -- . The PFT stages scale the output by the FFT size N so by 1/N = 1/$c_slice_size.
+  -- . PFT seperate does not divide by 2 in Xa(m) = [X*(N-m) + X(m)]/2, Xb(m)=j[X*(N-m) - X(m)]/2
+  -- . PFT seperate result for m=N is same as for m=0
+  -- . PFT seperate puts real result for m=N/2 in imag of m=0
+  PROCEDURE proc_fft_real2_im(en        : IN  STD_LOGIC;
+                              re        : IN  t_ref_dat;
+                              im        : IN  t_ref_dat;
+                              SIGNAL sr : OUT t_ref_real2_dat) IS
+    CONSTANT N  : NATURAL := c_fft_size;
+    VARIABLE lo : INTEGER;
+    VARIABLE hi : INTEGER;
+  BEGIN
+    IF en='1' THEN
+      sr(0) <= 2 * re(N/2)/N;
+      FOR i IN 1 TO N/2-1 LOOP
+        lo := im(i);
+        hi := im(N-i);
+        sr(i) <= (-hi + lo)/N;
+      END LOOP;
+    END IF;
+  END proc_fft_real2_im;
+  
+  PROCEDURE proc_fft_real2_re(en        : IN  STD_LOGIC;
+                              re        : IN  t_ref_dat;
+                              SIGNAL sr : OUT t_ref_real2_dat) IS
+    CONSTANT N  : NATURAL := c_fft_size;
+    VARIABLE lo : INTEGER;
+    VARIABLE hi : INTEGER;
+  BEGIN
+    IF en='1' THEN
+      sr(0) <= 2*re(0)/N;
+      FOR i IN 1 TO N/2-1 LOOP
+        lo := re(i);
+        hi := re(N-i);
+        sr(i) <= (hi + lo)/N;
+      END LOOP;
+    END IF;
+  END proc_fft_real2_re;
+    
+BEGIN
+
+  rst <= '0' AFTER g_clk_period*g_rst_period;
+    
+  clk <= NOT clk AFTER g_clk_period / 2;
+  
+  in_sync <= '0', '1' AFTER g_clk_period*g_rst_period*2, '0' AFTER g_clk_period*(g_rst_period*2+1);
+  in_en   <= '0', '1' AFTER g_clk_period*g_rst_period*2;
+  in_val  <= in_val_x;
+  
+  
+  -----------------------------------------------------------------------------
+  -- Input X, Y data
+  -----------------------------------------------------------------------------
+  
+  u_in_x: ENTITY tst_lib.tst_input
+  GENERIC MAP (
+    g_file_name   => c_file_pft_in_x,
+    g_file_repeat => c_repeat,
+    g_nof_data    => 1,
+    g_data_width  => g_in_dat_w,
+    g_data_type   => "SIGNED"
+  )
+  PORT MAP (
+    clk       => clk,
+    rst       => rst,
+    en        => in_en,
+    out_dat   => in_dat_x,
+    out_val   => in_val_x
+  );
+
+  u_in_y: ENTITY tst_lib.tst_input
+  GENERIC MAP (
+    g_file_name   => c_file_pft_in_y,
+    g_file_repeat => c_repeat,
+    g_nof_data    => 1,
+    g_data_width  => g_in_dat_w,
+    g_data_type   => "SIGNED"
+  )
+  PORT MAP (
+    clk       => clk,
+    rst       => rst,
+    en        => in_en,
+    out_dat   => in_dat_y,
+    out_val   => in_val_y
+  );
+
+    
+  -----------------------------------------------------------------------------
+  -- Read expected Xre, Xim, Yre, Yim data
+  -----------------------------------------------------------------------------
+  
+  u_ref_x_re: ENTITY tst_lib.tst_input
+  GENERIC MAP (
+    g_file_name   => c_file_pft_ref_x_re,
+    g_file_repeat => 1,
+    g_nof_data    => 1,
+    g_data_width  => c_file_pft_dat_w,
+    g_data_type   => "SIGNED"
+  )
+  PORT MAP (
+    clk       => clk,
+    rst       => rst,
+    en        => ref_en,
+    out_dat   => ref_dat_x_re,
+    out_val   => ref_val_x_re
+  );
+
+  u_ref_x_im: ENTITY tst_lib.tst_input
+  GENERIC MAP (
+    g_file_name   => c_file_pft_ref_x_im,
+    g_file_repeat => 1,
+    g_nof_data    => 1,
+    g_data_width  => c_file_pft_dat_w,
+    g_data_type   => "SIGNED"
+  )
+  PORT MAP (
+    clk       => clk,
+    rst       => rst,
+    en        => ref_en,
+    out_dat   => ref_dat_x_im,
+    out_val   => ref_val_x_im
+  );
+
+  u_ref_y_re: ENTITY tst_lib.tst_input
+  GENERIC MAP (
+    g_file_name   => c_file_pft_ref_y_re,
+    g_file_repeat => 1,
+    g_nof_data    => 1,
+    g_data_width  => c_file_pft_dat_w,
+    g_data_type   => "SIGNED"
+  )
+  PORT MAP (
+    clk       => clk,
+    rst       => rst,
+    en        => ref_en,
+    out_dat   => ref_dat_y_re,
+    out_val   => ref_val_y_re
+  );
+  
+  u_ref_y_im: ENTITY tst_lib.tst_input
+  GENERIC MAP (
+    g_file_name   => c_file_pft_ref_y_im,
+    g_file_repeat => 1,
+    g_nof_data    => 1,
+    g_data_width  => c_file_pft_dat_w,
+    g_data_type   => "SIGNED"
+  )
+  PORT MAP (
+    clk       => clk,
+    rst       => rst,
+    en        => ref_en,
+    out_dat   => ref_dat_y_im,
+    out_val   => ref_val_y_im
+  );
+
+  p_ref_reg : PROCESS(clk)
+  BEGIN
+    IF rising_edge(clk) THEN
+      rd_cnt       <= nxt_rd_cnt;
+      ref_val_prev <= ref_val;
+      ref_rdy      <= nxt_ref_rdy;
+    END IF;
+  END PROCESS;
+  
+  nxt_rd_cnt <= rd_cnt + 1 WHEN ref_val = '1' ELSE rd_cnt;
+
+  rd_dat_x_re(rd_cnt) <= TO_INTEGER(SIGNED(ref_dat_x_re));
+  rd_dat_x_im(rd_cnt) <= TO_INTEGER(SIGNED(ref_dat_x_im));
+  rd_dat_y_re(rd_cnt) <= TO_INTEGER(SIGNED(ref_dat_y_re));
+  rd_dat_y_im(rd_cnt) <= TO_INTEGER(SIGNED(ref_dat_y_im));
+  
+  ref_val <= ref_val_x_re;
+  nxt_ref_rdy <= NOT ref_val AND ref_val_prev;
+
+  -- Adapt the reference results to the PFT mode
+  
+  gen_fft_bitrev : IF c_pft_mode=PFT_MODE_BITREV GENERATE
+    -- Support only real input test signal to the PFT, so imag input is zeros
+    proc_fft_bitrev(ref_rdy, rd_dat_x_re, ref_fft_dat_re);
+    proc_fft_bitrev(ref_rdy, rd_dat_x_im, ref_fft_dat_im);
+  END GENERATE;
+  
+  gen_fft_complex : IF c_pft_mode=PFT_MODE_COMPLEX GENERATE
+    -- Support only real input test signal to the PFT, so imag input is zeros
+    proc_fft_complex(ref_rdy, rd_dat_x_re, ref_fft_dat_re);
+    proc_fft_complex(ref_rdy, rd_dat_x_im, ref_fft_dat_im);
+  END GENERATE;
+  
+  gen_fft_real2 : IF c_pft_mode=PFT_MODE_REAL2 GENERATE
+    -- Scale and round the reference FFT outputs for the two real inputs X and Y
+    proc_fft_real2_re(ref_rdy, rd_dat_x_re,              ref_real2_dat_x_re);
+    proc_fft_real2_re(ref_rdy, rd_dat_y_re,              ref_real2_dat_y_re);
+    proc_fft_real2_im(ref_rdy, rd_dat_x_re, rd_dat_x_im, ref_real2_dat_x_im);
+    proc_fft_real2_im(ref_rdy, rd_dat_y_re, rd_dat_y_im, ref_real2_dat_y_im);
+  END GENERATE;
+  
+  
+  -----------------------------------------------------------------------------
+  -- Verify
+  -----------------------------------------------------------------------------
+
+  p_diff_reg : PROCESS(clk)
+  BEGIN
+    IF rising_edge(clk) THEN
+      diff_cnt  <= nxt_diff_cnt;
+      diff_rdy  <= nxt_diff_rdy;
+    END IF;
+  END PROCESS;
+  
+  
+  gen_diff_fft : IF c_pft_mode=PFT_MODE_BITREV OR c_pft_mode=PFT_MODE_COMPLEX GENERATE
+    nxt_diff_cnt <= diff_cnt + 1 WHEN out_val_dly = '1' AND diff_cnt < c_fft_size-1 ELSE
+                    0            WHEN out_val_dly = '1' AND diff_cnt = c_fft_size-1 ELSE
+                    diff_cnt;
+    nxt_diff_rdy <= '1' WHEN diff_cnt = c_fft_size-1 ELSE '0';
+    
+    diff_fft_re <= 0 WHEN out_val_dly='0' ELSE ref_fft_dat_re(diff_cnt) - out_fft_re;
+    diff_fft_im <= 0 WHEN out_val_dly='0' ELSE ref_fft_dat_im(diff_cnt) - out_fft_im;
+    
+    diff_max_fft_re <= largest(abs(diff_fft_re), diff_max_fft_re);
+    diff_max_fft_im <= largest(abs(diff_fft_im), diff_max_fft_im);
+    
+    ASSERT diff_max_fft_re <= c_diff_max REPORT "FFT re output differs to much from reference data" SEVERITY ERROR;
+    ASSERT diff_max_fft_im <= c_diff_max REPORT "FFT im output differs to much from reference data" SEVERITY ERROR;
+    
+    p_report : PROCESS(diff_rdy)
+    BEGIN
+      IF diff_rdy='1' THEN
+        IF diff_max_fft_re <= c_diff_max THEN REPORT "FFT real output for re " & c_name_x & " and im " & c_name_y & " is OK"    SEVERITY NOTE;
+                                         ELSE REPORT "FFT real output for re " & c_name_x & " and im " & c_name_y & " is wrong" SEVERITY NOTE; END IF;
+        IF diff_max_fft_im <= c_diff_max THEN REPORT "FFT imag output for im " & c_name_x & " and im " & c_name_y & " is OK"    SEVERITY NOTE;
+                                         ELSE REPORT "FFT imag output for im " & c_name_x & " and im " & c_name_y & " is wrong" SEVERITY NOTE; END IF;
+      END IF;
+    END PROCESS;
+  END GENERATE;
+  
+  gen_diff_real2 : IF c_pft_mode=PFT_MODE_REAL2 GENERATE
+    nxt_diff_cnt <= diff_cnt + 1 WHEN out_val_dly = '1' AND toggle_dly='1' AND diff_cnt < c_fft_size/2-1 ELSE
+                    0            WHEN out_val_dly = '1' AND toggle_dly='1' AND diff_cnt = c_fft_size/2-1 ELSE
+                    diff_cnt;
+    nxt_diff_rdy <= '1' WHEN diff_cnt = c_fft_size/2-1 ELSE '0';
+    
+    diff_x_re <= 0 WHEN out_val_dly='0' ELSE ref_real2_dat_x_re(diff_cnt) - out_x_re WHEN toggle_dly='0' ELSE diff_x_re;
+    diff_x_im <= 0 WHEN out_val_dly='0' ELSE ref_real2_dat_x_im(diff_cnt) - out_x_im WHEN toggle_dly='0' ELSE diff_x_im;
+    diff_y_re <= 0 WHEN out_val_dly='0' ELSE ref_real2_dat_y_re(diff_cnt) - out_y_re WHEN toggle_dly='1' ELSE diff_y_re;
+    diff_y_im <= 0 WHEN out_val_dly='0' ELSE ref_real2_dat_y_im(diff_cnt) - out_y_im WHEN toggle_dly='1' ELSE diff_y_im;
+    
+    diff_max_x_re <= largest(abs(diff_x_re), diff_max_x_re);
+    diff_max_x_im <= largest(abs(diff_x_im), diff_max_x_im);
+    diff_max_y_re <= largest(abs(diff_y_re), diff_max_y_re);
+    diff_max_y_im <= largest(abs(diff_y_im), diff_max_y_im);
+    
+    ASSERT diff_max_x_re <= c_diff_max REPORT "FFT X re output differs to much from reference data" SEVERITY ERROR;
+    ASSERT diff_max_x_im <= c_diff_max REPORT "FFT X im output differs to much from reference data" SEVERITY ERROR;
+    ASSERT diff_max_y_re <= c_diff_max REPORT "FFT Y re output differs to much from reference data" SEVERITY ERROR;
+    ASSERT diff_max_y_im <= c_diff_max REPORT "FFT Y im output differs to much from reference data" SEVERITY ERROR;
+    
+    p_report : PROCESS(diff_rdy)
+    BEGIN
+      IF diff_rdy='1' THEN
+        IF diff_max_x_re <= c_diff_max THEN REPORT "FFT X real output for " & c_name_x & " is OK"    SEVERITY NOTE;
+                                       ELSE REPORT "FFT X real output for " & c_name_x & " is wrong" SEVERITY NOTE; END IF;
+        IF diff_max_x_im <= c_diff_max THEN REPORT "FFT X imag output for " & c_name_x & " is OK"    SEVERITY NOTE;
+                                       ELSE REPORT "FFT X imag output for " & c_name_x & " is wrong" SEVERITY NOTE; END IF;
+        IF diff_max_y_re <= c_diff_max THEN REPORT "FFT Y real output for " & c_name_y & " is OK"    SEVERITY NOTE;
+                                       ELSE REPORT "FFT Y real output for " & c_name_y & " is wrong" SEVERITY NOTE; END IF;
+        IF diff_max_y_im <= c_diff_max THEN REPORT "FFT Y imag output for " & c_name_y & " is OK"    SEVERITY NOTE;
+                                       ELSE REPORT "FFT Y imag output for " & c_name_y & " is wrong" SEVERITY NOTE; END IF;
+      END IF;
+    END PROCESS;
+  END GENERATE;
+  
+  diff_val <= out_val_dly;  
+  
+  
+    
+  -----------------------------------------------------------------------------
+  -- PFT
+  -----------------------------------------------------------------------------
+  
+  u_pft : ENTITY pft2_lib.pft
+  GENERIC MAP (
+    g_fft_size_w   => g_fft_size_w,
+    g_in_dat_w     => g_in_dat_w,
+    g_out_dat_w    => g_out_dat_w,
+    g_mode         => c_pft_mode
+  )
+  PORT MAP (
+    in_re          => in_dat_x,
+    in_im          => in_dat_y,
+    in_val         => in_val,
+    in_sync        => in_sync,
+    switch_en      => '0',
+    out_re         => out_re,
+    out_im         => out_im,
+    out_val        => out_val,
+    out_sync       => out_sync,
+    clk            => clk,
+    rst            => rst
+  );
+  
+  
+  p_pft_reg : PROCESS(clk)
+  BEGIN
+    IF rising_edge(clk) THEN
+      toggle      <= nxt_toggle;
+      toggle_dly  <= toggle;
+      out_re_dly  <= out_re;
+      out_im_dly  <= out_im;
+      out_val_dly <= out_val;
+      out_fft_re  <= nxt_out_fft_re;
+      out_fft_im  <= nxt_out_fft_im;
+      out_x_re    <= nxt_out_x_re;
+      out_y_re    <= nxt_out_y_re;
+      out_x_im    <= nxt_out_x_im;
+      out_y_im    <= nxt_out_y_im;
+    END IF;
+  END PROCESS;
+  
+  nxt_toggle <= '0' WHEN out_val='0' ELSE NOT toggle;
+  
+  gen_out_fft : IF c_pft_mode=PFT_MODE_BITREV OR c_pft_mode=PFT_MODE_COMPLEX GENERATE
+    nxt_out_fft_re <= 0 WHEN out_val='0' ELSE TO_INTEGER(SIGNED(out_re));
+    nxt_out_fft_im <= 0 WHEN out_val='0' ELSE TO_INTEGER(SIGNED(out_im));
+  END GENERATE;
+  
+  gen_out_real2 : IF c_pft_mode=PFT_MODE_REAL2 GENERATE
+    nxt_out_x_re <= 0 WHEN out_val='0' ELSE TO_INTEGER(SIGNED(out_re)) WHEN toggle='0' ELSE out_x_re;
+    nxt_out_y_re <= 0 WHEN out_val='0' ELSE TO_INTEGER(SIGNED(out_re)) WHEN toggle='1' ELSE out_y_re;
+    nxt_out_x_im <= 0 WHEN out_val='0' ELSE TO_INTEGER(SIGNED(out_im)) WHEN toggle='0' ELSE out_x_im;
+    nxt_out_y_im <= 0 WHEN out_val='0' ELSE TO_INTEGER(SIGNED(out_im)) WHEN toggle='1' ELSE out_y_im;
+  END GENERATE;
+  
+END tb;
diff --git a/applications/lofar1/pft2/tb/vhdl/tb_pft_simple.vhd b/applications/lofar1/pft2/tb/vhdl/tb_pft_simple.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..bb2dbc6f519c0fcd643c82840fb3eb15f8f333aa
--- /dev/null
+++ b/applications/lofar1/pft2/tb/vhdl/tb_pft_simple.vhd
@@ -0,0 +1,194 @@
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.NUMERIC_STD.ALL;
+
+LIBRARY pft2_lib,common_lib;
+USE pft2_lib.pft_pkg.ALL;
+USE common_lib.common_pkg.ALL;
+
+ENTITY tb_pft IS
+
+
+END tb_pft;
+
+
+ARCHITECTURE tb OF tb_pft IS
+
+  CONSTANT clk_period   : TIME      := 10 ns;
+  CONSTANT rst_period   : TIME      := 3*clk_period;
+  CONSTANT g_in_dat_w   : NATURAL   := 18;
+  CONSTANT g_out_dat_w  : NATURAL   := 18;  
+  CONSTANT g_fft_size   : NATURAL   := 1024;
+  CONSTANT g_fft_size_w : NATURAL   := 10;
+  CONSTANT g_pps_ps     : NATURAL   := 2048; --8192;
+  
+  SIGNAL cnt            : STD_LOGIC_VECTOR(32 DOWNTO 0) := (OTHERS => '0');
+  SIGNAL nxt_cnt        : STD_LOGIC_VECTOR(32 DOWNTO 0) := (OTHERS => '0');
+  
+  SIGNAL dc             : INTEGER := 0;
+
+  SIGNAL in_x           : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0) := (OTHERS => '0');
+  SIGNAL in_y           : STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0) := (OTHERS => '0');
+  SIGNAL in_val         : STD_LOGIC := '0';
+  SIGNAL in_sync        : STD_LOGIC := '0';  
+  
+  SIGNAL switch_en      : STD_LOGIC := '0';
+
+  SIGNAL out_re         : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL out_im         : STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0);
+  SIGNAL out_val        : STD_LOGIC;
+  SIGNAL out_sync       : STD_LOGIC;
+  
+  SIGNAL clk            : STD_LOGIC := '1';
+  SIGNAL rst            : STD_LOGIC := '1';
+  
+BEGIN
+
+  regs : PROCESS(rst, clk)
+  BEGIN
+    IF rst='1' THEN
+      cnt   <= (OTHERS => '0');
+    ELSIF rising_edge(clk) THEN
+      cnt   <= nxt_cnt;
+   END IF;
+  END PROCESS;
+  
+  nxt_cnt   <= STD_LOGIC_VECTOR(UNSIGNED(cnt) + 1) WHEN in_sync='0' ELSE (OTHERS => '0');
+    
+  pft : ENTITY work.pft
+  GENERIC MAP (
+    g_fft_size_w   => g_fft_size_w,
+    g_in_dat_w     => g_in_dat_w,
+    g_out_dat_w    => g_out_dat_w,
+    g_mode         => PFT_MODE_REAL2
+  )
+  PORT MAP (
+    in_re          => in_x,
+    in_im          => in_y,
+    in_val         => in_val,
+    in_sync        => in_sync,
+    switch_en      => switch_en,
+    out_re         => out_re,
+    out_im         => out_im,
+    out_val        => out_val,
+    out_sync       => out_sync,
+    clk            => clk,
+    rst            => rst
+  );
+  
+  clk  <= NOT(clk) AFTER clk_period/2;
+  rst  <= '0' AFTER rst_period;
+  
+  switch_en <= '0';
+
+--   in_sync <= '1' WHEN UNSIGNED(cnt)=g_pps_ps-1 ELSE '0';
+--   in_val  <= '1' WHEN UNSIGNED(cnt)=732;
+  
+  input_ctrl : PROCESS
+  BEGIN
+    in_sync <= '0';
+    in_val  <= '0';
+    FOR I IN 1 TO 2 LOOP
+      -- sync
+      WAIT UNTIL UNSIGNED(cnt)=g_pps_ps-10;
+      in_sync <= '1';
+      WAIT FOR 10*clk_period;
+      in_sync <= '0';
+      
+      -- val
+      WAIT UNTIL UNSIGNED(cnt)=1024;
+--      WAIT UNTIL UNSIGNED(cnt)=731;
+      in_val  <= '1';
+      
+      FOR J IN 1 TO 10 LOOP
+        -- sync
+        WAIT UNTIL UNSIGNED(cnt)=g_pps_ps-1;
+        in_sync <= '1';
+        WAIT FOR clk_period;
+        in_sync <= '0';
+      END LOOP;
+      
+      -- val
+      WAIT UNTIL UNSIGNED(cnt)=100;
+      in_val  <= '0';
+    END LOOP;
+    WAIT;
+  END PROCESS;    
+    
+ 
+--   -----------------------------------------------------------------------------
+--   --
+--   -- X = Y is sliding impulse
+--   --
+--   -----------------------------------------------------------------------------
+--   in_gen: PROCESS
+--   BEGIN
+--     FOR I IN 0 TO g_fft_size-1 LOOP         -- Slide impulse
+--       FOR J IN 1 TO 1 LOOP                  -- Repeat impulse
+--         IF in_val='1' THEN
+--           WAIT UNTIL UNSIGNED(nxt_cnt(g_fft_size_w-1 DOWNTO 0))=I;
+--           in_x <= STD_LOGIC_VECTOR(TO_SIGNED(131000,g_in_dat_w));
+--           WAIT FOR clk_period;
+--           in_x <= STD_LOGIC_VECTOR(TO_SIGNED(0,g_in_dat_w));
+--           WAIT FOR clk_period;
+--         ELSE
+--           WAIT UNTIL in_val='1';
+--         END IF;
+--       END LOOP;
+--     END LOOP;
+--   END PROCESS;
+--   in_y <= in_x;
+  
+  
+  -----------------------------------------------------------------------------
+  --
+  -- X = sinus Fs/2 and Y = DC
+  --
+  -----------------------------------------------------------------------------
+  in_gen: PROCESS (clk,rst)
+  BEGIN
+    IF rst='1' THEN
+      in_x <= STD_LOGIC_VECTOR(TO_SIGNED(300,g_in_dat_w));
+      in_y <= STD_LOGIC_VECTOR(TO_SIGNED(250,g_in_dat_w));
+    ELSIF rising_edge(clk) THEN
+      IF cnt(0)='1' THEN
+        IF in_val='1' THEN
+           in_x <= STD_LOGIC_VECTOR(-SIGNED(in_x));
+           in_y <= STD_LOGIC_VECTOR(SIGNED(in_y));
+        END IF;
+      END IF;
+    END IF;
+  END PROCESS;
+
+    
+--   -----------------------------------------------------------------------------
+--   --
+--   -- X, Y is impulse 0, impulse 1 or DC
+--   --
+--   -----------------------------------------------------------------------------
+--   --dc <= 0;
+--   dc <= 300;
+--   in_gen: PROCESS (clk,rst)
+--   BEGIN
+--     IF rst='1' THEN
+--       in_x <= STD_LOGIC_VECTOR(TO_SIGNED(0,g_in_dat_w));
+--       in_y <= STD_LOGIC_VECTOR(TO_SIGNED(dc,g_in_dat_w));
+--     ELSIF rising_edge(clk) THEN
+--       in_x <= STD_LOGIC_VECTOR(TO_SIGNED(0,g_in_dat_w));
+--       in_y <= STD_LOGIC_VECTOR(TO_SIGNED(dc,g_in_dat_w));
+--       IF UNSIGNED(nxt_cnt(g_fft_size_w-1 DOWNTO 0))=0 THEN
+--         in_x <= STD_LOGIC_VECTOR(TO_SIGNED(0,g_in_dat_w));           -- DC 0
+--         in_y <= STD_LOGIC_VECTOR(TO_SIGNED(dc,g_in_dat_w));           -- DC
+--         in_x <= STD_LOGIC_VECTOR(TO_SIGNED(131000,g_in_dat_w));      -- impulse 0
+-- --         in_y <= STD_LOGIC_VECTOR(TO_SIGNED(131000,g_in_dat_w));      -- impulse 0
+--       END IF;
+--       IF UNSIGNED(nxt_cnt(g_fft_size_w-1 DOWNTO 0))=1 THEN
+--         in_x <= STD_LOGIC_VECTOR(TO_SIGNED(0,g_in_dat_w));           -- DC 0
+--         in_y <= STD_LOGIC_VECTOR(TO_SIGNED(dc,g_in_dat_w));           -- DC
+-- --         in_x <= STD_LOGIC_VECTOR(TO_SIGNED(131000,g_in_dat_w));      -- impulse 1
+-- --         in_y <= STD_LOGIC_VECTOR(TO_SIGNED(131000,g_in_dat_w));      -- impulse 1
+--       END IF;
+--     END IF;
+--   END PROCESS; 
+  
+END tb;
diff --git a/libraries/base/common_mult/hdllib.cfg b/libraries/base/common_mult/hdllib.cfg
index dc273fac62de7772338c60f206e0944e3a54d04a..1f94ac98d096cf3ff0dc7537f500e77628de5037 100644
--- a/libraries/base/common_mult/hdllib.cfg
+++ b/libraries/base/common_mult/hdllib.cfg
@@ -6,6 +6,7 @@ hdl_lib_technology =
 
 synth_files =
     src/vhdl/common_mult.vhd
+    src/vhdl/common_mult_add.vhd          # two input multiply and add, copied from LOFAR1 RSP
     src/vhdl/common_mult_add2.vhd
     src/vhdl/common_mult_add4.vhd
     src/vhdl/common_complex_mult.vhd
diff --git a/libraries/base/common_mult/src/vhdl/common_mult_add.vhd b/libraries/base/common_mult/src/vhdl/common_mult_add.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..4cc9afe95c3676786b0eda051b081e925049610c
--- /dev/null
+++ b/libraries/base/common_mult/src/vhdl/common_mult_add.vhd
@@ -0,0 +1,104 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright (C) 2011
+-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+--
+-- This program is free software: you can redistribute it and/or modify
+-- it under the terms of the GNU General Public License as published by
+-- the Free Software Foundation, either version 3 of the License, or
+-- (at your option) any later version.
+--
+-- This program is distributed in the hope that it will be useful,
+-- but WITHOUT ANY WARRANTY; without even the implied warranty of
+-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+-- GNU General Public License for more details.
+--
+-- You should have received a copy of the GNU General Public License
+-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
+--
+-------------------------------------------------------------------------------
+
+-- Copied from LOFAR1 RSP/common by Eric Kooistra on 13 Jan 2021 to use with pfs
+
+-- Function: Add or subtract of two products a0b0 and a1b1
+--
+--     result = in_a0*in_b0 + in_a1*in_b1 when g_add_sub = "ADD"
+--     result = in_a0*in_b0 - in_a1*in_b1 when g_add_sub = "SUB"
+--
+--     out_dat = RESIZE(result)
+--
+-- Architectures:
+-- . rtl    : uses RTL to have all registers in one clocked process
+-- . virtex : uses Coregen component for the two multipliers and an adder in RTL
+--
+
+LIBRARY ieee, common_lib;
+USE ieee.std_logic_1164.ALL;
+USE ieee.numeric_std.ALL;
+USE common_lib.common_pkg.ALL;
+
+ENTITY common_mult_add IS
+  GENERIC (
+    g_in_a_w     : POSITIVE;
+    g_in_b_w     : POSITIVE;
+    g_out_dat_w  : POSITIVE;
+    g_add_sub    : STRING := "ADD";
+    g_pipeline   : INTEGER := 3
+  );
+  PORT (
+    clk        : IN  STD_LOGIC;
+    rst        : IN  STD_LOGIC := '0';
+    in_a0      : IN  STD_LOGIC_VECTOR(g_in_a_w-1 DOWNTO 0);              
+    in_a1      : IN  STD_LOGIC_VECTOR(g_in_a_w-1 DOWNTO 0);              
+    in_b0      : IN  STD_LOGIC_VECTOR(g_in_b_w-1 DOWNTO 0);              
+    in_b1      : IN  STD_LOGIC_VECTOR(g_in_b_w-1 DOWNTO 0);                  
+    out_dat    : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0)
+  );     
+BEGIN
+ -- ASSERT g_pipeline=3 
+  --  REPORT "pipeline value not supported"
+   -- SEVERITY FAILURE;    
+END common_mult_add;
+
+
+ARCHITECTURE rtl OF common_mult_add IS
+
+  CONSTANT c_prod_w         : NATURAL := g_in_a_w+g_in_b_w;
+  CONSTANT c_sum_w          : NATURAL := c_prod_w+1;
+  
+  SIGNAL in_a0_p    : STD_LOGIC_VECTOR(g_in_a_w-1 DOWNTO 0);
+  SIGNAL in_b0_p    : STD_LOGIC_VECTOR(g_in_b_w-1 DOWNTO 0);
+  SIGNAL in_a1_p    : STD_LOGIC_VECTOR(g_in_a_w-1 DOWNTO 0);
+  SIGNAL in_b1_p    : STD_LOGIC_VECTOR(g_in_b_w-1 DOWNTO 0);
+  SIGNAL prod0      : SIGNED(c_prod_w-1 DOWNTO 0);
+  SIGNAL prod1      : SIGNED(c_prod_w-1 DOWNTO 0);
+  SIGNAL result     : SIGNED(c_sum_w-1 DOWNTO 0);
+  SIGNAL nxt_result : SIGNED(c_sum_w-1 DOWNTO 0);
+
+BEGIN
+
+  out_dat <= STD_LOGIC_VECTOR(RESIZE(result, out_dat'LENGTH));
+
+  p_clk : PROCESS (clk)
+  BEGIN
+    IF rising_edge(clk) THEN
+      in_a0_p <= in_a0;
+      in_b0_p <= in_b0;
+      in_a1_p <= in_a1;
+      in_b1_p <= in_b1;
+      prod0   <= SIGNED(in_a0_p) * SIGNED(in_b0_p);
+      prod1   <= SIGNED(in_a1_p) * SIGNED(in_b1_p);
+      result  <= nxt_result;
+    END IF;
+  END PROCESS;
+
+  gen_add : IF g_add_sub = "ADD" GENERATE
+    nxt_result <= RESIZE(prod0, c_sum_w) + prod1;
+  END GENERATE;
+
+  gen_sub : IF g_add_sub = "SUB" GENERATE
+    nxt_result <= RESIZE(prod0, c_sum_w) - prod1;
+  END GENERATE;
+
+END rtl;