diff --git a/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd b/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd index 5bc9dffb7f286cb79db7b3d6c6994c6cd236657c..5a6d2b1441b06c503b019b891732c203a0c3c30e 100644 --- a/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd +++ b/libraries/base/dp/src/vhdl/dp_fifo_dc_arr.vhd @@ -68,7 +68,7 @@ entity dp_fifo_dc_arr is -- ST sink snk_out_arr : out t_dp_siso_arr(g_nof_streams - 1 downto 0); snk_in_arr : in t_dp_sosi_arr(g_nof_streams - 1 downto 0); - in_aux : in std_logic_vector(g_aux_w - 1 downto 0); + in_aux : in std_logic_vector(g_aux_w - 1 downto 0) := (others => '0'); -- ST source src_in_arr : in t_dp_siso_arr(g_nof_streams - 1 downto 0) := (others => c_dp_siso_rdy); src_out_arr : out t_dp_sosi_arr(g_nof_streams - 1 downto 0);