diff --git a/boards/uniboard2/designs/unb2_minimal/quartus/unb2_minimal_pins.tcl b/boards/uniboard2/designs/unb2_minimal/quartus/unb2_minimal_pins.tcl index a3bdc58b9c995a805c930734127904102dd19cb9..730162e0dfcc145b5720a0be42907d109ee9fb4e 100644 --- a/boards/uniboard2/designs/unb2_minimal/quartus/unb2_minimal_pins.tcl +++ b/boards/uniboard2/designs/unb2_minimal/quartus/unb2_minimal_pins.tcl @@ -1,1586 +1,23 @@ -# -------------------------------------------------------------------------- # +############################################################################### # -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. +# Copyright (C) 2014 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands # -# -------------------------------------------------------------------------- # +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. # -# Quartus II 64-Bit -# Version 13.1a10.0 Build 346 11/13/2013 SJ Full Version -# Date created = 08:55:45 March 13, 2014 +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. # -# -------------------------------------------------------------------------- # +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. # -# Notes: -# -# 1) The default values for assignments are stored in the file: -# unb2_pinning_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "1.8 V" -set_global_assignment -name FAMILY "arria 10" -set_global_assignment -name DEVICE 10AX115U4F45I3SGES -set_global_assignment -name TOP_LEVEL_ENTITY unb2_minimal -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:55:45 MARCH 13, 2014" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE FASTEST -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" -set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF -set_global_assignment -name ENABLE_NCE_PIN OFF -set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "ACTIVE SERIAL X4" -set_global_assignment -name USE_CONFIGURATION_DEVICE ON -set_global_assignment -name CRC_ERROR_OPEN_DRAIN ON -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHZ - -# IO Location Assignments from Gijs -# -#from chip planner -# -# -#from chip planner -# - -# rx pins modified from chip planner -# tx pins as per Gijs - +############################################################################### -set_location_assignment PIN_AN38 -to QSFP_0_RX[0] -set_location_assignment PIN_AN37 -to "QSFP_0_RX[0](n)" -set_location_assignment PIN_AM40 -to QSFP_0_RX[1] -set_location_assignment PIN_AM39 -to "QSFP_0_RX[1](n)" -set_location_assignment PIN_AK40 -to QSFP_0_RX[2] -set_location_assignment PIN_AK39 -to "QSFP_0_RX[2](n)" -set_location_assignment PIN_AJ38 -to QSFP_0_RX[3] -set_location_assignment PIN_AJ37 -to "QSFP_0_RX[3](n)" -set_location_assignment PIN_AN42 -to QSFP_0_TX[0] -set_location_assignment PIN_AN41 -to "QSFP_0_TX[0](n)" -set_location_assignment PIN_AM44 -to QSFP_0_TX[1] -set_location_assignment PIN_AM43 -to "QSFP_0_TX[1](n)" -set_location_assignment PIN_AK44 -to QSFP_0_TX[2] -set_location_assignment PIN_AK43 -to "QSFP_0_TX[2](n)" -set_location_assignment PIN_AJ42 -to QSFP_0_TX[3] -set_location_assignment PIN_AJ41 -to "QSFP_0_TX[3](n)" -set_location_assignment PIN_AC38 -to QSFP_1_RX[0] -set_location_assignment PIN_AC37 -to "QSFP_1_RX[0](n)" -set_location_assignment PIN_AD40 -to QSFP_1_RX[1] -set_location_assignment PIN_AD39 -to "QSFP_1_RX[1](n)" -set_location_assignment PIN_AF40 -to QSFP_1_RX[2] -set_location_assignment PIN_AF39 -to "QSFP_1_RX[2](n)" -set_location_assignment PIN_AG38 -to QSFP_1_RX[3] -set_location_assignment PIN_AG37 -to "QSFP_1_RX[3](n)" -set_location_assignment PIN_AC42 -to QSFP_1_TX[0] -set_location_assignment PIN_AC41 -to "QSFP_1_TX[0](n)" -set_location_assignment PIN_AD44 -to QSFP_1_TX[1] -set_location_assignment PIN_AD43 -to "QSFP_1_TX[1](n)" -set_location_assignment PIN_AF44 -to QSFP_1_TX[2] -set_location_assignment PIN_AF43 -to "QSFP_1_TX[2](n)" -set_location_assignment PIN_AG42 -to QSFP_1_TX[3] -set_location_assignment PIN_AG41 -to "QSFP_1_TX[3](n)" -set_location_assignment PIN_AL38 -to QSFP_2_RX[0] -set_location_assignment PIN_AL37 -to "QSFP_2_RX[0](n)" -set_location_assignment PIN_AH40 -to QSFP_2_RX[1] -set_location_assignment PIN_AH39 -to "QSFP_2_RX[1](n)" -set_location_assignment PIN_AE38 -to QSFP_2_RX[2] -set_location_assignment PIN_AE37 -to "QSFP_2_RX[2](n)" -set_location_assignment PIN_AB40 -to QSFP_2_RX[3] -set_location_assignment PIN_AB39 -to "QSFP_2_RX[3](n)" -set_location_assignment PIN_AL42 -to QSFP_2_TX[0] -set_location_assignment PIN_AL41 -to "QSFP_2_TX[0](n)" -set_location_assignment PIN_AH44 -to QSFP_2_TX[1] -set_location_assignment PIN_AH43 -to "QSFP_2_TX[1](n)" -set_location_assignment PIN_AE42 -to QSFP_2_TX[2] -set_location_assignment PIN_AE41 -to "QSFP_2_TX[2](n)" -set_location_assignment PIN_AB44 -to QSFP_2_TX[3] -set_location_assignment PIN_AB43 -to "QSFP_2_TX[3](n)" -set_location_assignment PIN_W38 -to QSFP_3_RX[0] -set_location_assignment PIN_W37 -to "QSFP_3_RX[0](n)" -set_location_assignment PIN_T40 -to QSFP_3_RX[1] -set_location_assignment PIN_T39 -to "QSFP_3_RX[1](n)" -set_location_assignment PIN_N38 -to QSFP_3_RX[2] -set_location_assignment PIN_N37 -to "QSFP_3_RX[2](n)" -set_location_assignment PIN_K40 -to QSFP_3_RX[3] -set_location_assignment PIN_K39 -to "QSFP_3_RX[3](n)" -set_location_assignment PIN_W42 -to QSFP_3_TX[0] -set_location_assignment PIN_W41 -to "QSFP_3_TX[0](n)" -set_location_assignment PIN_T44 -to QSFP_3_TX[1] -set_location_assignment PIN_T43 -to "QSFP_3_TX[1](n)" -set_location_assignment PIN_N42 -to QSFP_3_TX[2] -set_location_assignment PIN_N41 -to "QSFP_3_TX[2](n)" -set_location_assignment PIN_K44 -to QSFP_3_TX[3] -set_location_assignment PIN_K43 -to "QSFP_3_TX[3](n)" -set_location_assignment PIN_AA38 -to QSFP_4_RX[0] -set_location_assignment PIN_AA37 -to "QSFP_4_RX[0](n)" -set_location_assignment PIN_Y40 -to QSFP_4_RX[1] -set_location_assignment PIN_Y39 -to "QSFP_4_RX[1](n)" -set_location_assignment PIN_V40 -to QSFP_4_RX[2] -set_location_assignment PIN_V39 -to "QSFP_4_RX[2](n)" -set_location_assignment PIN_U38 -to QSFP_4_RX[3] -set_location_assignment PIN_U37 -to "QSFP_4_RX[3](n)" -set_location_assignment PIN_AA42 -to QSFP_4_TX[0] -set_location_assignment PIN_AA41 -to "QSFP_4_TX[0](n)" -set_location_assignment PIN_Y44 -to QSFP_4_TX[1] -set_location_assignment PIN_Y43 -to "QSFP_4_TX[1](n)" -set_location_assignment PIN_V44 -to QSFP_4_TX[2] -set_location_assignment PIN_V43 -to "QSFP_4_TX[2](n)" -set_location_assignment PIN_U42 -to QSFP_4_TX[3] -set_location_assignment PIN_U41 -to "QSFP_4_TX[3](n)" -set_location_assignment PIN_L38 -to QSFP_5_RX[0] -set_location_assignment PIN_L37 -to "QSFP_5_RX[0](n)" -set_location_assignment PIN_M40 -to QSFP_5_RX[1] -set_location_assignment PIN_M39 -to "QSFP_5_RX[1](n)" -set_location_assignment PIN_P40 -to QSFP_5_RX[2] -set_location_assignment PIN_P39 -to "QSFP_5_RX[2](n)" -set_location_assignment PIN_R38 -to QSFP_5_RX[3] -set_location_assignment PIN_R37 -to "QSFP_5_RX[3](n)" -set_location_assignment PIN_L42 -to QSFP_5_TX[0] -set_location_assignment PIN_L41 -to "QSFP_5_TX[0](n)" -set_location_assignment PIN_M44 -to QSFP_5_TX[1] -set_location_assignment PIN_M43 -to "QSFP_5_TX[1](n)" -set_location_assignment PIN_P44 -to QSFP_5_TX[2] -set_location_assignment PIN_P43 -to "QSFP_5_TX[2](n)" -set_location_assignment PIN_R42 -to QSFP_5_TX[3] -set_location_assignment PIN_R41 -to "QSFP_5_TX[3](n)" -set_location_assignment PIN_K15 -to CLK -set_location_assignment PIN_J15 -to "CLK(n)" -set_location_assignment PIN_N12 -to ETH_CLK -set_location_assignment PIN_K14 -to PPS -set_location_assignment PIN_J14 -to "PPS(n)" -set_location_assignment PIN_Y36 -to SA_CLK -set_location_assignment PIN_Y35 -to "SA_CLK(n)" -set_location_assignment PIN_AH9 -to SB_CLK -set_location_assignment PIN_AH10 -to "SB_CLK(n)" -set_location_assignment PIN_AP20 -to MB_I_A[0] -set_location_assignment PIN_AR20 -to MB_I_A[1] -set_location_assignment PIN_AP19 -to MB_I_A[2] -set_location_assignment PIN_AR19 -to MB_I_A[3] -set_location_assignment PIN_AR18 -to MB_I_A[4] -set_location_assignment PIN_AT17 -to MB_I_A[5] -set_location_assignment PIN_AU19 -to MB_I_A[6] -set_location_assignment PIN_AT18 -to MB_I_A[7] -set_location_assignment PIN_AL17 -to MB_I_A[8] -set_location_assignment PIN_AM18 -to MB_I_A[9] -set_location_assignment PIN_AM19 -to MB_I_A[10] -set_location_assignment PIN_AN19 -to MB_I_A[11] -set_location_assignment PIN_BA17 -to MB_I_A[12] -set_location_assignment PIN_BD17 -to MB_I_A[13] -set_location_assignment PIN_AY18 -to MB_I_ACT_N[0] -set_location_assignment PIN_AV29 -to MB_I_ALERT_N[0] -set_location_assignment PIN_BB16 -to MB_I_BA[0] -set_location_assignment PIN_BD16 -to MB_I_BA[1] -set_location_assignment PIN_BC16 -to MB_I_BG[0] -set_location_assignment PIN_AW19 -to MB_I_BG[1] -set_location_assignment PIN_BA15 -to MB_I_CAS_A15 -set_location_assignment PIN_BC21 -to MB_I_CB[0] -set_location_assignment PIN_BA22 -to MB_I_CB[1] -set_location_assignment PIN_BD21 -to MB_I_CB[2] -set_location_assignment PIN_BB20 -to MB_I_CB[3] -set_location_assignment PIN_BA20 -to MB_I_CB[4] -set_location_assignment PIN_BD20 -to MB_I_CB[5] -set_location_assignment PIN_AY20 -to MB_I_CB[6] -set_location_assignment PIN_AY22 -to MB_I_CB[7] -set_location_assignment PIN_AU18 -to MB_I_CK[0] -set_location_assignment PIN_AT16 -to MB_I_CK[1] -set_location_assignment PIN_BB19 -to MB_I_CKE[0] -set_location_assignment PIN_AP16 -to MB_I_CKE[1] -set_location_assignment PIN_AY19 -to MB_I_CS[0] -set_location_assignment PIN_AN16 -to MB_I_CS[1] -set_location_assignment PIN_BC29 -to MB_I_DM[0] -set_location_assignment PIN_AR27 -to MB_I_DM[1] -set_location_assignment PIN_BD24 -to MB_I_DM[2] -set_location_assignment PIN_AM23 -to MB_I_DM[3] -set_location_assignment PIN_AU12 -to MB_I_DM[4] -set_location_assignment PIN_AU13 -to MB_I_DM[5] -set_location_assignment PIN_AM14 -to MB_I_DM[6] -set_location_assignment PIN_AM16 -to MB_I_DM[7] -set_location_assignment PIN_BA21 -to MB_I_DM[8] -set_location_assignment PIN_BA28 -to MB_I_DQS[0] -set_location_assignment PIN_AM28 -to MB_I_DQS[1] -set_location_assignment PIN_AV24 -to MB_I_DQS[2] -set_location_assignment PIN_AN24 -to MB_I_DQS[3] -set_location_assignment PIN_BC14 -to MB_I_DQS[4] -set_location_assignment PIN_AW14 -to MB_I_DQS[5] -set_location_assignment PIN_AN12 -to MB_I_DQS[6] -set_location_assignment PIN_AK15 -to MB_I_DQS[7] -set_location_assignment PIN_BC22 -to MB_I_DQS[8] -set_location_assignment PIN_BD19 -to MB_I_ODT[0] -set_location_assignment PIN_AR17 -to MB_I_ODT[1] -set_location_assignment PIN_BC18 -to MB_I_PARITY[0] -set_location_assignment PIN_BB15 -to MB_I_RAS_A16 -set_location_assignment PIN_AW17 -to MB_I_REF_CLK -set_location_assignment PIN_AV19 -to MB_I_RESET_N[0] -set_location_assignment PIN_AY17 -to MB_I_RZQ -set_location_assignment PIN_BC17 -to MB_I_WE_A14 -set_location_assignment PIN_A29 -to MB_II_A[0] -set_location_assignment PIN_B29 -to MB_II_A[1] -set_location_assignment PIN_H29 -to MB_II_A[2] -set_location_assignment PIN_G29 -to MB_II_A[3] -set_location_assignment PIN_D29 -to MB_II_A[4] -set_location_assignment PIN_E29 -to MB_II_A[5] -set_location_assignment PIN_C29 -to MB_II_A[6] -set_location_assignment PIN_C28 -to MB_II_A[7] -set_location_assignment PIN_E30 -to MB_II_A[8] -set_location_assignment PIN_D30 -to MB_II_A[9] -set_location_assignment PIN_B28 -to MB_II_A[10] -set_location_assignment PIN_A28 -to MB_II_A[11] -set_location_assignment PIN_H27 -to MB_II_A[12] -set_location_assignment PIN_E28 -to MB_II_A[13] -set_location_assignment PIN_K28 -to MB_II_ACT_N[0] -set_location_assignment PIN_C16 -to MB_II_ALERT_N[0] -set_location_assignment PIN_C27 -to MB_II_BA[0] -set_location_assignment PIN_A27 -to MB_II_BA[1] -set_location_assignment PIN_B26 -to MB_II_BG[0] -set_location_assignment PIN_L27 -to MB_II_BG[1] -set_location_assignment PIN_F28 -to MB_II_CAS_A15 -set_location_assignment PIN_E24 -to MB_II_CB[0] -set_location_assignment PIN_J25 -to MB_II_CB[1] -set_location_assignment PIN_A25 -to MB_II_CB[2] -set_location_assignment PIN_G25 -to MB_II_CB[3] -set_location_assignment PIN_D25 -to MB_II_CB[4] -set_location_assignment PIN_K25 -to MB_II_CB[5] -set_location_assignment PIN_D24 -to MB_II_CB[6] -set_location_assignment PIN_F25 -to MB_II_CB[7] -set_location_assignment PIN_N27 -to MB_II_CK[0] -set_location_assignment PIN_K27 -to MB_II_CK[1] -set_location_assignment PIN_N28 -to MB_II_CKE[0] -set_location_assignment PIN_P26 -to MB_II_CKE[1] -set_location_assignment PIN_K29 -to MB_II_CS[0] -set_location_assignment PIN_H26 -to MB_II_CS[1] -set_location_assignment PIN_A16 -to MB_II_DM[0] -set_location_assignment PIN_M21 -to MB_II_DM[1] -set_location_assignment PIN_K22 -to MB_II_DM[2] -set_location_assignment PIN_D19 -to MB_II_DM[3] -set_location_assignment PIN_G30 -to MB_II_DM[4] -set_location_assignment PIN_R32 -to MB_II_DM[5] -set_location_assignment PIN_G32 -to MB_II_DM[6] -set_location_assignment PIN_AC32 -to MB_II_DM[7] -set_location_assignment PIN_E25 -to MB_II_DM[8] -set_location_assignment PIN_F17 -to MB_II_DQS[0] -set_location_assignment PIN_L20 -to MB_II_DQS[1] -set_location_assignment PIN_J22 -to MB_II_DQS[2] -set_location_assignment PIN_B19 -to MB_II_DQS[3] -set_location_assignment PIN_L31 -to MB_II_DQS[4] -set_location_assignment PIN_P31 -to MB_II_DQS[5] -set_location_assignment PIN_N33 -to MB_II_DQS[6] -set_location_assignment PIN_T33 -to MB_II_DQS[7] -set_location_assignment PIN_A26 -to MB_II_DQS[8] -set_location_assignment PIN_K30 -to MB_II_ODT[0] -set_location_assignment PIN_R27 -to MB_II_ODT[1] -set_location_assignment PIN_R28 -to MB_II_PARITY[0] -set_location_assignment PIN_G28 -to MB_II_RAS_A16 -set_location_assignment PIN_J29 -to MB_II_REF_CLK -set_location_assignment PIN_L28 -to MB_II_RESET_N[0] -set_location_assignment PIN_J27 -to MB_II_RZQ -set_location_assignment PIN_F27 -to MB_II_WE_A14 -set_instance_assignment -name IO_STANDARD "1.8 V" -to ETH_CLK -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[0] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[0](n)" -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[1] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[1](n)" -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[0] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[0](n)" -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[1] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[1](n)" -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[6] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[7] -set_instance_assignment -name IO_STANDARD "1.8 V" -to INTA -set_instance_assignment -name IO_STANDARD "1.8 V" -to INTB -set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SC -set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SD -set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_ALERT -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[3](n)" -set_instance_assignment -name IO_STANDARD LVDS -to SA_CLK -set_instance_assignment -name IO_STANDARD LVDS -to "SA_CLK(n)" -set_instance_assignment -name IO_STANDARD LVDS -to SB_CLK -set_instance_assignment -name IO_STANDARD LVDS -to "SB_CLK(n)" -set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SC -set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SD -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to WDI -set_location_assignment PIN_P16 -to ID[0] -set_location_assignment PIN_P15 -to ID[1] -set_location_assignment PIN_K13 -to ID[2] -set_location_assignment PIN_L13 -to ID[3] -set_location_assignment PIN_N16 -to ID[4] -set_location_assignment PIN_N14 -to ID[5] -set_location_assignment PIN_U13 -to ID[6] -set_location_assignment PIN_T13 -to ID[7] -set_location_assignment PIN_AU31 -to INTA -set_location_assignment PIN_AR30 -to INTB -set_location_assignment PIN_BA25 -to PMBUS_SC -set_location_assignment PIN_BD25 -to PMBUS_SD -set_location_assignment PIN_BD26 -to PMBUS_ALERT -set_location_assignment PIN_BC31 -to SENS_SC -set_location_assignment PIN_BB31 -to SENS_SD -set_location_assignment PIN_AN32 -to TESTIO[0] -set_location_assignment PIN_AP32 -to TESTIO[1] -set_location_assignment PIN_AT30 -to TESTIO[2] -set_location_assignment PIN_BD31 -to TESTIO[3] -set_location_assignment PIN_AU30 -to TESTIO[4] -set_location_assignment PIN_BD30 -to TESTIO[5] -set_location_assignment PIN_AB12 -to VERSION[0] -set_location_assignment PIN_AB13 -to VERSION[1] -set_location_assignment PIN_BB30 -to WDI -set_location_assignment PIN_Y12 -to MB_SCL -set_location_assignment PIN_AA12 -to MB_SDA -set_location_assignment PIN_R14 -to BCK_SCL[0] -set_location_assignment PIN_Y13 -to BCK_SCL[1] -set_location_assignment PIN_U14 -to BCK_SCL[2] -set_location_assignment PIN_P14 -to BCK_SDA[0] -set_location_assignment PIN_T12 -to BCK_SDA[1] -set_location_assignment PIN_V12 -to BCK_SDA[2] -set_location_assignment PIN_AT31 -to QSFP_RST -set_location_assignment PIN_AY33 -to QSFP_SCL[0] -set_location_assignment PIN_AY32 -to QSFP_SCL[1] -set_location_assignment PIN_AY30 -to QSFP_SCL[2] -set_location_assignment PIN_AN33 -to QSFP_SCL[3] -set_location_assignment PIN_AN31 -to QSFP_SCL[4] -set_location_assignment PIN_AJ33 -to QSFP_SCL[5] -set_location_assignment PIN_BA32 -to QSFP_SDA[0] -set_location_assignment PIN_BA31 -to QSFP_SDA[1] -set_location_assignment PIN_AP33 -to QSFP_SDA[2] -set_location_assignment PIN_AM33 -to QSFP_SDA[3] -set_location_assignment PIN_AK33 -to QSFP_SDA[4] -set_location_assignment PIN_AH32 -to QSFP_SDA[5] -set_location_assignment PIN_M13 -to BCK_ERR[0] -set_location_assignment PIN_R13 -to BCK_ERR[1] -set_location_assignment PIN_U12 -to BCK_ERR[2] -set_location_assignment PIN_K12 -to ETH_SGIN[0] -set_location_assignment PIN_J12 -to "ETH_SGIN[0](n)" -set_location_assignment PIN_AF33 -to ETH_SGIN[1] -set_location_assignment PIN_AE33 -to "ETH_SGIN[1](n)" -set_location_assignment PIN_H13 -to ETH_SGOUT[0] -set_location_assignment PIN_H12 -to "ETH_SGOUT[0](n)" -set_location_assignment PIN_AW31 -to ETH_SGOUT[1] -set_location_assignment PIN_AV31 -to "ETH_SGOUT[1](n)" -set_instance_assignment -name IO_STANDARD LVDS -to PPS -set_instance_assignment -name IO_STANDARD LVDS -to "PPS(n)" -set_location_assignment PIN_AP40 -to RING_0_RX[0] -set_location_assignment PIN_AR38 -to RING_0_RX[1] -set_location_assignment PIN_AT40 -to RING_0_RX[2] -set_location_assignment PIN_AU38 -to RING_0_RX[3] -set_location_assignment PIN_AP44 -to RING_0_TX[0] -set_location_assignment PIN_AR42 -to RING_0_TX[1] -set_location_assignment PIN_AT44 -to RING_0_TX[2] -set_location_assignment PIN_AU42 -to RING_0_TX[3] -set_location_assignment PIN_H40 -to RING_1_RX[0] -set_location_assignment PIN_J38 -to RING_1_RX[1] -set_location_assignment PIN_F40 -to RING_1_RX[2] -set_location_assignment PIN_G38 -to RING_1_RX[3] -set_location_assignment PIN_H44 -to RING_1_TX[0] -set_location_assignment PIN_J42 -to RING_1_TX[1] -set_location_assignment PIN_G42 -to RING_1_TX[2] -set_location_assignment PIN_F44 -to RING_1_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[3](n)" -set_location_assignment PIN_B9 -to BCK_RX[0] -set_location_assignment PIN_B10 -to "BCK_RX[0](n)" -set_location_assignment PIN_D9 -to BCK_RX[1] -set_location_assignment PIN_D10 -to "BCK_RX[1](n)" -set_location_assignment PIN_C11 -to BCK_RX[2] -set_location_assignment PIN_C12 -to "BCK_RX[2](n)" -set_location_assignment PIN_F9 -to BCK_RX[3] -set_location_assignment PIN_F10 -to "BCK_RX[3](n)" -set_location_assignment PIN_C7 -to BCK_RX[4] -set_location_assignment PIN_C8 -to "BCK_RX[4](n)" -set_location_assignment PIN_E11 -to BCK_RX[5] -set_location_assignment PIN_E12 -to "BCK_RX[5](n)" -set_location_assignment PIN_E7 -to BCK_RX[6] -set_location_assignment PIN_E8 -to "BCK_RX[6](n)" -set_location_assignment PIN_D5 -to BCK_RX[7] -set_location_assignment PIN_D6 -to "BCK_RX[7](n)" -set_location_assignment PIN_G7 -to BCK_RX[8] -set_location_assignment PIN_G8 -to "BCK_RX[8](n)" -set_location_assignment PIN_F5 -to BCK_RX[9] -set_location_assignment PIN_F6 -to "BCK_RX[9](n)" -set_location_assignment PIN_J7 -to BCK_RX[10] -set_location_assignment PIN_J8 -to "BCK_RX[10](n)" -set_location_assignment PIN_H5 -to BCK_RX[11] -set_location_assignment PIN_H6 -to "BCK_RX[11](n)" -set_location_assignment PIN_L7 -to BCK_RX[12] -set_location_assignment PIN_L8 -to "BCK_RX[12](n)" -set_location_assignment PIN_K5 -to BCK_RX[13] -set_location_assignment PIN_K6 -to "BCK_RX[13](n)" -set_location_assignment PIN_N7 -to BCK_RX[14] -set_location_assignment PIN_N8 -to "BCK_RX[14](n)" -set_location_assignment PIN_M5 -to BCK_RX[15] -set_location_assignment PIN_M6 -to "BCK_RX[15](n)" -set_location_assignment PIN_R7 -to BCK_RX[16] -set_location_assignment PIN_R8 -to "BCK_RX[16](n)" -set_location_assignment PIN_P5 -to BCK_RX[17] -set_location_assignment PIN_P6 -to "BCK_RX[17](n)" -set_location_assignment PIN_U7 -to BCK_RX[18] -set_location_assignment PIN_U8 -to "BCK_RX[18](n)" -set_location_assignment PIN_T5 -to BCK_RX[19] -set_location_assignment PIN_T6 -to "BCK_RX[19](n)" -set_location_assignment PIN_W7 -to BCK_RX[20] -set_location_assignment PIN_W8 -to "BCK_RX[20](n)" -set_location_assignment PIN_V5 -to BCK_RX[21] -set_location_assignment PIN_V6 -to "BCK_RX[21](n)" -set_location_assignment PIN_AA7 -to BCK_RX[22] -set_location_assignment PIN_AA8 -to "BCK_RX[22](n)" -set_location_assignment PIN_Y5 -to BCK_RX[23] -set_location_assignment PIN_Y6 -to "BCK_RX[23](n)" -set_location_assignment PIN_AC7 -to BCK_RX[24] -set_location_assignment PIN_AC8 -to "BCK_RX[24](n)" -set_location_assignment PIN_AB5 -to BCK_RX[25] -set_location_assignment PIN_AB6 -to "BCK_RX[25](n)" -set_location_assignment PIN_AE7 -to BCK_RX[26] -set_location_assignment PIN_AE8 -to "BCK_RX[26](n)" -set_location_assignment PIN_AD5 -to BCK_RX[27] -set_location_assignment PIN_AD6 -to "BCK_RX[27](n)" -set_location_assignment PIN_AG7 -to BCK_RX[28] -set_location_assignment PIN_AG8 -to "BCK_RX[28](n)" -set_location_assignment PIN_AF5 -to BCK_RX[29] -set_location_assignment PIN_AF6 -to "BCK_RX[29](n)" -set_location_assignment PIN_AJ7 -to BCK_RX[30] -set_location_assignment PIN_AJ8 -to "BCK_RX[30](n)" -set_location_assignment PIN_AH5 -to BCK_RX[31] -set_location_assignment PIN_AH6 -to "BCK_RX[31](n)" -set_location_assignment PIN_AL7 -to BCK_RX[32] -set_location_assignment PIN_AL8 -to "BCK_RX[32](n)" -set_location_assignment PIN_AK5 -to BCK_RX[33] -set_location_assignment PIN_AK6 -to "BCK_RX[33](n)" -set_location_assignment PIN_AN7 -to BCK_RX[34] -set_location_assignment PIN_AN8 -to "BCK_RX[34](n)" -set_location_assignment PIN_AM5 -to BCK_RX[35] -set_location_assignment PIN_AM6 -to "BCK_RX[35](n)" -set_location_assignment PIN_AR7 -to BCK_RX[36] -set_location_assignment PIN_AR8 -to "BCK_RX[36](n)" -set_location_assignment PIN_AP5 -to BCK_RX[37] -set_location_assignment PIN_AP6 -to "BCK_RX[37](n)" -set_location_assignment PIN_AU7 -to BCK_RX[38] -set_location_assignment PIN_AU8 -to "BCK_RX[38](n)" -set_location_assignment PIN_AT5 -to BCK_RX[39] -set_location_assignment PIN_AT6 -to "BCK_RX[39](n)" -set_location_assignment PIN_AW7 -to BCK_RX[40] -set_location_assignment PIN_AW8 -to "BCK_RX[40](n)" -set_location_assignment PIN_AV5 -to BCK_RX[41] -set_location_assignment PIN_AV6 -to "BCK_RX[41](n)" -set_location_assignment PIN_BA7 -to BCK_RX[42] -set_location_assignment PIN_BA8 -to "BCK_RX[42](n)" -set_location_assignment PIN_AY5 -to BCK_RX[43] -set_location_assignment PIN_AY6 -to "BCK_RX[43](n)" -set_location_assignment PIN_BC7 -to BCK_RX[44] -set_location_assignment PIN_BC8 -to "BCK_RX[44](n)" -set_location_assignment PIN_BB5 -to BCK_RX[45] -set_location_assignment PIN_BB6 -to "BCK_RX[45](n)" -set_location_assignment PIN_AY9 -to BCK_RX[46] -set_location_assignment PIN_AY10 -to "BCK_RX[46](n)" -set_location_assignment PIN_BB9 -to BCK_RX[47] -set_location_assignment PIN_BB10 -to "BCK_RX[47](n)" -set_location_assignment PIN_B5 -to BCK_TX[0] -set_location_assignment PIN_A3 -to BCK_TX[1] -set_location_assignment PIN_A11 -to BCK_TX[2] -set_location_assignment PIN_B1 -to BCK_TX[3] -set_location_assignment PIN_C3 -to BCK_TX[4] -set_location_assignment PIN_A7 -to BCK_TX[5] -set_location_assignment PIN_D1 -to BCK_TX[6] -set_location_assignment PIN_E3 -to BCK_TX[7] -set_location_assignment PIN_F1 -to BCK_TX[8] -set_location_assignment PIN_G3 -to BCK_TX[9] -set_location_assignment PIN_J3 -to BCK_TX[10] -set_location_assignment PIN_H1 -to BCK_TX[11] -set_location_assignment PIN_L3 -to BCK_TX[12] -set_location_assignment PIN_K1 -to BCK_TX[13] -set_location_assignment PIN_N3 -to BCK_TX[14] -set_location_assignment PIN_M1 -to BCK_TX[15] -set_location_assignment PIN_R3 -to BCK_TX[16] -set_location_assignment PIN_P1 -to BCK_TX[17] -set_location_assignment PIN_U3 -to BCK_TX[18] -set_location_assignment PIN_T1 -to BCK_TX[19] -set_location_assignment PIN_W3 -to BCK_TX[20] -set_location_assignment PIN_V1 -to BCK_TX[21] -set_location_assignment PIN_AA3 -to BCK_TX[22] -set_location_assignment PIN_Y1 -to BCK_TX[23] -set_location_assignment PIN_AC3 -to BCK_TX[24] -set_location_assignment PIN_AB1 -to BCK_TX[25] -set_location_assignment PIN_AE3 -to BCK_TX[26] -set_location_assignment PIN_AD1 -to BCK_TX[27] -set_location_assignment PIN_AG3 -to BCK_TX[28] -set_location_assignment PIN_AF1 -to BCK_TX[29] -set_location_assignment PIN_AJ3 -to BCK_TX[30] -set_location_assignment PIN_AH1 -to BCK_TX[31] -set_location_assignment PIN_AL3 -to BCK_TX[32] -set_location_assignment PIN_AK1 -to BCK_TX[33] -set_location_assignment PIN_AN3 -to BCK_TX[34] -set_location_assignment PIN_AM1 -to BCK_TX[35] -set_location_assignment PIN_AR3 -to BCK_TX[36] -set_location_assignment PIN_AP1 -to BCK_TX[37] -set_location_assignment PIN_AU3 -to BCK_TX[38] -set_location_assignment PIN_AT1 -to BCK_TX[39] -set_location_assignment PIN_AW3 -to BCK_TX[40] -set_location_assignment PIN_AV1 -to BCK_TX[41] -set_location_assignment PIN_BB1 -to BCK_TX[42] -set_location_assignment PIN_AY1 -to BCK_TX[43] -set_location_assignment PIN_BD5 -to BCK_TX[44] -set_location_assignment PIN_BA3 -to BCK_TX[45] -set_location_assignment PIN_BC3 -to BCK_TX[46] -set_location_assignment PIN_BD9 -to BCK_TX[47] -set_location_assignment PIN_AV40 -to RING_0_RX[4] -set_location_assignment PIN_AW38 -to RING_0_RX[5] -set_location_assignment PIN_AY40 -to RING_0_RX[6] -set_location_assignment PIN_BA38 -to RING_0_RX[7] -set_location_assignment PIN_BB40 -to RING_0_RX[8] -set_location_assignment PIN_BC38 -to RING_0_RX[9] -set_location_assignment PIN_AY36 -to RING_0_RX[10] -set_location_assignment PIN_BB36 -to RING_0_RX[11] -set_location_assignment PIN_AV44 -to RING_0_TX[4] -set_location_assignment PIN_AW42 -to RING_0_TX[5] -set_location_assignment PIN_AY44 -to RING_0_TX[6] -set_location_assignment PIN_BB44 -to RING_0_TX[7] -set_location_assignment PIN_BA42 -to RING_0_TX[8] -set_location_assignment PIN_BD40 -to RING_0_TX[9] -set_location_assignment PIN_BC42 -to RING_0_TX[10] -set_location_assignment PIN_BD36 -to RING_0_TX[11] -set_location_assignment PIN_D40 -to RING_1_RX[4] -set_location_assignment PIN_E38 -to RING_1_RX[5] -set_location_assignment PIN_F36 -to RING_1_RX[6] -set_location_assignment PIN_C38 -to RING_1_RX[7] -set_location_assignment PIN_B36 -to RING_1_RX[8] -set_location_assignment PIN_D36 -to RING_1_RX[9] -set_location_assignment PIN_E34 -to RING_1_RX[10] -set_location_assignment PIN_C34 -to RING_1_RX[11] -set_location_assignment PIN_E42 -to RING_1_TX[4] -set_location_assignment PIN_D44 -to RING_1_TX[5] -set_location_assignment PIN_B44 -to RING_1_TX[6] -set_location_assignment PIN_C42 -to RING_1_TX[7] -set_location_assignment PIN_B40 -to RING_1_TX[8] -set_location_assignment PIN_A42 -to RING_1_TX[9] -set_location_assignment PIN_A38 -to RING_1_TX[10] -set_location_assignment PIN_A34 -to RING_1_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[12] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[12](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[13] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[13](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[14] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[14](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[15] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[15](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[16] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[16](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[17] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[17](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[18] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[18](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[19] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[19](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[20] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[20](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[21] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[21](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[22] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[22](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[23] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[23](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[24] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[24](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[25] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[25](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[26] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[26](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[27] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[27](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[28] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[28](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[29] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[29](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[30] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[30](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[31] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[31](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[32] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[32](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[33] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[33](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[34] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[34](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[35] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[35](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[36] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[36](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[37] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[37](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[38] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[38](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[39] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[39](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[40] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[40](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[41] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[41](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[42] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[42](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[43] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[43](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[44] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[44](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[45] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[45](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[46] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[46](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[47] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[47](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[12] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[12](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[13] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[13](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[14] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[14](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[15] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[15](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[16] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[16](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[17] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[17](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[18] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[18](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[19] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[19](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[20] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[20](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[21] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[21](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[22] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[22](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[23] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[23](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[24] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[24](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[25] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[25](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[26] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[26](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[27] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[27](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[28] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[28](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[29] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[29](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[30] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[30](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[31] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[31](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[32] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[32](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[33] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[33](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[34] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[34](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[35] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[35](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[36] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[36](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[37] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[37](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[38] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[38](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[39] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[39](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[40] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[40](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[41] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[41](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[42] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[42](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[43] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[43](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[44] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[44](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[45] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[45](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[46] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[46](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[47] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[47](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[11](n)" -set_location_assignment PIN_V9 -to BCK_REF_CLK -set_location_assignment PIN_V10 -to "BCK_REF_CLK(n)" -set_location_assignment PIN_AL32 -to CLKUSR -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[2] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[3] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[4] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[5] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[6] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[7] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[8] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[9] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[10] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[11] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[12] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[13] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_ACT_N[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BA[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BA[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BG[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BG[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CAS_A15 -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CKE[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CKE[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CS[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CS[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_ODT[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_ODT[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_PARITY[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_RAS_A16 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_WE_A14 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_RESET_N[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[2] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[3] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[4] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[5] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[6] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[7] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[8] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[9] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[10] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[11] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[12] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[13] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ACT_N[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BA[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BA[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BG[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BG[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CAS_A15 -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CKE[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CKE[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CS[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CS[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ODT[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ODT[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_PARITY[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_RAS_A16 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_WE_A14 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_RESET_N[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[8] -set_location_assignment PIN_AU29 -to MB_I_DQ[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[0] -set_location_assignment PIN_BC28 -to MB_I_DQ[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[1] -set_location_assignment PIN_AY29 -to MB_I_DQ[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[2] -set_location_assignment PIN_BB28 -to MB_I_DQ[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[3] -set_location_assignment PIN_BB29 -to MB_I_DQ[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[4] -set_location_assignment PIN_AW29 -to MB_I_DQ[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[5] -set_location_assignment PIN_BC27 -to MB_I_DQ[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[6] -set_location_assignment PIN_BD29 -to MB_I_DQ[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[7] -set_location_assignment PIN_AR28 -to MB_I_DQ[8] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[8] -set_location_assignment PIN_AR29 -to MB_I_DQ[9] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[9] -set_location_assignment PIN_AV27 -to MB_I_DQ[10] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[10] -set_location_assignment PIN_AU28 -to MB_I_DQ[11] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[11] -set_location_assignment PIN_AW27 -to MB_I_DQ[12] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[12] -set_location_assignment PIN_AT28 -to MB_I_DQ[13] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[13] -set_location_assignment PIN_AV28 -to MB_I_DQ[14] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[14] -set_location_assignment PIN_AP27 -to MB_I_DQ[15] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[15] -set_location_assignment PIN_BC24 -to MB_I_DQ[16] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[16] -set_location_assignment PIN_BB24 -to MB_I_DQ[17] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[17] -set_location_assignment PIN_BB23 -to MB_I_DQ[18] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[18] -set_location_assignment PIN_AW22 -to MB_I_DQ[19] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[19] -set_location_assignment PIN_BA23 -to MB_I_DQ[20] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[20] -set_location_assignment PIN_BC23 -to MB_I_DQ[21] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[21] -set_location_assignment PIN_AY23 -to MB_I_DQ[22] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[22] -set_location_assignment PIN_AY24 -to MB_I_DQ[23] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[23] -set_location_assignment PIN_AP22 -to MB_I_DQ[24] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[24] -set_location_assignment PIN_AN23 -to MB_I_DQ[25] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[25] -set_location_assignment PIN_AR23 -to MB_I_DQ[26] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[26] -set_location_assignment PIN_AT23 -to MB_I_DQ[27] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[27] -set_location_assignment PIN_AU23 -to MB_I_DQ[28] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[28] -set_location_assignment PIN_AV23 -to MB_I_DQ[29] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[29] -set_location_assignment PIN_AR24 -to MB_I_DQ[30] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[30] -set_location_assignment PIN_AP24 -to MB_I_DQ[31] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[31] -set_location_assignment PIN_AV12 -to MB_I_DQ[32] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[32] -set_location_assignment PIN_AY13 -to MB_I_DQ[33] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[33] -set_location_assignment PIN_BD14 -to MB_I_DQ[34] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[34] -set_location_assignment PIN_AY12 -to MB_I_DQ[35] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[35] -set_location_assignment PIN_BA13 -to MB_I_DQ[36] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[36] -set_location_assignment PIN_BA12 -to MB_I_DQ[37] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[37] -set_location_assignment PIN_AW12 -to MB_I_DQ[38] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[38] -set_location_assignment PIN_BB13 -to MB_I_DQ[39] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[39] -set_location_assignment PIN_AV13 -to MB_I_DQ[40] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[40] -set_location_assignment PIN_AR13 -to MB_I_DQ[41] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[41] -set_location_assignment PIN_AR15 -to MB_I_DQ[42] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[42] -set_location_assignment PIN_AP15 -to MB_I_DQ[43] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[43] -set_location_assignment PIN_AT15 -to MB_I_DQ[44] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[44] -set_location_assignment PIN_AU14 -to MB_I_DQ[45] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[45] -set_location_assignment PIN_AU15 -to MB_I_DQ[46] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[46] -set_location_assignment PIN_AV14 -to MB_I_DQ[47] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[47] -set_location_assignment PIN_AM13 -to MB_I_DQ[48] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[48] -set_location_assignment PIN_AT13 -to MB_I_DQ[49] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[49] -set_location_assignment PIN_AT12 -to MB_I_DQ[50] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[50] -set_location_assignment PIN_AP14 -to MB_I_DQ[51] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[51] -set_location_assignment PIN_AN13 -to MB_I_DQ[52] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[52] -set_location_assignment PIN_AK13 -to MB_I_DQ[53] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[53] -set_location_assignment PIN_AM12 -to MB_I_DQ[54] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[54] -set_location_assignment PIN_AL13 -to MB_I_DQ[55] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[55] -set_location_assignment PIN_AH13 -to MB_I_DQ[56] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[56] -set_location_assignment PIN_AL15 -to MB_I_DQ[57] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[57] -set_location_assignment PIN_AM15 -to MB_I_DQ[58] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[58] -set_location_assignment PIN_AJ14 -to MB_I_DQ[59] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[59] -set_location_assignment PIN_AJ12 -to MB_I_DQ[60] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[60] -set_location_assignment PIN_AL16 -to MB_I_DQ[61] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[61] -set_location_assignment PIN_AK12 -to MB_I_DQ[62] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[62] -set_location_assignment PIN_AH14 -to MB_I_DQ[63] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[63] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[1] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[2] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[3] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[4] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[5] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[6] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[7] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[8] -set_instance_assignment -name IO_STANDARD "1.8 V" -to MB_SCL -set_instance_assignment -name IO_STANDARD "1.8 V" -to MB_SDA -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[8] -set_location_assignment PIN_A17 -to MB_II_DQ[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[0] -set_location_assignment PIN_B16 -to MB_II_DQ[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[1] -set_location_assignment PIN_D16 -to MB_II_DQ[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[2] -set_location_assignment PIN_A18 -to MB_II_DQ[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[3] -set_location_assignment PIN_B18 -to MB_II_DQ[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[4] -set_location_assignment PIN_C17 -to MB_II_DQ[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[5] -set_location_assignment PIN_E18 -to MB_II_DQ[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[6] -set_location_assignment PIN_F18 -to MB_II_DQ[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[7] -set_location_assignment PIN_R22 -to MB_II_DQ[8] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[8] -set_location_assignment PIN_J20 -to MB_II_DQ[9] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[9] -set_location_assignment PIN_L21 -to MB_II_DQ[10] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[10] -set_location_assignment PIN_M20 -to MB_II_DQ[11] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[11] -set_location_assignment PIN_J21 -to MB_II_DQ[12] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[12] -set_location_assignment PIN_P21 -to MB_II_DQ[13] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[13] -set_location_assignment PIN_R20 -to MB_II_DQ[14] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[14] -set_location_assignment PIN_N21 -to MB_II_DQ[15] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[15] -set_location_assignment PIN_L22 -to MB_II_DQ[16] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[16] -set_location_assignment PIN_G20 -to MB_II_DQ[17] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[17] -set_location_assignment PIN_H21 -to MB_II_DQ[18] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[18] -set_location_assignment PIN_N22 -to MB_II_DQ[19] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[19] -set_location_assignment PIN_P22 -to MB_II_DQ[20] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[20] -set_location_assignment PIN_F20 -to MB_II_DQ[21] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[21] -set_location_assignment PIN_G21 -to MB_II_DQ[22] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[22] -set_location_assignment PIN_F21 -to MB_II_DQ[23] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[23] -set_location_assignment PIN_E19 -to MB_II_DQ[24] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[24] -set_location_assignment PIN_B20 -to MB_II_DQ[25] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[25] -set_location_assignment PIN_A20 -to MB_II_DQ[26] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[26] -set_location_assignment PIN_G19 -to MB_II_DQ[27] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[27] -set_location_assignment PIN_D20 -to MB_II_DQ[28] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[28] -set_location_assignment PIN_E20 -to MB_II_DQ[29] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[29] -set_location_assignment PIN_D17 -to MB_II_DQ[30] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[30] -set_location_assignment PIN_C18 -to MB_II_DQ[31] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[31] -set_location_assignment PIN_F30 -to MB_II_DQ[32] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[32] -set_location_assignment PIN_L30 -to MB_II_DQ[33] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[33] -set_location_assignment PIN_M30 -to MB_II_DQ[34] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[34] -set_location_assignment PIN_C31 -to MB_II_DQ[35] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[35] -set_location_assignment PIN_D31 -to MB_II_DQ[36] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[36] -set_location_assignment PIN_H31 -to MB_II_DQ[37] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[37] -set_location_assignment PIN_J31 -to MB_II_DQ[38] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[38] -set_location_assignment PIN_F31 -to MB_II_DQ[39] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[39] -set_location_assignment PIN_P32 -to MB_II_DQ[40] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[40] -set_location_assignment PIN_R30 -to MB_II_DQ[41] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[41] -set_location_assignment PIN_U31 -to MB_II_DQ[42] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[42] -set_location_assignment PIN_W31 -to MB_II_DQ[43] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[43] -set_location_assignment PIN_P29 -to MB_II_DQ[44] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[44] -set_location_assignment PIN_P30 -to MB_II_DQ[45] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[45] -set_location_assignment PIN_V31 -to MB_II_DQ[46] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[46] -set_location_assignment PIN_R29 -to MB_II_DQ[47] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[47] -set_location_assignment PIN_M33 -to MB_II_DQ[48] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[48] -set_location_assignment PIN_J33 -to MB_II_DQ[49] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[49] -set_location_assignment PIN_H33 -to MB_II_DQ[50] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[50] -set_location_assignment PIN_H32 -to MB_II_DQ[51] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[51] -set_location_assignment PIN_J32 -to MB_II_DQ[52] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[52] -set_location_assignment PIN_K33 -to MB_II_DQ[53] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[53] -set_location_assignment PIN_K32 -to MB_II_DQ[54] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[54] -set_location_assignment PIN_L32 -to MB_II_DQ[55] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[55] -set_location_assignment PIN_AB33 -to MB_II_DQ[56] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[56] -set_location_assignment PIN_AA32 -to MB_II_DQ[57] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[57] -set_location_assignment PIN_W32 -to MB_II_DQ[58] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[58] -set_location_assignment PIN_U33 -to MB_II_DQ[59] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[59] -set_location_assignment PIN_Y33 -to MB_II_DQ[60] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[60] -set_location_assignment PIN_AA33 -to MB_II_DQ[61] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[61] -set_location_assignment PIN_V33 -to MB_II_DQ[62] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[62] -set_location_assignment PIN_Y32 -to MB_II_DQ[63] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[63] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[1] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[2] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[3] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[4] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[5] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[6] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[7] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[8] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_RST -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_ALERT_N[0] -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_I_RZQ -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_ALERT_N[0] -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_II_RZQ -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_I_REF_CLK -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_II_REF_CLK -set_location_assignment PIN_B6 -to "BCK_TX[0](n)" -set_location_assignment PIN_A4 -to "BCK_TX[1](n)" -set_location_assignment PIN_A12 -to "BCK_TX[2](n)" -set_location_assignment PIN_B2 -to "BCK_TX[3](n)" -set_location_assignment PIN_C4 -to "BCK_TX[4](n)" -set_location_assignment PIN_A8 -to "BCK_TX[5](n)" -set_location_assignment PIN_D2 -to "BCK_TX[6](n)" -set_location_assignment PIN_E4 -to "BCK_TX[7](n)" -set_location_assignment PIN_F2 -to "BCK_TX[8](n)" -set_location_assignment PIN_G4 -to "BCK_TX[9](n)" -set_location_assignment PIN_J4 -to "BCK_TX[10](n)" -set_location_assignment PIN_H2 -to "BCK_TX[11](n)" -set_location_assignment PIN_L4 -to "BCK_TX[12](n)" -set_location_assignment PIN_K2 -to "BCK_TX[13](n)" -set_location_assignment PIN_N4 -to "BCK_TX[14](n)" -set_location_assignment PIN_M2 -to "BCK_TX[15](n)" -set_location_assignment PIN_R4 -to "BCK_TX[16](n)" -set_location_assignment PIN_P2 -to "BCK_TX[17](n)" -set_location_assignment PIN_U4 -to "BCK_TX[18](n)" -set_location_assignment PIN_T2 -to "BCK_TX[19](n)" -set_location_assignment PIN_W4 -to "BCK_TX[20](n)" -set_location_assignment PIN_V2 -to "BCK_TX[21](n)" -set_location_assignment PIN_AA4 -to "BCK_TX[22](n)" -set_location_assignment PIN_Y2 -to "BCK_TX[23](n)" -set_location_assignment PIN_AC4 -to "BCK_TX[24](n)" -set_location_assignment PIN_AB2 -to "BCK_TX[25](n)" -set_location_assignment PIN_AE4 -to "BCK_TX[26](n)" -set_location_assignment PIN_AD2 -to "BCK_TX[27](n)" -set_location_assignment PIN_AG4 -to "BCK_TX[28](n)" -set_location_assignment PIN_AF2 -to "BCK_TX[29](n)" -set_location_assignment PIN_AJ4 -to "BCK_TX[30](n)" -set_location_assignment PIN_AH2 -to "BCK_TX[31](n)" -set_location_assignment PIN_AL4 -to "BCK_TX[32](n)" -set_location_assignment PIN_AK2 -to "BCK_TX[33](n)" -set_location_assignment PIN_AN4 -to "BCK_TX[34](n)" -set_location_assignment PIN_AM2 -to "BCK_TX[35](n)" -set_location_assignment PIN_AR4 -to "BCK_TX[36](n)" -set_location_assignment PIN_AP2 -to "BCK_TX[37](n)" -set_location_assignment PIN_AU4 -to "BCK_TX[38](n)" -set_location_assignment PIN_AT2 -to "BCK_TX[39](n)" -set_location_assignment PIN_AW4 -to "BCK_TX[40](n)" -set_location_assignment PIN_AV2 -to "BCK_TX[41](n)" -set_location_assignment PIN_BB2 -to "BCK_TX[42](n)" -set_location_assignment PIN_AY2 -to "BCK_TX[43](n)" -set_location_assignment PIN_BD6 -to "BCK_TX[44](n)" -set_location_assignment PIN_BA4 -to "BCK_TX[45](n)" -set_location_assignment PIN_BC4 -to "BCK_TX[46](n)" -set_location_assignment PIN_BD10 -to "BCK_TX[47](n)" -set_location_assignment PIN_AY43 -to "RING_0_TX[6](n)" -set_location_assignment PIN_BB43 -to "RING_0_TX[7](n)" -set_location_assignment PIN_BA41 -to "RING_0_TX[8](n)" -set_location_assignment PIN_BD39 -to "RING_0_TX[9](n)" -set_location_assignment PIN_BC41 -to "RING_0_TX[10](n)" -set_location_assignment PIN_BD35 -to "RING_0_TX[11](n)" -set_location_assignment PIN_AP43 -to "RING_0_TX[0](n)" -set_location_assignment PIN_AR41 -to "RING_0_TX[1](n)" -set_location_assignment PIN_AT43 -to "RING_0_TX[2](n)" -set_location_assignment PIN_AU41 -to "RING_0_TX[3](n)" -set_location_assignment PIN_AV43 -to "RING_0_TX[4](n)" -set_location_assignment PIN_AW41 -to "RING_0_TX[5](n)" -set_location_assignment PIN_A41 -to "RING_1_TX[9](n)" -set_location_assignment PIN_A37 -to "RING_1_TX[10](n)" -set_location_assignment PIN_A33 -to "RING_1_TX[11](n)" -set_location_assignment PIN_J41 -to "RING_1_TX[1](n)" -set_location_assignment PIN_G41 -to "RING_1_TX[2](n)" -set_location_assignment PIN_F43 -to "RING_1_TX[3](n)" -set_location_assignment PIN_E41 -to "RING_1_TX[4](n)" -set_location_assignment PIN_D43 -to "RING_1_TX[5](n)" -set_location_assignment PIN_B43 -to "RING_1_TX[6](n)" -set_location_assignment PIN_C41 -to "RING_1_TX[7](n)" -set_location_assignment PIN_B39 -to "RING_1_TX[8](n)" -set_location_assignment PIN_H43 -to "RING_1_TX[0](n)" -set_location_assignment PIN_AY39 -to "RING_0_RX[6](n)" -set_location_assignment PIN_BA37 -to "RING_0_RX[7](n)" -set_location_assignment PIN_BB39 -to "RING_0_RX[8](n)" -set_location_assignment PIN_BC37 -to "RING_0_RX[9](n)" -set_location_assignment PIN_AY35 -to "RING_0_RX[10](n)" -set_location_assignment PIN_BB35 -to "RING_0_RX[11](n)" -set_location_assignment PIN_AP39 -to "RING_0_RX[0](n)" -set_location_assignment PIN_AR37 -to "RING_0_RX[1](n)" -set_location_assignment PIN_AT39 -to "RING_0_RX[2](n)" -set_location_assignment PIN_AU37 -to "RING_0_RX[3](n)" -set_location_assignment PIN_AV39 -to "RING_0_RX[4](n)" -set_location_assignment PIN_AW37 -to "RING_0_RX[5](n)" -set_location_assignment PIN_D35 -to "RING_1_RX[9](n)" -set_location_assignment PIN_E33 -to "RING_1_RX[10](n)" -set_location_assignment PIN_C33 -to "RING_1_RX[11](n)" -set_location_assignment PIN_J37 -to "RING_1_RX[1](n)" -set_location_assignment PIN_F39 -to "RING_1_RX[2](n)" -set_location_assignment PIN_G37 -to "RING_1_RX[3](n)" -set_location_assignment PIN_D39 -to "RING_1_RX[4](n)" -set_location_assignment PIN_E37 -to "RING_1_RX[5](n)" -set_location_assignment PIN_F35 -to "RING_1_RX[6](n)" -set_location_assignment PIN_C37 -to "RING_1_RX[7](n)" -set_location_assignment PIN_B35 -to "RING_1_RX[8](n)" -set_location_assignment PIN_H39 -to "RING_1_RX[0](n)" -set_location_assignment PIN_M16 -to MB_EVENT -set_location_assignment PIN_AV18 -to "MB_I_CK[0](n)" -set_location_assignment PIN_AU16 -to "MB_I_CK[1](n)" -set_location_assignment PIN_AY28 -to "MB_I_DQS[0](n)" -set_location_assignment PIN_AN28 -to "MB_I_DQS[1](n)" -set_location_assignment PIN_AU24 -to "MB_I_DQS[2](n)" -set_location_assignment PIN_AM24 -to "MB_I_DQS[3](n)" -set_location_assignment PIN_BB14 -to "MB_I_DQS[4](n)" -set_location_assignment PIN_AY14 -to "MB_I_DQS[5](n)" -set_location_assignment PIN_AP12 -to "MB_I_DQS[6](n)" -set_location_assignment PIN_AK14 -to "MB_I_DQS[7](n)" -set_location_assignment PIN_BD22 -to "MB_I_DQS[8](n)" -set_location_assignment PIN_M28 -to "MB_II_CK[0](n)" -set_location_assignment PIN_J26 -to "MB_II_CK[1](n)" -set_location_assignment PIN_E17 -to "MB_II_DQS[0](n)" -set_location_assignment PIN_K20 -to "MB_II_DQS[1](n)" -set_location_assignment PIN_H22 -to "MB_II_DQS[2](n)" -set_location_assignment PIN_C19 -to "MB_II_DQS[3](n)" -set_location_assignment PIN_M31 -to "MB_II_DQS[4](n)" -set_location_assignment PIN_N31 -to "MB_II_DQS[5](n)" -set_location_assignment PIN_P33 -to "MB_II_DQS[6](n)" -set_location_assignment PIN_T32 -to "MB_II_DQS[7](n)" -set_location_assignment PIN_B25 -to "MB_II_DQS[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_A -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_ALERT_N -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_BA -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_BG -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_CK -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_I_CK[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_I_CK[1](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CKE -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CS -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[1](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[2](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[3](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[4](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[5](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[6](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[7](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[8](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_ODT -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_A -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_ALERT_N -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_BA -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_BG -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_CK -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_II_CK[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_II_CK[1](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CKE -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CS -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[1](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[2](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[3](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[4](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[5](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[6](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[7](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[8](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_ODT -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO -set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_PARITY -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_RESET_N -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ACT_N -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_PARITY -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_RESET_N -set_location_assignment PIN_BA33 -to QSFP_LED[0] -set_location_assignment PIN_BA30 -to QSFP_LED[1] -set_location_assignment PIN_BB33 -to QSFP_LED[2] -set_location_assignment PIN_AU33 -to QSFP_LED[3] -set_location_assignment PIN_AV32 -to QSFP_LED[4] -set_location_assignment PIN_AW30 -to QSFP_LED[5] -set_location_assignment PIN_AP31 -to QSFP_LED[6] -set_location_assignment PIN_AP30 -to QSFP_LED[7] -set_location_assignment PIN_AT33 -to QSFP_LED[8] -set_location_assignment PIN_AG32 -to QSFP_LED[9] -set_location_assignment PIN_AF32 -to QSFP_LED[10] -set_location_assignment PIN_AE32 -to QSFP_LED[11] +#source $::env(RADIOHDL)/boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_all_pins.tcl +source $::env(RADIOHDL)/boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_minimal_pins.tcl diff --git a/boards/uniboard2/libraries/unb2_board/src/tcl/unb2_all_pins.tcl b/boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_all_pins.tcl similarity index 85% rename from boards/uniboard2/libraries/unb2_board/src/tcl/unb2_all_pins.tcl rename to boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_all_pins.tcl index bbe5debf8adbe404b93fa86381942933e666ab2e..732ee222544bb4ab74e064c84f8740c1d305448d 100644 --- a/boards/uniboard2/libraries/unb2_board/src/tcl/unb2_all_pins.tcl +++ b/boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_all_pins.tcl @@ -1,1586 +1,1518 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 64-Bit -# Version 13.1a10.0 Build 346 11/13/2013 SJ Full Version -# Date created = 08:55:45 March 13, 2014 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# unb2_pinning_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "1.8 V" -set_global_assignment -name FAMILY "arria 10" -set_global_assignment -name DEVICE 10AX115U4F45I3SGES -set_global_assignment -name TOP_LEVEL_ENTITY unb2_pinning -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:55:45 MARCH 13, 2014" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE FASTEST -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" -set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF -set_global_assignment -name ENABLE_NCE_PIN OFF -set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "ACTIVE SERIAL X4" -set_global_assignment -name USE_CONFIGURATION_DEVICE ON -set_global_assignment -name CRC_ERROR_OPEN_DRAIN ON -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHZ - # IO Location Assignments from Gijs -# -#from chip planner -# -# -#from chip planner -# - -# rx pins modified from chip planner -# tx pins as per Gijs - - -set_location_assignment PIN_AN38 -to QSFP_0_RX[0] -set_location_assignment PIN_AN37 -to "QSFP_0_RX[0](n)" -set_location_assignment PIN_AM40 -to QSFP_0_RX[1] -set_location_assignment PIN_AM39 -to "QSFP_0_RX[1](n)" -set_location_assignment PIN_AK40 -to QSFP_0_RX[2] -set_location_assignment PIN_AK39 -to "QSFP_0_RX[2](n)" -set_location_assignment PIN_AJ38 -to QSFP_0_RX[3] -set_location_assignment PIN_AJ37 -to "QSFP_0_RX[3](n)" -set_location_assignment PIN_AN42 -to QSFP_0_TX[0] -set_location_assignment PIN_AN41 -to "QSFP_0_TX[0](n)" -set_location_assignment PIN_AM44 -to QSFP_0_TX[1] -set_location_assignment PIN_AM43 -to "QSFP_0_TX[1](n)" -set_location_assignment PIN_AK44 -to QSFP_0_TX[2] -set_location_assignment PIN_AK43 -to "QSFP_0_TX[2](n)" -set_location_assignment PIN_AJ42 -to QSFP_0_TX[3] -set_location_assignment PIN_AJ41 -to "QSFP_0_TX[3](n)" -set_location_assignment PIN_AC38 -to QSFP_1_RX[0] -set_location_assignment PIN_AC37 -to "QSFP_1_RX[0](n)" -set_location_assignment PIN_AD40 -to QSFP_1_RX[1] -set_location_assignment PIN_AD39 -to "QSFP_1_RX[1](n)" -set_location_assignment PIN_AF40 -to QSFP_1_RX[2] -set_location_assignment PIN_AF39 -to "QSFP_1_RX[2](n)" -set_location_assignment PIN_AG38 -to QSFP_1_RX[3] -set_location_assignment PIN_AG37 -to "QSFP_1_RX[3](n)" -set_location_assignment PIN_AC42 -to QSFP_1_TX[0] -set_location_assignment PIN_AC41 -to "QSFP_1_TX[0](n)" -set_location_assignment PIN_AD44 -to QSFP_1_TX[1] -set_location_assignment PIN_AD43 -to "QSFP_1_TX[1](n)" -set_location_assignment PIN_AF44 -to QSFP_1_TX[2] -set_location_assignment PIN_AF43 -to "QSFP_1_TX[2](n)" -set_location_assignment PIN_AG42 -to QSFP_1_TX[3] -set_location_assignment PIN_AG41 -to "QSFP_1_TX[3](n)" -set_location_assignment PIN_AL38 -to QSFP_2_RX[0] -set_location_assignment PIN_AL37 -to "QSFP_2_RX[0](n)" -set_location_assignment PIN_AH40 -to QSFP_2_RX[1] -set_location_assignment PIN_AH39 -to "QSFP_2_RX[1](n)" -set_location_assignment PIN_AE38 -to QSFP_2_RX[2] -set_location_assignment PIN_AE37 -to "QSFP_2_RX[2](n)" -set_location_assignment PIN_AB40 -to QSFP_2_RX[3] -set_location_assignment PIN_AB39 -to "QSFP_2_RX[3](n)" -set_location_assignment PIN_AL42 -to QSFP_2_TX[0] -set_location_assignment PIN_AL41 -to "QSFP_2_TX[0](n)" -set_location_assignment PIN_AH44 -to QSFP_2_TX[1] -set_location_assignment PIN_AH43 -to "QSFP_2_TX[1](n)" -set_location_assignment PIN_AE42 -to QSFP_2_TX[2] -set_location_assignment PIN_AE41 -to "QSFP_2_TX[2](n)" -set_location_assignment PIN_AB44 -to QSFP_2_TX[3] -set_location_assignment PIN_AB43 -to "QSFP_2_TX[3](n)" -set_location_assignment PIN_W38 -to QSFP_3_RX[0] -set_location_assignment PIN_W37 -to "QSFP_3_RX[0](n)" -set_location_assignment PIN_T40 -to QSFP_3_RX[1] -set_location_assignment PIN_T39 -to "QSFP_3_RX[1](n)" -set_location_assignment PIN_N38 -to QSFP_3_RX[2] -set_location_assignment PIN_N37 -to "QSFP_3_RX[2](n)" -set_location_assignment PIN_K40 -to QSFP_3_RX[3] -set_location_assignment PIN_K39 -to "QSFP_3_RX[3](n)" -set_location_assignment PIN_W42 -to QSFP_3_TX[0] -set_location_assignment PIN_W41 -to "QSFP_3_TX[0](n)" -set_location_assignment PIN_T44 -to QSFP_3_TX[1] -set_location_assignment PIN_T43 -to "QSFP_3_TX[1](n)" -set_location_assignment PIN_N42 -to QSFP_3_TX[2] -set_location_assignment PIN_N41 -to "QSFP_3_TX[2](n)" -set_location_assignment PIN_K44 -to QSFP_3_TX[3] -set_location_assignment PIN_K43 -to "QSFP_3_TX[3](n)" -set_location_assignment PIN_AA38 -to QSFP_4_RX[0] -set_location_assignment PIN_AA37 -to "QSFP_4_RX[0](n)" -set_location_assignment PIN_Y40 -to QSFP_4_RX[1] -set_location_assignment PIN_Y39 -to "QSFP_4_RX[1](n)" -set_location_assignment PIN_V40 -to QSFP_4_RX[2] -set_location_assignment PIN_V39 -to "QSFP_4_RX[2](n)" -set_location_assignment PIN_U38 -to QSFP_4_RX[3] -set_location_assignment PIN_U37 -to "QSFP_4_RX[3](n)" -set_location_assignment PIN_AA42 -to QSFP_4_TX[0] -set_location_assignment PIN_AA41 -to "QSFP_4_TX[0](n)" -set_location_assignment PIN_Y44 -to QSFP_4_TX[1] -set_location_assignment PIN_Y43 -to "QSFP_4_TX[1](n)" -set_location_assignment PIN_V44 -to QSFP_4_TX[2] -set_location_assignment PIN_V43 -to "QSFP_4_TX[2](n)" -set_location_assignment PIN_U42 -to QSFP_4_TX[3] -set_location_assignment PIN_U41 -to "QSFP_4_TX[3](n)" -set_location_assignment PIN_L38 -to QSFP_5_RX[0] -set_location_assignment PIN_L37 -to "QSFP_5_RX[0](n)" -set_location_assignment PIN_M40 -to QSFP_5_RX[1] -set_location_assignment PIN_M39 -to "QSFP_5_RX[1](n)" -set_location_assignment PIN_P40 -to QSFP_5_RX[2] -set_location_assignment PIN_P39 -to "QSFP_5_RX[2](n)" -set_location_assignment PIN_R38 -to QSFP_5_RX[3] -set_location_assignment PIN_R37 -to "QSFP_5_RX[3](n)" -set_location_assignment PIN_L42 -to QSFP_5_TX[0] -set_location_assignment PIN_L41 -to "QSFP_5_TX[0](n)" -set_location_assignment PIN_M44 -to QSFP_5_TX[1] -set_location_assignment PIN_M43 -to "QSFP_5_TX[1](n)" -set_location_assignment PIN_P44 -to QSFP_5_TX[2] -set_location_assignment PIN_P43 -to "QSFP_5_TX[2](n)" -set_location_assignment PIN_R42 -to QSFP_5_TX[3] -set_location_assignment PIN_R41 -to "QSFP_5_TX[3](n)" -set_location_assignment PIN_K15 -to CLK -set_location_assignment PIN_J15 -to "CLK(n)" -set_location_assignment PIN_N12 -to ETH_CLK -set_location_assignment PIN_K14 -to PPS -set_location_assignment PIN_J14 -to "PPS(n)" -set_location_assignment PIN_Y36 -to SA_CLK -set_location_assignment PIN_Y35 -to "SA_CLK(n)" -set_location_assignment PIN_AH9 -to SB_CLK -set_location_assignment PIN_AH10 -to "SB_CLK(n)" -set_location_assignment PIN_AP20 -to MB_I_A[0] -set_location_assignment PIN_AR20 -to MB_I_A[1] -set_location_assignment PIN_AP19 -to MB_I_A[2] -set_location_assignment PIN_AR19 -to MB_I_A[3] -set_location_assignment PIN_AR18 -to MB_I_A[4] -set_location_assignment PIN_AT17 -to MB_I_A[5] -set_location_assignment PIN_AU19 -to MB_I_A[6] -set_location_assignment PIN_AT18 -to MB_I_A[7] -set_location_assignment PIN_AL17 -to MB_I_A[8] -set_location_assignment PIN_AM18 -to MB_I_A[9] -set_location_assignment PIN_AM19 -to MB_I_A[10] -set_location_assignment PIN_AN19 -to MB_I_A[11] -set_location_assignment PIN_BA17 -to MB_I_A[12] -set_location_assignment PIN_BD17 -to MB_I_A[13] -set_location_assignment PIN_AY18 -to MB_I_ACT_N[0] -set_location_assignment PIN_AV29 -to MB_I_ALERT_N[0] -set_location_assignment PIN_BB16 -to MB_I_BA[0] -set_location_assignment PIN_BD16 -to MB_I_BA[1] -set_location_assignment PIN_BC16 -to MB_I_BG[0] -set_location_assignment PIN_AW19 -to MB_I_BG[1] -set_location_assignment PIN_BA15 -to MB_I_CAS_A15 -set_location_assignment PIN_BC21 -to MB_I_CB[0] -set_location_assignment PIN_BA22 -to MB_I_CB[1] -set_location_assignment PIN_BD21 -to MB_I_CB[2] -set_location_assignment PIN_BB20 -to MB_I_CB[3] -set_location_assignment PIN_BA20 -to MB_I_CB[4] -set_location_assignment PIN_BD20 -to MB_I_CB[5] -set_location_assignment PIN_AY20 -to MB_I_CB[6] -set_location_assignment PIN_AY22 -to MB_I_CB[7] -set_location_assignment PIN_AU18 -to MB_I_CK[0] -set_location_assignment PIN_AT16 -to MB_I_CK[1] -set_location_assignment PIN_BB19 -to MB_I_CKE[0] -set_location_assignment PIN_AP16 -to MB_I_CKE[1] -set_location_assignment PIN_AY19 -to MB_I_CS[0] -set_location_assignment PIN_AN16 -to MB_I_CS[1] -set_location_assignment PIN_BC29 -to MB_I_DM[0] -set_location_assignment PIN_AR27 -to MB_I_DM[1] -set_location_assignment PIN_BD24 -to MB_I_DM[2] -set_location_assignment PIN_AM23 -to MB_I_DM[3] -set_location_assignment PIN_AU12 -to MB_I_DM[4] -set_location_assignment PIN_AU13 -to MB_I_DM[5] -set_location_assignment PIN_AM14 -to MB_I_DM[6] -set_location_assignment PIN_AM16 -to MB_I_DM[7] -set_location_assignment PIN_BA21 -to MB_I_DM[8] -set_location_assignment PIN_BA28 -to MB_I_DQS[0] -set_location_assignment PIN_AM28 -to MB_I_DQS[1] -set_location_assignment PIN_AV24 -to MB_I_DQS[2] -set_location_assignment PIN_AN24 -to MB_I_DQS[3] -set_location_assignment PIN_BC14 -to MB_I_DQS[4] -set_location_assignment PIN_AW14 -to MB_I_DQS[5] -set_location_assignment PIN_AN12 -to MB_I_DQS[6] -set_location_assignment PIN_AK15 -to MB_I_DQS[7] -set_location_assignment PIN_BC22 -to MB_I_DQS[8] -set_location_assignment PIN_BD19 -to MB_I_ODT[0] -set_location_assignment PIN_AR17 -to MB_I_ODT[1] -set_location_assignment PIN_BC18 -to MB_I_PARITY[0] -set_location_assignment PIN_BB15 -to MB_I_RAS_A16 -set_location_assignment PIN_AW17 -to MB_I_REF_CLK -set_location_assignment PIN_AV19 -to MB_I_RESET_N[0] -set_location_assignment PIN_AY17 -to MB_I_RZQ -set_location_assignment PIN_BC17 -to MB_I_WE_A14 -set_location_assignment PIN_A29 -to MB_II_A[0] -set_location_assignment PIN_B29 -to MB_II_A[1] -set_location_assignment PIN_H29 -to MB_II_A[2] -set_location_assignment PIN_G29 -to MB_II_A[3] -set_location_assignment PIN_D29 -to MB_II_A[4] -set_location_assignment PIN_E29 -to MB_II_A[5] -set_location_assignment PIN_C29 -to MB_II_A[6] -set_location_assignment PIN_C28 -to MB_II_A[7] -set_location_assignment PIN_E30 -to MB_II_A[8] -set_location_assignment PIN_D30 -to MB_II_A[9] -set_location_assignment PIN_B28 -to MB_II_A[10] -set_location_assignment PIN_A28 -to MB_II_A[11] -set_location_assignment PIN_H27 -to MB_II_A[12] -set_location_assignment PIN_E28 -to MB_II_A[13] -set_location_assignment PIN_K28 -to MB_II_ACT_N[0] -set_location_assignment PIN_C16 -to MB_II_ALERT_N[0] -set_location_assignment PIN_C27 -to MB_II_BA[0] -set_location_assignment PIN_A27 -to MB_II_BA[1] -set_location_assignment PIN_B26 -to MB_II_BG[0] -set_location_assignment PIN_L27 -to MB_II_BG[1] -set_location_assignment PIN_F28 -to MB_II_CAS_A15 -set_location_assignment PIN_E24 -to MB_II_CB[0] -set_location_assignment PIN_J25 -to MB_II_CB[1] -set_location_assignment PIN_A25 -to MB_II_CB[2] -set_location_assignment PIN_G25 -to MB_II_CB[3] -set_location_assignment PIN_D25 -to MB_II_CB[4] -set_location_assignment PIN_K25 -to MB_II_CB[5] -set_location_assignment PIN_D24 -to MB_II_CB[6] -set_location_assignment PIN_F25 -to MB_II_CB[7] -set_location_assignment PIN_N27 -to MB_II_CK[0] -set_location_assignment PIN_K27 -to MB_II_CK[1] -set_location_assignment PIN_N28 -to MB_II_CKE[0] -set_location_assignment PIN_P26 -to MB_II_CKE[1] -set_location_assignment PIN_K29 -to MB_II_CS[0] -set_location_assignment PIN_H26 -to MB_II_CS[1] -set_location_assignment PIN_A16 -to MB_II_DM[0] -set_location_assignment PIN_M21 -to MB_II_DM[1] -set_location_assignment PIN_K22 -to MB_II_DM[2] -set_location_assignment PIN_D19 -to MB_II_DM[3] -set_location_assignment PIN_G30 -to MB_II_DM[4] -set_location_assignment PIN_R32 -to MB_II_DM[5] -set_location_assignment PIN_G32 -to MB_II_DM[6] -set_location_assignment PIN_AC32 -to MB_II_DM[7] -set_location_assignment PIN_E25 -to MB_II_DM[8] -set_location_assignment PIN_F17 -to MB_II_DQS[0] -set_location_assignment PIN_L20 -to MB_II_DQS[1] -set_location_assignment PIN_J22 -to MB_II_DQS[2] -set_location_assignment PIN_B19 -to MB_II_DQS[3] -set_location_assignment PIN_L31 -to MB_II_DQS[4] -set_location_assignment PIN_P31 -to MB_II_DQS[5] -set_location_assignment PIN_N33 -to MB_II_DQS[6] -set_location_assignment PIN_T33 -to MB_II_DQS[7] -set_location_assignment PIN_A26 -to MB_II_DQS[8] -set_location_assignment PIN_K30 -to MB_II_ODT[0] -set_location_assignment PIN_R27 -to MB_II_ODT[1] -set_location_assignment PIN_R28 -to MB_II_PARITY[0] -set_location_assignment PIN_G28 -to MB_II_RAS_A16 -set_location_assignment PIN_J29 -to MB_II_REF_CLK -set_location_assignment PIN_L28 -to MB_II_RESET_N[0] -set_location_assignment PIN_J27 -to MB_II_RZQ -set_location_assignment PIN_F27 -to MB_II_WE_A14 -set_instance_assignment -name IO_STANDARD "1.8 V" -to ETH_CLK -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[0] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[0](n)" -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[1] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[1](n)" -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[0] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[0](n)" -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[1] -set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[1](n)" -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[6] -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[7] -set_instance_assignment -name IO_STANDARD "1.8 V" -to INTA -set_instance_assignment -name IO_STANDARD "1.8 V" -to INTB -set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SC -set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SD -set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_ALERT -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[3](n)" -set_instance_assignment -name IO_STANDARD LVDS -to SA_CLK -set_instance_assignment -name IO_STANDARD LVDS -to "SA_CLK(n)" -set_instance_assignment -name IO_STANDARD LVDS -to SB_CLK -set_instance_assignment -name IO_STANDARD LVDS -to "SB_CLK(n)" -set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SC -set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SD -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to WDI -set_location_assignment PIN_P16 -to ID[0] -set_location_assignment PIN_P15 -to ID[1] -set_location_assignment PIN_K13 -to ID[2] -set_location_assignment PIN_L13 -to ID[3] -set_location_assignment PIN_N16 -to ID[4] -set_location_assignment PIN_N14 -to ID[5] -set_location_assignment PIN_U13 -to ID[6] -set_location_assignment PIN_T13 -to ID[7] -set_location_assignment PIN_AU31 -to INTA -set_location_assignment PIN_AR30 -to INTB -set_location_assignment PIN_BA25 -to PMBUS_SC -set_location_assignment PIN_BD25 -to PMBUS_SD -set_location_assignment PIN_BD26 -to PMBUS_ALERT -set_location_assignment PIN_BC31 -to SENS_SC -set_location_assignment PIN_BB31 -to SENS_SD -set_location_assignment PIN_AN32 -to TESTIO[0] -set_location_assignment PIN_AP32 -to TESTIO[1] -set_location_assignment PIN_AT30 -to TESTIO[2] -set_location_assignment PIN_BD31 -to TESTIO[3] -set_location_assignment PIN_AU30 -to TESTIO[4] -set_location_assignment PIN_BD30 -to TESTIO[5] -set_location_assignment PIN_AB12 -to VERSION[0] -set_location_assignment PIN_AB13 -to VERSION[1] -set_location_assignment PIN_BB30 -to WDI -set_location_assignment PIN_Y12 -to MB_SCL -set_location_assignment PIN_AA12 -to MB_SDA -set_location_assignment PIN_R14 -to BCK_SCL[0] -set_location_assignment PIN_Y13 -to BCK_SCL[1] -set_location_assignment PIN_U14 -to BCK_SCL[2] -set_location_assignment PIN_P14 -to BCK_SDA[0] -set_location_assignment PIN_T12 -to BCK_SDA[1] -set_location_assignment PIN_V12 -to BCK_SDA[2] -set_location_assignment PIN_AT31 -to QSFP_RST -set_location_assignment PIN_AY33 -to QSFP_SCL[0] -set_location_assignment PIN_AY32 -to QSFP_SCL[1] -set_location_assignment PIN_AY30 -to QSFP_SCL[2] -set_location_assignment PIN_AN33 -to QSFP_SCL[3] -set_location_assignment PIN_AN31 -to QSFP_SCL[4] -set_location_assignment PIN_AJ33 -to QSFP_SCL[5] -set_location_assignment PIN_BA32 -to QSFP_SDA[0] -set_location_assignment PIN_BA31 -to QSFP_SDA[1] -set_location_assignment PIN_AP33 -to QSFP_SDA[2] -set_location_assignment PIN_AM33 -to QSFP_SDA[3] -set_location_assignment PIN_AK33 -to QSFP_SDA[4] -set_location_assignment PIN_AH32 -to QSFP_SDA[5] -set_location_assignment PIN_M13 -to BCK_ERR[0] -set_location_assignment PIN_R13 -to BCK_ERR[1] -set_location_assignment PIN_U12 -to BCK_ERR[2] -set_location_assignment PIN_K12 -to ETH_SGIN[0] -set_location_assignment PIN_J12 -to "ETH_SGIN[0](n)" -set_location_assignment PIN_AF33 -to ETH_SGIN[1] -set_location_assignment PIN_AE33 -to "ETH_SGIN[1](n)" -set_location_assignment PIN_H13 -to ETH_SGOUT[0] -set_location_assignment PIN_H12 -to "ETH_SGOUT[0](n)" -set_location_assignment PIN_AW31 -to ETH_SGOUT[1] -set_location_assignment PIN_AV31 -to "ETH_SGOUT[1](n)" -set_instance_assignment -name IO_STANDARD LVDS -to PPS -set_instance_assignment -name IO_STANDARD LVDS -to "PPS(n)" -set_location_assignment PIN_AP40 -to RING_0_RX[0] -set_location_assignment PIN_AR38 -to RING_0_RX[1] -set_location_assignment PIN_AT40 -to RING_0_RX[2] -set_location_assignment PIN_AU38 -to RING_0_RX[3] -set_location_assignment PIN_AP44 -to RING_0_TX[0] -set_location_assignment PIN_AR42 -to RING_0_TX[1] -set_location_assignment PIN_AT44 -to RING_0_TX[2] -set_location_assignment PIN_AU42 -to RING_0_TX[3] -set_location_assignment PIN_H40 -to RING_1_RX[0] -set_location_assignment PIN_J38 -to RING_1_RX[1] -set_location_assignment PIN_F40 -to RING_1_RX[2] -set_location_assignment PIN_G38 -to RING_1_RX[3] -set_location_assignment PIN_H44 -to RING_1_TX[0] -set_location_assignment PIN_J42 -to RING_1_TX[1] -set_location_assignment PIN_G42 -to RING_1_TX[2] -set_location_assignment PIN_F44 -to RING_1_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[3](n)" -set_location_assignment PIN_B9 -to BCK_RX[0] -set_location_assignment PIN_B10 -to "BCK_RX[0](n)" -set_location_assignment PIN_D9 -to BCK_RX[1] -set_location_assignment PIN_D10 -to "BCK_RX[1](n)" -set_location_assignment PIN_C11 -to BCK_RX[2] -set_location_assignment PIN_C12 -to "BCK_RX[2](n)" -set_location_assignment PIN_F9 -to BCK_RX[3] -set_location_assignment PIN_F10 -to "BCK_RX[3](n)" -set_location_assignment PIN_C7 -to BCK_RX[4] -set_location_assignment PIN_C8 -to "BCK_RX[4](n)" -set_location_assignment PIN_E11 -to BCK_RX[5] -set_location_assignment PIN_E12 -to "BCK_RX[5](n)" -set_location_assignment PIN_E7 -to BCK_RX[6] -set_location_assignment PIN_E8 -to "BCK_RX[6](n)" -set_location_assignment PIN_D5 -to BCK_RX[7] -set_location_assignment PIN_D6 -to "BCK_RX[7](n)" -set_location_assignment PIN_G7 -to BCK_RX[8] -set_location_assignment PIN_G8 -to "BCK_RX[8](n)" -set_location_assignment PIN_F5 -to BCK_RX[9] -set_location_assignment PIN_F6 -to "BCK_RX[9](n)" -set_location_assignment PIN_J7 -to BCK_RX[10] -set_location_assignment PIN_J8 -to "BCK_RX[10](n)" -set_location_assignment PIN_H5 -to BCK_RX[11] -set_location_assignment PIN_H6 -to "BCK_RX[11](n)" -set_location_assignment PIN_L7 -to BCK_RX[12] -set_location_assignment PIN_L8 -to "BCK_RX[12](n)" -set_location_assignment PIN_K5 -to BCK_RX[13] -set_location_assignment PIN_K6 -to "BCK_RX[13](n)" -set_location_assignment PIN_N7 -to BCK_RX[14] -set_location_assignment PIN_N8 -to "BCK_RX[14](n)" -set_location_assignment PIN_M5 -to BCK_RX[15] -set_location_assignment PIN_M6 -to "BCK_RX[15](n)" -set_location_assignment PIN_R7 -to BCK_RX[16] -set_location_assignment PIN_R8 -to "BCK_RX[16](n)" -set_location_assignment PIN_P5 -to BCK_RX[17] -set_location_assignment PIN_P6 -to "BCK_RX[17](n)" -set_location_assignment PIN_U7 -to BCK_RX[18] -set_location_assignment PIN_U8 -to "BCK_RX[18](n)" -set_location_assignment PIN_T5 -to BCK_RX[19] -set_location_assignment PIN_T6 -to "BCK_RX[19](n)" -set_location_assignment PIN_W7 -to BCK_RX[20] -set_location_assignment PIN_W8 -to "BCK_RX[20](n)" -set_location_assignment PIN_V5 -to BCK_RX[21] -set_location_assignment PIN_V6 -to "BCK_RX[21](n)" -set_location_assignment PIN_AA7 -to BCK_RX[22] -set_location_assignment PIN_AA8 -to "BCK_RX[22](n)" -set_location_assignment PIN_Y5 -to BCK_RX[23] -set_location_assignment PIN_Y6 -to "BCK_RX[23](n)" -set_location_assignment PIN_AC7 -to BCK_RX[24] -set_location_assignment PIN_AC8 -to "BCK_RX[24](n)" -set_location_assignment PIN_AB5 -to BCK_RX[25] -set_location_assignment PIN_AB6 -to "BCK_RX[25](n)" -set_location_assignment PIN_AE7 -to BCK_RX[26] -set_location_assignment PIN_AE8 -to "BCK_RX[26](n)" -set_location_assignment PIN_AD5 -to BCK_RX[27] -set_location_assignment PIN_AD6 -to "BCK_RX[27](n)" -set_location_assignment PIN_AG7 -to BCK_RX[28] -set_location_assignment PIN_AG8 -to "BCK_RX[28](n)" -set_location_assignment PIN_AF5 -to BCK_RX[29] -set_location_assignment PIN_AF6 -to "BCK_RX[29](n)" -set_location_assignment PIN_AJ7 -to BCK_RX[30] -set_location_assignment PIN_AJ8 -to "BCK_RX[30](n)" -set_location_assignment PIN_AH5 -to BCK_RX[31] -set_location_assignment PIN_AH6 -to "BCK_RX[31](n)" -set_location_assignment PIN_AL7 -to BCK_RX[32] -set_location_assignment PIN_AL8 -to "BCK_RX[32](n)" -set_location_assignment PIN_AK5 -to BCK_RX[33] -set_location_assignment PIN_AK6 -to "BCK_RX[33](n)" -set_location_assignment PIN_AN7 -to BCK_RX[34] -set_location_assignment PIN_AN8 -to "BCK_RX[34](n)" -set_location_assignment PIN_AM5 -to BCK_RX[35] -set_location_assignment PIN_AM6 -to "BCK_RX[35](n)" -set_location_assignment PIN_AR7 -to BCK_RX[36] -set_location_assignment PIN_AR8 -to "BCK_RX[36](n)" -set_location_assignment PIN_AP5 -to BCK_RX[37] -set_location_assignment PIN_AP6 -to "BCK_RX[37](n)" -set_location_assignment PIN_AU7 -to BCK_RX[38] -set_location_assignment PIN_AU8 -to "BCK_RX[38](n)" -set_location_assignment PIN_AT5 -to BCK_RX[39] -set_location_assignment PIN_AT6 -to "BCK_RX[39](n)" -set_location_assignment PIN_AW7 -to BCK_RX[40] -set_location_assignment PIN_AW8 -to "BCK_RX[40](n)" -set_location_assignment PIN_AV5 -to BCK_RX[41] -set_location_assignment PIN_AV6 -to "BCK_RX[41](n)" -set_location_assignment PIN_BA7 -to BCK_RX[42] -set_location_assignment PIN_BA8 -to "BCK_RX[42](n)" -set_location_assignment PIN_AY5 -to BCK_RX[43] -set_location_assignment PIN_AY6 -to "BCK_RX[43](n)" -set_location_assignment PIN_BC7 -to BCK_RX[44] -set_location_assignment PIN_BC8 -to "BCK_RX[44](n)" -set_location_assignment PIN_BB5 -to BCK_RX[45] -set_location_assignment PIN_BB6 -to "BCK_RX[45](n)" -set_location_assignment PIN_AY9 -to BCK_RX[46] -set_location_assignment PIN_AY10 -to "BCK_RX[46](n)" -set_location_assignment PIN_BB9 -to BCK_RX[47] -set_location_assignment PIN_BB10 -to "BCK_RX[47](n)" -set_location_assignment PIN_B5 -to BCK_TX[0] -set_location_assignment PIN_A3 -to BCK_TX[1] -set_location_assignment PIN_A11 -to BCK_TX[2] -set_location_assignment PIN_B1 -to BCK_TX[3] -set_location_assignment PIN_C3 -to BCK_TX[4] -set_location_assignment PIN_A7 -to BCK_TX[5] -set_location_assignment PIN_D1 -to BCK_TX[6] -set_location_assignment PIN_E3 -to BCK_TX[7] -set_location_assignment PIN_F1 -to BCK_TX[8] -set_location_assignment PIN_G3 -to BCK_TX[9] -set_location_assignment PIN_J3 -to BCK_TX[10] -set_location_assignment PIN_H1 -to BCK_TX[11] -set_location_assignment PIN_L3 -to BCK_TX[12] -set_location_assignment PIN_K1 -to BCK_TX[13] -set_location_assignment PIN_N3 -to BCK_TX[14] -set_location_assignment PIN_M1 -to BCK_TX[15] -set_location_assignment PIN_R3 -to BCK_TX[16] -set_location_assignment PIN_P1 -to BCK_TX[17] -set_location_assignment PIN_U3 -to BCK_TX[18] -set_location_assignment PIN_T1 -to BCK_TX[19] -set_location_assignment PIN_W3 -to BCK_TX[20] -set_location_assignment PIN_V1 -to BCK_TX[21] -set_location_assignment PIN_AA3 -to BCK_TX[22] -set_location_assignment PIN_Y1 -to BCK_TX[23] -set_location_assignment PIN_AC3 -to BCK_TX[24] -set_location_assignment PIN_AB1 -to BCK_TX[25] -set_location_assignment PIN_AE3 -to BCK_TX[26] -set_location_assignment PIN_AD1 -to BCK_TX[27] -set_location_assignment PIN_AG3 -to BCK_TX[28] -set_location_assignment PIN_AF1 -to BCK_TX[29] -set_location_assignment PIN_AJ3 -to BCK_TX[30] -set_location_assignment PIN_AH1 -to BCK_TX[31] -set_location_assignment PIN_AL3 -to BCK_TX[32] -set_location_assignment PIN_AK1 -to BCK_TX[33] -set_location_assignment PIN_AN3 -to BCK_TX[34] -set_location_assignment PIN_AM1 -to BCK_TX[35] -set_location_assignment PIN_AR3 -to BCK_TX[36] -set_location_assignment PIN_AP1 -to BCK_TX[37] -set_location_assignment PIN_AU3 -to BCK_TX[38] -set_location_assignment PIN_AT1 -to BCK_TX[39] -set_location_assignment PIN_AW3 -to BCK_TX[40] -set_location_assignment PIN_AV1 -to BCK_TX[41] -set_location_assignment PIN_BB1 -to BCK_TX[42] -set_location_assignment PIN_AY1 -to BCK_TX[43] -set_location_assignment PIN_BD5 -to BCK_TX[44] -set_location_assignment PIN_BA3 -to BCK_TX[45] -set_location_assignment PIN_BC3 -to BCK_TX[46] -set_location_assignment PIN_BD9 -to BCK_TX[47] -set_location_assignment PIN_AV40 -to RING_0_RX[4] -set_location_assignment PIN_AW38 -to RING_0_RX[5] -set_location_assignment PIN_AY40 -to RING_0_RX[6] -set_location_assignment PIN_BA38 -to RING_0_RX[7] -set_location_assignment PIN_BB40 -to RING_0_RX[8] -set_location_assignment PIN_BC38 -to RING_0_RX[9] -set_location_assignment PIN_AY36 -to RING_0_RX[10] -set_location_assignment PIN_BB36 -to RING_0_RX[11] -set_location_assignment PIN_AV44 -to RING_0_TX[4] -set_location_assignment PIN_AW42 -to RING_0_TX[5] -set_location_assignment PIN_AY44 -to RING_0_TX[6] -set_location_assignment PIN_BB44 -to RING_0_TX[7] -set_location_assignment PIN_BA42 -to RING_0_TX[8] -set_location_assignment PIN_BD40 -to RING_0_TX[9] -set_location_assignment PIN_BC42 -to RING_0_TX[10] -set_location_assignment PIN_BD36 -to RING_0_TX[11] -set_location_assignment PIN_D40 -to RING_1_RX[4] -set_location_assignment PIN_E38 -to RING_1_RX[5] -set_location_assignment PIN_F36 -to RING_1_RX[6] -set_location_assignment PIN_C38 -to RING_1_RX[7] -set_location_assignment PIN_B36 -to RING_1_RX[8] -set_location_assignment PIN_D36 -to RING_1_RX[9] -set_location_assignment PIN_E34 -to RING_1_RX[10] -set_location_assignment PIN_C34 -to RING_1_RX[11] -set_location_assignment PIN_E42 -to RING_1_TX[4] -set_location_assignment PIN_D44 -to RING_1_TX[5] -set_location_assignment PIN_B44 -to RING_1_TX[6] -set_location_assignment PIN_C42 -to RING_1_TX[7] -set_location_assignment PIN_B40 -to RING_1_TX[8] -set_location_assignment PIN_A42 -to RING_1_TX[9] -set_location_assignment PIN_A38 -to RING_1_TX[10] -set_location_assignment PIN_A34 -to RING_1_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[12] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[12](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[13] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[13](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[14] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[14](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[15] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[15](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[16] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[16](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[17] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[17](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[18] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[18](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[19] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[19](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[20] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[20](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[21] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[21](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[22] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[22](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[23] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[23](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[24] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[24](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[25] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[25](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[26] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[26](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[27] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[27](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[28] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[28](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[29] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[29](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[30] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[30](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[31] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[31](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[32] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[32](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[33] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[33](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[34] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[34](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[35] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[35](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[36] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[36](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[37] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[37](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[38] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[38](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[39] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[39](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[40] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[40](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[41] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[41](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[42] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[42](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[43] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[43](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[44] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[44](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[45] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[45](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[46] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[46](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[47] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[47](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[0] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[0](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[1] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[1](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[2] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[2](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[3] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[3](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[12] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[12](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[13] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[13](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[14] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[14](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[15] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[15](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[16] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[16](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[17] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[17](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[18] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[18](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[19] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[19](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[20] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[20](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[21] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[21](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[22] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[22](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[23] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[23](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[24] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[24](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[25] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[25](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[26] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[26](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[27] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[27](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[28] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[28](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[29] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[29](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[30] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[30](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[31] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[31](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[32] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[32](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[33] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[33](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[34] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[34](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[35] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[35](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[36] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[36](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[37] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[37](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[38] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[38](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[39] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[39](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[40] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[40](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[41] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[41](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[42] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[42](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[43] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[43](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[44] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[44](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[45] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[45](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[46] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[46](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[47] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[47](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[11](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[4] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[4](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[5] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[5](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[6] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[6](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[7] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[7](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[8] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[9] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[9](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[10] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[10](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[11] -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[11](n)" -set_location_assignment PIN_V9 -to BCK_REF_CLK -set_location_assignment PIN_V10 -to "BCK_REF_CLK(n)" -set_location_assignment PIN_AL32 -to CLKUSR -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[2] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[3] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[4] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[5] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[6] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[7] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[8] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[9] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[10] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[11] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[12] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_A[13] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_ACT_N[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BA[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BA[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BG[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_BG[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CAS_A15 -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CKE[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CKE[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CS[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_CS[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_ODT[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_ODT[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_PARITY[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_RAS_A16 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_WE_A14 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_RESET_N[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[2] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[3] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[4] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[5] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[6] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[7] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[8] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[9] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[10] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[11] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[12] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_A[13] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ACT_N[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BA[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BA[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BG[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_BG[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CAS_A15 -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CKE[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CKE[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CS[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_CS[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ODT[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ODT[1] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_PARITY[0] -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_RAS_A16 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_WE_A14 -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_RESET_N[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[8] -set_location_assignment PIN_AU29 -to MB_I_DQ[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[0] -set_location_assignment PIN_BC28 -to MB_I_DQ[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[1] -set_location_assignment PIN_AY29 -to MB_I_DQ[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[2] -set_location_assignment PIN_BB28 -to MB_I_DQ[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[3] -set_location_assignment PIN_BB29 -to MB_I_DQ[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[4] -set_location_assignment PIN_AW29 -to MB_I_DQ[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[5] -set_location_assignment PIN_BC27 -to MB_I_DQ[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[6] -set_location_assignment PIN_BD29 -to MB_I_DQ[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[7] -set_location_assignment PIN_AR28 -to MB_I_DQ[8] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[8] -set_location_assignment PIN_AR29 -to MB_I_DQ[9] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[9] -set_location_assignment PIN_AV27 -to MB_I_DQ[10] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[10] -set_location_assignment PIN_AU28 -to MB_I_DQ[11] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[11] -set_location_assignment PIN_AW27 -to MB_I_DQ[12] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[12] -set_location_assignment PIN_AT28 -to MB_I_DQ[13] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[13] -set_location_assignment PIN_AV28 -to MB_I_DQ[14] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[14] -set_location_assignment PIN_AP27 -to MB_I_DQ[15] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[15] -set_location_assignment PIN_BC24 -to MB_I_DQ[16] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[16] -set_location_assignment PIN_BB24 -to MB_I_DQ[17] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[17] -set_location_assignment PIN_BB23 -to MB_I_DQ[18] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[18] -set_location_assignment PIN_AW22 -to MB_I_DQ[19] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[19] -set_location_assignment PIN_BA23 -to MB_I_DQ[20] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[20] -set_location_assignment PIN_BC23 -to MB_I_DQ[21] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[21] -set_location_assignment PIN_AY23 -to MB_I_DQ[22] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[22] -set_location_assignment PIN_AY24 -to MB_I_DQ[23] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[23] -set_location_assignment PIN_AP22 -to MB_I_DQ[24] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[24] -set_location_assignment PIN_AN23 -to MB_I_DQ[25] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[25] -set_location_assignment PIN_AR23 -to MB_I_DQ[26] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[26] -set_location_assignment PIN_AT23 -to MB_I_DQ[27] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[27] -set_location_assignment PIN_AU23 -to MB_I_DQ[28] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[28] -set_location_assignment PIN_AV23 -to MB_I_DQ[29] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[29] -set_location_assignment PIN_AR24 -to MB_I_DQ[30] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[30] -set_location_assignment PIN_AP24 -to MB_I_DQ[31] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[31] -set_location_assignment PIN_AV12 -to MB_I_DQ[32] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[32] -set_location_assignment PIN_AY13 -to MB_I_DQ[33] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[33] -set_location_assignment PIN_BD14 -to MB_I_DQ[34] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[34] -set_location_assignment PIN_AY12 -to MB_I_DQ[35] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[35] -set_location_assignment PIN_BA13 -to MB_I_DQ[36] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[36] -set_location_assignment PIN_BA12 -to MB_I_DQ[37] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[37] -set_location_assignment PIN_AW12 -to MB_I_DQ[38] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[38] -set_location_assignment PIN_BB13 -to MB_I_DQ[39] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[39] -set_location_assignment PIN_AV13 -to MB_I_DQ[40] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[40] -set_location_assignment PIN_AR13 -to MB_I_DQ[41] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[41] -set_location_assignment PIN_AR15 -to MB_I_DQ[42] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[42] -set_location_assignment PIN_AP15 -to MB_I_DQ[43] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[43] -set_location_assignment PIN_AT15 -to MB_I_DQ[44] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[44] -set_location_assignment PIN_AU14 -to MB_I_DQ[45] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[45] -set_location_assignment PIN_AU15 -to MB_I_DQ[46] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[46] -set_location_assignment PIN_AV14 -to MB_I_DQ[47] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[47] -set_location_assignment PIN_AM13 -to MB_I_DQ[48] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[48] -set_location_assignment PIN_AT13 -to MB_I_DQ[49] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[49] -set_location_assignment PIN_AT12 -to MB_I_DQ[50] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[50] -set_location_assignment PIN_AP14 -to MB_I_DQ[51] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[51] -set_location_assignment PIN_AN13 -to MB_I_DQ[52] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[52] -set_location_assignment PIN_AK13 -to MB_I_DQ[53] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[53] -set_location_assignment PIN_AM12 -to MB_I_DQ[54] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[54] -set_location_assignment PIN_AL13 -to MB_I_DQ[55] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[55] -set_location_assignment PIN_AH13 -to MB_I_DQ[56] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[56] -set_location_assignment PIN_AL15 -to MB_I_DQ[57] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[57] -set_location_assignment PIN_AM15 -to MB_I_DQ[58] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[58] -set_location_assignment PIN_AJ14 -to MB_I_DQ[59] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[59] -set_location_assignment PIN_AJ12 -to MB_I_DQ[60] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[60] -set_location_assignment PIN_AL16 -to MB_I_DQ[61] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[61] -set_location_assignment PIN_AK12 -to MB_I_DQ[62] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[62] -set_location_assignment PIN_AH14 -to MB_I_DQ[63] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[63] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[1] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[2] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[3] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[4] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[5] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[6] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[7] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[8] -set_instance_assignment -name IO_STANDARD "1.8 V" -to MB_SCL -set_instance_assignment -name IO_STANDARD "1.8 V" -to MB_SDA -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[8] -set_location_assignment PIN_A17 -to MB_II_DQ[0] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[0] -set_location_assignment PIN_B16 -to MB_II_DQ[1] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[1] -set_location_assignment PIN_D16 -to MB_II_DQ[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[2] -set_location_assignment PIN_A18 -to MB_II_DQ[3] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[3] -set_location_assignment PIN_B18 -to MB_II_DQ[4] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[4] -set_location_assignment PIN_C17 -to MB_II_DQ[5] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[5] -set_location_assignment PIN_E18 -to MB_II_DQ[6] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[6] -set_location_assignment PIN_F18 -to MB_II_DQ[7] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[7] -set_location_assignment PIN_R22 -to MB_II_DQ[8] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[8] -set_location_assignment PIN_J20 -to MB_II_DQ[9] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[9] -set_location_assignment PIN_L21 -to MB_II_DQ[10] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[10] -set_location_assignment PIN_M20 -to MB_II_DQ[11] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[11] -set_location_assignment PIN_J21 -to MB_II_DQ[12] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[12] -set_location_assignment PIN_P21 -to MB_II_DQ[13] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[13] -set_location_assignment PIN_R20 -to MB_II_DQ[14] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[14] -set_location_assignment PIN_N21 -to MB_II_DQ[15] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[15] -set_location_assignment PIN_L22 -to MB_II_DQ[16] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[16] -set_location_assignment PIN_G20 -to MB_II_DQ[17] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[17] -set_location_assignment PIN_H21 -to MB_II_DQ[18] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[18] -set_location_assignment PIN_N22 -to MB_II_DQ[19] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[19] -set_location_assignment PIN_P22 -to MB_II_DQ[20] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[20] -set_location_assignment PIN_F20 -to MB_II_DQ[21] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[21] -set_location_assignment PIN_G21 -to MB_II_DQ[22] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[22] -set_location_assignment PIN_F21 -to MB_II_DQ[23] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[23] -set_location_assignment PIN_E19 -to MB_II_DQ[24] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[24] -set_location_assignment PIN_B20 -to MB_II_DQ[25] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[25] -set_location_assignment PIN_A20 -to MB_II_DQ[26] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[26] -set_location_assignment PIN_G19 -to MB_II_DQ[27] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[27] -set_location_assignment PIN_D20 -to MB_II_DQ[28] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[28] -set_location_assignment PIN_E20 -to MB_II_DQ[29] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[29] -set_location_assignment PIN_D17 -to MB_II_DQ[30] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[30] -set_location_assignment PIN_C18 -to MB_II_DQ[31] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[31] -set_location_assignment PIN_F30 -to MB_II_DQ[32] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[32] -set_location_assignment PIN_L30 -to MB_II_DQ[33] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[33] -set_location_assignment PIN_M30 -to MB_II_DQ[34] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[34] -set_location_assignment PIN_C31 -to MB_II_DQ[35] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[35] -set_location_assignment PIN_D31 -to MB_II_DQ[36] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[36] -set_location_assignment PIN_H31 -to MB_II_DQ[37] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[37] -set_location_assignment PIN_J31 -to MB_II_DQ[38] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[38] -set_location_assignment PIN_F31 -to MB_II_DQ[39] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[39] -set_location_assignment PIN_P32 -to MB_II_DQ[40] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[40] -set_location_assignment PIN_R30 -to MB_II_DQ[41] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[41] -set_location_assignment PIN_U31 -to MB_II_DQ[42] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[42] -set_location_assignment PIN_W31 -to MB_II_DQ[43] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[43] -set_location_assignment PIN_P29 -to MB_II_DQ[44] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[44] -set_location_assignment PIN_P30 -to MB_II_DQ[45] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[45] -set_location_assignment PIN_V31 -to MB_II_DQ[46] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[46] -set_location_assignment PIN_R29 -to MB_II_DQ[47] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[47] -set_location_assignment PIN_M33 -to MB_II_DQ[48] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[48] -set_location_assignment PIN_J33 -to MB_II_DQ[49] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[49] -set_location_assignment PIN_H33 -to MB_II_DQ[50] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[50] -set_location_assignment PIN_H32 -to MB_II_DQ[51] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[51] -set_location_assignment PIN_J32 -to MB_II_DQ[52] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[52] -set_location_assignment PIN_K33 -to MB_II_DQ[53] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[53] -set_location_assignment PIN_K32 -to MB_II_DQ[54] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[54] -set_location_assignment PIN_L32 -to MB_II_DQ[55] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[55] -set_location_assignment PIN_AB33 -to MB_II_DQ[56] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[56] -set_location_assignment PIN_AA32 -to MB_II_DQ[57] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[57] -set_location_assignment PIN_W32 -to MB_II_DQ[58] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[58] -set_location_assignment PIN_U33 -to MB_II_DQ[59] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[59] -set_location_assignment PIN_Y33 -to MB_II_DQ[60] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[60] -set_location_assignment PIN_AA33 -to MB_II_DQ[61] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[61] -set_location_assignment PIN_V33 -to MB_II_DQ[62] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[62] -set_location_assignment PIN_Y32 -to MB_II_DQ[63] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[63] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[0] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[1] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[2] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[3] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[4] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[5] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[6] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[7] -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[8] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_RST -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[2] -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_ALERT_N[0] -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_I_RZQ -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_ALERT_N[0] -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_II_RZQ -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_I_REF_CLK -set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_II_REF_CLK -set_location_assignment PIN_B6 -to "BCK_TX[0](n)" -set_location_assignment PIN_A4 -to "BCK_TX[1](n)" -set_location_assignment PIN_A12 -to "BCK_TX[2](n)" -set_location_assignment PIN_B2 -to "BCK_TX[3](n)" -set_location_assignment PIN_C4 -to "BCK_TX[4](n)" -set_location_assignment PIN_A8 -to "BCK_TX[5](n)" -set_location_assignment PIN_D2 -to "BCK_TX[6](n)" -set_location_assignment PIN_E4 -to "BCK_TX[7](n)" -set_location_assignment PIN_F2 -to "BCK_TX[8](n)" -set_location_assignment PIN_G4 -to "BCK_TX[9](n)" -set_location_assignment PIN_J4 -to "BCK_TX[10](n)" -set_location_assignment PIN_H2 -to "BCK_TX[11](n)" -set_location_assignment PIN_L4 -to "BCK_TX[12](n)" -set_location_assignment PIN_K2 -to "BCK_TX[13](n)" -set_location_assignment PIN_N4 -to "BCK_TX[14](n)" -set_location_assignment PIN_M2 -to "BCK_TX[15](n)" -set_location_assignment PIN_R4 -to "BCK_TX[16](n)" -set_location_assignment PIN_P2 -to "BCK_TX[17](n)" -set_location_assignment PIN_U4 -to "BCK_TX[18](n)" -set_location_assignment PIN_T2 -to "BCK_TX[19](n)" -set_location_assignment PIN_W4 -to "BCK_TX[20](n)" -set_location_assignment PIN_V2 -to "BCK_TX[21](n)" -set_location_assignment PIN_AA4 -to "BCK_TX[22](n)" -set_location_assignment PIN_Y2 -to "BCK_TX[23](n)" -set_location_assignment PIN_AC4 -to "BCK_TX[24](n)" -set_location_assignment PIN_AB2 -to "BCK_TX[25](n)" -set_location_assignment PIN_AE4 -to "BCK_TX[26](n)" -set_location_assignment PIN_AD2 -to "BCK_TX[27](n)" -set_location_assignment PIN_AG4 -to "BCK_TX[28](n)" -set_location_assignment PIN_AF2 -to "BCK_TX[29](n)" -set_location_assignment PIN_AJ4 -to "BCK_TX[30](n)" -set_location_assignment PIN_AH2 -to "BCK_TX[31](n)" -set_location_assignment PIN_AL4 -to "BCK_TX[32](n)" -set_location_assignment PIN_AK2 -to "BCK_TX[33](n)" -set_location_assignment PIN_AN4 -to "BCK_TX[34](n)" -set_location_assignment PIN_AM2 -to "BCK_TX[35](n)" -set_location_assignment PIN_AR4 -to "BCK_TX[36](n)" -set_location_assignment PIN_AP2 -to "BCK_TX[37](n)" -set_location_assignment PIN_AU4 -to "BCK_TX[38](n)" -set_location_assignment PIN_AT2 -to "BCK_TX[39](n)" -set_location_assignment PIN_AW4 -to "BCK_TX[40](n)" -set_location_assignment PIN_AV2 -to "BCK_TX[41](n)" -set_location_assignment PIN_BB2 -to "BCK_TX[42](n)" -set_location_assignment PIN_AY2 -to "BCK_TX[43](n)" -set_location_assignment PIN_BD6 -to "BCK_TX[44](n)" -set_location_assignment PIN_BA4 -to "BCK_TX[45](n)" -set_location_assignment PIN_BC4 -to "BCK_TX[46](n)" -set_location_assignment PIN_BD10 -to "BCK_TX[47](n)" -set_location_assignment PIN_AY43 -to "RING_0_TX[6](n)" -set_location_assignment PIN_BB43 -to "RING_0_TX[7](n)" -set_location_assignment PIN_BA41 -to "RING_0_TX[8](n)" -set_location_assignment PIN_BD39 -to "RING_0_TX[9](n)" -set_location_assignment PIN_BC41 -to "RING_0_TX[10](n)" -set_location_assignment PIN_BD35 -to "RING_0_TX[11](n)" -set_location_assignment PIN_AP43 -to "RING_0_TX[0](n)" -set_location_assignment PIN_AR41 -to "RING_0_TX[1](n)" -set_location_assignment PIN_AT43 -to "RING_0_TX[2](n)" -set_location_assignment PIN_AU41 -to "RING_0_TX[3](n)" -set_location_assignment PIN_AV43 -to "RING_0_TX[4](n)" -set_location_assignment PIN_AW41 -to "RING_0_TX[5](n)" -set_location_assignment PIN_A41 -to "RING_1_TX[9](n)" -set_location_assignment PIN_A37 -to "RING_1_TX[10](n)" -set_location_assignment PIN_A33 -to "RING_1_TX[11](n)" -set_location_assignment PIN_J41 -to "RING_1_TX[1](n)" -set_location_assignment PIN_G41 -to "RING_1_TX[2](n)" -set_location_assignment PIN_F43 -to "RING_1_TX[3](n)" -set_location_assignment PIN_E41 -to "RING_1_TX[4](n)" -set_location_assignment PIN_D43 -to "RING_1_TX[5](n)" -set_location_assignment PIN_B43 -to "RING_1_TX[6](n)" -set_location_assignment PIN_C41 -to "RING_1_TX[7](n)" -set_location_assignment PIN_B39 -to "RING_1_TX[8](n)" -set_location_assignment PIN_H43 -to "RING_1_TX[0](n)" -set_location_assignment PIN_AY39 -to "RING_0_RX[6](n)" -set_location_assignment PIN_BA37 -to "RING_0_RX[7](n)" -set_location_assignment PIN_BB39 -to "RING_0_RX[8](n)" -set_location_assignment PIN_BC37 -to "RING_0_RX[9](n)" -set_location_assignment PIN_AY35 -to "RING_0_RX[10](n)" -set_location_assignment PIN_BB35 -to "RING_0_RX[11](n)" -set_location_assignment PIN_AP39 -to "RING_0_RX[0](n)" -set_location_assignment PIN_AR37 -to "RING_0_RX[1](n)" -set_location_assignment PIN_AT39 -to "RING_0_RX[2](n)" -set_location_assignment PIN_AU37 -to "RING_0_RX[3](n)" -set_location_assignment PIN_AV39 -to "RING_0_RX[4](n)" -set_location_assignment PIN_AW37 -to "RING_0_RX[5](n)" -set_location_assignment PIN_D35 -to "RING_1_RX[9](n)" -set_location_assignment PIN_E33 -to "RING_1_RX[10](n)" -set_location_assignment PIN_C33 -to "RING_1_RX[11](n)" -set_location_assignment PIN_J37 -to "RING_1_RX[1](n)" -set_location_assignment PIN_F39 -to "RING_1_RX[2](n)" -set_location_assignment PIN_G37 -to "RING_1_RX[3](n)" -set_location_assignment PIN_D39 -to "RING_1_RX[4](n)" -set_location_assignment PIN_E37 -to "RING_1_RX[5](n)" -set_location_assignment PIN_F35 -to "RING_1_RX[6](n)" -set_location_assignment PIN_C37 -to "RING_1_RX[7](n)" -set_location_assignment PIN_B35 -to "RING_1_RX[8](n)" -set_location_assignment PIN_H39 -to "RING_1_RX[0](n)" -set_location_assignment PIN_M16 -to MB_EVENT -set_location_assignment PIN_AV18 -to "MB_I_CK[0](n)" -set_location_assignment PIN_AU16 -to "MB_I_CK[1](n)" -set_location_assignment PIN_AY28 -to "MB_I_DQS[0](n)" -set_location_assignment PIN_AN28 -to "MB_I_DQS[1](n)" -set_location_assignment PIN_AU24 -to "MB_I_DQS[2](n)" -set_location_assignment PIN_AM24 -to "MB_I_DQS[3](n)" -set_location_assignment PIN_BB14 -to "MB_I_DQS[4](n)" -set_location_assignment PIN_AY14 -to "MB_I_DQS[5](n)" -set_location_assignment PIN_AP12 -to "MB_I_DQS[6](n)" -set_location_assignment PIN_AK14 -to "MB_I_DQS[7](n)" -set_location_assignment PIN_BD22 -to "MB_I_DQS[8](n)" -set_location_assignment PIN_M28 -to "MB_II_CK[0](n)" -set_location_assignment PIN_J26 -to "MB_II_CK[1](n)" -set_location_assignment PIN_E17 -to "MB_II_DQS[0](n)" -set_location_assignment PIN_K20 -to "MB_II_DQS[1](n)" -set_location_assignment PIN_H22 -to "MB_II_DQS[2](n)" -set_location_assignment PIN_C19 -to "MB_II_DQS[3](n)" -set_location_assignment PIN_M31 -to "MB_II_DQS[4](n)" -set_location_assignment PIN_N31 -to "MB_II_DQS[5](n)" -set_location_assignment PIN_P33 -to "MB_II_DQS[6](n)" -set_location_assignment PIN_T32 -to "MB_II_DQS[7](n)" -set_location_assignment PIN_B25 -to "MB_II_DQS[8](n)" -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN -set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT -set_instance_assignment -name IO_STANDARD "1.8 V" -to ID -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_A -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_ALERT_N -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_BA -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_BG -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_CK -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_I_CK[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_I_CK[1](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CKE -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CS -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[1](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[2](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[3](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[4](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[5](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[6](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[7](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_I_DQS[8](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_ODT -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_A -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_ALERT_N -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_BA -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_BG -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_CK -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_II_CK[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to "MB_II_CK[1](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CKE -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CS -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[0](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[1](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[2](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[3](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[4](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[5](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[6](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[7](n)" -set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to "MB_II_DQS[8](n)" -set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_ODT -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX -set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX -set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO -set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_PARITY -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_I_RESET_N -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_ACT_N -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_PARITY -set_instance_assignment -name IO_STANDARD SSTL-12 -to MB_II_RESET_N -set_location_assignment PIN_BA33 -to QSFP_LED[0] -set_location_assignment PIN_BA30 -to QSFP_LED[1] -set_location_assignment PIN_BB33 -to QSFP_LED[2] -set_location_assignment PIN_AU33 -to QSFP_LED[3] -set_location_assignment PIN_AV32 -to QSFP_LED[4] -set_location_assignment PIN_AW30 -to QSFP_LED[5] -set_location_assignment PIN_AP31 -to QSFP_LED[6] -set_location_assignment PIN_AP30 -to QSFP_LED[7] -set_location_assignment PIN_AT33 -to QSFP_LED[8] -set_location_assignment PIN_AG32 -to QSFP_LED[9] -set_location_assignment PIN_AF32 -to QSFP_LED[10] -set_location_assignment PIN_AE32 -to QSFP_LED[11] + +# qsfp pins modified 23 sept from Gijs + +set_location_assignment PIN_AN38 -to QSFP_0_RX[0] +set_location_assignment PIN_AN37 -to "QSFP_0_RX[0](n)" +set_location_assignment PIN_AM40 -to QSFP_0_RX[1] +set_location_assignment PIN_AM39 -to "QSFP_0_RX[1](n)" +set_location_assignment PIN_AK40 -to QSFP_0_RX[2] +set_location_assignment PIN_AK39 -to "QSFP_0_RX[2](n)" +set_location_assignment PIN_AJ38 -to QSFP_0_RX[3] +set_location_assignment PIN_AJ37 -to "QSFP_0_RX[3](n)" +set_location_assignment PIN_AN42 -to QSFP_0_TX[0] +set_location_assignment PIN_AN41 -to "QSFP_0_TX[0](n)" +set_location_assignment PIN_AM44 -to QSFP_0_TX[1] +set_location_assignment PIN_AM43 -to "QSFP_0_TX[1](n)" +set_location_assignment PIN_AK44 -to QSFP_0_TX[2] +set_location_assignment PIN_AK43 -to "QSFP_0_TX[2](n)" +set_location_assignment PIN_AJ42 -to QSFP_0_TX[3] +set_location_assignment PIN_AJ41 -to "QSFP_0_TX[3](n)" +set_location_assignment PIN_AC38 -to QSFP_1_RX[0] +set_location_assignment PIN_AC37 -to "QSFP_1_RX[0](n)" +set_location_assignment PIN_AD40 -to QSFP_1_RX[1] +set_location_assignment PIN_AD39 -to "QSFP_1_RX[1](n)" +set_location_assignment PIN_AF40 -to QSFP_1_RX[2] +set_location_assignment PIN_AF39 -to "QSFP_1_RX[2](n)" +set_location_assignment PIN_AG38 -to QSFP_1_RX[3] +set_location_assignment PIN_AG37 -to "QSFP_1_RX[3](n)" +set_location_assignment PIN_AC42 -to QSFP_1_TX[0] +set_location_assignment PIN_AC41 -to "QSFP_1_TX[0](n)" +set_location_assignment PIN_AD44 -to QSFP_1_TX[1] +set_location_assignment PIN_AD43 -to "QSFP_1_TX[1](n)" +set_location_assignment PIN_AF44 -to QSFP_1_TX[2] +set_location_assignment PIN_AF43 -to "QSFP_1_TX[2](n)" +set_location_assignment PIN_AG42 -to QSFP_1_TX[3] +set_location_assignment PIN_AG41 -to "QSFP_1_TX[3](n)" +set_location_assignment PIN_AL38 -to QSFP_2_RX[0] +set_location_assignment PIN_AL37 -to "QSFP_2_RX[0](n)" +set_location_assignment PIN_AH40 -to QSFP_2_RX[1] +set_location_assignment PIN_AH39 -to "QSFP_2_RX[1](n)" +set_location_assignment PIN_AE38 -to QSFP_2_RX[2] +set_location_assignment PIN_AE37 -to "QSFP_2_RX[2](n)" +set_location_assignment PIN_AB40 -to QSFP_2_RX[3] +set_location_assignment PIN_AB39 -to "QSFP_2_RX[3](n)" +set_location_assignment PIN_AL42 -to QSFP_2_TX[0] +set_location_assignment PIN_AL41 -to "QSFP_2_TX[0](n)" +set_location_assignment PIN_AH44 -to QSFP_2_TX[1] +set_location_assignment PIN_AH43 -to "QSFP_2_TX[1](n)" +set_location_assignment PIN_AE42 -to QSFP_2_TX[2] +set_location_assignment PIN_AE41 -to "QSFP_2_TX[2](n)" +set_location_assignment PIN_AB44 -to QSFP_2_TX[3] +set_location_assignment PIN_AB43 -to "QSFP_2_TX[3](n)" +set_location_assignment PIN_W38 -to QSFP_3_RX[0] +set_location_assignment PIN_W37 -to "QSFP_3_RX[0](n)" +set_location_assignment PIN_T40 -to QSFP_3_RX[1] +set_location_assignment PIN_T39 -to "QSFP_3_RX[1](n)" +set_location_assignment PIN_N38 -to QSFP_3_RX[2] +set_location_assignment PIN_N37 -to "QSFP_3_RX[2](n)" +set_location_assignment PIN_K40 -to QSFP_3_RX[3] +set_location_assignment PIN_K39 -to "QSFP_3_RX[3](n)" +set_location_assignment PIN_W42 -to QSFP_3_TX[0] +set_location_assignment PIN_W41 -to "QSFP_3_TX[0](n)" +set_location_assignment PIN_T44 -to QSFP_3_TX[1] +set_location_assignment PIN_T43 -to "QSFP_3_TX[1](n)" +set_location_assignment PIN_N42 -to QSFP_3_TX[2] +set_location_assignment PIN_N41 -to "QSFP_3_TX[2](n)" +set_location_assignment PIN_K44 -to QSFP_3_TX[3] +set_location_assignment PIN_K43 -to "QSFP_3_TX[3](n)" +set_location_assignment PIN_AA38 -to QSFP_4_RX[0] +set_location_assignment PIN_AA37 -to "QSFP_4_RX[0](n)" +set_location_assignment PIN_Y40 -to QSFP_4_RX[1] +set_location_assignment PIN_Y39 -to "QSFP_4_RX[1](n)" +set_location_assignment PIN_V40 -to QSFP_4_RX[2] +set_location_assignment PIN_V39 -to "QSFP_4_RX[2](n)" +set_location_assignment PIN_U38 -to QSFP_4_RX[3] +set_location_assignment PIN_U37 -to "QSFP_4_RX[3](n)" +set_location_assignment PIN_AA42 -to QSFP_4_TX[0] +set_location_assignment PIN_AA41 -to "QSFP_4_TX[0](n)" +set_location_assignment PIN_Y44 -to QSFP_4_TX[1] +set_location_assignment PIN_Y43 -to "QSFP_4_TX[1](n)" +set_location_assignment PIN_V44 -to QSFP_4_TX[2] +set_location_assignment PIN_V43 -to "QSFP_4_TX[2](n)" +set_location_assignment PIN_U42 -to QSFP_4_TX[3] +set_location_assignment PIN_U41 -to "QSFP_4_TX[3](n)" +set_location_assignment PIN_L38 -to QSFP_5_RX[0] +set_location_assignment PIN_L37 -to "QSFP_5_RX[0](n)" +set_location_assignment PIN_M40 -to QSFP_5_RX[1] +set_location_assignment PIN_M39 -to "QSFP_5_RX[1](n)" +set_location_assignment PIN_P40 -to QSFP_5_RX[2] +set_location_assignment PIN_P39 -to "QSFP_5_RX[2](n)" +set_location_assignment PIN_R38 -to QSFP_5_RX[3] +set_location_assignment PIN_R37 -to "QSFP_5_RX[3](n)" +set_location_assignment PIN_L42 -to QSFP_5_TX[0] +set_location_assignment PIN_L41 -to "QSFP_5_TX[0](n)" +set_location_assignment PIN_M44 -to QSFP_5_TX[1] +set_location_assignment PIN_M43 -to "QSFP_5_TX[1](n)" +set_location_assignment PIN_P44 -to QSFP_5_TX[2] +set_location_assignment PIN_P43 -to "QSFP_5_TX[2](n)" +set_location_assignment PIN_R42 -to QSFP_5_TX[3] +set_location_assignment PIN_R41 -to "QSFP_5_TX[3](n)" + +set_location_assignment PIN_K15 -to CLK +set_location_assignment PIN_J15 -to "CLK(n)" +set_location_assignment PIN_N12 -to ETH_CLK +set_location_assignment PIN_K14 -to PPS +set_location_assignment PIN_J14 -to "PPS(n)" +set_location_assignment PIN_Y36 -to SA_CLK +set_location_assignment PIN_Y35 -to "SA_CLK(n)" +set_location_assignment PIN_AH9 -to SB_CLK +set_location_assignment PIN_AH10 -to "SB_CLK(n)" + +#set_location_assignment PIN_AT33 -to CFG_DATA[0] +#set_location_assignment PIN_AT32 -to CFG_DATA[1] +#set_location_assignment PIN_BB33 -to CFG_DATA[2] +#set_location_assignment PIN_BA33 -to CFG_DATA[3] + + + +# Memory pins read back from quartus chip planner +set_location_assignment PIN_AP20 -to MB_I_A[0] +set_location_assignment PIN_AR20 -to MB_I_A[1] +set_location_assignment PIN_AP19 -to MB_I_A[2] +set_location_assignment PIN_AR19 -to MB_I_A[3] +set_location_assignment PIN_AR18 -to MB_I_A[4] +set_location_assignment PIN_AT17 -to MB_I_A[5] +set_location_assignment PIN_AU19 -to MB_I_A[6] +set_location_assignment PIN_AT18 -to MB_I_A[7] +set_location_assignment PIN_AL17 -to MB_I_A[8] +set_location_assignment PIN_AM18 -to MB_I_A[9] +set_location_assignment PIN_AM19 -to MB_I_A[10] +set_location_assignment PIN_AN19 -to MB_I_A[11] +set_location_assignment PIN_BA17 -to MB_I_A[12] +set_location_assignment PIN_BD17 -to MB_I_A[13] +set_location_assignment PIN_AY18 -to MB_I_ACT_N[0] +set_location_assignment PIN_AV29 -to MB_I_ALERT_N[0] +set_location_assignment PIN_BB16 -to MB_I_BA[0] +set_location_assignment PIN_BD16 -to MB_I_BA[1] +set_location_assignment PIN_BC16 -to MB_I_BG[0] +set_location_assignment PIN_AW19 -to MB_I_BG[1] +set_location_assignment PIN_BA15 -to MB_I_CAS_A15 +set_location_assignment PIN_BC21 -to MB_I_CB[0] +set_location_assignment PIN_BA22 -to MB_I_CB[1] +set_location_assignment PIN_BD21 -to MB_I_CB[2] +set_location_assignment PIN_BB20 -to MB_I_CB[3] +set_location_assignment PIN_BA20 -to MB_I_CB[4] +set_location_assignment PIN_BD20 -to MB_I_CB[5] +set_location_assignment PIN_AY20 -to MB_I_CB[6] +set_location_assignment PIN_AY22 -to MB_I_CB[7] +set_location_assignment PIN_AU18 -to MB_I_CK[0] +set_location_assignment PIN_AV18 -to MB_I_CK_n[0] +set_location_assignment PIN_AT16 -to MB_I_CK[1] +set_location_assignment PIN_AU16 -to MB_I_CK_n[1] +set_location_assignment PIN_BB19 -to MB_I_CKE[0] +set_location_assignment PIN_AP16 -to MB_I_CKE[1] +set_location_assignment PIN_AY19 -to MB_I_CS[0] +set_location_assignment PIN_AN16 -to MB_I_CS[1] +set_location_assignment PIN_BC29 -to MB_I_DM[0] +set_location_assignment PIN_AR27 -to MB_I_DM[1] +set_location_assignment PIN_BD24 -to MB_I_DM[2] +set_location_assignment PIN_AM23 -to MB_I_DM[3] +set_location_assignment PIN_AU12 -to MB_I_DM[4] +set_location_assignment PIN_AU13 -to MB_I_DM[5] +set_location_assignment PIN_AM14 -to MB_I_DM[6] +set_location_assignment PIN_AM16 -to MB_I_DM[7] +set_location_assignment PIN_BA21 -to MB_I_DM[8] +set_location_assignment PIN_BA28 -to MB_I_DQS[0] +set_location_assignment PIN_AM28 -to MB_I_DQS[1] +set_location_assignment PIN_AV24 -to MB_I_DQS[2] +set_location_assignment PIN_AN24 -to MB_I_DQS[3] +set_location_assignment PIN_BC14 -to MB_I_DQS[4] +set_location_assignment PIN_AW14 -to MB_I_DQS[5] +set_location_assignment PIN_AN12 -to MB_I_DQS[6] +set_location_assignment PIN_AK15 -to MB_I_DQS[7] +set_location_assignment PIN_BC22 -to MB_I_DQS[8] + +set_location_assignment PIN_BD19 -to MB_I_ODT[0] +set_location_assignment PIN_AR17 -to MB_I_ODT[1] +set_location_assignment PIN_BC18 -to MB_I_PARITY[0] +set_location_assignment PIN_BB15 -to MB_I_RAS_A16 +set_location_assignment PIN_AW17 -to MB_I_REF_CLK +set_location_assignment PIN_AV19 -to MB_I_RESET_N[0] +set_location_assignment PIN_AY17 -to MB_I_RZQ +set_location_assignment PIN_BC17 -to MB_I_WE_A14 +set_location_assignment PIN_A29 -to MB_II_A[0] +set_location_assignment PIN_B29 -to MB_II_A[1] +set_location_assignment PIN_H29 -to MB_II_A[2] +set_location_assignment PIN_G29 -to MB_II_A[3] +set_location_assignment PIN_D29 -to MB_II_A[4] +set_location_assignment PIN_E29 -to MB_II_A[5] +set_location_assignment PIN_C29 -to MB_II_A[6] +set_location_assignment PIN_C28 -to MB_II_A[7] +set_location_assignment PIN_E30 -to MB_II_A[8] +set_location_assignment PIN_D30 -to MB_II_A[9] +set_location_assignment PIN_B28 -to MB_II_A[10] +set_location_assignment PIN_A28 -to MB_II_A[11] +set_location_assignment PIN_H27 -to MB_II_A[12] +set_location_assignment PIN_E28 -to MB_II_A[13] +set_location_assignment PIN_K28 -to MB_II_ACT_N[0] +set_location_assignment PIN_C16 -to MB_II_ALERT_N[0] +set_location_assignment PIN_C27 -to MB_II_BA[0] +set_location_assignment PIN_A27 -to MB_II_BA[1] +set_location_assignment PIN_B26 -to MB_II_BG[0] +set_location_assignment PIN_L27 -to MB_II_BG[1] +set_location_assignment PIN_F28 -to MB_II_CAS_A15 +set_location_assignment PIN_E24 -to MB_II_CB[0] +set_location_assignment PIN_J25 -to MB_II_CB[1] +set_location_assignment PIN_A25 -to MB_II_CB[2] +set_location_assignment PIN_G25 -to MB_II_CB[3] +set_location_assignment PIN_D25 -to MB_II_CB[4] +set_location_assignment PIN_K25 -to MB_II_CB[5] +set_location_assignment PIN_D24 -to MB_II_CB[6] +set_location_assignment PIN_F25 -to MB_II_CB[7] +set_location_assignment PIN_N27 -to MB_II_CK[0] +set_location_assignment PIN_M28 -to MB_II_CK_n[0] +set_location_assignment PIN_K27 -to MB_II_CK[1] +set_location_assignment PIN_J26 -to MB_II_CK_n[1] +set_location_assignment PIN_N28 -to MB_II_CKE[0] +set_location_assignment PIN_P26 -to MB_II_CKE[1] +set_location_assignment PIN_K29 -to MB_II_CS[0] +set_location_assignment PIN_H26 -to MB_II_CS[1] +set_location_assignment PIN_A16 -to MB_II_DM[0] +set_location_assignment PIN_M21 -to MB_II_DM[1] +set_location_assignment PIN_K22 -to MB_II_DM[2] +set_location_assignment PIN_D19 -to MB_II_DM[3] +set_location_assignment PIN_G30 -to MB_II_DM[4] +set_location_assignment PIN_R32 -to MB_II_DM[5] +set_location_assignment PIN_G32 -to MB_II_DM[6] +set_location_assignment PIN_AC32 -to MB_II_DM[7] +set_location_assignment PIN_E25 -to MB_II_DM[8] +set_location_assignment PIN_F17 -to MB_II_DQS[0] +set_location_assignment PIN_L20 -to MB_II_DQS[1] +set_location_assignment PIN_J22 -to MB_II_DQS[2] +set_location_assignment PIN_B19 -to MB_II_DQS[3] +set_location_assignment PIN_L31 -to MB_II_DQS[4] +set_location_assignment PIN_P31 -to MB_II_DQS[5] +set_location_assignment PIN_N33 -to MB_II_DQS[6] +set_location_assignment PIN_T33 -to MB_II_DQS[7] +set_location_assignment PIN_A26 -to MB_II_DQS[8] + +set_location_assignment PIN_K30 -to MB_II_ODT[0] +set_location_assignment PIN_R27 -to MB_II_ODT[1] +set_location_assignment PIN_R28 -to MB_II_PARITY[0] +set_location_assignment PIN_G28 -to MB_II_RAS_A16 +set_location_assignment PIN_J29 -to MB_II_REF_CLK +set_location_assignment PIN_L28 -to MB_II_RESET_N[0] +# RZQ changed after Altera review +#set_location_assignment PIN_P20 -to MB_II_RZQ +set_location_assignment PIN_J27 -to MB_II_RZQ +set_location_assignment PIN_F27 -to MB_II_WE_A14 + +# IO Standard Assignments from Gijs (excluding memory) +set_instance_assignment -name IO_STANDARD "1.8 V" -to ETH_CLK +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[0] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[0](n)" +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[1] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[1](n)" +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[0] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[0](n)" +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[1] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[1](n)" +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[4] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[5] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[6] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[7] +set_instance_assignment -name IO_STANDARD "1.8 V" -to INTA +set_instance_assignment -name IO_STANDARD "1.8 V" -to INTB +set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SC +set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SD +set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_ALERT +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_0_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_0_TX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_1_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_1_TX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_2_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_2_TX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_3_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_3_TX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_4_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_4_TX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to QSFP_5_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "QSFP_5_TX[3](n)" +set_instance_assignment -name IO_STANDARD LVDS -to SA_CLK +set_instance_assignment -name IO_STANDARD LVDS -to "SA_CLK(n)" +set_instance_assignment -name IO_STANDARD LVDS -to SB_CLK +set_instance_assignment -name IO_STANDARD LVDS -to "SB_CLK(n)" +set_instance_assignment -name IO_STANDARD LVDS -to BCK_REF_CLK +set_instance_assignment -name IO_STANDARD LVDS -to "BCK_REF_CLK(n)" +set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SC +set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SD +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[4] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[5] +set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to WDI + +# locations changed 30 sept +set_location_assignment PIN_P16 -to ID[0] +set_location_assignment PIN_P15 -to ID[1] +set_location_assignment PIN_K13 -to ID[2] +set_location_assignment PIN_L13 -to ID[3] +set_location_assignment PIN_N16 -to ID[4] +set_location_assignment PIN_N14 -to ID[5] +set_location_assignment PIN_U13 -to ID[6] + +set_location_assignment PIN_T13 -to ID[7] +set_location_assignment PIN_AU31 -to INTA +set_location_assignment PIN_AR30 -to INTB +set_location_assignment PIN_BA25 -to PMBUS_SC +set_location_assignment PIN_BD25 -to PMBUS_SD +set_location_assignment PIN_BD26 -to PMBUS_ALERT +set_location_assignment PIN_BC31 -to SENS_SC +set_location_assignment PIN_BB31 -to SENS_SD +set_location_assignment PIN_AN32 -to TESTIO[0] +set_location_assignment PIN_AP32 -to TESTIO[1] +set_location_assignment PIN_AT30 -to TESTIO[2] +set_location_assignment PIN_BD31 -to TESTIO[3] +set_location_assignment PIN_AU30 -to TESTIO[4] +set_location_assignment PIN_BD30 -to TESTIO[5] +set_location_assignment PIN_BA33 -to QSFP_LED[0] +set_location_assignment PIN_BA30 -to QSFP_LED[1] +set_location_assignment PIN_BB33 -to QSFP_LED[2] +set_location_assignment PIN_AU33 -to QSFP_LED[3] +set_location_assignment PIN_AV32 -to QSFP_LED[4] +set_location_assignment PIN_AW30 -to QSFP_LED[5] +set_location_assignment PIN_AP31 -to QSFP_LED[6] +set_location_assignment PIN_AP30 -to QSFP_LED[7] +set_location_assignment PIN_AT33 -to QSFP_LED[8] +set_location_assignment PIN_AG32 -to QSFP_LED[9] +set_location_assignment PIN_AF32 -to QSFP_LED[10] +set_location_assignment PIN_AE32 -to QSFP_LED[11] + +set_location_assignment PIN_AB12 -to VERSION[0] +set_location_assignment PIN_AB13 -to VERSION[1] +set_location_assignment PIN_BB30 -to WDI + +# locations changed 30 sept +set_location_assignment PIN_Y12 -to MB_SCL +set_location_assignment PIN_AA12 -to MB_SDA +set_location_assignment PIN_R14 -to BCK_SCL[0] +set_location_assignment PIN_Y13 -to BCK_SCL[1] +set_location_assignment PIN_U14 -to BCK_SCL[2] +set_location_assignment PIN_P14 -to BCK_SDA[0] +set_location_assignment PIN_T12 -to BCK_SDA[1] +set_location_assignment PIN_V12 -to BCK_SDA[2] + +set_location_assignment PIN_AT31 -to QSFP_RST + +# locations changed 30 sept +set_location_assignment PIN_AY33 -to QSFP_SCL[0] +set_location_assignment PIN_AY32 -to QSFP_SCL[1] +set_location_assignment PIN_AY30 -to QSFP_SCL[2] +set_location_assignment PIN_AN33 -to QSFP_SCL[3] +set_location_assignment PIN_AN31 -to QSFP_SCL[4] +set_location_assignment PIN_AJ33 -to QSFP_SCL[5] +set_location_assignment PIN_BA32 -to QSFP_SDA[0] +set_location_assignment PIN_BA31 -to QSFP_SDA[1] +set_location_assignment PIN_AP33 -to QSFP_SDA[2] +set_location_assignment PIN_AM33 -to QSFP_SDA[3] +set_location_assignment PIN_AK33 -to QSFP_SDA[4] +set_location_assignment PIN_AH32 -to QSFP_SDA[5] +set_location_assignment PIN_M13 -to BCK_ERR[0] +set_location_assignment PIN_R13 -to BCK_ERR[1] +set_location_assignment PIN_U12 -to BCK_ERR[2] +set_location_assignment PIN_K12 -to ETH_SGIN[0] +set_location_assignment PIN_J12 -to "ETH_SGIN[0](n)" +set_location_assignment PIN_AF33 -to ETH_SGIN[1] +set_location_assignment PIN_AE33 -to "ETH_SGIN[1](n)" +set_location_assignment PIN_H13 -to ETH_SGOUT[0] +set_location_assignment PIN_H12 -to "ETH_SGOUT[0](n)" +set_location_assignment PIN_AW31 -to ETH_SGOUT[1] +set_location_assignment PIN_AV31 -to "ETH_SGOUT[1](n)" + +set_instance_assignment -name IO_STANDARD LVDS -to PPS +set_instance_assignment -name IO_STANDARD LVDS -to "PPS(n)" +set_instance_assignment -name IO_STANDARD LVDS -to CLK +set_instance_assignment -name IO_STANDARD LVDS -to "CLK(n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[3](n)" + +# Enable internal termination for LVDS inputs +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to PPS +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to CLK +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to ETH_SGIN[0] +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to ETH_SGIN[1] + +# new bck transceiver signals 23 sept from Gijs +# + +set_location_assignment PIN_B9 -to BCK_RX[0] +set_location_assignment PIN_B10 -to "BCK_RX[0](n)" +set_location_assignment PIN_D9 -to BCK_RX[1] +set_location_assignment PIN_D10 -to "BCK_RX[1](n)" +set_location_assignment PIN_C11 -to BCK_RX[2] +set_location_assignment PIN_C12 -to "BCK_RX[2](n)" +set_location_assignment PIN_F9 -to BCK_RX[3] +set_location_assignment PIN_F10 -to "BCK_RX[3](n)" +set_location_assignment PIN_C7 -to BCK_RX[4] +set_location_assignment PIN_C8 -to "BCK_RX[4](n)" +set_location_assignment PIN_E11 -to BCK_RX[5] +set_location_assignment PIN_E12 -to "BCK_RX[5](n)" +set_location_assignment PIN_E7 -to BCK_RX[6] +set_location_assignment PIN_E8 -to "BCK_RX[6](n)" +set_location_assignment PIN_D5 -to BCK_RX[7] +set_location_assignment PIN_D6 -to "BCK_RX[7](n)" +set_location_assignment PIN_G7 -to BCK_RX[8] +set_location_assignment PIN_G8 -to "BCK_RX[8](n)" +set_location_assignment PIN_F5 -to BCK_RX[9] +set_location_assignment PIN_F6 -to "BCK_RX[9](n)" +set_location_assignment PIN_J7 -to BCK_RX[10] +set_location_assignment PIN_J8 -to "BCK_RX[10](n)" +set_location_assignment PIN_H5 -to BCK_RX[11] +set_location_assignment PIN_H6 -to "BCK_RX[11](n)" +set_location_assignment PIN_L7 -to BCK_RX[12] +set_location_assignment PIN_L8 -to "BCK_RX[12](n)" +set_location_assignment PIN_K5 -to BCK_RX[13] +set_location_assignment PIN_K6 -to "BCK_RX[13](n)" +set_location_assignment PIN_N7 -to BCK_RX[14] +set_location_assignment PIN_N8 -to "BCK_RX[14](n)" +set_location_assignment PIN_M5 -to BCK_RX[15] +set_location_assignment PIN_M6 -to "BCK_RX[15](n)" +set_location_assignment PIN_R7 -to BCK_RX[16] +set_location_assignment PIN_R8 -to "BCK_RX[16](n)" +set_location_assignment PIN_P5 -to BCK_RX[17] +set_location_assignment PIN_P6 -to "BCK_RX[17](n)" +set_location_assignment PIN_U7 -to BCK_RX[18] +set_location_assignment PIN_U8 -to "BCK_RX[18](n)" +set_location_assignment PIN_T5 -to BCK_RX[19] +set_location_assignment PIN_T6 -to "BCK_RX[19](n)" +set_location_assignment PIN_W7 -to BCK_RX[20] +set_location_assignment PIN_W8 -to "BCK_RX[20](n)" +set_location_assignment PIN_V5 -to BCK_RX[21] +set_location_assignment PIN_V6 -to "BCK_RX[21](n)" +set_location_assignment PIN_AA7 -to BCK_RX[22] +set_location_assignment PIN_AA8 -to "BCK_RX[22](n)" +set_location_assignment PIN_Y5 -to BCK_RX[23] +set_location_assignment PIN_Y6 -to "BCK_RX[23](n)" +set_location_assignment PIN_AC7 -to BCK_RX[24] +set_location_assignment PIN_AC8 -to "BCK_RX[24](n)" +set_location_assignment PIN_AB5 -to BCK_RX[25] +set_location_assignment PIN_AB6 -to "BCK_RX[25](n)" +set_location_assignment PIN_AE7 -to BCK_RX[26] +set_location_assignment PIN_AE8 -to "BCK_RX[26](n)" +set_location_assignment PIN_AD5 -to BCK_RX[27] +set_location_assignment PIN_AD6 -to "BCK_RX[27](n)" +set_location_assignment PIN_AG7 -to BCK_RX[28] +set_location_assignment PIN_AG8 -to "BCK_RX[28](n)" +set_location_assignment PIN_AF5 -to BCK_RX[29] +set_location_assignment PIN_AF6 -to "BCK_RX[29](n)" +set_location_assignment PIN_AJ7 -to BCK_RX[30] +set_location_assignment PIN_AJ8 -to "BCK_RX[30](n)" +set_location_assignment PIN_AH5 -to BCK_RX[31] +set_location_assignment PIN_AH6 -to "BCK_RX[31](n)" +set_location_assignment PIN_AL7 -to BCK_RX[32] +set_location_assignment PIN_AL8 -to "BCK_RX[32](n)" +set_location_assignment PIN_AK5 -to BCK_RX[33] +set_location_assignment PIN_AK6 -to "BCK_RX[33](n)" +set_location_assignment PIN_AN7 -to BCK_RX[34] +set_location_assignment PIN_AN8 -to "BCK_RX[34](n)" +set_location_assignment PIN_AM5 -to BCK_RX[35] +set_location_assignment PIN_AM6 -to "BCK_RX[35](n)" +set_location_assignment PIN_AR7 -to BCK_RX[36] +set_location_assignment PIN_AR8 -to "BCK_RX[36](n)" +set_location_assignment PIN_AP5 -to BCK_RX[37] +set_location_assignment PIN_AP6 -to "BCK_RX[37](n)" +set_location_assignment PIN_AU7 -to BCK_RX[38] +set_location_assignment PIN_AU8 -to "BCK_RX[38](n)" +set_location_assignment PIN_AT5 -to BCK_RX[39] +set_location_assignment PIN_AT6 -to "BCK_RX[39](n)" +set_location_assignment PIN_AW7 -to BCK_RX[40] +set_location_assignment PIN_AW8 -to "BCK_RX[40](n)" +set_location_assignment PIN_AV5 -to BCK_RX[41] +set_location_assignment PIN_AV6 -to "BCK_RX[41](n)" +set_location_assignment PIN_BA7 -to BCK_RX[42] +set_location_assignment PIN_BA8 -to "BCK_RX[42](n)" +set_location_assignment PIN_AY5 -to BCK_RX[43] +set_location_assignment PIN_AY6 -to "BCK_RX[43](n)" +set_location_assignment PIN_BC7 -to BCK_RX[44] +set_location_assignment PIN_BC8 -to "BCK_RX[44](n)" +set_location_assignment PIN_BB5 -to BCK_RX[45] +set_location_assignment PIN_BB6 -to "BCK_RX[45](n)" +set_location_assignment PIN_AY9 -to BCK_RX[46] +set_location_assignment PIN_AY10 -to "BCK_RX[46](n)" +set_location_assignment PIN_BB9 -to BCK_RX[47] +set_location_assignment PIN_BB10 -to "BCK_RX[47](n)" + +set_location_assignment PIN_B5 -to BCK_TX[0] +set_location_assignment PIN_A3 -to BCK_TX[1] +set_location_assignment PIN_A11 -to BCK_TX[2] +set_location_assignment PIN_B1 -to BCK_TX[3] +set_location_assignment PIN_C3 -to BCK_TX[4] +set_location_assignment PIN_A7 -to BCK_TX[5] +set_location_assignment PIN_D1 -to BCK_TX[6] +set_location_assignment PIN_E3 -to BCK_TX[7] +set_location_assignment PIN_F1 -to BCK_TX[8] +set_location_assignment PIN_G3 -to BCK_TX[9] +set_location_assignment PIN_J3 -to BCK_TX[10] +set_location_assignment PIN_H1 -to BCK_TX[11] +set_location_assignment PIN_L3 -to BCK_TX[12] +set_location_assignment PIN_K1 -to BCK_TX[13] +set_location_assignment PIN_N3 -to BCK_TX[14] +set_location_assignment PIN_M1 -to BCK_TX[15] +set_location_assignment PIN_R3 -to BCK_TX[16] +set_location_assignment PIN_P1 -to BCK_TX[17] +set_location_assignment PIN_U3 -to BCK_TX[18] +set_location_assignment PIN_T1 -to BCK_TX[19] +set_location_assignment PIN_W3 -to BCK_TX[20] +set_location_assignment PIN_V1 -to BCK_TX[21] +set_location_assignment PIN_AA3 -to BCK_TX[22] +set_location_assignment PIN_Y1 -to BCK_TX[23] +set_location_assignment PIN_AC3 -to BCK_TX[24] +set_location_assignment PIN_AB1 -to BCK_TX[25] +set_location_assignment PIN_AE3 -to BCK_TX[26] +set_location_assignment PIN_AD1 -to BCK_TX[27] +set_location_assignment PIN_AG3 -to BCK_TX[28] +set_location_assignment PIN_AF1 -to BCK_TX[29] +set_location_assignment PIN_AJ3 -to BCK_TX[30] +set_location_assignment PIN_AH1 -to BCK_TX[31] +set_location_assignment PIN_AL3 -to BCK_TX[32] +set_location_assignment PIN_AK1 -to BCK_TX[33] +set_location_assignment PIN_AN3 -to BCK_TX[34] +set_location_assignment PIN_AM1 -to BCK_TX[35] +set_location_assignment PIN_AR3 -to BCK_TX[36] +set_location_assignment PIN_AP1 -to BCK_TX[37] +set_location_assignment PIN_AU3 -to BCK_TX[38] +set_location_assignment PIN_AT1 -to BCK_TX[39] +set_location_assignment PIN_AW3 -to BCK_TX[40] +set_location_assignment PIN_AV1 -to BCK_TX[41] +set_location_assignment PIN_BB1 -to BCK_TX[42] +set_location_assignment PIN_AY1 -to BCK_TX[43] +set_location_assignment PIN_BD5 -to BCK_TX[44] +set_location_assignment PIN_BA3 -to BCK_TX[45] +set_location_assignment PIN_BC3 -to BCK_TX[46] +set_location_assignment PIN_BD9 -to BCK_TX[47] + +set_location_assignment PIN_AP40 -to RING_0_RX[0] +set_location_assignment PIN_AR38 -to RING_0_RX[1] +set_location_assignment PIN_AT40 -to RING_0_RX[2] +set_location_assignment PIN_AU38 -to RING_0_RX[3] +set_location_assignment PIN_AP44 -to RING_0_TX[0] +set_location_assignment PIN_AR42 -to RING_0_TX[1] +set_location_assignment PIN_AT44 -to RING_0_TX[2] +set_location_assignment PIN_AU42 -to RING_0_TX[3] +set_location_assignment PIN_H40 -to RING_1_RX[0] +set_location_assignment PIN_J38 -to RING_1_RX[1] +set_location_assignment PIN_F40 -to RING_1_RX[2] +set_location_assignment PIN_G38 -to RING_1_RX[3] +set_location_assignment PIN_H44 -to RING_1_TX[0] +set_location_assignment PIN_J42 -to RING_1_TX[1] +set_location_assignment PIN_G42 -to RING_1_TX[2] +set_location_assignment PIN_F44 -to RING_1_TX[3] + +set_location_assignment PIN_AV40 -to RING_0_RX[4] +set_location_assignment PIN_AW38 -to RING_0_RX[5] +set_location_assignment PIN_AY40 -to RING_0_RX[6] +set_location_assignment PIN_BA38 -to RING_0_RX[7] +set_location_assignment PIN_BB40 -to RING_0_RX[8] +set_location_assignment PIN_BC38 -to RING_0_RX[9] +set_location_assignment PIN_AY36 -to RING_0_RX[10] +set_location_assignment PIN_BB36 -to RING_0_RX[11] +set_location_assignment PIN_AV44 -to RING_0_TX[4] +set_location_assignment PIN_AW42 -to RING_0_TX[5] +set_location_assignment PIN_AY44 -to RING_0_TX[6] +set_location_assignment PIN_BB44 -to RING_0_TX[7] +set_location_assignment PIN_BA42 -to RING_0_TX[8] +set_location_assignment PIN_BD40 -to RING_0_TX[9] +set_location_assignment PIN_BC42 -to RING_0_TX[10] +set_location_assignment PIN_BD36 -to RING_0_TX[11] +set_location_assignment PIN_D40 -to RING_1_RX[4] +set_location_assignment PIN_E38 -to RING_1_RX[5] +set_location_assignment PIN_F36 -to RING_1_RX[6] +set_location_assignment PIN_C38 -to RING_1_RX[7] +set_location_assignment PIN_B36 -to RING_1_RX[8] +set_location_assignment PIN_D36 -to RING_1_RX[9] +set_location_assignment PIN_E34 -to RING_1_RX[10] +set_location_assignment PIN_C34 -to RING_1_RX[11] +set_location_assignment PIN_E42 -to RING_1_TX[4] +set_location_assignment PIN_D44 -to RING_1_TX[5] +set_location_assignment PIN_B44 -to RING_1_TX[6] +set_location_assignment PIN_C42 -to RING_1_TX[7] +set_location_assignment PIN_B40 -to RING_1_TX[8] +set_location_assignment PIN_A42 -to RING_1_TX[9] +set_location_assignment PIN_A38 -to RING_1_TX[10] +set_location_assignment PIN_A34 -to RING_1_TX[11] + +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[4] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[4](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[5] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[5](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[6] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[6](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[7] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[7](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[8] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[8](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[9] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[9](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[10] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[10](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[11] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[11](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[12] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[12](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[13] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[13](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[14] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[14](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[15] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[15](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[16] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[16](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[17] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[17](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[18] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[18](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[19] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[19](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[20] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[20](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[21] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[21](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[22] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[22](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[23] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[23](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[24] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[24](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[25] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[25](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[26] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[26](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[27] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[27](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[28] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[28](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[29] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[29](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[30] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[30](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[31] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[31](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[32] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[32](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[33] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[33](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[34] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[34](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[35] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[35](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[36] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[36](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[37] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[37](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[38] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[38](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[39] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[39](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[40] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[40](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[41] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[41](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[42] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[42](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[43] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[43](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[44] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[44](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[45] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[45](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[46] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[46](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_RX[47] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_RX[47](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[0] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[0](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[1] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[1](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[2] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[2](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[3] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[3](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[4] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[4](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[5] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[5](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[6] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[6](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[7] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[7](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[8] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[8](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[9] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[9](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[10] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[10](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[11] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[11](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[12] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[12](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[13] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[13](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[14] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[14](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[15] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[15](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[16] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[16](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[17] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[17](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[18] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[18](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[19] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[19](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[20] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[20](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[21] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[21](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[22] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[22](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[23] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[23](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[24] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[24](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[25] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[25](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[26] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[26](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[27] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[27](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[28] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[28](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[29] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[29](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[30] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[30](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[31] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[31](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[32] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[32](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[33] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[33](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[34] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[34](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[35] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[35](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[36] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[36](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[37] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[37](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[38] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[38](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[39] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[39](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[40] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[40](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[41] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[41](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[42] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[42](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[43] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[43](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[44] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[44](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[45] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[45](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[46] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[46](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to BCK_TX[47] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "BCK_TX[47](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[4] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[4](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[5] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[5](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[6] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[6](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[7] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[7](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[8] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[8](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[9] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[9](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[10] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[10](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_RX[11] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_RX[11](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[4] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[4](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[5] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[5](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[6] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[6](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[7] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[7](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[8] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[8](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[9] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[9](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[10] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[10](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_0_TX[11] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_0_TX[11](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[4] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[4](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[5] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[5](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[6] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[6](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[7] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[7](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[8] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[8](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[9] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[9](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[10] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[10](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_RX[11] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_RX[11](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[4] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[4](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[5] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[5](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[6] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[6](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[7] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[7](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[8] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[8](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[9] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[9](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[10] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[10](n)" +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to RING_1_TX[11] +set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to "RING_1_TX[11](n)" +set_location_assignment PIN_V9 -to BCK_REF_CLK +set_location_assignment PIN_V10 -to "BCK_REF_CLK(n)" +set_location_assignment PIN_AL32 -to CLKUSR +set_location_assignment PIN_M16 -to MB_EVENT + + + +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[2] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[3] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[4] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[5] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[6] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[7] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[8] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[9] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[10] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[11] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[12] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_A[13] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_ACT_N[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_BA[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_BA[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_BG[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_BG[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_CAS_A15 +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK_n[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_I_CK_n[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_CKE[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_CKE[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_CS[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_CS[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_ODT[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_ODT[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_PARITY[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_RAS_A16 +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_I_WE_A14 +set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_I_RESET_N[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[2] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[3] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[4] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[5] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[6] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[7] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[8] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[9] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[10] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[11] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[12] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_A[13] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_ACT_N[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_BA[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_BA[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_BG[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_BG[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_CAS_A15 +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK_n[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V SSTL" -to MB_II_CK_n[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_CKE[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_CKE[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_CS[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_CS[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_ODT[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_ODT[1] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_PARITY[0] +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_RAS_A16 +set_instance_assignment -name IO_STANDARD "SSTL-12" -to MB_II_WE_A14 +set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_II_RESET_N[0] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[0] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[1] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[2] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[3] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[4] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[5] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[6] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_CB[7] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[0] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[1] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[2] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[3] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[4] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[5] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[6] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[7] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DM[8] +set_location_assignment PIN_AU29 -to MB_I_DQ[0] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[0] +set_location_assignment PIN_BC28 -to MB_I_DQ[1] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[1] +set_location_assignment PIN_AY29 -to MB_I_DQ[2] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[2] +set_location_assignment PIN_BB28 -to MB_I_DQ[3] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[3] +set_location_assignment PIN_BB29 -to MB_I_DQ[4] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[4] +set_location_assignment PIN_AW29 -to MB_I_DQ[5] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[5] +set_location_assignment PIN_BC27 -to MB_I_DQ[6] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[6] +set_location_assignment PIN_BD29 -to MB_I_DQ[7] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[7] +set_location_assignment PIN_AR28 -to MB_I_DQ[8] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[8] +set_location_assignment PIN_AR29 -to MB_I_DQ[9] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[9] +set_location_assignment PIN_AV27 -to MB_I_DQ[10] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[10] +set_location_assignment PIN_AU28 -to MB_I_DQ[11] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[11] +set_location_assignment PIN_AW27 -to MB_I_DQ[12] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[12] +set_location_assignment PIN_AT28 -to MB_I_DQ[13] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[13] +set_location_assignment PIN_AV28 -to MB_I_DQ[14] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[14] +set_location_assignment PIN_AP27 -to MB_I_DQ[15] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[15] +set_location_assignment PIN_BC24 -to MB_I_DQ[16] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[16] +set_location_assignment PIN_BB24 -to MB_I_DQ[17] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[17] +set_location_assignment PIN_BB23 -to MB_I_DQ[18] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[18] +set_location_assignment PIN_AW22 -to MB_I_DQ[19] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[19] +set_location_assignment PIN_BA23 -to MB_I_DQ[20] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[20] +set_location_assignment PIN_BC23 -to MB_I_DQ[21] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[21] +set_location_assignment PIN_AY23 -to MB_I_DQ[22] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[22] +set_location_assignment PIN_AY24 -to MB_I_DQ[23] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[23] +set_location_assignment PIN_AP22 -to MB_I_DQ[24] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[24] +set_location_assignment PIN_AN23 -to MB_I_DQ[25] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[25] +set_location_assignment PIN_AR23 -to MB_I_DQ[26] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[26] +set_location_assignment PIN_AT23 -to MB_I_DQ[27] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[27] +set_location_assignment PIN_AU23 -to MB_I_DQ[28] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[28] +set_location_assignment PIN_AV23 -to MB_I_DQ[29] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[29] +set_location_assignment PIN_AR24 -to MB_I_DQ[30] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[30] +set_location_assignment PIN_AP24 -to MB_I_DQ[31] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[31] +set_location_assignment PIN_AV12 -to MB_I_DQ[32] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[32] +set_location_assignment PIN_AY13 -to MB_I_DQ[33] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[33] +set_location_assignment PIN_BD14 -to MB_I_DQ[34] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[34] +set_location_assignment PIN_AY12 -to MB_I_DQ[35] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[35] +set_location_assignment PIN_BA13 -to MB_I_DQ[36] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[36] +set_location_assignment PIN_BA12 -to MB_I_DQ[37] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[37] +set_location_assignment PIN_AW12 -to MB_I_DQ[38] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[38] +set_location_assignment PIN_BB13 -to MB_I_DQ[39] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[39] +set_location_assignment PIN_AV13 -to MB_I_DQ[40] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[40] +set_location_assignment PIN_AR13 -to MB_I_DQ[41] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[41] +set_location_assignment PIN_AR15 -to MB_I_DQ[42] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[42] +set_location_assignment PIN_AP15 -to MB_I_DQ[43] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[43] +set_location_assignment PIN_AT15 -to MB_I_DQ[44] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[44] +set_location_assignment PIN_AU14 -to MB_I_DQ[45] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[45] +set_location_assignment PIN_AU15 -to MB_I_DQ[46] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[46] +set_location_assignment PIN_AV14 -to MB_I_DQ[47] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[47] +set_location_assignment PIN_AM13 -to MB_I_DQ[48] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[48] +set_location_assignment PIN_AT13 -to MB_I_DQ[49] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[49] +set_location_assignment PIN_AT12 -to MB_I_DQ[50] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[50] +set_location_assignment PIN_AP14 -to MB_I_DQ[51] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[51] +set_location_assignment PIN_AN13 -to MB_I_DQ[52] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[52] +set_location_assignment PIN_AK13 -to MB_I_DQ[53] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[53] +set_location_assignment PIN_AM12 -to MB_I_DQ[54] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[54] +set_location_assignment PIN_AL13 -to MB_I_DQ[55] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[55] +set_location_assignment PIN_AH13 -to MB_I_DQ[56] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[56] +set_location_assignment PIN_AL15 -to MB_I_DQ[57] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[57] +set_location_assignment PIN_AM15 -to MB_I_DQ[58] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[58] +set_location_assignment PIN_AJ14 -to MB_I_DQ[59] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[59] +set_location_assignment PIN_AJ12 -to MB_I_DQ[60] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[60] +set_location_assignment PIN_AL16 -to MB_I_DQ[61] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[61] +set_location_assignment PIN_AK12 -to MB_I_DQ[62] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[62] +set_location_assignment PIN_AH14 -to MB_I_DQ[63] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_DQ[63] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[2] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[3] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[4] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[5] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[6] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[7] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS[8] +set_location_assignment PIN_AY28 -to MB_I_DQS_n[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[0] +set_location_assignment PIN_AN28 -to MB_I_DQS_n[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[1] +set_location_assignment PIN_AU24 -to MB_I_DQS_n[2] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[2] +set_location_assignment PIN_AM24 -to MB_I_DQS_n[3] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[3] +set_location_assignment PIN_BB14 -to MB_I_DQS_n[4] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[4] +set_location_assignment PIN_AY14 -to MB_I_DQS_n[5] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[5] +set_location_assignment PIN_AP12 -to MB_I_DQS_n[6] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[6] +set_location_assignment PIN_AK14 -to MB_I_DQS_n[7] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[7] +set_location_assignment PIN_BD22 -to MB_I_DQS_n[8] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_I_DQS_n[8] +set_instance_assignment -name IO_STANDARD "1.8 V" -to MB_SCL +set_instance_assignment -name IO_STANDARD "1.8 V" -to MB_SDA +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[0] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[1] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[2] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[3] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[4] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[5] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[6] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_CB[7] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[0] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[1] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[2] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[3] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[4] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[5] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[6] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[7] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DM[8] +set_location_assignment PIN_A17 -to MB_II_DQ[0] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[0] +set_location_assignment PIN_B16 -to MB_II_DQ[1] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[1] +set_location_assignment PIN_D16 -to MB_II_DQ[2] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[2] +set_location_assignment PIN_A18 -to MB_II_DQ[3] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[3] +set_location_assignment PIN_B18 -to MB_II_DQ[4] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[4] +set_location_assignment PIN_C17 -to MB_II_DQ[5] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[5] +set_location_assignment PIN_E18 -to MB_II_DQ[6] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[6] +set_location_assignment PIN_F18 -to MB_II_DQ[7] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[7] +set_location_assignment PIN_R22 -to MB_II_DQ[8] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[8] +set_location_assignment PIN_J20 -to MB_II_DQ[9] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[9] +set_location_assignment PIN_L21 -to MB_II_DQ[10] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[10] +set_location_assignment PIN_M20 -to MB_II_DQ[11] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[11] +set_location_assignment PIN_J21 -to MB_II_DQ[12] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[12] +set_location_assignment PIN_P21 -to MB_II_DQ[13] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[13] +set_location_assignment PIN_R20 -to MB_II_DQ[14] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[14] +set_location_assignment PIN_N21 -to MB_II_DQ[15] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[15] +set_location_assignment PIN_L22 -to MB_II_DQ[16] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[16] +set_location_assignment PIN_G20 -to MB_II_DQ[17] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[17] +set_location_assignment PIN_H21 -to MB_II_DQ[18] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[18] +set_location_assignment PIN_N22 -to MB_II_DQ[19] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[19] +set_location_assignment PIN_P22 -to MB_II_DQ[20] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[20] +set_location_assignment PIN_F20 -to MB_II_DQ[21] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[21] +set_location_assignment PIN_G21 -to MB_II_DQ[22] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[22] +set_location_assignment PIN_F21 -to MB_II_DQ[23] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[23] +set_location_assignment PIN_E19 -to MB_II_DQ[24] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[24] +set_location_assignment PIN_B20 -to MB_II_DQ[25] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[25] +set_location_assignment PIN_A20 -to MB_II_DQ[26] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[26] +set_location_assignment PIN_G19 -to MB_II_DQ[27] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[27] +set_location_assignment PIN_D20 -to MB_II_DQ[28] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[28] +set_location_assignment PIN_E20 -to MB_II_DQ[29] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[29] +set_location_assignment PIN_D17 -to MB_II_DQ[30] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[30] +set_location_assignment PIN_C18 -to MB_II_DQ[31] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[31] +set_location_assignment PIN_F30 -to MB_II_DQ[32] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[32] +set_location_assignment PIN_L30 -to MB_II_DQ[33] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[33] +set_location_assignment PIN_M30 -to MB_II_DQ[34] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[34] +set_location_assignment PIN_C31 -to MB_II_DQ[35] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[35] +set_location_assignment PIN_D31 -to MB_II_DQ[36] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[36] +set_location_assignment PIN_H31 -to MB_II_DQ[37] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[37] +set_location_assignment PIN_J31 -to MB_II_DQ[38] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[38] +set_location_assignment PIN_F31 -to MB_II_DQ[39] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[39] +set_location_assignment PIN_P32 -to MB_II_DQ[40] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[40] +set_location_assignment PIN_R30 -to MB_II_DQ[41] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[41] +set_location_assignment PIN_U31 -to MB_II_DQ[42] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[42] +set_location_assignment PIN_W31 -to MB_II_DQ[43] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[43] +set_location_assignment PIN_P29 -to MB_II_DQ[44] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[44] +set_location_assignment PIN_P30 -to MB_II_DQ[45] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[45] +set_location_assignment PIN_V31 -to MB_II_DQ[46] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[46] +set_location_assignment PIN_R29 -to MB_II_DQ[47] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[47] +set_location_assignment PIN_M33 -to MB_II_DQ[48] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[48] +set_location_assignment PIN_J33 -to MB_II_DQ[49] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[49] +set_location_assignment PIN_H33 -to MB_II_DQ[50] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[50] +set_location_assignment PIN_H32 -to MB_II_DQ[51] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[51] +set_location_assignment PIN_J32 -to MB_II_DQ[52] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[52] +set_location_assignment PIN_K33 -to MB_II_DQ[53] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[53] +set_location_assignment PIN_K32 -to MB_II_DQ[54] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[54] +set_location_assignment PIN_L32 -to MB_II_DQ[55] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[55] +set_location_assignment PIN_AB33 -to MB_II_DQ[56] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[56] +set_location_assignment PIN_AA32 -to MB_II_DQ[57] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[57] +set_location_assignment PIN_W32 -to MB_II_DQ[58] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[58] +set_location_assignment PIN_U33 -to MB_II_DQ[59] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[59] +set_location_assignment PIN_Y33 -to MB_II_DQ[60] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[60] +set_location_assignment PIN_AA33 -to MB_II_DQ[61] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[61] +set_location_assignment PIN_V33 -to MB_II_DQ[62] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[62] +set_location_assignment PIN_Y32 -to MB_II_DQ[63] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_DQ[63] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[2] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[3] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[4] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[5] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[6] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[7] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS[8] +set_location_assignment PIN_E17 -to MB_II_DQS_n[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[0] +set_location_assignment PIN_K20 -to MB_II_DQS_n[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[1] +set_location_assignment PIN_H22 -to MB_II_DQS_n[2] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[2] +set_location_assignment PIN_C19 -to MB_II_DQS_n[3] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[3] +set_location_assignment PIN_M31 -to MB_II_DQS_n[4] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[4] +set_location_assignment PIN_N31 -to MB_II_DQS_n[5] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[5] +set_location_assignment PIN_P33 -to MB_II_DQS_n[6] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[6] +set_location_assignment PIN_T32 -to MB_II_DQS_n[7] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[7] +set_location_assignment PIN_B25 -to MB_II_DQS_n[8] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.2-V POD" -to MB_II_DQS_n[8] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[5] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[5] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SDA[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_SCL[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[4] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[4] +set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_RST +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to BCK_ERR[2] +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_ALERT_N[0] +set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_I_RZQ +set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_II_ALERT_N[0] +set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_II_RZQ +set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_I_REF_CLK +set_instance_assignment -name IO_STANDARD "1.2 V" -to MB_II_REF_CLK +set_location_assignment PIN_AG31 -to altera_reserved_tms +set_location_assignment PIN_AJ31 -to altera_reserved_tck +set_location_assignment PIN_AK18 -to altera_reserved_tdi +set_location_assignment PIN_AH31 -to altera_reserved_ntrst +set_location_assignment PIN_AM29 -to altera_reserved_tdo +#set_location_assignment PIN_AV33 -to ~ALTERA_DATA0~ + +# new transceiver pins 23 sept form Gijs +# +set_location_assignment PIN_B6 -to "BCK_TX[0](n)" +set_location_assignment PIN_A4 -to "BCK_TX[1](n)" +set_location_assignment PIN_A12 -to "BCK_TX[2](n)" +set_location_assignment PIN_B2 -to "BCK_TX[3](n)" +set_location_assignment PIN_C4 -to "BCK_TX[4](n)" +set_location_assignment PIN_A8 -to "BCK_TX[5](n)" +set_location_assignment PIN_D2 -to "BCK_TX[6](n)" +set_location_assignment PIN_E4 -to "BCK_TX[7](n)" +set_location_assignment PIN_F2 -to "BCK_TX[8](n)" +set_location_assignment PIN_G4 -to "BCK_TX[9](n)" +set_location_assignment PIN_J4 -to "BCK_TX[10](n)" +set_location_assignment PIN_H2 -to "BCK_TX[11](n)" +set_location_assignment PIN_L4 -to "BCK_TX[12](n)" +set_location_assignment PIN_K2 -to "BCK_TX[13](n)" +set_location_assignment PIN_N4 -to "BCK_TX[14](n)" +set_location_assignment PIN_M2 -to "BCK_TX[15](n)" +set_location_assignment PIN_R4 -to "BCK_TX[16](n)" +set_location_assignment PIN_P2 -to "BCK_TX[17](n)" +set_location_assignment PIN_U4 -to "BCK_TX[18](n)" +set_location_assignment PIN_T2 -to "BCK_TX[19](n)" +set_location_assignment PIN_W4 -to "BCK_TX[20](n)" +set_location_assignment PIN_V2 -to "BCK_TX[21](n)" +set_location_assignment PIN_AA4 -to "BCK_TX[22](n)" +set_location_assignment PIN_Y2 -to "BCK_TX[23](n)" +set_location_assignment PIN_AC4 -to "BCK_TX[24](n)" +set_location_assignment PIN_AB2 -to "BCK_TX[25](n)" +set_location_assignment PIN_AE4 -to "BCK_TX[26](n)" +set_location_assignment PIN_AD2 -to "BCK_TX[27](n)" +set_location_assignment PIN_AG4 -to "BCK_TX[28](n)" +set_location_assignment PIN_AF2 -to "BCK_TX[29](n)" +set_location_assignment PIN_AJ4 -to "BCK_TX[30](n)" +set_location_assignment PIN_AH2 -to "BCK_TX[31](n)" +set_location_assignment PIN_AL4 -to "BCK_TX[32](n)" +set_location_assignment PIN_AK2 -to "BCK_TX[33](n)" +set_location_assignment PIN_AN4 -to "BCK_TX[34](n)" +set_location_assignment PIN_AM2 -to "BCK_TX[35](n)" +set_location_assignment PIN_AR4 -to "BCK_TX[36](n)" +set_location_assignment PIN_AP2 -to "BCK_TX[37](n)" +set_location_assignment PIN_AU4 -to "BCK_TX[38](n)" +set_location_assignment PIN_AT2 -to "BCK_TX[39](n)" +set_location_assignment PIN_AW4 -to "BCK_TX[40](n)" +set_location_assignment PIN_AV2 -to "BCK_TX[41](n)" +set_location_assignment PIN_BB2 -to "BCK_TX[42](n)" +set_location_assignment PIN_AY2 -to "BCK_TX[43](n)" +set_location_assignment PIN_BD6 -to "BCK_TX[44](n)" +set_location_assignment PIN_BA4 -to "BCK_TX[45](n)" +set_location_assignment PIN_BC4 -to "BCK_TX[46](n)" +set_location_assignment PIN_BD10 -to "BCK_TX[47](n)" +set_location_assignment PIN_AY43 -to "RING_0_TX[6](n)" +set_location_assignment PIN_BB43 -to "RING_0_TX[7](n)" +set_location_assignment PIN_BA41 -to "RING_0_TX[8](n)" +set_location_assignment PIN_BD39 -to "RING_0_TX[9](n)" +set_location_assignment PIN_BC41 -to "RING_0_TX[10](n)" +set_location_assignment PIN_BD35 -to "RING_0_TX[11](n)" +set_location_assignment PIN_AP43 -to "RING_0_TX[0](n)" +set_location_assignment PIN_AR41 -to "RING_0_TX[1](n)" +set_location_assignment PIN_AT43 -to "RING_0_TX[2](n)" +set_location_assignment PIN_AU41 -to "RING_0_TX[3](n)" +set_location_assignment PIN_AV43 -to "RING_0_TX[4](n)" +set_location_assignment PIN_AW41 -to "RING_0_TX[5](n)" +set_location_assignment PIN_A41 -to "RING_1_TX[9](n)" +set_location_assignment PIN_A37 -to "RING_1_TX[10](n)" +set_location_assignment PIN_A33 -to "RING_1_TX[11](n)" +set_location_assignment PIN_J41 -to "RING_1_TX[1](n)" +set_location_assignment PIN_G41 -to "RING_1_TX[2](n)" +set_location_assignment PIN_F43 -to "RING_1_TX[3](n)" +set_location_assignment PIN_E41 -to "RING_1_TX[4](n)" +set_location_assignment PIN_D43 -to "RING_1_TX[5](n)" +set_location_assignment PIN_B43 -to "RING_1_TX[6](n)" +set_location_assignment PIN_C41 -to "RING_1_TX[7](n)" +set_location_assignment PIN_B39 -to "RING_1_TX[8](n)" +set_location_assignment PIN_H43 -to "RING_1_TX[0](n)" +set_location_assignment PIN_AY39 -to "RING_0_RX[6](n)" +set_location_assignment PIN_BA37 -to "RING_0_RX[7](n)" +set_location_assignment PIN_BB39 -to "RING_0_RX[8](n)" +set_location_assignment PIN_BC37 -to "RING_0_RX[9](n)" +set_location_assignment PIN_AY35 -to "RING_0_RX[10](n)" +set_location_assignment PIN_BB35 -to "RING_0_RX[11](n)" +set_location_assignment PIN_AP39 -to "RING_0_RX[0](n)" +set_location_assignment PIN_AR37 -to "RING_0_RX[1](n)" +set_location_assignment PIN_AT39 -to "RING_0_RX[2](n)" +set_location_assignment PIN_AU37 -to "RING_0_RX[3](n)" +set_location_assignment PIN_AV39 -to "RING_0_RX[4](n)" +set_location_assignment PIN_AW37 -to "RING_0_RX[5](n)" +set_location_assignment PIN_D35 -to "RING_1_RX[9](n)" +set_location_assignment PIN_E33 -to "RING_1_RX[10](n)" +set_location_assignment PIN_C33 -to "RING_1_RX[11](n)" +set_location_assignment PIN_J37 -to "RING_1_RX[1](n)" +set_location_assignment PIN_F39 -to "RING_1_RX[2](n)" +set_location_assignment PIN_G37 -to "RING_1_RX[3](n)" +set_location_assignment PIN_D39 -to "RING_1_RX[4](n)" +set_location_assignment PIN_E37 -to "RING_1_RX[5](n)" +set_location_assignment PIN_F35 -to "RING_1_RX[6](n)" +set_location_assignment PIN_C37 -to "RING_1_RX[7](n)" +set_location_assignment PIN_B35 -to "RING_1_RX[8](n)" +set_location_assignment PIN_H39 -to "RING_1_RX[0](n)" + + diff --git a/boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_minimal_pins.tcl b/boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_minimal_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..3ebb2abdbd76b7e382fb1bea51d0f0bd2db880ab --- /dev/null +++ b/boards/uniboard2/libraries/unb2_board/quartus/pinning/unb2_minimal_pins.tcl @@ -0,0 +1,107 @@ + +set_location_assignment PIN_K15 -to CLK +set_location_assignment PIN_J15 -to "CLK(n)" +set_location_assignment PIN_N12 -to ETH_CLK +set_location_assignment PIN_K14 -to PPS +set_location_assignment PIN_J14 -to "PPS(n)" + +#set_location_assignment PIN_AT33 -to CFG_DATA[0] +#set_location_assignment PIN_AT32 -to CFG_DATA[1] +#set_location_assignment PIN_BB33 -to CFG_DATA[2] +#set_location_assignment PIN_BA33 -to CFG_DATA[3] + + + + +# IO Standard Assignments from Gijs (excluding memory) +set_instance_assignment -name IO_STANDARD "1.8 V" -to ETH_CLK +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[0] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[0](n)" +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[1] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[1](n)" +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[0] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[0](n)" +set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGOUT[1] +set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGOUT[1](n)" +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[4] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[5] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[6] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[7] +set_instance_assignment -name IO_STANDARD "1.8 V" -to INTA +set_instance_assignment -name IO_STANDARD "1.8 V" -to INTB +#set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SC +#set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_SD +#set_instance_assignment -name IO_STANDARD "1.2 V" -to PMBUS_ALERT +set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SC +set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SD +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[2] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[3] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[4] +set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[5] +set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to VERSION[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to WDI + +# locations changed 30 sept +set_location_assignment PIN_P16 -to ID[0] +set_location_assignment PIN_P15 -to ID[1] +set_location_assignment PIN_K13 -to ID[2] +set_location_assignment PIN_L13 -to ID[3] +set_location_assignment PIN_N16 -to ID[4] +set_location_assignment PIN_N14 -to ID[5] +set_location_assignment PIN_U13 -to ID[6] + +set_location_assignment PIN_T13 -to ID[7] +set_location_assignment PIN_AU31 -to INTA +set_location_assignment PIN_AR30 -to INTB +#set_location_assignment PIN_BA25 -to PMBUS_SC +#set_location_assignment PIN_BD25 -to PMBUS_SD +#set_location_assignment PIN_BD26 -to PMBUS_ALERT +set_location_assignment PIN_BC31 -to SENS_SC +set_location_assignment PIN_BB31 -to SENS_SD +set_location_assignment PIN_AN32 -to TESTIO[0] +set_location_assignment PIN_AP32 -to TESTIO[1] +set_location_assignment PIN_AT30 -to TESTIO[2] +set_location_assignment PIN_BD31 -to TESTIO[3] +set_location_assignment PIN_AU30 -to TESTIO[4] +set_location_assignment PIN_BD30 -to TESTIO[5] + +set_location_assignment PIN_AB12 -to VERSION[0] +set_location_assignment PIN_AB13 -to VERSION[1] +set_location_assignment PIN_BB30 -to WDI + +set_location_assignment PIN_K12 -to ETH_SGIN[0] +set_location_assignment PIN_J12 -to "ETH_SGIN[0](n)" +set_location_assignment PIN_AF33 -to ETH_SGIN[1] +set_location_assignment PIN_AE33 -to "ETH_SGIN[1](n)" +set_location_assignment PIN_H13 -to ETH_SGOUT[0] +set_location_assignment PIN_H12 -to "ETH_SGOUT[0](n)" +set_location_assignment PIN_AW31 -to ETH_SGOUT[1] +set_location_assignment PIN_AV31 -to "ETH_SGOUT[1](n)" + +set_instance_assignment -name IO_STANDARD LVDS -to PPS +set_instance_assignment -name IO_STANDARD LVDS -to "PPS(n)" +set_instance_assignment -name IO_STANDARD LVDS -to CLK +set_instance_assignment -name IO_STANDARD LVDS -to "CLK(n)" + +# Enable internal termination for LVDS inputs +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to PPS +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to CLK +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to ETH_SGIN[0] +set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to ETH_SGIN[1] + +set_location_assignment PIN_AG31 -to altera_reserved_tms +set_location_assignment PIN_AJ31 -to altera_reserved_tck +set_location_assignment PIN_AK18 -to altera_reserved_tdi +set_location_assignment PIN_AH31 -to altera_reserved_ntrst +set_location_assignment PIN_AM29 -to altera_reserved_tdo +#set_location_assignment PIN_AV33 -to ~ALTERA_DATA0~ + + + diff --git a/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.qsf b/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.qsf index d31a5266c856bb48deb60fe068476a16fbd7e2a2..531028ea7eb81194ccddf9dc04e76c23cc3fed67 100644 --- a/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.qsf +++ b/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.qsf @@ -26,7 +26,40 @@ # Device: set_global_assignment -name FAMILY "Arria 10" -set_global_assignment -name DEVICE 10AX115U4F45I3SG +set_global_assignment -name DEVICE 10AX115U3F45I2LG +#set_global_assignment -name DEVICE 10AX115U4F45I3SGES +##set_global_assignment -name DEVICE 10AX115U4F45I3SG +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "1.8 V" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE FASTEST +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF +set_global_assignment -name ENABLE_NCE_PIN OFF +set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "ACTIVE SERIAL X4" +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name CRC_ERROR_OPEN_DRAIN ON +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHZ +set_global_assignment -name USER_START_UP_CLOCK ON + +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 1932 + +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "IBIS (Signal Integrity)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT IBIS -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 5P0 -section_id eda_board_design_signal_integrity +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top + # Compilation flow: set_global_assignment -name SMART_RECOMPILE ON diff --git a/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.sdc b/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.sdc index 325d6ca9ccc879266526d19ff9cbd8ed62ab16a8..993e35ab9559400e4653d888048dc242f7ce5877 100644 --- a/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.sdc +++ b/boards/uniboard2/libraries/unb2_board/quartus/unb2_board.sdc @@ -26,8 +26,6 @@ create_clock -name {CLK} -period 5.000 -waveform { 0.000 2.500 } [get_ports {CLK create_clock -name {ETH_CLK} -period 40.000 -waveform { 0.000 20.000 } [get_ports {ETH_clk}] #create_clock -name {SB_CLK} -period 6.400 -waveform { 0.000 3.200 } [get_ports {SB_CLK}] #create_clock -name {SA_CLK} -period 6.400 -waveform { 0.000 3.200 } [get_ports {SA_CLK}] -#create_clock -name {ADC_BI_A_CLK} -period 2.500 -waveform { 0.000 1.250 } [get_ports {ADC_BI_A_CLK}] -#create_clock -name {ADC_BI_D_CLK} -period 2.500 -waveform { 0.000 1.250 } [get_ports {ADC_BI_D_CLK}] derive_pll_clocks @@ -38,33 +36,9 @@ set_clock_groups -asynchronous -group [get_clocks altera_reserved_tck] #set_clock_groups -asynchronous -group [get_clocks SB_CLK] #set_clock_groups -asynchronous -group [get_clocks SA_CLK] set_clock_groups -asynchronous -group [get_clocks ETH_CLK] - -set_clock_groups -asynchronous -group [get_clocks *u_sopc|the_altpll_0|sd1|pll7|clk[0]] -set_clock_groups -asynchronous -group [get_clocks *u_sopc|the_altpll_0|sd1|pll7|clk[1]] -set_clock_groups -asynchronous -group [get_clocks *u_sopc|the_altpll_0|sd1|pll7|clk[2]] -set_clock_groups -asynchronous -group [get_clocks *u_sopc|the_altpll_0|sd1|pll7|clk[3]] -set_clock_groups -asynchronous -group [get_clocks *u_sopc|altpll_0|sd1|pll7|clk[0]] -set_clock_groups -asynchronous -group [get_clocks *u_sopc|altpll_0|sd1|pll7|clk[1]] -set_clock_groups -asynchronous -group [get_clocks *u_sopc|altpll_0|sd1|pll7|clk[2]] -set_clock_groups -asynchronous -group [get_clocks *u_sopc|altpll_0|sd1|pll7|clk[3]] - set_clock_groups -asynchronous -group [get_clocks CLK] -set_clock_groups -asynchronous -group [get_clocks {*|altpll_component|auto_generated|pll1|clk[0]}] -#set_clock_groups -asynchronous -group [get_clocks ADC_BI_A_CLK] -#set_clock_groups -asynchronous -group [get_clocks ADC_BI_D_CLK] -#set_clock_groups -asynchronous -group [get_clocks {*|u_ddr3|\gen_aphy_4g_800:u_aphy_4g_800|aphy_4g_800_controller_phy_inst|aphy_4g_800_phy_inst|aphy_4g_800_phy_alt_mem_phy_inst|clk|half_rate.pll|altpll_component|auto_generated|pll1|clk[0]}] - -#set_clock_groups -asynchronous -group [get_clocks {*|u_ddr3|\gen_uphy_4g_800_master:u_uphy_4g_800_master|uphy_4g_800_master_inst|pll0|upll_memphy|auto_generated|pll1|clk[0]}] -#set_clock_groups -asynchronous -group [get_clocks {*|u_ddr3|\gen_uphy_4g_800_slave:u_uphy_4g_800_slave|uphy_4g_800_slave_inst|pll0|upll_memphy|auto_generated|pll1|clk[0]}] - -#set_clock_groups -asynchronous -group [get_clocks {*|u_ddr3|\gen_uphy_4g_800_master:u_uphy_4g_800_master|uphy_4g_800_master_inst|pll0|upll_memphy|auto_generated|pll1|clk[3]}] -#set_clock_groups -asynchronous -group [get_clocks {*|u_ddr3|\gen_uphy_4g_800_slave:u_uphy_4g_800_slave|uphy_4g_800_slave_inst|pll0|upll_memphy|auto_generated|pll1|clk[3]}] - -#set_clock_groups -asynchronous -group [get_clocks {*|u_ddr3|\gen_uphy_4g_800_master:u_uphy_4g_800_master|uphy_4g_800_master_inst|pll0|upll_memphy|auto_generated|pll1|clk[5]}] -#set_clock_groups -asynchronous -group [get_clocks {*|u_ddr3|\gen_uphy_4g_800_slave:u_uphy_4g_800_slave|uphy_4g_800_slave_inst|pll0|upll_memphy|auto_generated|pll1|clk[5]}] - -# Transceivers: ALTGX generated RX clock -set_clock_groups -asynchronous -group [get_clocks {*|receive_pcs0|clkout}] -# Transceivers: ALTGX generated TX clock -set_clock_groups -asynchronous -group [get_clocks {*|transmit_pcs0|clkout}] +set_clock_groups -asynchronous -group [get_clocks pll_clk20] +set_clock_groups -asynchronous -group [get_clocks pll_clk50] +set_clock_groups -asynchronous -group [get_clocks pll_clk125] +set_clock_groups -asynchronous -group [get_clocks u_ctrl|\gen_pll:u_unb2_board_clk200_pll|u_st_pll|\gen_ip_arria10:u0|iopll_0|outclk0]