diff --git a/applications/disturb2/model/DISTURB2_2x_oversampled_filterbank.ipynb b/applications/disturb2/model/DISTURB2_2x_oversampled_filterbank.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..d603762411a3c7197c38d0d4c29eaed612fc1fc4 --- /dev/null +++ b/applications/disturb2/model/DISTURB2_2x_oversampled_filterbank.ipynb @@ -0,0 +1,260 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "ceaadd7c", + "metadata": {}, + "source": [ + "\n", + " Copyright 2022\n", + " ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>\n", + " P.O.Box 2, 7990 AA Dwingeloo, The Netherlands\n", + "\n", + " Licensed under the Apache License, Version 2.0 (the \"License\");\n", + " you may not use this file except in compliance with the License.\n", + " You may obtain a copy of the License at\n", + "\n", + " http://www.apache.org/licenses/LICENSE-2.0\n", + "\n", + " Unless required by applicable law or agreed to in writing, software\n", + " distributed under the License is distributed on an \"AS IS\" BASIS,\n", + " WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", + " See the License for the specific language governing permissions and\n", + " limitations under the License.\n", + "\n", + "--------------------------------------------------------------------------------\n", + " Author: R vd Walle\n", + " \n", + " Purpose: Simulate the principle of the DISTURB2 filterbank firmware\n", + " \n", + " Description: Generate an input signal containing 2 sine waves with frequencies\n", + " at the center frequency of subband 50 and subband 50.5. This demonstrates how\n", + " the two FFT results from a normal FFT and the FFT of a frequency shifted (by\n", + " half a subband) input show that both are not ideal. Thereafter, the two FFT \n", + " results are combined to generate the ideal output. This is done by first\n", + " performing FFT's on each subband using 16 samples to create channels. Then \n", + " the channels are combined by using the middle 8 channels of each subband\n", + " interleaving the channels from the normal FFT and the shifted FFT.\n" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "bc607828", + "metadata": {}, + "outputs": [], + "source": [ + "\n", + "# System imports\n", + "import numpy as np\n", + "from scipy import signal\n", + "import matplotlib\n", + "import matplotlib.pyplot as plt\n", + "from tools import *\n", + "from common import *\n" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "9b0dea9f", + "metadata": {}, + "outputs": [], + "source": [ + "\n", + "# Constants\n", + "N_sub = 512\n", + "N_wg_samples = 4 * N_sub\n", + "sample_freq = 200000000\n", + "shift_freq = 0.5 * ((sample_freq/2 ) / N_sub) # half a subband\n", + "N_sample = 2 * N_sub\n", + "N_blocks = 16 # Also number of channels per subband\n", + "wg_sub = 50.5\n", + "wg_sub_n = 50\n", + "wg_freq = wg_sub * ((sample_freq/2) / N_sub)\n", + "wg_freq_n = wg_sub_n * ((sample_freq/2) / N_sub)\n", + "wg_ampl = 1.0\n", + "\n", + "# Frequency shifting signal\n", + "n_array = np.arange(0, N_wg_samples, 1)\n", + "pow_array = [complex(0,1) * 2 * np.pi * (shift_freq / sample_freq) * n for n in n_array]\n", + "nof_rep = ceil_div(N_blocks*N_sample, N_wg_samples)\n", + "exp_array = np.tile([np.exp(w) for w in pow_array], nof_rep) # y[n] = e^(j * 2Pi * (f/Fs) * n)\n", + "\n", + "#rotate exp_array\n", + "#rot = N_wg_samples // 2\n", + "#print(exp_array)\n", + "#exp_array = np.array(list(exp_array[rot:]) + list(exp_array[:rot]))\n", + "#print(exp_array)\n", + "\n", + "# At what intervals time points are sampled\n", + "samplingInterval = 1 / sample_freq;\n", + "\n", + "# Begin time period of the signals\n", + "beginTime = 0;\n", + "\n", + "# End time period of the signals\n", + "endTime = N_blocks * N_sample * samplingInterval; \n", + "\n", + "# Time points\n", + "time = np.arange(beginTime, endTime, samplingInterval);\n", + "\n", + "# Create input signal\n", + "amplitude = np.sin(2*np.pi*wg_freq*time) + np.sin(2*np.pi*wg_freq_n*time) \n", + "spr_blocks = [amplitude[i * N_sample : (i+1) * N_sample] for i in range(N_blocks)]\n", + "\n", + "# Shifted signal\n", + "amplitude_shft = amplitude * exp_array[0:len(time)]\n", + "spc_blocks = [amplitude_shft[i * N_sample : (i+1) * N_sample] for i in range(N_blocks)]\n", + "\n", + "# Bin indices for input/shifted signal\n", + "freq = np.fft.fftfreq(np.arange(N_sample).shape[-1], samplingInterval)\n", + "subbands = [f/(shift_freq*2) for f in freq]\n", + "\n", + "# Channel indices.\n", + "freq_ch = np.fft.fftfreq(np.arange(N_blocks*N_sample).shape[-1], samplingInterval)\n", + "channels = [f/(shift_freq*2) for f in freq_ch]\n", + "channels = channels[0:len(channels) // 2:] # Only positive part is used." + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "ae0fdaf0", + "metadata": {}, + "outputs": [], + "source": [ + "# FFT of every block\n", + "spr = [np.fft.fft(spr_block) for spr_block in spr_blocks]\n", + "# spr contains [blocks (N_blocks)][subbands (N_sample)]\n", + "# We only need the positive part of the FFT and we need it in order [subbands][blocks] for channels\n", + "sprT = [x[:N_sub] for x in spr] # Take positive part, like we receive from the FPGA.\n", + "sprT = np.transpose(np.array(sprT)) # transpose\n", + "# FFT of every subband, creating channels.\n", + "spr_ch = np.array([np.fft.fftshift(np.fft.fft(band)) for band in sprT]) \n", + "\n", + "# The same for the shifted subbands\n", + "spc = [np.fft.fft(spc_block) for spc_block in spc_blocks]\n", + "spcT = [x[:N_sub] for x in spc]\n", + "spcT = np.transpose(np.array(spcT))\n", + "spc_ch = np.array([np.fft.fftshift(np.fft.fft(band)) for band in spcT])\n", + "\n", + "# Combine the channels.\n", + "combined = [0] * N_blocks * N_sub\n", + "for i in range(N_sub):\n", + " # for N_blocks = number of channels per subband = 16\n", + " #0:8 = spr_ch[0][4:12]\n", + " #8:16 = spc_ch[0][4:12]\n", + " #16:24 = spr_ch[1][4:12]\n", + " #24:32 = spc_ch[1][4:12]\n", + " # ...\n", + " n_ch = N_blocks // 2\n", + "\n", + " combined[ 2 * i * n_ch : (2 * i + 1) * n_ch] = spc_ch[i][n_ch // 2 : n_ch + (n_ch // 2)]\n", + " combined[(2 * i + 1) * n_ch : (2 * i + 2) * n_ch] = spr_ch[i][n_ch // 2 : n_ch + (n_ch // 2)]\n", + "\n", + "# shift 3/4 subband to 0\n", + "combined = np.array(combined)\n", + "combined = combined[(3 * N_blocks // 4):]\n", + "combined = np.append(combined, spc_ch[N_sub-1][N_blocks // 4:])" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "70cfb6c9", + "metadata": { + "scrolled": false + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "<Figure size 1080x1440 with 5 Axes>" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Create subplot\n", + "figure, axis = plt.subplots(5, 1, figsize=(15,20))\n", + "plt.subplots_adjust(hspace=1)\n", + "windowRange = [48, 54]\n", + "\n", + "# Time domain representation of the input signal\n", + "axis[0].set_title('ADC input signal')\n", + "axis[0].plot(time, amplitude)\n", + "axis[0].set_xlabel('Time')\n", + "axis[0].set_ylabel('Amplitude')\n", + "\n", + "\n", + "# Time domain representation of the frequency shifted signal\n", + "axis[1].set_title('Shifted input')\n", + "axis[1].plot(time, amplitude_shft.real, time, amplitude_shft.imag)\n", + "axis[1].set_xlabel('Time')\n", + "axis[1].set_ylabel('Amplitude')\n", + "\n", + "\n", + "# Frequency domain representation of input signal\n", + "x = subbands[windowRange[0]:windowRange[1]]\n", + "y = spr[0][windowRange[0]:windowRange[1]]\n", + "axis[2].set_title('Fourier transform of ADC input')\n", + "axis[2].plot(x, y.real, x, y.imag)\n", + "axis[2].set_xlabel('Subband')\n", + "axis[2].set_ylabel('Amplitude')\n", + "\n", + "# Frequency domain representation of frequency shifted signal\n", + "x = subbands[windowRange[0]:windowRange[1]]\n", + "y = spc[0][windowRange[0]:windowRange[1]]\n", + "axis[3].set_title('Fourier transform of shifted input')\n", + "axis[3].plot(x, y.real, x, y.imag)\n", + "axis[3].set_xlabel('Subband')\n", + "axis[3].set_ylabel('Amplitude')\n", + "\n", + "x = channels[N_blocks * windowRange[0]:N_blocks * windowRange[1]]\n", + "y = combined[N_blocks * windowRange[0]:N_blocks * windowRange[1]]\n", + "# Frequency domain representation of combined channels\n", + "axis[4].set_title('Fourier transform of combined')\n", + "axis[4].plot(x, y.real, x, y.imag)\n", + "axis[4].set_xlabel('Subband')\n", + "axis[4].set_ylabel('Amplitude')\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "52b7ccb0", + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.10" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/applications/disturb2/model/DISTURB2_2x_oversampled_filterbank_rom.ipynb b/applications/disturb2/model/DISTURB2_2x_oversampled_filterbank_rom.ipynb new file mode 100644 index 0000000000000000000000000000000000000000..661929dff2a57fb9122336d4ba8e47ca7b1fd92e --- /dev/null +++ b/applications/disturb2/model/DISTURB2_2x_oversampled_filterbank_rom.ipynb @@ -0,0 +1,14527 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "ceaadd7c", + "metadata": {}, + "source": [ + "\n", + " Copyright 2022\n", + " ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>\n", + " P.O.Box 2, 7990 AA Dwingeloo, The Netherlands\n", + "\n", + " Licensed under the Apache License, Version 2.0 (the \"License\");\n", + " you may not use this file except in compliance with the License.\n", + " You may obtain a copy of the License at\n", + "\n", + " http://www.apache.org/licenses/LICENSE-2.0\n", + "\n", + " Unless required by applicable law or agreed to in writing, software\n", + " distributed under the License is distributed on an \"AS IS\" BASIS,\n", + " WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", + " See the License for the specific language governing permissions and\n", + " limitations under the License.\n", + "\n", + "--------------------------------------------------------------------------------\n", + " Author: R vd Walle\n", + " \n", + " Purpose: Simulate the principle of the DISTURB2 filterbank firmware\n", + " \n", + " Description: Generate an input signal containing 2 sine waves with frequencies\n", + " at the center frequency of subband 50 and subband 50.5. This demonstrates how\n", + " the two FFT results from a normal FFT and the FFT of a frequency shifted (by\n", + " half a subband) input show that both are not ideal. Thereafter, the two FFT \n", + " results are combined to generate the ideal output. This is done by first\n", + " performing FFT's on each subband using 16 samples to create channels. Then \n", + " the channels are combined by using the middle 8 channels of each subband\n", + " interleaving the channels from the normal FFT and the shifted FFT.\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "50794aec", + "metadata": {}, + "outputs": [], + "source": [ + "# System imports\n", + "import numpy as np\n", + "from scipy import signal\n", + "import matplotlib\n", + "import matplotlib.pyplot as plt\n", + "from tools import *\n", + "from common import *\n", + "from intelhex import IntelHex\n", + "import struct\n", + "from io import StringIO\n" + ] + }, + { + "cell_type": "code", + "execution_count": 37, + "id": "9b0dea9f", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + ":0400000000007FFF7E\n", + ":0400040000657FFF15\n", + ":0400080000C97FFEAE\n", + ":04000C00012E7FFE44\n", + ":0400100001927FFDDD\n", + ":0400140001F77FFB76\n", + ":04001800025B7FF90F\n", + ":04001C0002C07FF7A8\n", + ":0400200003247FF541\n", + ":0400240003897FF3DA\n", + ":0400280003ED7FF075\n", + ":04002C0004527FEC0F\n", + ":0400300004B67FE9AA\n", + ":04003400051B7FE544\n", + ":04003800057F7FE1E0\n", + ":04003C0005E37FDC7D\n", + ":0400400006487FD817\n", + ":0400440006AC7FD2B5\n", + ":0400480007117FCD50\n", + ":04004C0007757FC7EE\n", + ":0400500007D97FC18C\n", + ":04005400083E7FBB28\n", + ":0400580008A27FB4C7\n", + ":04005C0009067FAD65\n", + ":04006000096A7FA604\n", + ":0400640009CF7F9FA2\n", + ":040068000A337F9741\n", + ":04006C000A977F8FE1\n", + ":040070000AFB7F8682\n", + ":040074000B5F7F7D22\n", + ":040078000BC47F74C2\n", + ":04007C000C287F6B62\n", + ":040080000C8C7F6104\n", + ":040084000CF07F57A6\n", + ":040088000D547F4D47\n", + ":04008C000DB87F42EA\n", + ":040090000E1C7F378C\n", + ":040094000E807F2C2F\n", + ":040098000EE37F21D3\n", + ":04009C000F477F1576\n", + ":0400A0000FAB7F091A\n", + ":0400A400100F7EFCBF\n", + ":0400A80010727EEF65\n", + ":0400AC0010D67EE20A\n", + ":0400B000113A7ED5AE\n", + ":0400B400119D7EC755\n", + ":0400B80012017EB9FA\n", + ":0400BC0012647EABA1\n", + ":0400C00012C87E9C48\n", + ":0400C400132B7E8DEF\n", + ":0400C800138F7E7E96\n", + ":0400CC0013F27E6F3E\n", + ":0400D00014557E5FE6\n", + ":0400D40014B97E4F8E\n", + ":0400D800151C7E3E37\n", + ":0400DC00157F7E2EE0\n", + ":0400E00015E27E1D8A\n", + ":0400E40016457E0B34\n", + ":0400E80016A87DFADF\n", + ":0400EC00170B7DE889\n", + ":0400F000176E7DD535\n", + ":0400F40017D07DC3E1\n", + ":0400F80018337DB08C\n", + ":0400FC0018967D9D38\n", + ":0401000018F97D89E4\n", + ":04010400195B7D7690\n", + ":0401080019BE7D623D\n", + ":04010C001A207D4DEB\n", + ":040110001A827D3999\n", + ":040114001AE57D2447\n", + ":040118001B477D0EF6\n", + ":04011C001BA97CF9A6\n", + ":040120001C0B7CE355\n", + ":040124001C6D7CCD05\n", + ":040128001CCF7CB6B6\n", + ":04012C001D317C9F66\n", + ":040130001D937C8817\n", + ":040134001DF57C71C8\n", + ":040138001E577C5979\n", + ":04013C001EB87C412C\n", + ":040140001F1A7C29DD\n", + ":040144001F7B7C1091\n", + ":040148001FDD7BF844\n", + ":04014C00203E7BDEF8\n", + ":04015000209F7BC5AC\n", + ":0401540021007BAB60\n", + ":0401580021617B9115\n", + ":04015C0021C27B77CA\n", + ":0401600022237B5C7F\n", + ":0401640022847B4135\n", + ":0401680022E57B26EB\n", + ":04016C0023467B0AA1\n", + ":0401700023A67AEE5A\n", + ":0401740024077AD210\n", + ":0401780024677AB6C8\n", + ":04017C0024C87A9980\n", + ":0401800025287A7C38\n", + ":0401840025887A5FF1\n", + ":0401880025E87A41AB\n", + ":04018C0026487A2364\n", + ":0401900026A87A051E\n", + ":04019400270879E6D9\n", + ":04019800276779C894\n", + ":04019C0027C779A94F\n", + ":0401A000282679890B\n", + ":0401A4002886796AC6\n", + ":0401A80028E5794A83\n", + ":0401AC002944792940\n", + ":0401B00029A37909FD\n", + ":0401B4002A0278E8BB\n", + ":0401B8002A6178C779\n", + ":0401BC002AC078A538\n", + ":0401C0002B1F7884F5\n", + ":0401C4002B7D7862B5\n", + ":0401C8002BDC783F75\n", + ":0401CC002C3A781D34\n", + ":0401D0002C9977FAF5\n", + ":0401D4002CF777D7B6\n", + ":0401D8002D5577B377\n", + ":0401DC002DB3778F39\n", + ":0401E0002E11776BFA\n", + ":0401E4002E6E7747BD\n", + ":0401E8002ECC772280\n", + ":0401EC002F2A76FE42\n", + ":0401F0002F8776D807\n", + ":0401F4002FE476B3CB\n", + ":0401F8003041768D8F\n", + ":0401FC00309E766754\n", + ":0402000030FB764118\n", + ":040204003158761ADD\n", + ":0402080031B575F3A4\n", + ":04020C00321175CC6A\n", + ":04021000326E75A530\n", + ":0402140032CA757DF8\n", + ":0402180033267555BF\n", + ":04021C003383752D86\n", + ":0402200033DF75044F\n", + ":04022400343A74DB19\n", + ":04022800349674B2E2\n", + ":04022C0034F27488AC\n", + ":04023000354D745F75\n", + ":0402340035A8743540\n", + ":040238003604740A0A\n", + ":04023C00365F73E0D6\n", + ":0402400036BA73B5A2\n", + ":040244003715738A6D\n", + ":04024800376F735E3B\n", + ":04024C0037CA733307\n", + ":0402500038247307D4\n", + ":04025400387E72DBA3\n", + ":0402580038D972AE71\n", + ":04025C00393372813F\n", + ":04026000398C72540F\n", + ":0402640039E67227DE\n", + ":040268003A4071F9AE\n", + ":04026C003A9971CB7F\n", + ":040270003AF2719D50\n", + ":040274003B4C716F1F\n", + ":040278003BA57140F1\n", + ":04027C003BFE7111C3\n", + ":040280003C5670E296\n", + ":040284003CAF70B269\n", + ":040288003D0770833B\n", + ":04028C003D6070530E\n", + ":040290003DB87022E3\n", + ":040294003E106FF2B7\n", + ":040298003E686FC18C\n", + ":04029C003EBF6F9062\n", + ":0402A0003F176F5E37\n", + ":0402A4003F6E6F2C0E\n", + ":0402A8003FC56EFBE5\n", + ":0402AC00401D6EC8BB\n", + ":0402B00040736E9693\n", + ":0402B40040CA6E636B\n", + ":0402B80041216E3042\n", + ":0402BC0041776DFD1C\n", + ":0402C00041CE6DC9F5\n", + ":0402C40042246D95CE\n", + ":0402C800427A6D61A8\n", + ":0402CC0042D06D2D82\n", + ":0402D00043256CF85E\n", + ":0402D400437B6CC339\n", + ":0402D80043D06C8E15\n", + ":0402DC0044256C59F0\n", + ":0402E000447A6C23CD\n", + ":0402E40044CF6BEDAB\n", + ":0402E80045246BB787\n", + ":0402EC0045786B8165\n", + ":0402F00045CD6B4A43\n", + ":0402F40046216B1321\n", + ":0402F80046756ADC01\n", + ":0402FC0046C96AA4E1\n", + ":04030000471C6A6DBF\n", + ":0403040047706A359F\n", + ":0403080047C369FD81\n", + ":04030C00481669C462\n", + ":040310004869698B44\n", + ":0403140048BC695226\n", + ":04031800490F691907\n", + ":04031C00496168E0EB\n", + ":0403200049B468A6CE\n", + ":040324004A06686CB1\n", + ":040328004A58683295\n", + ":04032C004AA967F77C\n", + ":040330004AFB67BC61\n", + ":040334004B4C678146\n", + ":040338004B9D67462C\n", + ":04033C004BEE670A13\n", + ":040340004C3F66CFF9\n", + ":040344004C906693E0\n", + ":040348004CE06656C9\n", + ":04034C004D31661AAF\n", + ":040350004D8165DD99\n", + ":040354004DD165A082\n", + ":040358004E2065636B\n", + ":04035C004E70652555\n", + ":040360004EBF64E840\n", + ":040364004F0E64AA2A\n", + ":040368004F5D646C15\n", + ":04036C004FAC642D01\n", + ":040370004FFB63EEEE\n", + ":04037400504963AFDA\n", + ":0403780050976370C7\n", + ":04037C0050E56331B4\n", + ":04038000513362F1A2\n", + ":04038400518162B190\n", + ":0403880051CE62717F\n", + ":04038C00521B62316D\n", + ":04039000526861F05E\n", + ":0403940052B561AF4E\n", + ":040398005302616E3D\n", + ":04039C00534E612D2E\n", + ":0403A000539B60EB20\n", + ":0403A40053E760AA11\n", + ":0403A8005432606803\n", + ":0403AC00547E6025F6\n", + ":0403B00054C95FE3EA\n", + ":0403B40055155FA0DC\n", + ":0403B80055605F5DD0\n", + ":0403BC0055AA5F1AC5\n", + ":0403C00055F55ED7BA\n", + ":0403C400563F5E93AF\n", + ":0403C800568A5E4FA4\n", + ":0403CC0056D35E0B9B\n", + ":0403D000571D5DC791\n", + ":0403D40057675D8288\n", + ":0403D80057B05D3E7F\n", + ":0403DC0057F95CF978\n", + ":0403E00058425CB370\n", + ":0403E400588B5C6E68\n", + ":0403E80058D35C2862\n", + ":0403EC00591C5BE25B\n", + ":0403F00059645B9C55\n", + ":0403F40059AC5B564F\n", + ":0403F80059F35B0F4B\n", + ":0403FC005A3B5AC945\n", + ":040400005A825A8240\n", + ":040404005AC95A3B3C\n", + ":040408005B0F59F33A\n", + ":04040C005B5659AC36\n", + ":040410005B9C596434\n", + ":040414005BE2591C32\n", + ":040418005C2858D331\n", + ":04041C005C6E588B2F\n", + ":040420005CB358422F\n", + ":040424005CF957F92F\n", + ":040428005D3E57B02E\n", + ":04042C005D8257672F\n", + ":040430005DC7571D30\n", + ":040434005E0B56D332\n", + ":040438005E4F568A33\n", + ":04043C005E93563F36\n", + ":040440005ED755F539\n", + ":040444005F1A55AA3C\n", + ":040448005F5D55603F\n", + ":04044C005FA0551543\n", + ":040450005FE354C949\n", + ":040454006025547E4D\n", + ":040458006068543252\n", + ":04045C0060AA53E758\n", + ":0404600060EB539B5F\n", + ":04046400612D534E65\n", + ":04046800616E53026C\n", + ":04046C0061AF52B575\n", + ":0404700061F052687D\n", + ":040474006231521B84\n", + ":04047800627151CE8E\n", + ":04047C0062B1518197\n", + ":0404800062F15133A1\n", + ":04048400633150E5AB\n", + ":0404880063705097B6\n", + ":04048C0063AF5049C1\n", + ":0404900063EE4FFBCD\n", + ":04049400642D4FACD8\n", + ":04049800646C4F5DE4\n", + ":04049C0064AA4F0EF1\n", + ":0404A00064E84EBFFF\n", + ":0404A40065254E700C\n", + ":0404A80065634E201A\n", + ":0404AC0065A04DD129\n", + ":0404B00065DD4D8138\n", + ":0404B400661A4D3146\n", + ":0404B80066564CE058\n", + ":0404BC0066934C9067\n", + ":0404C00066CF4C3F78\n", + ":0404C400670A4BEE8A\n", + ":0404C80067464B9D9B\n", + ":0404CC0067814B4CAD\n", + ":0404D00067BC4AFBC0\n", + ":0404D40067F74AA9D3\n", + ":0404D80068324A58E4\n", + ":0404DC00686C4A06F8\n", + ":0404E00068A649B40D\n", + ":0404E40068E0496122\n", + ":0404E8006919490F36\n", + ":0404EC00695248BC4D\n", + ":0404F000698B486963\n", + ":0404F40069C4481679\n", + ":0404F80069FD47C390\n", + ":0404FC006A354770A6\n", + ":040500006A6D471CBD\n", + ":040504006AA446C9D6\n", + ":040508006ADC4675EE\n", + ":04050C006B13462106\n", + ":040510006B4A45CD20\n", + ":040514006B8145783A\n", + ":040518006BB7452454\n", + ":04051C006BED44CF70\n", + ":040520006C23447A8A\n", + ":040524006C594425A5\n", + ":040528006C8E43D0C2\n", + ":04052C006CC3437BDE\n", + ":040530006CF84325FB\n", + ":040534006D2D42D017\n", + ":040538006D61427A35\n", + ":04053C006D95422453\n", + ":040540006DC941CE72\n", + ":040544006DFD417791\n", + ":040548006E304121AF\n", + ":04054C006E6340CAD0\n", + ":040550006E964073F0\n", + ":040554006EC8401D10\n", + ":040558006EFB3FC532\n", + ":04055C006F2C3F6E53\n", + ":040560006F5E3F1774\n", + ":040564006F903EBF97\n", + ":040568006FC13E68B9\n", + ":04056C006FF23E10DC\n", + ":0405700070223DB800\n", + ":0405740070533D6023\n", + ":0405780070833D0748\n", + ":04057C0070B23CAF6E\n", + ":0405800070E23C5693\n", + ":0405840071113BFEB8\n", + ":0405880071403BA5DE\n", + ":04058C00716F3B4C04\n", + ":04059000719D3AF22D\n", + ":0405940071CB3A9954\n", + ":0405980071F93A407B\n", + ":04059C00722739E6A3\n", + ":0405A0007254398CCC\n", + ":0405A40072813933F4\n", + ":0405A80072AE38D91E\n", + ":0405AC0072DB387E48\n", + ":0405B0007307382471\n", + ":0405B400733337CA9C\n", + ":0405B800735E376FC8\n", + ":0405BC00738A3715F2\n", + ":0405C00073B536BA1F\n", + ":0405C40073E0365F4B\n", + ":0405C800740A360477\n", + ":0405CC00743535A8A5\n", + ":0405D000745F354DD2\n", + ":0405D400748834F201\n", + ":0405D80074B234962F\n", + ":0405DC0074DB343A5E\n", + ":0405E000750433DF8C\n", + ":0405E400752D3383BB\n", + ":0405E80075553326EC\n", + ":0405EC00757D32CA1D\n", + ":0405F00075A5326E4D\n", + ":0405F40075CC32117F\n", + ":0405F80075F331B5B1\n", + ":0405FC00761A3158E2\n", + ":04060000764130FB14\n", + ":040604007667309E47\n", + ":04060800768D30417A\n", + ":04060C0076B32FE4AE\n", + ":0406100076D82F87E2\n", + ":0406140076FE2F2A15\n", + ":0406180077222ECC4B\n", + ":04061C0077472E6E80\n", + ":04062000776B2E11B5\n", + ":04062400778F2DB3EC\n", + ":0406280077B32D5522\n", + ":04062C0077D72CF759\n", + ":0406300077FA2C9990\n", + ":04063400781D2C3AC7\n", + ":04063800783F2BDC00\n", + ":04063C0078622B7D38\n", + ":0406400078842B1F70\n", + ":0406440078A52AC0AB\n", + ":0406480078C72A61E4\n", + ":04064C0078E82A021E\n", + ":04065000790929A358\n", + ":040654007929294493\n", + ":04065800794A28E5CE\n", + ":04065C00796A288609\n", + ":040660007989282646\n", + ":0406640079A927C782\n", + ":0406680079C82767BF\n", + ":04066C0079E62708FC\n", + ":040670007A0526A839\n", + ":040674007A23264877\n", + ":040678007A4125E8B6\n", + ":04067C007A5F2588F4\n", + ":040680007A7C252833\n", + ":040684007A9924C873\n", + ":040688007AB62467B3\n", + ":04068C007AD22407F3\n", + ":040690007AEE23A635\n", + ":040694007B0A234674\n", + ":040698007B2622E5B6\n", + ":04069C007B412284F8\n", + ":0406A0007B5C22233A\n", + ":0406A4007B7721C27D\n", + ":0406A8007B912161C0\n", + ":0406AC007BAB210003\n", + ":0406B0007BC5209F47\n", + ":0406B4007BDE203E8B\n", + ":0406B8007BF81FDDCF\n", + ":0406BC007C101F7B14\n", + ":0406C0007C291F1A58\n", + ":0406C4007C411EB89F\n", + ":0406C8007C591E57E4\n", + ":0406CC007C711DF52B\n", + ":0406D0007C881D9372\n", + ":0406D4007C9F1D31B9\n", + ":0406D8007CB61CCF01\n", + ":0406DC007CCD1C6D48\n", + ":0406E0007CE31C0B90\n", + ":0406E4007CF91BA9D9\n", + ":0406E8007D0E1B4721\n", + ":0406EC007D241AE56A\n", + ":0406F0007D391A82B4\n", + ":0406F4007D4D1A20FE\n", + ":0406F8007D6219BE48\n", + ":0406FC007D76195B93\n", + ":040700007D8918F9DE\n", + ":040704007D9D189629\n", + ":040708007DB0183375\n", + ":04070C007DC317D0C2\n", + ":040710007DD5176E0E\n", + ":040714007DE8170B5A\n", + ":040718007DFA16A8A8\n", + ":04071C007E0B1645F5\n", + ":040720007E1D15E243\n", + ":040724007E2E157F91\n", + ":040728007E3E151CE0\n", + ":04072C007E4F14B92F\n", + ":040730007E5F14557F\n", + ":040734007E6F13F2CF\n", + ":040738007E7E138F1F\n", + ":04073C007E8D132B70\n", + ":040740007E9C12C8C1\n", + ":040744007EAB126412\n", + ":040748007EB9120163\n", + ":04074C007EC7119DB6\n", + ":040750007ED5113A07\n", + ":040754007EE210D65B\n", + ":040758007EEF1072AE\n", + ":04075C007EFC100F00\n", + ":040760007F090FAB53\n", + ":040764007F150F47A7\n", + ":040768007F210EE3FC\n", + ":04076C007F2C0E8050\n", + ":040770007F370E1CA5\n", + ":040774007F420DB8FB\n", + ":040778007F4D0D5450\n", + ":04077C007F570CF0A7\n", + ":040780007F610C8CFD\n", + ":040784007F6B0C2853\n", + ":040788007F740BC4AB\n", + ":04078C007F7D0B5F03\n", + ":040790007F860AFB5B\n", + ":040794007F8F0A97B2\n", + ":040798007F970A330A\n", + ":04079C007F9F09CF63\n", + ":0407A0007FA6096ABD\n", + ":0407A4007FAD090616\n", + ":0407A8007FB408A270\n", + ":0407AC007FBB083EC9\n", + ":0407B0007FC107D925\n", + ":0407B4007FC707757F\n", + ":0407B8007FCD0711D9\n", + ":0407BC007FD206AC36\n", + ":0407C0007FD8064890\n", + ":0407C4007FDC05E3EE\n", + ":0407C8007FE1057F49\n", + ":0407CC007FE5051BA5\n", + ":0407D0007FE904B603\n", + ":0407D4007FEC045260\n", + ":0407D8007FF003EDBE\n", + ":0407DC007FF303891B\n", + ":0407E0007FF503247A\n", + ":0407E4007FF702C0D9\n", + ":0407E8007FF9025B38\n", + ":0407EC007FFB01F797\n", + ":0407F0007FFD0192F6\n", + ":0407F4007FFE012E55\n", + ":0407F8007FFE00C9B7\n", + ":0407FC007FFF006516\n", + ":040800007FFF000076\n", + ":040804007FFFFF9BD8\n", + ":040808007FFEFF3739\n", + ":04080C007FFEFED29B\n", + ":040810007FFDFE6EFC\n", + ":040814007FFBFE095F\n", + ":040818007FF9FDA5C2\n", + ":04081C007FF7FD4025\n", + ":040820007FF5FCDC88\n", + ":040824007FF3FC77EB\n", + ":040828007FF0FC134E\n", + ":04082C007FECFBAEB4\n", + ":040830007FE9FB4A17\n", + ":040834007FE5FAE57D\n", + ":040838007FE1FA81E1\n", + ":04083C007FDCFA1D46\n", + ":040840007FD8F9B8AC\n", + ":040844007FD2F95412\n", + ":040848007FCDF8EF79\n", + ":04084C007FC7F88BDF\n", + ":040850007FC1F82745\n", + ":040854007FBBF7C2AD\n", + ":040858007FB4F75E14\n", + ":04085C007FADF6FA7C\n", + ":040860007FA6F696E3\n", + ":040864007F9FF6314B\n", + ":040868007F97F5CDB4\n", + ":04086C007F8FF5691C\n", + ":040870007F86F50585\n", + ":040874007F7DF4A1EF\n", + ":040878007F74F43C59\n", + ":04087C007F6BF3D8C3\n", + ":040880007F61F3742D\n", + ":040884007F57F31097\n", + ":040888007F4DF2AC02\n", + ":04088C007F42F2486D\n", + ":040890007F37F1E4D9\n", + ":040894007F2CF18044\n", + ":040898007F21F11DAE\n", + ":04089C007F15F0B91B\n", + ":0408A0007F09F05587\n", + ":0408A4007EFCEFF1F6\n", + ":0408A8007EEFEF8E62\n", + ":0408AC007EE2EF2ACF\n", + ":0408B0007ED5EEC63D\n", + ":0408B4007EC7EE63AA\n", + ":0408B8007EB9EDFF19\n", + ":0408BC007EABED9C86\n", + ":0408C0007E9CED38F5\n", + ":0408C4007E8DECD564\n", + ":0408C8007E7EEC71D3\n", + ":0408CC007E6FEC0E41\n", + ":0408D0007E5FEBABB1\n", + ":0408D4007E4FEB4721\n", + ":0408D8007E3EEAE492\n", + ":0408DC007E2EEA8101\n", + ":0408E0007E1DEA1E71\n", + ":0408E4007E0BE9BBE3\n", + ":0408E8007DFAE95854\n", + ":0408EC007DE8E8F5C6\n", + ":0408F0007DD5E89238\n", + ":0408F4007DC3E830A8\n", + ":0408F8007DB0E7CD1B\n", + ":0408FC007D9DE76A8D\n", + ":040900007D89E707FF\n", + ":040904007D76E6A571\n", + ":040908007D62E642E4\n", + ":04090C007D4DE5E058\n", + ":040910007D39E57ECA\n", + ":040914007D24E51B3E\n", + ":040918007D0EE4B9B3\n", + ":04091C007CF9E45727\n", + ":040920007CE3E3F59C\n", + ":040924007CCDE39310\n", + ":040928007CB6E33185\n", + ":04092C007C9FE2CFFB\n", + ":040930007C88E26D70\n", + ":040934007C71E20BE5\n", + ":040938007C59E1A95C\n", + ":04093C007C41E148D1\n", + ":040940007C29E0E648\n", + ":040944007C10E085BE\n", + ":040948007BF8E02335\n", + ":04094C007BDEDFC2AD\n", + ":040950007BC5DF6123\n", + ":040954007BABDF009A\n", + ":040958007B91DE9F12\n", + ":04095C007B77DE3E89\n", + ":040960007B5CDDDD02\n", + ":040964007B41DD7C7A\n", + ":040968007B26DD1BF2\n", + ":04096C007B0ADCBA6C\n", + ":040970007AEEDC5AE5\n", + ":040974007AD2DBF95F\n", + ":040978007AB6DB99D7\n", + ":04097C007A99DB3851\n", + ":040980007A7CDAD8CB\n", + ":040984007A5FDA7844\n", + ":040988007A41DA18BE\n", + ":04098C007A23D9B839\n", + ":040990007A05D958B3\n", + ":0409940079E6D8F830\n", + ":0409980079C8D899A9\n", + ":04099C0079A9D83924\n", + ":0409A0007989D7DAA0\n", + ":0409A400796AD77A1B\n", + ":0409A800794AD71B96\n", + ":0409AC007929D6BC13\n", + ":0409B0007909D65D8E\n", + ":0409B40078E8D5FE0C\n", + ":0409B80078C7D59F88\n", + ":0409BC0078A5D54005\n", + ":0409C0007884D4E182\n", + ":0409C4007862D483FE\n", + ":0409C800783FD4247C\n", + ":0409CC00781DD3C6F9\n", + ":0409D00077FAD36778\n", + ":0409D40077D7D309F5\n", + ":0409D80077B3D2AB74\n", + ":0409DC00778FD24DF2\n", + ":0409E000776BD1EF71\n", + ":0409E4007747D192EE\n", + ":0409E8007722D1346D\n", + ":0409EC0076FED0D6ED\n", + ":0409F00076D8D0796C\n", + ":0409F40076B3D01CEA\n", + ":0409F800768DCFBF6A\n", + ":0409FC007667CF62E9\n", + ":040A00007641CF0567\n", + ":040A0400761ACEA8E8\n", + ":040A080075F3CE4B69\n", + ":040A0C0075CCCDEFE9\n", + ":040A100075A5CD9269\n", + ":040A1400757DCD36E9\n", + ":040A18007555CCDA6A\n", + ":040A1C00752DCC7DEB\n", + ":040A20007504CC216C\n", + ":040A240074DBCBC6EE\n", + ":040A280074B2CB6A6F\n", + ":040A2C007488CB0EF1\n", + ":040A3000745FCAB372\n", + ":040A34007435CA58F3\n", + ":040A3800740AC9FC77\n", + ":040A3C0073E0C9A1F9\n", + ":040A400073B5C9467B\n", + ":040A4400738AC8EBFE\n", + ":040A4800735EC89180\n", + ":040A4C007333C83602\n", + ":040A50007307C7DC85\n", + ":040A540072DBC78208\n", + ":040A580072AEC7278C\n", + ":040A5C007281C6CD10\n", + ":040A60007254C67492\n", + ":040A64007227C61A15\n", + ":040A680071F9C5C09B\n", + ":040A6C0071CBC5671E\n", + ":040A7000719DC50EA1\n", + ":040A7400716FC4B426\n", + ":040A78007140C45BAA\n", + ":040A7C007111C4022E\n", + ":040A800070E2C3AAB3\n", + ":040A840070B2C35138\n", + ":040A88007083C2F9BC\n", + ":040A8C007053C2A041\n", + ":040A90007022C248C6\n", + ":040A94006FF2C1F04C\n", + ":040A98006FC1C198D1\n", + ":040A9C006F90C14155\n", + ":040AA0006F5EC0E9DC\n", + ":040AA4006F2CC09261\n", + ":040AA8006EFBC03BE6\n", + ":040AAC006EC8BFE36E\n", + ":040AB0006E96BF8DF2\n", + ":040AB4006E63BF3678\n", + ":040AB8006E30BEDFFF\n", + ":040ABC006DFDBE8985\n", + ":040AC0006DC9BE320C\n", + ":040AC4006D95BDDC93\n", + ":040AC8006D61BD8619\n", + ":040ACC006D2DBD309F\n", + ":040AD0006CF8BCDB27\n", + ":040AD4006CC3BC85AE\n", + ":040AD8006C8EBC3034\n", + ":040ADC006C59BBDBBB\n", + ":040AE0006C23BB8642\n", + ":040AE4006BEDBB31CA\n", + ":040AE8006BB7BADC52\n", + ":040AEC006B81BA88D8\n", + ":040AF0006B4ABA3360\n", + ":040AF4006B13B9DFE8\n", + ":040AF8006ADCB98B70\n", + ":040AFC006AA4B937F8\n", + ":040B00006A6DB8E47E\n", + ":040B04006A35B89006\n", + ":040B080069FDB83D8E\n", + ":040B0C0069C4B7EA17\n", + ":040B1000698BB7979F\n", + ":040B14006952B74427\n", + ":040B18006919B6F1B0\n", + ":040B1C0068E0B69F38\n", + ":040B200068A6B64CC1\n", + ":040B2400686CB5FA4A\n", + ":040B28006832B5A8D2\n", + ":040B2C0067F7B5575B\n", + ":040B300067BCB505E4\n", + ":040B34006781B4B46D\n", + ":040B38006746B463F5\n", + ":040B3C00670AB4127E\n", + ":040B400066CFB3C108\n", + ":040B44006693B37091\n", + ":040B48006656B3201A\n", + ":040B4C00661AB2CFA4\n", + ":040B500065DDB27F2E\n", + ":040B540065A0B22FB7\n", + ":040B58006563B1E040\n", + ":040B5C006525B190CA\n", + ":040B600064E8B14153\n", + ":040B640064AAB0F2DD\n", + ":040B6800646CB0A366\n", + ":040B6C00642DB054F0\n", + ":040B700063EEB0057B\n", + ":040B740063AFAFB705\n", + ":040B78006370AF698E\n", + ":040B7C006331AF1B17\n", + ":040B800062F1AECDA3\n", + ":040B840062B1AE7F2D\n", + ":040B88006271AE32B6\n", + ":040B8C006231ADE540\n", + ":040B900061F0AD98CB\n", + ":040B940061AFAD4B55\n", + ":040B9800616EACFEE0\n", + ":040B9C00612DACB269\n", + ":040BA00060EBAC65F5\n", + ":040BA40060AAAC197E\n", + ":040BA8006068ABCE08\n", + ":040BAC006025AB8293\n", + ":040BB0005FE3AB371D\n", + ":040BB4005FA0AAEBA9\n", + ":040BB8005F5DAAA033\n", + ":040BBC005F1AAA56BC\n", + ":040BC0005ED7AA0B47\n", + ":040BC4005E93A9C1D2\n", + ":040BC8005E4FA9765D\n", + ":040BCC005E0BA92DE6\n", + ":040BD0005DC7A8E372\n", + ":040BD4005D82A899FD\n", + ":040BD8005D3EA85086\n", + ":040BDC005CF9A80711\n", + ":040BE0005CB3A7BE9D\n", + ":040BE4005C6EA77527\n", + ":040BE8005C28A72DB1\n", + ":040BEC005BE2A6E43E\n", + ":040BF0005B9CA69CC8\n", + ":040BF4005B56A65452\n", + ":040BF8005B0FA60DDC\n", + ":040BFC005AC9A5C568\n", + ":040C00005A82A57EF1\n", + ":040C04005A3BA5377B\n", + ":040C080059F3A4F107\n", + ":040C0C0059ACA4AA91\n", + ":040C10005964A4641B\n", + ":040C1400591CA41EA5\n", + ":040C180058D3A3D832\n", + ":040C1C00588BA392BC\n", + ":040C20005842A34D46\n", + ":040C240057F9A307D2\n", + ":040C280057B0A2C25D\n", + ":040C2C005767A27EE6\n", + ":040C3000571DA23971\n", + ":040C340056D3A1F5FD\n", + ":040C3800568AA1B186\n", + ":040C3C00563FA16D11\n", + ":040C400055F5A1299C\n", + ":040C440055AAA0E627\n", + ":040C48005560A0A3B0\n", + ":040C4C005515A0603A\n", + ":040C500054C9A01DC6\n", + ":040C5400547E9FDB50\n", + ":040C580054329F98DB\n", + ":040C5C0053E79F5665\n", + ":040C6000539B9F15EE\n", + ":040C6400534E9ED37A\n", + ":040C680053029E9203\n", + ":040C6C0052B59E518E\n", + ":040C700052689E1018\n", + ":040C7400521B9DCFA3\n", + ":040C780051CE9D8F2D\n", + ":040C7C0051819D4FB6\n", + ":040C800051339D0F40\n", + ":040C840050E59CCFCC\n", + ":040C880050979C9055\n", + ":040C8C0050499C51DE\n", + ":040C90004FFB9C1268\n", + ":040C94004FAC9BD3F3\n", + ":040C98004F5D9B947D\n", + ":040C9C004F0E9B5606\n", + ":040CA0004EBF9B1890\n", + ":040CA4004E709ADB19\n", + ":040CA8004E209A9DA3\n", + ":040CAC004DD19A602C\n", + ":040CB0004D819A23B5\n", + ":040CB4004D3199E63F\n", + ":040CB8004CE099AAC9\n", + ":040CBC004C90996D52\n", + ":040CC0004C3F9931DB\n", + ":040CC4004BEE98F665\n", + ":040CC8004B9D98BAEE\n", + ":040CCC004B4C987F76\n", + ":040CD0004AFB9844FF\n", + ":040CD4004AA9980988\n", + ":040CD8004A5897CE11\n", + ":040CDC004A06979499\n", + ":040CE00049B4975A22\n", + ":040CE40049619720AB\n", + ":040CE800490F96E733\n", + ":040CEC0048BC96AEBC\n", + ":040CF0004869967544\n", + ":040CF4004816963CCC\n", + ":040CF80047C3960355\n", + ":040CFC00477095CBDD\n", + ":040D0000471C959364\n", + ":040D040046C9955CEB\n", + ":040D08004675952473\n", + ":040D0C00462194EDFB\n", + ":040D100045CD94B683\n", + ":040D14004578947F0B\n", + ":040D18004524944991\n", + ":040D1C0044CF941319\n", + ":040D2000447A93DDA1\n", + ":040D2400442593A728\n", + ":040D280043D09372AF\n", + ":040D2C00437B933D35\n", + ":040D300043259308BC\n", + ":040D340042D092D344\n", + ":040D3800427A929FCA\n", + ":040D3C004224926B50\n", + ":040D400041CE9237D7\n", + ":040D4400417792035E\n", + ":040D4800412191D0E4\n", + ":040D4C0040CA919D6B\n", + ":040D50004073916AF1\n", + ":040D5400401D913875\n", + ":040D58003FC59105FD\n", + ":040D5C003F6E90D482\n", + ":040D60003F1790A207\n", + ":040D64003EBF90708E\n", + ":040D68003E68903F12\n", + ":040D6C003E10900E97\n", + ":040D70003DB88FDE1D\n", + ":040D74003D608FADA2\n", + ":040D78003D078F7D27\n", + ":040D7C003CAF8F4EAB\n", + ":040D80003C568F1E30\n", + ":040D84003BFE8EEFB5\n", + ":040D88003BA58EC039\n", + ":040D8C003B4C8E91BD\n", + ":040D90003AF28E6342\n", + ":040D94003A998E35C5\n", + ":040D98003A408E0748\n", + ":040D9C0039E68DD9CE\n", + ":040DA000398C8DAC51\n", + ":040DA40039338D7FD3\n", + ":040DA80038D98D5257\n", + ":040DAC00387E8D25DB\n", + ":040DB00038248CF95E\n", + ":040DB40037CA8CCDE1\n", + ":040DB800376F8CA263\n", + ":040DBC0037158C76E5\n", + ":040DC00036BA8C4B68\n", + ":040DC400365F8C20EA\n", + ":040DC80036048BF66C\n", + ":040DCC0035A88BCBF0\n", + ":040DD000354D8BA171\n", + ":040DD40034F28B78F2\n", + ":040DD80034968B4E74\n", + ":040DDC00343A8B25F5\n", + ":040DE00033DF8AFC77\n", + ":040DE40033838AD3F8\n", + ":040DE80033268AAB79\n", + ":040DEC0032CA8A83FA\n", + ":040DF000326E8A5B7A\n", + ":040DF40032118A34FA\n", + ":040DF80031B58A0D7A\n", + ":040DFC00315889E6FB\n", + ":040E000030FB89BF7B\n", + ":040E0400309E8999FA\n", + ":040E08003041897379\n", + ":040E0C002FE4894DF9\n", + ":040E10002F87892877\n", + ":040E14002F2A8902F6\n", + ":040E18002ECC88DE76\n", + ":040E1C002E6E88B9F5\n", + ":040E20002E11889572\n", + ":040E24002DB38871F1\n", + ":040E28002D55884D6F\n", + ":040E2C002CF78829EE\n", + ":040E30002C9988066B\n", + ":040E34002C3A87E3EA\n", + ":040E38002BDC87C167\n", + ":040E3C002B7D879EE5\n", + ":040E40002B1F877C61\n", + ":040E44002AC0875BDE\n", + ":040E48002A6187395B\n", + ":040E4C002A028718D7\n", + ":040E500029A386F755\n", + ":040E5400294486D7D0\n", + ":040E580028E586B64D\n", + ":040E5C0028868696C8\n", + ":040E60002826867743\n", + ":040E640027C78657BF\n", + ":040E6800276786383A\n", + ":040E6C002708861AB3\n", + ":040E700026A885FB30\n", + ":040E7400264885DDAA\n", + ":040E780025E885BF25\n", + ":040E7C00258885A19F\n", + ":040E80002528858418\n", + ":040E840024C8856792\n", + ":040E88002467854A0C\n", + ":040E8C002407852E84\n", + ":040E900023A68512FE\n", + ":040E9400234684F677\n", + ":040E980022E584DAF1\n", + ":040E9C00228484BF69\n", + ":040EA000222384A4E1\n", + ":040EA40021C284895A\n", + ":040EA8002161846FD1\n", + ":040EAC002100845548\n", + ":040EB000209F843BC0\n", + ":040EB400203E842236\n", + ":040EB8001FDD8408AE\n", + ":040EBC001F7B83F025\n", + ":040EC0001F1A83D79B\n", + ":040EC4001EB883BF12\n", + ":040EC8001E5783A787\n", + ":040ECC001DF5838FFE\n", + ":040ED0001D93837873\n", + ":040ED4001D318361E8\n", + ":040ED8001CCF834A5E\n", + ":040EDC001C6D8333D3\n", + ":040EE0001C0B831D47\n", + ":040EE4001BA98307BC\n", + ":040EE8001B4782F230\n", + ":040EEC001AE582DCA5\n", + ":040EF0001A8282C719\n", + ":040EF4001A2082B38B\n", + ":040EF80019BE829EFF\n", + ":040EFC00195B828A72\n", + ":040F000018F98277E3\n", + ":040F04001896826356\n", + ":040F080018338250C8\n", + ":040F0C0017D0823D3B\n", + ":040F1000176E822BAB\n", + ":040F1400170B82181D\n", + ":040F180016A882068F\n", + ":040F1C00164581F500\n", + ":040F200015E281E372\n", + ":040F2400157F81D2E2\n", + ":040F2800151C81C251\n", + ":040F2C0014B981B1C2\n", + ":040F3000145581A132\n", + ":040F340013F28191A2\n", + ":040F3800138F818210\n", + ":040F3C00132B81737F\n", + ":040F400012C88164EE\n", + ":040F4400126481555D\n", + ":040F480012018147CA\n", + ":040F4C00119D813939\n", + ":040F5000113A812BA6\n", + ":040F540010D6811E14\n", + ":040F58001072811181\n", + ":040F5C00100F8104ED\n", + ":040F60000FAB80F75C\n", + ":040F64000F4780EBC8\n", + ":040F68000EE380DF35\n", + ":040F6C000E8080D49F\n", + ":040F70000E1C80C90A\n", + ":040F74000DB880BE76\n", + ":040F78000D5480B3E1\n", + ":040F7C000CF080A94C\n", + ":040F80000C8C809FB6\n", + ":040F84000C28809520\n", + ":040F88000BC4808C8A\n", + ":040F8C000B5F8083F4\n", + ":040F90000AFB807A5E\n", + ":040F94000A978071C7\n", + ":040F98000A3380692F\n", + ":040F9C0009CF806198\n", + ":040FA000096A805A00\n", + ":040FA4000906805367\n", + ":040FA80008A2804CCF\n", + ":040FAC00083E804536\n", + ":040FB00007D9803F9E\n", + ":040FB4000775803904\n", + ":040FB800071180336A\n", + ":040FBC0006AC802ED1\n", + ":040FC0000648802837\n", + ":040FC40005E380249D\n", + ":040FC800057F801F02\n", + ":040FCC00051B801B66\n", + ":040FD00004B68017CC\n", + ":040FD400045280142F\n", + ":040FD80003ED801095\n", + ":040FDC000389800DF8\n", + ":040FE0000324800B5B\n", + ":040FE40002C08009BE\n", + ":040FE800025B800721\n", + ":040FEC0001F7800584\n", + ":040FF00001928003E7\n", + ":040FF400012E800248\n", + ":040FF80000C98002AA\n", + ":040FFC00006580010B\n", + ":04100000000080016B\n", + ":04100400FF9B8001CD\n", + ":04100800FF3780022C\n", + ":04100C00FED280028E\n", + ":04101000FE6E8003ED\n", + ":04101400FE0980054C\n", + ":04101800FDA58007AB\n", + ":04101C00FD4080090A\n", + ":04102000FCDC800B69\n", + ":04102400FC77800DC8\n", + ":04102800FC13801025\n", + ":04102C00FBAE801483\n", + ":04103000FB4A8017E0\n", + ":04103400FAE5801B3E\n", + ":04103800FA81801F9A\n", + ":04103C00FA1D8024F5\n", + ":04104000F9B8802853\n", + ":04104400F954802EAD\n", + ":04104800F8EF80330A\n", + ":04104C00F88B803964\n", + ":04105000F827803FBE\n", + ":04105400F7C280451A\n", + ":04105800F75E804C73\n", + ":04105C00F6FA8053CD\n", + ":04106000F696805A26\n", + ":04106400F631806180\n", + ":04106800F5CD8069D9\n", + ":04106C00F569807131\n", + ":04107000F505807A88\n", + ":04107400F4A18083E0\n", + ":04107800F43C808C38\n", + ":04107C00F3D8809590\n", + ":04108000F374809FE6\n", + ":04108400F31080A93C\n", + ":04108800F2AC80B393\n", + ":04108C00F24880BEE8\n", + ":04109000F1E480C93E\n", + ":04109400F18080D493\n", + ":04109800F11D80DFE7\n", + ":04109C00F0B980EB3C\n", + ":0410A000F05580F790\n", + ":0410A400EFF18104E3\n", + ":0410A800EF8E811135\n", + ":0410AC00EF2A811E88\n", + ":0410B000EEC6812BDC\n", + ":0410B400EE6381392D\n", + ":0410B800EDFF814780\n", + ":0410BC00ED9C8155D1\n", + ":0410C000ED38816422\n", + ":0410C400ECD5817373\n", + ":0410C800EC718182C4\n", + ":0410CC00EC0E819114\n", + ":0410D000EBAB81A164\n", + ":0410D400EB4781B1B4\n", + ":0410D800EAE481C203\n", + ":0410DC00EA8181D252\n", + ":0410E000EA1E81E3A0\n", + ":0410E400E9BB81F5EE\n", + ":0410E800E95882063B\n", + ":0410EC00E8F5821889\n", + ":0410F000E892822BD5\n", + ":0410F400E830823D21\n", + ":0410F800E7CD82506E\n", + ":0410FC00E76A8263BA\n", + ":04110000E707827704\n", + ":04110400E6A5828A50\n", + ":04110800E642829E9B\n", + ":04110C00E5E082B3E5\n", + ":04111000E57E82C72F\n", + ":04111400E51B82DC79\n", + ":04111800E4B982F2C2\n", + ":04111C00E45783070A\n", + ":04112000E3F5831D53\n", + ":04112400E39383339B\n", + ":04112800E331834AE2\n", + ":04112C00E2CF83612A\n", + ":04113000E26D837871\n", + ":04113400E20B838FB8\n", + ":04113800E1A983A7FF\n", + ":04113C00E14883BF44\n", + ":04114000E0E683D78B\n", + ":04114400E08583F0CF\n", + ":04114800E023840814\n", + ":04114C00DFC2842258\n", + ":04115000DF61843B9C\n", + ":04115400DF008455DF\n", + ":04115800DE9F846F23\n", + ":04115C00DE3E848966\n", + ":04116000DDDD84A4A9\n", + ":04116400DD7C84BFEB\n", + ":04116800DD1B84DA2D\n", + ":04116C00DCBA84F66F\n", + ":04117000DC5A8512AE\n", + ":04117400DBF9852EF0\n", + ":04117800DB99854A30\n", + ":04117C00DB38856770\n", + ":04118000DAD88584B0\n", + ":04118400DA7885A1EF\n", + ":04118800DA1885BF2D\n", + ":04118C00D9B885DD6C\n", + ":04119000D95885FBAA\n", + ":04119400D8F8861AE7\n", + ":04119800D899863824\n", + ":04119C00D839865761\n", + ":0411A000D7DA86779D\n", + ":0411A400D77A8696DA\n", + ":0411A800D71B86B615\n", + ":0411AC00D6BC86D750\n", + ":0411B000D65D86F78B\n", + ":0411B400D5FE8718C5\n", + ":0411B800D59F8739FF\n", + ":0411BC00D540875B38\n", + ":0411C000D4E1877C73\n", + ":0411C400D483879EAB\n", + ":0411C800D42487C1E3\n", + ":0411CC00D3C687E31C\n", + ":0411D000D367880653\n", + ":0411D400D30988298A\n", + ":0411D800D2AB884DC1\n", + ":0411DC00D24D8871F7\n", + ":0411E000D1EF88952E\n", + ":0411E400D19288B963\n", + ":0411E800D13488DE98\n", + ":0411EC00D0D68902CE\n", + ":0411F000D079892801\n", + ":0411F400D01C894D35\n", + ":0411F800CFBF897369\n", + ":0411FC00CF6289999C\n", + ":04120000CF0589BFCE\n", + ":04120400CEA889E601\n", + ":04120800CE4B8A0D32\n", + ":04120C00CDEF8A3464\n", + ":04121000CD928A5B96\n", + ":04121400CD368A83C6\n", + ":04121800CCDA8AABF7\n", + ":04121C00CC7D8AD328\n", + ":04122000CC218AFC57\n", + ":04122400CBC68B2585\n", + ":04122800CB6A8B4EB4\n", + ":04122C00CB0E8B78E2\n", + ":04123000CAB38BA111\n", + ":04123400CA588BCB3E\n", + ":04123800C9FC8BF66C\n", + ":04123C00C9A18C2098\n", + ":04124000C9468C4BC4\n", + ":04124400C8EB8C76F1\n", + ":04124800C8918CA21B\n", + ":04124C00C8368CCD47\n", + ":04125000C7DC8CF972\n", + ":04125400C7828D259B\n", + ":04125800C7278D52C5\n", + ":04125C00C6CD8D7FEF\n", + ":04126000C6748DAC17\n", + ":04126400C61A8DD940\n", + ":04126800C5C08E0768\n", + ":04126C00C5678E358F\n", + ":04127000C50E8E63B6\n", + ":04127400C4B48E91DF\n", + ":04127800C45B8EC005\n", + ":04127C00C4028EEF2B\n", + ":04128000C3AA8F1E50\n", + ":04128400C3518F4E75\n", + ":04128800C2F98F7D9B\n", + ":04128C00C2A08FADC0\n", + ":04129000C2488FDEE3\n", + ":04129400C1F0900E07\n", + ":04129800C198903F2A\n", + ":04129C00C14190704C\n", + ":0412A000C0E990A26F\n", + ":0412A400C09290D490\n", + ":0412A800C03B9105B1\n", + ":0412AC00BFE39138D3\n", + ":0412B000BF8D916AF3\n", + ":0412B400BF36919D13\n", + ":0412B800BEDF91D034\n", + ":0412BC00BE89920352\n", + ":0412C000BE32923771\n", + ":0412C400BDDC926B90\n", + ":0412C800BD86929FAE\n", + ":0412CC00BD3092D3CC\n", + ":0412D000BCDB9308E8\n", + ":0412D400BC85933D05\n", + ":0412D800BC30937221\n", + ":0412DC00BBDB93A73E\n", + ":0412E000BB8693DD59\n", + ":0412E400BB31941373\n", + ":0412E800BADC94498F\n", + ":0412EC00BA88947FA9\n", + ":0412F000BA3394B6C3\n", + ":0412F400B9DF94EDDD\n", + ":0412F800B98B9524F5\n", + ":0412FC00B937955C0D\n", + ":04130000B8E4959325\n", + ":04130400B89095CB3D\n", + ":04130800B83D960353\n", + ":04130C00B7EA963C6A\n", + ":04131000B797967580\n", + ":04131400B74496AE96\n", + ":04131800B6F196E7AD\n", + ":04131C00B69F9720C1\n", + ":04132000B64C975AD6\n", + ":04132400B5FA9794EB\n", + ":04132800B5A897CEFF\n", + ":04132C00B557980910\n", + ":04133000B505984423\n", + ":04133400B4B4987F36\n", + ":04133800B46398BA48\n", + ":04133C00B41298F659\n", + ":04134000B3C199316B\n", + ":04134400B370996D7C\n", + ":04134800B32099AA8B\n", + ":04134C00B2CF99E69D\n", + ":04135000B27F9A23AB\n", + ":04135400B22F9A60BA\n", + ":04135800B1E09A9DC9\n", + ":04135C00B1909ADBD7\n", + ":04136000B1419B18E4\n", + ":04136400B0F29B56F2\n", + ":04136800B0A39B94FF\n", + ":04136C00B0549BD30B\n", + ":04137000B0059C1216\n", + ":04137400AFB79C5122\n", + ":04137800AF699C902D\n", + ":04137C00AF1B9CCF38\n", + ":04138000AECD9D0F42\n", + ":04138400AE7F9D4F4C\n", + ":04138800AE329D8F55\n", + ":04138C00ADE59DCF5F\n", + ":04139000AD989E1066\n", + ":04139400AD4B9E516E\n", + ":04139800ACFE9E9277\n", + ":04139C00ACB29ED37E\n", + ":0413A000AC659F1584\n", + ":0413A400AC199F568B\n", + ":0413A800ABCE9F9891\n", + ":0413AC00AB829FDB96\n", + ":0413B000AB37A01D9A\n", + ":0413B400AAEBA060A0\n", + ":0413B800AAA0A0A3A4\n", + ":0413BC00AA56A0E6A7\n", + ":0413C000AA0BA129AA\n", + ":0413C400A9C1A16DAD\n", + ":0413C800A976A1B1B0\n", + ":0413CC00A92DA1F5B1\n", + ":0413D000A8E3A239B3\n", + ":0413D400A899A27EB4\n", + ":0413D800A850A2C2B5\n", + ":0413DC00A807A307B4\n", + ":0413E000A7BEA34DB4\n", + ":0413E400A775A392B4\n", + ":0413E800A72DA3D8B2\n", + ":0413EC00A6E4A41EB1\n", + ":0413F000A69CA464AF\n", + ":0413F400A654A4AAAD\n", + ":0413F800A60DA4F1A9\n", + ":0413FC00A5C5A537A7\n", + ":04140000A57EA57EA2\n", + ":04140400A537A5C59E\n", + ":04140800A4F1A60D98\n", + ":04140C00A4AAA65494\n", + ":04141000A464A69C8E\n", + ":04141400A41EA6E488\n", + ":04141800A3D8A72D81\n", + ":04141C00A392A7757B\n", + ":04142000A34DA7BE73\n", + ":04142400A307A8076B\n", + ":04142800A2C2A85064\n", + ":04142C00A27EA8995B\n", + ":04143000A239A8E352\n", + ":04143400A1F5A92D48\n", + ":04143800A1B1A9763F\n", + ":04143C00A16DA9C134\n", + ":04144000A129AA0B29\n", + ":04144400A0E6AA561E\n", + ":04144800A0A3AAA013\n", + ":04144C00A060AAEB07\n", + ":04145000A01DAB37F9\n", + ":041454009FDBAB82ED\n", + ":041458009F98ABCEE0\n", + ":04145C009F56AC19D2\n", + ":041460009F15AC65C3\n", + ":041464009ED3ACB2B5\n", + ":041468009E92ACFEA6\n", + ":04146C009E51AD4B95\n", + ":041470009E10AD9885\n", + ":041474009DCFADE576\n", + ":041478009D8FAE3264\n", + ":04147C009D4FAE7F53\n", + ":041480009D0FAECD41\n", + ":041484009CCFAF1B2F\n", + ":041488009C90AF691C\n", + ":04148C009C51AFB709\n", + ":041490009C12B005F5\n", + ":041494009BD3B054E2\n", + ":041498009B94B0A3CE\n", + ":04149C009B56B0F2B9\n", + ":0414A0009B18B141A3\n", + ":0414A4009ADBB1908E\n", + ":0414A8009A9DB1E078\n", + ":0414AC009A60B22F61\n", + ":0414B0009A23B27F4A\n", + ":0414B40099E6B2CF34\n", + ":0414B80099AAB3201A\n", + ":0414BC00996DB37003\n", + ":0414C0009931B3C1EA\n", + ":0414C40098F6B412D0\n", + ":0414C80098BAB463B7\n", + ":0414CC00987FB4B49D\n", + ":0414D0009844B50582\n", + ":0414D4009809B55767\n", + ":0414D80097CEB5A84E\n", + ":0414DC009794B5FA32\n", + ":0414E000975AB64C15\n", + ":0414E4009720B69FF8\n", + ":0414E80096E7B6F1DC\n", + ":0414EC0096AEB744BD\n", + ":0414F0009675B7979F\n", + ":0414F400963CB7EA81\n", + ":0414F8009603B83D62\n", + ":0414FC0095CBB89044\n", + ":041500009593B8E423\n", + ":04150400955CB93702\n", + ":041508009524B98BE2\n", + ":04150C0094EDB9DFC2\n", + ":0415100094B6BA33A0\n", + ":04151400947FBA887E\n", + ":041518009449BADC5C\n", + ":04151C009413BB3138\n", + ":0415200093DDBB8616\n", + ":0415240093A7BBDBF3\n", + ":041528009372BC30CE\n", + ":04152C00933DBC85AA\n", + ":041530009308BCDB85\n", + ":0415340092D3BD3061\n", + ":04153800929FBD863B\n", + ":04153C00926BBDDC15\n", + ":041540009237BE32EE\n", + ":041544009203BE89C7\n", + ":0415480091D0BEDFA1\n", + ":04154C00919DBF3678\n", + ":04155000916ABF8D50\n", + ":041554009138BFE328\n", + ":041558009105C03BFE\n", + ":04155C0090D4C092D5\n", + ":0415600090A2C0E9AC\n", + ":041564009070C14181\n", + ":04156800903FC19857\n", + ":04156C00900EC1F02C\n", + ":041570008FDEC24800\n", + ":041574008FADC2A0D5\n", + ":041578008F7DC2F9A8\n", + ":04157C008F4EC3517A\n", + ":041580008F1EC3AA4D\n", + ":041584008EEFC40220\n", + ":041588008EC0C45BF2\n", + ":04158C008E91C4B4C4\n", + ":041590008E63C50E93\n", + ":041594008E35C56764\n", + ":041598008E07C5C035\n", + ":04159C008DD9C61A05\n", + ":0415A0008DACC674D4\n", + ":0415A4008D7FC6CDA4\n", + ":0415A8008D52C72772\n", + ":0415AC008D25C78240\n", + ":0415B0008CF9C7DC0F\n", + ":0415B4008CCDC836DC\n", + ":0415B8008CA2C891A8\n", + ":0415BC008C76C8EB76\n", + ":0415C0008C4BC94641\n", + ":0415C4008C20C9A10D\n", + ":0415C8008BF6C9FCD9\n", + ":0415CC008BCBCA58A3\n", + ":0415D0008BA1CAB36E\n", + ":0415D4008B78CB0E37\n", + ":0415D8008B4ECB6A01\n", + ":0415DC008B25CBC6CA\n", + ":0415E0008AFCCC2194\n", + ":0415E4008AD3CC7D5D\n", + ":0415E8008AABCCDA24\n", + ":0415EC008A83CD36EB\n", + ":0415F0008A5BCD92B3\n", + ":0415F4008A34CDEF79\n", + ":0415F8008A0DCE4B3F\n", + ":0415FC0089E6CEA806\n", + ":0416000089BFCF05CA\n", + ":041604008999CF628F\n", + ":041608008973CFBF54\n", + ":04160C00894DD01C18\n", + ":041610008928D079DC\n", + ":041614008902D0D6A1\n", + ":0416180088DED13463\n", + ":04161C0088B9D19226\n", + ":041620008895D1EFE9\n", + ":041624008871D24DAA\n", + ":04162800884DD2AB6C\n", + ":04162C008829D3092D\n", + ":041630008806D367EE\n", + ":0416340087E3D3C6AF\n", + ":0416380087C1D4246E\n", + ":04163C00879ED4832E\n", + ":04164000877CD4E1EE\n", + ":04164400875BD540AB\n", + ":041648008739D59F6A\n", + ":04164C008718D5FE28\n", + ":0416500086F7D65DE6\n", + ":0416540086D7D6BCA3\n", + ":0416580086B6D71B60\n", + ":04165C008696D77A1D\n", + ":041660008677D7DAD8\n", + ":041664008657D83994\n", + ":041668008638D8994F\n", + ":04166C00861AD8F80A\n", + ":0416700085FBD958C5\n", + ":0416740085DDD9B87F\n", + ":0416780085BFDA1838\n", + ":04167C0085A1DA78F2\n", + ":041680008584DAD8AB\n", + ":041684008567DB3863\n", + ":04168800854ADB991B\n", + ":04168C00852EDBF9D3\n", + ":041690008512DC5A89\n", + ":0416940084F6DCBA42\n", + ":0416980084DADD1BF8\n", + ":04169C0084BFDD7CAE\n", + ":0416A00084A4DDDD64\n", + ":0416A4008489DE3E19\n", + ":0416A800846FDE9FCE\n", + ":0416AC008455DF0082\n", + ":0416B000843BDF6137\n", + ":0416B4008422DFC2EB\n", + ":0416B8008408E0239F\n", + ":0416BC0083F0E08552\n", + ":0416C00083D7E0E606\n", + ":0416C40083BFE148B7\n", + ":0416C80083A7E1A96A\n", + ":0416CC00838FE20B1B\n", + ":0416D0008378E26DCC\n", + ":0416D4008361E2CF7D\n", + ":0416D800834AE3312D\n", + ":0416DC008333E393DE\n", + ":0416E000831DE3F58E\n", + ":0416E4008307E4573D\n", + ":0416E80082F2E4B9ED\n", + ":0416EC0082DCE51B9C\n", + ":0416F00082C7E57E4A\n", + ":0416F40082B3E5E0F8\n", + ":0416F800829EE642A6\n", + ":0416FC00828AE6A553\n", + ":041700008277E707FE\n", + ":041704008263E76AAB\n", + ":041708008250E7CD57\n", + ":04170C00823DE83002\n", + ":04171000822BE892AE\n", + ":041714008218E8F55A\n", + ":041718008206E95804\n", + ":04171C0081F5E9BBAF\n", + ":0417200081E3EA1E59\n", + ":0417240081D2EA8103\n", + ":0417280081C2EAE4AC\n", + ":04172C0081B1EB4755\n", + ":0417300081A1EBABFD\n", + ":041734008191EC0EA5\n", + ":041738008182EC714D\n", + ":04173C008173ECD5F4\n", + ":041740008164ED389B\n", + ":041744008155ED9C42\n", + ":041748008147EDFFE9\n", + ":04174C008139EE638E\n", + ":04175000812BEEC635\n", + ":04175400811EEF2AD9\n", + ":041758008111EF8E7E\n", + ":04175C008104EFF124\n", + ":0417600080F7F055C9\n", + ":0417640080EBF0B96D\n", + ":0417680080DFF11D10\n", + ":04176C0080D4F180B4\n", + ":0417700080C9F1E457\n", + ":0417740080BEF248F9\n", + ":0417780080B3F2AC9C\n", + ":04177C0080A9F3103D\n", + ":04178000809FF374DF\n", + ":041784008095F3D881\n", + ":04178800808CF43C21\n", + ":04178C008083F4A1C1\n", + ":04179000807AF50561\n", + ":041794008071F56902\n", + ":041798008069F5CDA2\n", + ":04179C008061F63141\n", + ":0417A000805AF696DF\n", + ":0417A4008053F6FA7E\n", + ":0417A800804CF75E1C\n", + ":0417AC008045F7C2BB\n", + ":0417B000803FF82757\n", + ":0417B4008039F88BF5\n", + ":0417B8008033F8EF93\n", + ":0417BC00802EF9542E\n", + ":0417C0008028F9B8CC\n", + ":0417C4008024FA1D66\n", + ":0417C800801FFA8103\n", + ":0417CC00801BFAE59F\n", + ":0417D0008017FB4A39\n", + ":0417D4008014FBAED4\n", + ":0417D8008010FC136E\n", + ":0417DC00800DFC7709\n", + ":0417E000800BFCDCA2\n", + ":0417E4008009FD403B\n", + ":0417E8008007FDA5D4\n", + ":0417EC008005FE096D\n", + ":0417F0008003FE6E06\n", + ":0417F4008002FED29F\n", + ":0417F8008002FF3735\n", + ":0417FC008001FF9BCE\n", + ":041800008001000063\n", + ":0418040080010065FA\n", + ":04180800800200C991\n", + ":04180C008002012E27\n", + ":0418100080030192BE\n", + ":04181400800501F753\n", + ":041818008007025BE8\n", + ":04181C00800902C07D\n", + ":04182000800B032412\n", + ":04182400800D0389A7\n", + ":04182800801003ED3C\n", + ":04182C0080140452CE\n", + ":04183000801704B663\n", + ":04183400801B051BF5\n", + ":04183800801F057F89\n", + ":04183C00802405E31C\n", + ":0418400080280648AE\n", + ":04184400802E06AC40\n", + ":0418480080330711D1\n", + ":04184C008039077563\n", + ":04185000803F07D9F5\n", + ":041854008045083E85\n", + ":04185800804C08A216\n", + ":04185C0080530906A6\n", + ":04186000805A096A37\n", + ":04186400806109CFC7\n", + ":0418680080690A3356\n", + ":04186C0080710A97E6\n", + ":04187000807A0AFB75\n", + ":0418740080830B5F03\n", + ":04187800808C0BC491\n", + ":04187C0080950C281F\n", + ":04188000809F0C8CAD\n", + ":0418840080A90CF03B\n", + ":0418880080B30D54C8\n", + ":04188C0080BE0DB855\n", + ":0418900080C90E1CE1\n", + ":0418940080D40E806E\n", + ":0418980080DF0EE3FC\n", + ":04189C0080EB0F4787\n", + ":0418A00080F70FAB13\n", + ":0418A4008104100F9C\n", + ":0418A8008111107228\n", + ":0418AC00811E10D6B3\n", + ":0418B000812B113A3D\n", + ":0418B4008139119DC8\n", + ":0418B8008147120151\n", + ":0418BC0081551264DC\n", + ":0418C000816412C865\n", + ":0418C4008173132BEE\n", + ":0418C8008182138F77\n", + ":0418CC00819113F201\n", + ":0418D00081A1145589\n", + ":0418D40081B114B911\n", + ":0418D80081C2151C98\n", + ":0418DC0081D2157F21\n", + ":0418E00081E315E2A9\n", + ":0418E40081F516452F\n", + ":0418E800820616A8B6\n", + ":0418EC008218170B3C\n", + ":0418F000822B176EC2\n", + ":0418F400823D17D04A\n", + ":0418F80082501833CF\n", + ":0418FC008263189655\n", + ":04190000827718F9D9\n", + ":04190400828A195B5F\n", + ":04190800829E19BEE4\n", + ":04190C0082B31A2068\n", + ":0419100082C71A82EE\n", + ":0419140082DC1AE572\n", + ":0419180082F21B47F5\n", + ":04191C0083071BA979\n", + ":04192000831D1C0BFC\n", + ":0419240083331C6D80\n", + ":04192800834A1CCF03\n", + ":04192C0083611D3185\n", + ":0419300083781D9308\n", + ":04193400838F1DF58B\n", + ":0419380083A71E570C\n", + ":04193C0083BF1EB88F\n", + ":0419400083D71F1A10\n", + ":0419440083F01F7B92\n", + ":0419480084081FDD13\n", + ":04194C008422203E93\n", + ":04195000843B209F15\n", + ":041954008455210095\n", + ":04195800846F216116\n", + ":04195C00848921C297\n", + ":0419600084A4222316\n", + ":0419640084BF228496\n", + ":0419680084DA22E516\n", + ":04196C0084F6234694\n", + ":04197000851223A613\n", + ":04197400852E240791\n", + ":04197800854A246711\n", + ":04197C00856724C88F\n", + ":04198000858425280D\n", + ":0419840085A125888C\n", + ":0419880085BF25E80A\n", + ":04198C0085DD264887\n", + ":0419900085FB26A805\n", + ":04199400861A270880\n", + ":0419980086382767FF\n", + ":04199C00865727C77C\n", + ":0419A00086772826F8\n", + ":0419A4008696288675\n", + ":0419A80086B628E5F2\n", + ":0419AC0086D729446D\n", + ":0419B00086F729A3EA\n", + ":0419B40087182A0264\n", + ":0419B80087392A61E0\n", + ":0419BC00875B2AC05B\n", + ":0419C000877C2B1FD6\n", + ":0419C400879E2B7D52\n", + ":0419C80087C12BDCCC\n", + ":0419CC0087E32C3A47\n", + ":0419D00088062C99C0\n", + ":0419D40088292CF73B\n", + ":0419D800884D2D55B4\n", + ":0419DC0088712DB32E\n", + ":0419E00088952E11A7\n", + ":0419E40088B92E6E22\n", + ":0419E80088DE2ECC9B\n", + ":0419EC0089022F2A13\n", + ":0419F00089282F878C\n", + ":0419F400894D2FE406\n", + ":0419F800897330417E\n", + ":0419FC008999309EF7\n", + ":041A000089BF30FB6F\n", + ":041A040089E63158E6\n", + ":041A08008A0D31B55D\n", + ":041A0C008A343211D5\n", + ":041A10008A5B326E4D\n", + ":041A14008A8332CAC5\n", + ":041A18008AAB33263C\n", + ":041A1C008AD33383B3\n", + ":041A20008AFC33DF2A\n", + ":041A24008B25343AA0\n", + ":041A28008B4E349617\n", + ":041A2C008B7834F28D\n", + ":041A30008BA1354D04\n", + ":041A34008BCB35A87B\n", + ":041A38008BF63604EF\n", + ":041A3C008C20365F65\n", + ":041A40008C4B36BADB\n", + ":041A44008C76371550\n", + ":041A48008CA2376FC6\n", + ":041A4C008CCD37CA3C\n", + ":041A50008CF93824B1\n", + ":041A54008D25387E26\n", + ":041A58008D5238D99A\n", + ":041A5C008D7F39330E\n", + ":041A60008DAC398C84\n", + ":041A64008DD939E6F9\n", + ":041A68008E073A406B\n", + ":041A6C008E353A99E0\n", + ":041A70008E633AF255\n", + ":041A74008E913B4CC8\n", + ":041A78008EC03BA53C\n", + ":041A7C008EEF3BFEB0\n", + ":041A80008F1E3C5623\n", + ":041A84008F4E3CAF96\n", + ":041A88008F7D3D070A\n", + ":041A8C008FAD3D607D\n", + ":041A90008FDE3DB8F0\n", + ":041A9400900E3E1062\n", + ":041A9800903F3E68D5\n", + ":041A9C0090703EBF49\n", + ":041AA00090A23F17BA\n", + ":041AA40090D43F6E2D\n", + ":041AA80091053FC5A0\n", + ":041AAC009138401D10\n", + ":041AB000916A407384\n", + ":041AB400919D40CAF6\n", + ":041AB80091D0412167\n", + ":041ABC0092034177D9\n", + ":041AC000923741CE4A\n", + ":041AC400926B4224BB\n", + ":041AC800929F427A2D\n", + ":041ACC0092D342D09F\n", + ":041AD000930843250F\n", + ":041AD400933D437B80\n", + ":041AD800937243D0F2\n", + ":041ADC0093A7442563\n", + ":041AE00093DD447AD4\n", + ":041AE400941344CF44\n", + ":041AE80094494524B4\n", + ":041AEC00947F457826\n", + ":041AF00094B645CD96\n", + ":041AF40094ED462106\n", + ":041AF8009524467576\n", + ":041AFC00955C46C9E6\n", + ":041B00009593471C56\n", + ":041B040095CB4770C6\n", + ":041B0800960347C336\n", + ":041B0C00963C4816A5\n", + ":041B10009675486915\n", + ":041B140096AE48BC85\n", + ":041B180096E7490FF4\n", + ":041B1C009720496164\n", + ":041B2000975A49B4D3\n", + ":041B240097944A0642\n", + ":041B280097CE4A58B2\n", + ":041B2C0098094AA921\n", + ":041B300098444AFB90\n", + ":041B3400987F4B4CFF\n", + ":041B380098BA4B9D6F\n", + ":041B3C0098F64BEEDE\n", + ":041B400099314C3F4C\n", + ":041B4400996D4C90BB\n", + ":041B480099AA4CE02A\n", + ":041B4C0099E64D3198\n", + ":041B50009A234D8106\n", + ":041B54009A604DD175\n", + ":041B58009A9D4E20E4\n", + ":041B5C009ADB4E7052\n", + ":041B60009B184EBFC1\n", + ":041B64009B564F0E2F\n", + ":041B68009B944F5D9E\n", + ":041B6C009BD34FAC0C\n", + ":041B70009C124FFB79\n", + ":041B74009C515049E7\n", + ":041B78009C90509756\n", + ":041B7C009CCF50E5C5\n", + ":041B80009D0F513331\n", + ":041B84009D4F51819F\n", + ":041B88009D8F51CE0E\n", + ":041B8C009DCF521B7C\n", + ":041B90009E105268E9\n", + ":041B94009E5152B557\n", + ":041B98009E925302C4\n", + ":041B9C009ED3534E33\n", + ":041BA0009F15539B9F\n", + ":041BA4009F5653E70E\n", + ":041BA8009F9854327C\n", + ":041BAC009FDB547EE9\n", + ":041BB000A01D54C957\n", + ":041BB400A0605515C3\n", + ":041BB800A0A3556031\n", + ":041BBC00A0E655AAA0\n", + ":041BC000A12955F50D\n", + ":041BC400A16D563F7A\n", + ":041BC800A1B1568AE7\n", + ":041BCC00A1F556D356\n", + ":041BD000A239571DC2\n", + ":041BD400A27E57672F\n", + ":041BD800A2C257B09E\n", + ":041BDC00A30757F90B\n", + ":041BE000A34D584277\n", + ":041BE400A392588BE5\n", + ":041BE800A3D858D353\n", + ":041BEC00A41E591CBE\n", + ":041BF000A46459642C\n", + ":041BF400A4AA59AC9A\n", + ":041BF800A4F159F308\n", + ":041BFC00A5375A3B74\n", + ":041C0000A57E5A82E1\n", + ":041C0400A5C55AC94F\n", + ":041C0800A60D5B0FBB\n", + ":041C0C00A6545B5629\n", + ":041C1000A69C5B9C97\n", + ":041C1400A6E45BE205\n", + ":041C1800A72D5C2870\n", + ":041C1C00A7755C6EDE\n", + ":041C2000A7BE5CB34C\n", + ":041C2400A8075CF9B8\n", + ":041C2800A8505D3E25\n", + ":041C2C00A8995D8294\n", + ":041C3000A8E35DC701\n", + ":041C3400A92D5E0B6D\n", + ":041C3800A9765E4FDC\n", + ":041C3C00A9C15E9349\n", + ":041C4000AA0B5ED7B6\n", + ":041C4400AA565F1A23\n", + ":041C4800AAA05F5D92\n", + ":041C4C00AAEB5FA000\n", + ":041C5000AB375FE36C\n", + ":041C5400AB826025DA\n", + ":041C5800ABCE606847\n", + ":041C5C00AC1960AAB5\n", + ":041C6000AC6560EB24\n", + ":041C6400ACB2612D90\n", + ":041C6800ACFE616EFF\n", + ":041C6C00AD4B61AF6C\n", + ":041C7000AD9861F0DA\n", + ":041C7400ADE5623147\n", + ":041C7800AE326271B5\n", + ":041C7C00AE7F62B124\n", + ":041C8000AECD62F192\n", + ":041C8400AF1B6331FE\n", + ":041C8800AF6963706D\n", + ":041C8C00AFB763AFDC\n", + ":041C9000B00563EE4A\n", + ":041C9400B054642DB7\n", + ":041C9800B0A3646C25\n", + ":041C9C00B0F264AA94\n", + ":041CA000B14164E802\n", + ":041CA400B190652571\n", + ":041CA800B1E06563DF\n", + ":041CAC00B22F65A04E\n", + ":041CB000B27F65DDBD\n", + ":041CB400B2CF661A2B\n", + ":041CB800B320665699\n", + ":041CBC00B370669308\n", + ":041CC000B3C166CF77\n", + ":041CC400B412670AE5\n", + ":041CC800B463674654\n", + ":041CCC00B4B46781C4\n", + ":041CD000B50567BC33\n", + ":041CD400B55767F7A2\n", + ":041CD800B5A8683211\n", + ":041CDC00B5FA686C81\n", + ":041CE000B64C68A6F0\n", + ":041CE400B69F68E05F\n", + ":041CE800B6F16919CF\n", + ":041CEC00B74469523E\n", + ":041CF000B797698BAE\n", + ":041CF400B7EA69C41E\n", + ":041CF800B83D69FD8D\n", + ":041CFC00B8906A35FD\n", + ":041D0000B8E46A6D6C\n", + ":041D0400B9376AA4DD\n", + ":041D0800B98B6ADC4D\n", + ":041D0C00B9DF6B13BD\n", + ":041D1000BA336B4A2D\n", + ":041D1400BA886B819D\n", + ":041D1800BADC6BB70F\n", + ":041D1C00BB316BED7F\n", + ":041D2000BB866C23EF\n", + ":041D2400BBDB6C5960\n", + ":041D2800BC306C8ED1\n", + ":041D2C00BC856CC343\n", + ":041D3000BCDB6CF8B4\n", + ":041D3400BD306D2D24\n", + ":041D3800BD866D6196\n", + ":041D3C00BDDC6D9508\n", + ":041D4000BE326DC979\n", + ":041D4400BE896DFDEA\n", + ":041D4800BEDF6E305C\n", + ":041D4C00BF366E63CD\n", + ":041D5000BF8D6E963F\n", + ":041D5400BFE36EC8B3\n", + ":041D5800C03B6EFB23\n", + ":041D5C00C0926F2C96\n", + ":041D6000C0E96F5E09\n", + ":041D6400C1416F907A\n", + ":041D6800C1986FC1EE\n", + ":041D6C00C1F06FF261\n", + ":041D7000C2487022D3\n", + ":041D7400C2A0705346\n", + ":041D7800C2F97083B9\n", + ":041D7C00C35170B22D\n", + ":041D8000C3AA70E2A0\n", + ":041D8400C402711113\n", + ":041D8800C45B714087\n", + ":041D8C00C4B4716FFB\n", + ":041D9000C50E719D6E\n", + ":041D9400C56771CBE3\n", + ":041D9800C5C071F958\n", + ":041D9C00C61A7227CA\n", + ":041DA000C67472543F\n", + ":041DA400C6CD7281B5\n", + ":041DA800C72772AE29\n", + ":041DAC00C78272DB9D\n", + ":041DB000C7DC730712\n", + ":041DB400C836733387\n", + ":041DB800C891735EFD\n", + ":041DBC00C8EB738A73\n", + ":041DC000C94673B5E8\n", + ":041DC400C9A173E05E\n", + ":041DC800C9FC740AD4\n", + ":041DCC00CA58743548\n", + ":041DD000CAB3745FBF\n", + ":041DD400CB0E748836\n", + ":041DD800CB6A74B2AC\n", + ":041DDC00CBC674DB23\n", + ":041DE000CC21750499\n", + ":041DE400CC7D752D10\n", + ":041DE800CCDA755587\n", + ":041DEC00CD36757DFE\n", + ":041DF000CD9275A576\n", + ":041DF400CDEF75CCEE\n", + ":041DF800CE4B75F366\n", + ":041DFC00CEA8761ADD\n", + ":041E0000CF05764153\n", + ":041E0400CF627667CC\n", + ":041E0800CFBF768D45\n", + ":041E0C00D01C76B3BD\n", + ":041E1000D07976D837\n", + ":041E1400D0D676FEB0\n", + ":041E1800D134772228\n", + ":041E1C00D1927747A1\n", + ":041E2000D1EF776B1C\n", + ":041E2400D24D778F95\n", + ":041E2800D2AB77B30F\n", + ":041E2C00D30977D788\n", + ":041E3000D36777FA03\n", + ":041E3400D3C6781D7C\n", + ":041E3800D424783FF7\n", + ":041E3C00D483786271\n", + ":041E4000D4E17884ED\n", + ":041E4400D54078A568\n", + ":041E4800D59F78C7E3\n", + ":041E4C00D5FE78E85F\n", + ":041E5000D65D7909D9\n", + ":041E5400D6BC792956\n", + ":041E5800D71B794AD1\n", + ":041E5C00D77A796A4E\n", + ":041E6000D7DA7989CB\n", + ":041E6400D83979A947\n", + ":041E6800D89979C8C4\n", + ":041E6C00D8F879E643\n", + ":041E7000D9587A05BE\n", + ":041E7400D9B87A233C\n", + ":041E7800DA187A41B9\n", + ":041E7C00DA787A5F37\n", + ":041E8000DAD87A7CB6\n", + ":041E8400DB387A9934\n", + ":041E8800DB997AB6B2\n", + ":041E8C00DBF97AD232\n", + ":041E9000DC5A7AEEB0\n", + ":041E9400DCBA7B0A2F\n", + ":041E9800DD1B7B26AD\n", + ":041E9C00DD7C7B412D\n", + ":041EA000DDDD7B5CAD\n", + ":041EA400DE3E7B772C\n", + ":041EA800DE9F7B91AD\n", + ":041EAC00DF007BAB2D\n", + ":041EB000DF617BC5AE\n", + ":041EB400DFC27BDE30\n", + ":041EB800E0237BF8B0\n", + ":041EBC00E0857C1031\n", + ":041EC000E0E67C29B3\n", + ":041EC400E1487C4134\n", + ":041EC800E1A97C59B7\n", + ":041ECC00E20B7C7138\n", + ":041ED000E26D7C88BB\n", + ":041ED400E2CF7C9F3E\n", + ":041ED800E3317CB6C0\n", + ":041EDC00E3937CCD43\n", + ":041EE000E3F57CE3C7\n", + ":041EE400E4577CF94A\n", + ":041EE800E4B97D0ECE\n", + ":041EEC00E51B7D2451\n", + ":041EF000E57E7D39D5\n", + ":041EF400E5E07D4D5B\n", + ":041EF800E6427D62DF\n", + ":041EFC00E6A57D7664\n", + ":041F0000E7077D89E9\n", + ":041F0400E76A7D9D6E\n", + ":041F0800E7CD7DB0F4\n", + ":041F0C00E8307DC379\n", + ":041F1000E8927DD501\n", + ":041F1400E8F57DE887\n", + ":041F1800E9587DFA0D\n", + ":041F1C00E9BB7E0B94\n", + ":041F2000EA1E7E1D1A\n", + ":041F2400EA817E2EA2\n", + ":041F2800EAE47E3E2B\n", + ":041F2C00EB477E4FB2\n", + ":041F3000EBAB7E5F3A\n", + ":041F3400EC0E7E6FC2\n", + ":041F3800EC717E7E4C\n", + ":041F3C00ECD57E8DD5\n", + ":041F4000ED387E9C5E\n", + ":041F4400ED9C7EABE7\n", + ":041F4800EDFF7EB972\n", + ":041F4C00EE637EC7FB\n", + ":041F5000EEC67ED586\n", + ":041F5400EF2A7EE210\n", + ":041F5800EF8E7EEF9B\n", + ":041F5C00EFF17EFC27\n", + ":041F6000F0557F09B0\n", + ":041F6400F0B97F153C\n", + ":041F6800F11D7F21C7\n", + ":041F6C00F1807F2C55\n", + ":041F7000F1E47F37E2\n", + ":041F7400F2487F426E\n", + ":041F7800F2AC7F4DFB\n", + ":041F7C00F3107F5788\n", + ":041F8000F3747F6116\n", + ":041F8400F3D87F6BA4\n", + ":041F8800F43C7F7432\n", + ":041F8C00F4A17F7DC0\n", + ":041F9000F5057F864E\n", + ":041F9400F5697F8FDD\n", + ":041F9800F5CD7F976D\n", + ":041F9C00F6317F9FFC\n", + ":041FA000F6967FA68C\n", + ":041FA400F6FA7FAD1D\n", + ":041FA800F75E7FB4AD\n", + ":041FAC00F7C27FBB3E\n", + ":041FB000F8277FC1CE\n", + ":041FB400F88B7FC760\n", + ":041FB800F8EF7FCDF2\n", + ":041FBC00F9547FD283\n", + ":041FC000F9B87FD815\n", + ":041FC400FA1D7FDCA7\n", + ":041FC800FA817FE13A\n", + ":041FCC00FAE57FE5CE\n", + ":041FD000FB4A7FE960\n", + ":041FD400FBAE7FECF5\n", + ":041FD800FC137FF087\n", + ":041FDC00FC777FF31C\n", + ":041FE000FCDC7FF5B1\n", + ":041FE400FD407FF746\n", + ":041FE800FDA57FF9DB\n", + ":041FEC00FE097FFB70\n", + ":041FF000FE6E7FFD05\n", + ":041FF400FED27FFE9C\n", + ":041FF800FF377FFE32\n", + ":041FFC00FF9B7FFFC9\n", + ":00000001FF\n", + "\n" + ] + } + ], + "source": [ + "# Generate rom values for WG in FSUB to create shift in freq domain by half a subband.\n", + "# REMARK! For synthesis, you need to convert this file to word addressed instead of byte addressed.\n", + "# This is done in Quartus by opening the hex file \n", + "# -> edit -> Memory Size Wizard -> Word size = 32 -> next -> number of words = 2048 -> finish -> save.\n", + "\n", + "# Constants\n", + "N_sub = 512\n", + "N_wg_samples = 4 * N_sub\n", + "sample_freq = 200000000\n", + "shift_freq = 0.5 * ((sample_freq/2 ) / N_sub) # half a subband\n", + "N_sample = 2 * N_sub\n", + "bit_w = 16\n", + "\n", + "# Frequency shifting signal\n", + "n_array = np.arange(0, N_wg_samples, 1)\n", + "pow_array = [complex(0,1) * 2 * np.pi * (shift_freq / sample_freq) * n for n in n_array]\n", + "exp_array = [np.exp(w) for w in pow_array] # y[n] = e^(j * 2Pi * (f/Fs) * n)\n", + "exp_array_scaled = [z * (2**(bit_w-1) - 1) for z in exp_array]\n", + "exp_array_round = [round(z) for z in exp_array_scaled]\n", + "exp_array_real = [int(z.real) for z in exp_array_round]\n", + "exp_array_imag = [int(z.imag) for z in exp_array_round]\n", + "\n", + "f = IntelHex()\n", + "for i in range(N_wg_samples): \n", + " f.puts(4*i+2, struct.pack(\">h\", exp_array_real[i]))\n", + " f.puts(4*i, struct.pack(\">h\", exp_array_imag[i]))\n", + "\n", + "sio = StringIO()\n", + "f.write_hex_file(sio, byte_count = 4)\n", + "f.write_hex_file(\"freq_shift_half_subband_2048x16_im_re.hex\", byte_count = 4)\n", + "print(sio.getvalue())\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 36, + "id": "cf6b42cb", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n", + ":0400000000002000DC\n", + ":0400040000002000D8\n", + ":0400080000002000D4\n", + ":04000C0000002000D0\n", + ":0400100000002000CC\n", + ":0400140000002000C8\n", + ":0400180000002000C4\n", + ":04001C0000002000C0\n", + ":0400200000002000BC\n", + ":0400240000002000B8\n", + ":0400280000002000B4\n", + ":04002C0000002000B0\n", + ":0400300000002000AC\n", + ":0400340000002000A8\n", + ":0400380000002000A4\n", + ":04003C0000002000A0\n", + ":04004000000020009C\n", + ":040044000000200098\n", + ":040048000000200094\n", + ":04004C000000200090\n", + ":04005000000020008C\n", + ":040054000000200088\n", + ":040058000000200084\n", + ":04005C000000200080\n", + ":04006000000020007C\n", + ":040064000000200078\n", + ":040068000000200074\n", + ":04006C000000200070\n", + ":04007000000020006C\n", + ":040074000000200068\n", + ":040078000000200064\n", + ":04007C000000200060\n", + ":04008000000020005C\n", + ":040084000000200058\n", + ":040088000000200054\n", + ":04008C000000200050\n", + ":04009000000020004C\n", + ":040094000000200048\n", + ":040098000000200044\n", + ":04009C000000200040\n", + ":0400A000000020003C\n", + ":0400A4000000200038\n", + ":0400A8000000200034\n", + ":0400AC000000200030\n", + ":0400B000000020002C\n", + ":0400B4000000200028\n", + ":0400B8000000200024\n", + ":0400BC000000200020\n", + ":0400C000000020001C\n", + ":0400C4000000200018\n", + ":0400C8000000200014\n", + ":0400CC000000200010\n", + ":0400D000000020000C\n", + ":0400D4000000200008\n", + ":0400D8000000200004\n", + ":0400DC000000200000\n", + ":0400E00000002000FC\n", + ":0400E40000002000F8\n", + ":0400E80000002000F4\n", + ":0400EC0000002000F0\n", + ":0400F00000002000EC\n", + ":0400F40000002000E8\n", + ":0400F80000002000E4\n", + ":0400FC0000002000E0\n", + ":0401000000002000DB\n", + ":0401040000002000D7\n", + ":0401080000002000D3\n", + ":04010C0000002000CF\n", + ":0401100000002000CB\n", + ":0401140000002000C7\n", + ":0401180000002000C3\n", + ":04011C0000002000BF\n", + ":0401200000002000BB\n", + ":0401240000002000B7\n", + ":0401280000002000B3\n", + ":04012C0000002000AF\n", + ":0401300000002000AB\n", + ":0401340000002000A7\n", + ":0401380000002000A3\n", + ":04013C00000020009F\n", + ":04014000000020009B\n", + ":040144000000200097\n", + ":040148000000200093\n", + ":04014C00000020008F\n", + ":04015000000020008B\n", + ":040154000000200087\n", + ":040158000000200083\n", + ":04015C00000020007F\n", + ":04016000000020007B\n", + ":040164000000200077\n", + ":040168000000200073\n", + ":04016C00000020006F\n", + ":04017000000020006B\n", + ":040174000000200067\n", + ":040178000000200063\n", + ":04017C00000020005F\n", + ":04018000000020005B\n", + ":040184000000200057\n", + ":040188000000200053\n", + ":04018C00000020004F\n", + ":04019000000020004B\n", + ":040194000000200047\n", + ":040198000000200043\n", + ":04019C00000020003F\n", + ":0401A000000020003B\n", + ":0401A4000000200037\n", + ":0401A8000000200033\n", + ":0401AC00000020002F\n", + ":0401B000000020002B\n", + ":0401B4000000200027\n", + ":0401B8000000200023\n", + ":0401BC00000020001F\n", + ":0401C000000020001B\n", + ":0401C4000000200017\n", + ":0401C8000000200013\n", + ":0401CC00000020000F\n", + ":0401D000000020000B\n", + ":0401D4000000200007\n", + ":0401D8000000200003\n", + ":0401DC0000002000FF\n", + ":0401E00000002000FB\n", + ":0401E40000002000F7\n", + ":0401E80000002000F3\n", + ":0401EC0000002000EF\n", + ":0401F00000002000EB\n", + ":0401F40000002000E7\n", + ":0401F80000002000E3\n", + ":0401FC0000002000DF\n", + ":0402000000002000DA\n", + ":0402040000002000D6\n", + ":0402080000002000D2\n", + ":04020C0000002000CE\n", + ":0402100000002000CA\n", + ":0402140000002000C6\n", + ":0402180000002000C2\n", + ":04021C0000002000BE\n", + ":0402200000002000BA\n", + ":0402240000002000B6\n", + ":0402280000002000B2\n", + ":04022C0000002000AE\n", + ":0402300000002000AA\n", + ":0402340000002000A6\n", + ":0402380000002000A2\n", + ":04023C00000020009E\n", + ":04024000000020009A\n", + ":040244000000200096\n", + ":040248000000200092\n", + ":04024C00000020008E\n", + ":04025000000020008A\n", + ":040254000000200086\n", + ":040258000000200082\n", + ":04025C00000020007E\n", + ":04026000000020007A\n", + ":040264000000200076\n", + ":040268000000200072\n", + ":04026C00000020006E\n", + ":04027000000020006A\n", + ":040274000000200066\n", + ":040278000000200062\n", + ":04027C00000020005E\n", + ":04028000000020005A\n", + ":040284000000200056\n", + ":040288000000200052\n", + ":04028C00000020004E\n", + ":04029000000020004A\n", + ":040294000000200046\n", + ":040298000000200042\n", + ":04029C00000020003E\n", + ":0402A000000020003A\n", + ":0402A4000000200036\n", + ":0402A8000000200032\n", + ":0402AC00000020002E\n", + ":0402B000000020002A\n", + ":0402B4000000200026\n", + ":0402B8000000200022\n", + ":0402BC00000020001E\n", + ":0402C000000020001A\n", + ":0402C4000000200016\n", + ":0402C8000000200012\n", + ":0402CC00000020000E\n", + ":0402D000000020000A\n", + ":0402D4000000200006\n", + ":0402D8000000200002\n", + ":0402DC0000002000FE\n", + ":0402E00000002000FA\n", + ":0402E40000002000F6\n", + ":0402E80000002000F2\n", + ":0402EC0000002000EE\n", + ":0402F00000002000EA\n", + ":0402F40000002000E6\n", + ":0402F80000002000E2\n", + ":0402FC0000002000DE\n", + ":0403000000002000D9\n", + ":0403040000002000D5\n", + ":0403080000002000D1\n", + ":04030C0000002000CD\n", + ":0403100000002000C9\n", + ":0403140000002000C5\n", + ":0403180000002000C1\n", + ":04031C0000002000BD\n", + ":0403200000002000B9\n", + ":0403240000002000B5\n", + ":0403280000002000B1\n", + ":04032C0000002000AD\n", + ":0403300000002000A9\n", + ":0403340000002000A5\n", + ":0403380000002000A1\n", + ":04033C00000020009D\n", + ":040340000000200099\n", + ":040344000000200095\n", + ":040348000000200091\n", + ":04034C00000020008D\n", + ":040350000000200089\n", + ":040354000000200085\n", + ":040358000000200081\n", + ":04035C00000020007D\n", + ":040360000000200079\n", + ":040364000000200075\n", + ":040368000000200071\n", + ":04036C00000020006D\n", + ":040370000000200069\n", + ":040374000000200065\n", + ":040378000000200061\n", + ":04037C00000020005D\n", + ":040380000000200059\n", + ":040384000000200055\n", + ":040388000000200051\n", + ":04038C00000020004D\n", + ":040390000000200049\n", + ":040394000000200045\n", + ":040398000000200041\n", + ":04039C00000020003D\n", + ":0403A0000000200039\n", + ":0403A4000000200035\n", + ":0403A8000000200031\n", + ":0403AC00000020002D\n", + ":0403B0000000200029\n", + ":0403B4000000200025\n", + ":0403B8000000200021\n", + ":0403BC00000020001D\n", + ":0403C0000000200019\n", + ":0403C4000000200015\n", + ":0403C8000000200011\n", + ":0403CC00000020000D\n", + ":0403D0000000200009\n", + ":0403D4000000200005\n", + ":0403D8000000200001\n", + ":0403DC0000002000FD\n", + ":0403E00000002000F9\n", + ":0403E40000002000F5\n", + ":0403E80000002000F1\n", + ":0403EC0000002000ED\n", + ":0403F00000002000E9\n", + ":0403F40000002000E5\n", + ":0403F80000002000E1\n", + ":0403FC0000002000DD\n", + ":0404000000002000D8\n", + ":0404040000002000D4\n", + ":0404080000002000D0\n", + ":04040C0000002000CC\n", + ":0404100000002000C8\n", + ":0404140000002000C4\n", + ":0404180000002000C0\n", + ":04041C0000002000BC\n", + ":0404200000002000B8\n", + ":0404240000002000B4\n", + ":0404280000002000B0\n", + ":04042C0000002000AC\n", + ":0404300000002000A8\n", + ":0404340000002000A4\n", + ":0404380000002000A0\n", + ":04043C00000020009C\n", + ":040440000000200098\n", + ":040444000000200094\n", + ":040448000000200090\n", + ":04044C00000020008C\n", + ":040450000000200088\n", + ":040454000000200084\n", + ":040458000000200080\n", + ":04045C00000020007C\n", + ":040460000000200078\n", + ":040464000000200074\n", + ":040468000000200070\n", + ":04046C00000020006C\n", + ":040470000000200068\n", + ":040474000000200064\n", + ":040478000000200060\n", + ":04047C00000020005C\n", + ":040480000000200058\n", + ":040484000000200054\n", + ":040488000000200050\n", + ":04048C00000020004C\n", + ":040490000000200048\n", + ":040494000000200044\n", + ":040498000000200040\n", + ":04049C00000020003C\n", + ":0404A0000000200038\n", + ":0404A4000000200034\n", + ":0404A8000000200030\n", + ":0404AC00000020002C\n", + ":0404B0000000200028\n", + ":0404B4000000200024\n", + ":0404B8000000200020\n", + ":0404BC00000020001C\n", + ":0404C0000000200018\n", + ":0404C4000000200014\n", + ":0404C8000000200010\n", + ":0404CC00000020000C\n", + ":0404D0000000200008\n", + ":0404D4000000200004\n", + ":0404D8000000200000\n", + ":0404DC0000002000FC\n", + ":0404E00000002000F8\n", + ":0404E40000002000F4\n", + ":0404E80000002000F0\n", + ":0404EC0000002000EC\n", + ":0404F00000002000E8\n", + ":0404F40000002000E4\n", + ":0404F80000002000E0\n", + ":0404FC0000002000DC\n", + ":0405000000002000D7\n", + ":0405040000002000D3\n", + ":0405080000002000CF\n", + ":04050C0000002000CB\n", + ":0405100000002000C7\n", + ":0405140000002000C3\n", + ":0405180000002000BF\n", + ":04051C0000002000BB\n", + ":0405200000002000B7\n", + ":0405240000002000B3\n", + ":0405280000002000AF\n", + ":04052C0000002000AB\n", + ":0405300000002000A7\n", + ":0405340000002000A3\n", + ":04053800000020009F\n", + ":04053C00000020009B\n", + ":040540000000200097\n", + ":040544000000200093\n", + ":04054800000020008F\n", + ":04054C00000020008B\n", + ":040550000000200087\n", + ":040554000000200083\n", + ":04055800000020007F\n", + ":04055C00000020007B\n", + ":040560000000200077\n", + ":040564000000200073\n", + ":04056800000020006F\n", + ":04056C00000020006B\n", + ":040570000000200067\n", + ":040574000000200063\n", + ":04057800000020005F\n", + ":04057C00000020005B\n", + ":040580000000200057\n", + ":040584000000200053\n", + ":04058800000020004F\n", + ":04058C00000020004B\n", + ":040590000000200047\n", + ":040594000000200043\n", + ":04059800000020003F\n", + ":04059C00000020003B\n", + ":0405A0000000200037\n", + ":0405A4000000200033\n", + ":0405A800000020002F\n", + ":0405AC00000020002B\n", + ":0405B0000000200027\n", + ":0405B4000000200023\n", + ":0405B800000020001F\n", + ":0405BC00000020001B\n", + ":0405C0000000200017\n", + ":0405C4000000200013\n", + ":0405C800000020000F\n", + ":0405CC00000020000B\n", + ":0405D0000000200007\n", + ":0405D4000000200003\n", + ":0405D80000002000FF\n", + ":0405DC0000002000FB\n", + ":0405E00000002000F7\n", + ":0405E40000002000F3\n", + ":0405E80000002000EF\n", + ":0405EC0000002000EB\n", + ":0405F00000002000E7\n", + ":0405F40000002000E3\n", + ":0405F80000002000DF\n", + ":0405FC0000002000DB\n", + ":0406000000002000D6\n", + ":0406040000002000D2\n", + ":0406080000002000CE\n", + ":04060C0000002000CA\n", + ":0406100000002000C6\n", + ":0406140000002000C2\n", + ":0406180000002000BE\n", + ":04061C0000002000BA\n", + ":0406200000002000B6\n", + ":0406240000002000B2\n", + ":0406280000002000AE\n", + ":04062C0000002000AA\n", + ":0406300000002000A6\n", + ":0406340000002000A2\n", + ":04063800000020009E\n", + ":04063C00000020009A\n", + ":040640000000200096\n", + ":040644000000200092\n", + ":04064800000020008E\n", + ":04064C00000020008A\n", + ":040650000000200086\n", + ":040654000000200082\n", + ":04065800000020007E\n", + ":04065C00000020007A\n", + ":040660000000200076\n", + ":040664000000200072\n", + ":04066800000020006E\n", + ":04066C00000020006A\n", + ":040670000000200066\n", + ":040674000000200062\n", + ":04067800000020005E\n", + ":04067C00000020005A\n", + ":040680000000200056\n", + ":040684000000200052\n", + ":04068800000020004E\n", + ":04068C00000020004A\n", + ":040690000000200046\n", + ":040694000000200042\n", + ":04069800000020003E\n", + ":04069C00000020003A\n", + ":0406A0000000200036\n", + ":0406A4000000200032\n", + ":0406A800000020002E\n", + ":0406AC00000020002A\n", + ":0406B0000000200026\n", + ":0406B4000000200022\n", + ":0406B800000020001E\n", + ":0406BC00000020001A\n", + ":0406C0000000200016\n", + ":0406C4000000200012\n", + ":0406C800000020000E\n", + ":0406CC00000020000A\n", + ":0406D0000000200006\n", + ":0406D4000000200002\n", + ":0406D80000002000FE\n", + ":0406DC0000002000FA\n", + ":0406E00000002000F6\n", + ":0406E40000002000F2\n", + ":0406E80000002000EE\n", + ":0406EC0000002000EA\n", + ":0406F00000002000E6\n", + ":0406F40000002000E2\n", + ":0406F80000002000DE\n", + ":0406FC0000002000DA\n", + ":0407000000002000D5\n", + ":0407040000002000D1\n", + ":0407080000002000CD\n", + ":04070C0000002000C9\n", + ":0407100000002000C5\n", + ":0407140000002000C1\n", + ":0407180000002000BD\n", + ":04071C0000002000B9\n", + ":0407200000002000B5\n", + ":0407240000002000B1\n", + ":0407280000002000AD\n", + ":04072C0000002000A9\n", + ":0407300000002000A5\n", + ":0407340000002000A1\n", + ":04073800000020009D\n", + ":04073C000000200099\n", + ":040740000000200095\n", + ":040744000000200091\n", + ":04074800000020008D\n", + ":04074C000000200089\n", + ":040750000000200085\n", + ":040754000000200081\n", + ":04075800000020007D\n", + ":04075C000000200079\n", + ":040760000000200075\n", + ":040764000000200071\n", + ":04076800000020006D\n", + ":04076C000000200069\n", + ":040770000000200065\n", + ":040774000000200061\n", + ":04077800000020005D\n", + ":04077C000000200059\n", + ":040780000000200055\n", + ":040784000000200051\n", + ":04078800000020004D\n", + ":04078C000000200049\n", + ":040790000000200045\n", + ":040794000000200041\n", + ":04079800000020003D\n", + ":04079C000000200039\n", + ":0407A0000000200035\n", + ":0407A4000000200031\n", + ":0407A800000020002D\n", + ":0407AC000000200029\n", + ":0407B0000000200025\n", + ":0407B4000000200021\n", + ":0407B800000020001D\n", + ":0407BC000000200019\n", + ":0407C0000000200015\n", + ":0407C4000000200011\n", + ":0407C800000020000D\n", + ":0407CC000000200009\n", + ":0407D0000000200005\n", + ":0407D4000000200001\n", + ":0407D80000002000FD\n", + ":0407DC0000002000F9\n", + ":0407E00000002000F5\n", + ":0407E40000002000F1\n", + ":0407E80000002000ED\n", + ":0407EC0000002000E9\n", + ":0407F00000002000E5\n", + ":0407F40000002000E1\n", + ":0407F80000002000DD\n", + ":0407FC0000002000D9\n", + ":0408000000002000D4\n", + ":0408040000002000D0\n", + ":0408080000002000CC\n", + ":04080C0000002000C8\n", + ":0408100000002000C4\n", + ":0408140000002000C0\n", + ":0408180000002000BC\n", + ":04081C0000002000B8\n", + ":0408200000002000B4\n", + ":0408240000002000B0\n", + ":0408280000002000AC\n", + ":04082C0000002000A8\n", + ":0408300000002000A4\n", + ":0408340000002000A0\n", + ":04083800000020009C\n", + ":04083C000000200098\n", + ":040840000000200094\n", + ":040844000000200090\n", + ":04084800000020008C\n", + ":04084C000000200088\n", + ":040850000000200084\n", + ":040854000000200080\n", + ":04085800000020007C\n", + ":04085C000000200078\n", + ":040860000000200074\n", + ":040864000000200070\n", + ":04086800000020006C\n", + ":04086C000000200068\n", + ":040870000000200064\n", + ":040874000000200060\n", + ":04087800000020005C\n", + ":04087C000000200058\n", + ":040880000000200054\n", + ":040884000000200050\n", + ":04088800000020004C\n", + ":04088C000000200048\n", + ":040890000000200044\n", + ":040894000000200040\n", + ":04089800000020003C\n", + ":04089C000000200038\n", + ":0408A0000000200034\n", + ":0408A4000000200030\n", + ":0408A800000020002C\n", + ":0408AC000000200028\n", + ":0408B0000000200024\n", + ":0408B4000000200020\n", + ":0408B800000020001C\n", + ":0408BC000000200018\n", + ":0408C0000000200014\n", + ":0408C4000000200010\n", + ":0408C800000020000C\n", + ":0408CC000000200008\n", + ":0408D0000000200004\n", + ":0408D4000000200000\n", + ":0408D80000002000FC\n", + ":0408DC0000002000F8\n", + ":0408E00000002000F4\n", + ":0408E40000002000F0\n", + ":0408E80000002000EC\n", + ":0408EC0000002000E8\n", + ":0408F00000002000E4\n", + ":0408F40000002000E0\n", + ":0408F80000002000DC\n", + ":0408FC0000002000D8\n", + ":0409000000002000D3\n", + ":0409040000002000CF\n", + ":0409080000002000CB\n", + ":04090C0000002000C7\n", + ":0409100000002000C3\n", + ":0409140000002000BF\n", + ":0409180000002000BB\n", + ":04091C0000002000B7\n", + ":0409200000002000B3\n", + ":0409240000002000AF\n", + ":0409280000002000AB\n", + ":04092C0000002000A7\n", + ":0409300000002000A3\n", + ":04093400000020009F\n", + ":04093800000020009B\n", + ":04093C000000200097\n", + ":040940000000200093\n", + ":04094400000020008F\n", + ":04094800000020008B\n", + ":04094C000000200087\n", + ":040950000000200083\n", + ":04095400000020007F\n", + ":04095800000020007B\n", + ":04095C000000200077\n", + ":040960000000200073\n", + ":04096400000020006F\n", + ":04096800000020006B\n", + ":04096C000000200067\n", + ":040970000000200063\n", + ":04097400000020005F\n", + ":04097800000020005B\n", + ":04097C000000200057\n", + ":040980000000200053\n", + ":04098400000020004F\n", + ":04098800000020004B\n", + ":04098C000000200047\n", + ":040990000000200043\n", + ":04099400000020003F\n", + ":04099800000020003B\n", + ":04099C000000200037\n", + ":0409A0000000200033\n", + ":0409A400000020002F\n", + ":0409A800000020002B\n", + ":0409AC000000200027\n", + ":0409B0000000200023\n", + ":0409B400000020001F\n", + ":0409B800000020001B\n", + ":0409BC000000200017\n", + ":0409C0000000200013\n", + ":0409C400000020000F\n", + ":0409C800000020000B\n", + ":0409CC000000200007\n", + ":0409D0000000200003\n", + ":0409D40000002000FF\n", + ":0409D80000002000FB\n", + ":0409DC0000002000F7\n", + ":0409E00000002000F3\n", + ":0409E40000002000EF\n", + ":0409E80000002000EB\n", + ":0409EC0000002000E7\n", + ":0409F00000002000E3\n", + ":0409F40000002000DF\n", + ":0409F80000002000DB\n", + ":0409FC0000002000D7\n", + ":040A000000002000D2\n", + ":040A040000002000CE\n", + ":040A080000002000CA\n", + ":040A0C0000002000C6\n", + ":040A100000002000C2\n", + ":040A140000002000BE\n", + ":040A180000002000BA\n", + ":040A1C0000002000B6\n", + ":040A200000002000B2\n", + ":040A240000002000AE\n", + ":040A280000002000AA\n", + ":040A2C0000002000A6\n", + ":040A300000002000A2\n", + ":040A3400000020009E\n", + ":040A3800000020009A\n", + ":040A3C000000200096\n", + ":040A40000000200092\n", + ":040A4400000020008E\n", + ":040A4800000020008A\n", + ":040A4C000000200086\n", + ":040A50000000200082\n", + ":040A5400000020007E\n", + ":040A5800000020007A\n", + ":040A5C000000200076\n", + ":040A60000000200072\n", + ":040A6400000020006E\n", + ":040A6800000020006A\n", + ":040A6C000000200066\n", + ":040A70000000200062\n", + ":040A7400000020005E\n", + ":040A7800000020005A\n", + ":040A7C000000200056\n", + ":040A80000000200052\n", + ":040A8400000020004E\n", + ":040A8800000020004A\n", + ":040A8C000000200046\n", + ":040A90000000200042\n", + ":040A9400000020003E\n", + ":040A9800000020003A\n", + ":040A9C000000200036\n", + ":040AA0000000200032\n", + ":040AA400000020002E\n", + ":040AA800000020002A\n", + ":040AAC000000200026\n", + ":040AB0000000200022\n", + ":040AB400000020001E\n", + ":040AB800000020001A\n", + ":040ABC000000200016\n", + ":040AC0000000200012\n", + ":040AC400000020000E\n", + ":040AC800000020000A\n", + ":040ACC000000200006\n", + ":040AD0000000200002\n", + ":040AD40000002000FE\n", + ":040AD80000002000FA\n", + ":040ADC0000002000F6\n", + ":040AE00000002000F2\n", + ":040AE40000002000EE\n", + ":040AE80000002000EA\n", + ":040AEC0000002000E6\n", + ":040AF00000002000E2\n", + ":040AF40000002000DE\n", + ":040AF80000002000DA\n", + ":040AFC0000002000D6\n", + ":040B000000002000D1\n", + ":040B040000002000CD\n", + ":040B080000002000C9\n", + ":040B0C0000002000C5\n", + ":040B100000002000C1\n", + ":040B140000002000BD\n", + ":040B180000002000B9\n", + ":040B1C0000002000B5\n", + ":040B200000002000B1\n", + ":040B240000002000AD\n", + ":040B280000002000A9\n", + ":040B2C0000002000A5\n", + ":040B300000002000A1\n", + ":040B3400000020009D\n", + ":040B38000000200099\n", + ":040B3C000000200095\n", + ":040B40000000200091\n", + ":040B4400000020008D\n", + ":040B48000000200089\n", + ":040B4C000000200085\n", + ":040B50000000200081\n", + ":040B5400000020007D\n", + ":040B58000000200079\n", + ":040B5C000000200075\n", + ":040B60000000200071\n", + ":040B6400000020006D\n", + ":040B68000000200069\n", + ":040B6C000000200065\n", + ":040B70000000200061\n", + ":040B7400000020005D\n", + ":040B78000000200059\n", + ":040B7C000000200055\n", + ":040B80000000200051\n", + ":040B8400000020004D\n", + ":040B88000000200049\n", + ":040B8C000000200045\n", + ":040B90000000200041\n", + ":040B9400000020003D\n", + ":040B98000000200039\n", + ":040B9C000000200035\n", + ":040BA0000000200031\n", + ":040BA400000020002D\n", + ":040BA8000000200029\n", + ":040BAC000000200025\n", + ":040BB0000000200021\n", + ":040BB400000020001D\n", + ":040BB8000000200019\n", + ":040BBC000000200015\n", + ":040BC0000000200011\n", + ":040BC400000020000D\n", + ":040BC8000000200009\n", + ":040BCC000000200005\n", + ":040BD0000000200001\n", + ":040BD40000002000FD\n", + ":040BD80000002000F9\n", + ":040BDC0000002000F5\n", + ":040BE00000002000F1\n", + ":040BE40000002000ED\n", + ":040BE80000002000E9\n", + ":040BEC0000002000E5\n", + ":040BF00000002000E1\n", + ":040BF40000002000DD\n", + ":040BF80000002000D9\n", + ":040BFC0000002000D5\n", + ":040C000000002000D0\n", + ":040C040000002000CC\n", + ":040C080000002000C8\n", + ":040C0C0000002000C4\n", + ":040C100000002000C0\n", + ":040C140000002000BC\n", + ":040C180000002000B8\n", + ":040C1C0000002000B4\n", + ":040C200000002000B0\n", + ":040C240000002000AC\n", + ":040C280000002000A8\n", + ":040C2C0000002000A4\n", + ":040C300000002000A0\n", + ":040C3400000020009C\n", + ":040C38000000200098\n", + ":040C3C000000200094\n", + ":040C40000000200090\n", + ":040C4400000020008C\n", + ":040C48000000200088\n", + ":040C4C000000200084\n", + ":040C50000000200080\n", + ":040C5400000020007C\n", + ":040C58000000200078\n", + ":040C5C000000200074\n", + ":040C60000000200070\n", + ":040C6400000020006C\n", + ":040C68000000200068\n", + ":040C6C000000200064\n", + ":040C70000000200060\n", + ":040C7400000020005C\n", + ":040C78000000200058\n", + ":040C7C000000200054\n", + ":040C80000000200050\n", + ":040C8400000020004C\n", + ":040C88000000200048\n", + ":040C8C000000200044\n", + ":040C90000000200040\n", + ":040C9400000020003C\n", + ":040C98000000200038\n", + ":040C9C000000200034\n", + ":040CA0000000200030\n", + ":040CA400000020002C\n", + ":040CA8000000200028\n", + ":040CAC000000200024\n", + ":040CB0000000200020\n", + ":040CB400000020001C\n", + ":040CB8000000200018\n", + ":040CBC000000200014\n", + ":040CC0000000200010\n", + ":040CC400000020000C\n", + ":040CC8000000200008\n", + ":040CCC000000200004\n", + ":040CD0000000200000\n", + ":040CD40000002000FC\n", + ":040CD80000002000F8\n", + ":040CDC0000002000F4\n", + ":040CE00000002000F0\n", + ":040CE40000002000EC\n", + ":040CE80000002000E8\n", + ":040CEC0000002000E4\n", + ":040CF00000002000E0\n", + ":040CF40000002000DC\n", + ":040CF80000002000D8\n", + ":040CFC0000002000D4\n", + ":040D000000002000CF\n", + ":040D040000002000CB\n", + ":040D080000002000C7\n", + ":040D0C0000002000C3\n", + ":040D100000002000BF\n", + ":040D140000002000BB\n", + ":040D180000002000B7\n", + ":040D1C0000002000B3\n", + ":040D200000002000AF\n", + ":040D240000002000AB\n", + ":040D280000002000A7\n", + ":040D2C0000002000A3\n", + ":040D3000000020009F\n", + ":040D3400000020009B\n", + ":040D38000000200097\n", + ":040D3C000000200093\n", + ":040D4000000020008F\n", + ":040D4400000020008B\n", + ":040D48000000200087\n", + ":040D4C000000200083\n", + ":040D5000000020007F\n", + ":040D5400000020007B\n", + ":040D58000000200077\n", + ":040D5C000000200073\n", + ":040D6000000020006F\n", + ":040D6400000020006B\n", + ":040D68000000200067\n", + ":040D6C000000200063\n", + ":040D7000000020005F\n", + ":040D7400000020005B\n", + ":040D78000000200057\n", + ":040D7C000000200053\n", + ":040D8000000020004F\n", + ":040D8400000020004B\n", + ":040D88000000200047\n", + ":040D8C000000200043\n", + ":040D9000000020003F\n", + ":040D9400000020003B\n", + ":040D98000000200037\n", + ":040D9C000000200033\n", + ":040DA000000020002F\n", + ":040DA400000020002B\n", + ":040DA8000000200027\n", + ":040DAC000000200023\n", + ":040DB000000020001F\n", + ":040DB400000020001B\n", + ":040DB8000000200017\n", + ":040DBC000000200013\n", + ":040DC000000020000F\n", + ":040DC400000020000B\n", + ":040DC8000000200007\n", + ":040DCC000000200003\n", + ":040DD00000002000FF\n", + ":040DD40000002000FB\n", + ":040DD80000002000F7\n", + ":040DDC0000002000F3\n", + ":040DE00000002000EF\n", + ":040DE40000002000EB\n", + ":040DE80000002000E7\n", + ":040DEC0000002000E3\n", + ":040DF00000002000DF\n", + ":040DF40000002000DB\n", + ":040DF80000002000D7\n", + ":040DFC0000002000D3\n", + ":040E000000002000CE\n", + ":040E040000002000CA\n", + ":040E080000002000C6\n", + ":040E0C0000002000C2\n", + ":040E100000002000BE\n", + ":040E140000002000BA\n", + ":040E180000002000B6\n", + ":040E1C0000002000B2\n", + ":040E200000002000AE\n", + ":040E240000002000AA\n", + ":040E280000002000A6\n", + ":040E2C0000002000A2\n", + ":040E3000000020009E\n", + ":040E3400000020009A\n", + ":040E38000000200096\n", + ":040E3C000000200092\n", + ":040E4000000020008E\n", + ":040E4400000020008A\n", + ":040E48000000200086\n", + ":040E4C000000200082\n", + ":040E5000000020007E\n", + ":040E5400000020007A\n", + ":040E58000000200076\n", + ":040E5C000000200072\n", + ":040E6000000020006E\n", + ":040E6400000020006A\n", + ":040E68000000200066\n", + ":040E6C000000200062\n", + ":040E7000000020005E\n", + ":040E7400000020005A\n", + ":040E78000000200056\n", + ":040E7C000000200052\n", + ":040E8000000020004E\n", + ":040E8400000020004A\n", + ":040E88000000200046\n", + ":040E8C000000200042\n", + ":040E9000000020003E\n", + ":040E9400000020003A\n", + ":040E98000000200036\n", + ":040E9C000000200032\n", + ":040EA000000020002E\n", + ":040EA400000020002A\n", + ":040EA8000000200026\n", + ":040EAC000000200022\n", + ":040EB000000020001E\n", + ":040EB400000020001A\n", + ":040EB8000000200016\n", + ":040EBC000000200012\n", + ":040EC000000020000E\n", + ":040EC400000020000A\n", + ":040EC8000000200006\n", + ":040ECC000000200002\n", + ":040ED00000002000FE\n", + ":040ED40000002000FA\n", + ":040ED80000002000F6\n", + ":040EDC0000002000F2\n", + ":040EE00000002000EE\n", + ":040EE40000002000EA\n", + ":040EE80000002000E6\n", + ":040EEC0000002000E2\n", + ":040EF00000002000DE\n", + ":040EF40000002000DA\n", + ":040EF80000002000D6\n", + ":040EFC0000002000D2\n", + ":040F000000002000CD\n", + ":040F040000002000C9\n", + ":040F080000002000C5\n", + ":040F0C0000002000C1\n", + ":040F100000002000BD\n", + ":040F140000002000B9\n", + ":040F180000002000B5\n", + ":040F1C0000002000B1\n", + ":040F200000002000AD\n", + ":040F240000002000A9\n", + ":040F280000002000A5\n", + ":040F2C0000002000A1\n", + ":040F3000000020009D\n", + ":040F34000000200099\n", + ":040F38000000200095\n", + ":040F3C000000200091\n", + ":040F4000000020008D\n", + ":040F44000000200089\n", + ":040F48000000200085\n", + ":040F4C000000200081\n", + ":040F5000000020007D\n", + ":040F54000000200079\n", + ":040F58000000200075\n", + ":040F5C000000200071\n", + ":040F6000000020006D\n", + ":040F64000000200069\n", + ":040F68000000200065\n", + ":040F6C000000200061\n", + ":040F7000000020005D\n", + ":040F74000000200059\n", + ":040F78000000200055\n", + ":040F7C000000200051\n", + ":040F8000000020004D\n", + ":040F84000000200049\n", + ":040F88000000200045\n", + ":040F8C000000200041\n", + ":040F9000000020003D\n", + ":040F94000000200039\n", + ":040F98000000200035\n", + ":040F9C000000200031\n", + ":040FA000000020002D\n", + ":040FA4000000200029\n", + ":040FA8000000200025\n", + ":040FAC000000200021\n", + ":040FB000000020001D\n", + ":040FB4000000200019\n", + ":040FB8000000200015\n", + ":040FBC000000200011\n", + ":040FC000000020000D\n", + ":040FC4000000200009\n", + ":040FC8000000200005\n", + ":040FCC000000200001\n", + ":040FD00000002000FD\n", + ":040FD40000002000F9\n", + ":040FD80000002000F5\n", + ":040FDC0000002000F1\n", + ":040FE00000002000ED\n", + ":040FE40000002000E9\n", + ":040FE80000002000E5\n", + ":040FEC0000002000E1\n", + ":040FF00000002000DD\n", + ":040FF40000002000D9\n", + ":040FF80000002000D5\n", + ":040FFC0000002000D1\n", + ":00000001FF\n", + "\n" + ] + } + ], + "source": [ + "# Generate Unit gains for subband equalizer.\n", + "# REMARK! For synthesis, you need to convert this file to word addressed instead of byte addressed.\n", + "# This is done in Quartus by opening the hex file \n", + "# -> edit -> Memory Size Wizard -> Word size = 32 -> next -> number of words = 1024 -> finish -> save.\n", + "#Constants\n", + "N_sub = 512\n", + "Q_fft = 2\n", + "P_pfb = 6\n", + "V_oversample = 2\n", + "unit_gain = int(2**13)\n", + "\n", + "for i in range(0,V_oversample * P_pfb):\n", + " f = IntelHex() \n", + " for j in range(0,N_sub * Q_fft):\n", + " f.puts(4*j, struct.pack(\">I\", unit_gain))\n", + " \n", + " sio = StringIO()\n", + " f.write_hex_file(sio, byte_count = 4)\n", + " f.write_hex_file(\"gains_1024_complex_16b13f_unit_\"+str(i)+\".hex\", byte_count = 4)\n", + " print(sio.getvalue())" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.10" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/applications/disturb2/model/freq_shift_half_subband_2048x16_im_re.hex b/applications/disturb2/model/freq_shift_half_subband_2048x16_im_re.hex new file mode 100644 index 0000000000000000000000000000000000000000..1419be5615624f0d1db5e603a567f26337232fdf --- /dev/null +++ b/applications/disturb2/model/freq_shift_half_subband_2048x16_im_re.hex @@ -0,0 +1,2049 @@ +:0400000000007FFF7E +:0400040000657FFF15 +:0400080000C97FFEAE +:04000C00012E7FFE44 +:0400100001927FFDDD +:0400140001F77FFB76 +:04001800025B7FF90F +:04001C0002C07FF7A8 +:0400200003247FF541 +:0400240003897FF3DA +:0400280003ED7FF075 +:04002C0004527FEC0F +:0400300004B67FE9AA +:04003400051B7FE544 +:04003800057F7FE1E0 +:04003C0005E37FDC7D +:0400400006487FD817 +:0400440006AC7FD2B5 +:0400480007117FCD50 +:04004C0007757FC7EE +:0400500007D97FC18C +:04005400083E7FBB28 +:0400580008A27FB4C7 +:04005C0009067FAD65 +:04006000096A7FA604 +:0400640009CF7F9FA2 +:040068000A337F9741 +:04006C000A977F8FE1 +:040070000AFB7F8682 +:040074000B5F7F7D22 +:040078000BC47F74C2 +:04007C000C287F6B62 +:040080000C8C7F6104 +:040084000CF07F57A6 +:040088000D547F4D47 +:04008C000DB87F42EA +:040090000E1C7F378C +:040094000E807F2C2F +:040098000EE37F21D3 +:04009C000F477F1576 +:0400A0000FAB7F091A +:0400A400100F7EFCBF +:0400A80010727EEF65 +:0400AC0010D67EE20A +:0400B000113A7ED5AE +:0400B400119D7EC755 +:0400B80012017EB9FA +:0400BC0012647EABA1 +:0400C00012C87E9C48 +:0400C400132B7E8DEF +:0400C800138F7E7E96 +:0400CC0013F27E6F3E +:0400D00014557E5FE6 +:0400D40014B97E4F8E +:0400D800151C7E3E37 +:0400DC00157F7E2EE0 +:0400E00015E27E1D8A +:0400E40016457E0B34 +:0400E80016A87DFADF +:0400EC00170B7DE889 +:0400F000176E7DD535 +:0400F40017D07DC3E1 +:0400F80018337DB08C +:0400FC0018967D9D38 +:0401000018F97D89E4 +:04010400195B7D7690 +:0401080019BE7D623D +:04010C001A207D4DEB +:040110001A827D3999 +:040114001AE57D2447 +:040118001B477D0EF6 +:04011C001BA97CF9A6 +:040120001C0B7CE355 +:040124001C6D7CCD05 +:040128001CCF7CB6B6 +:04012C001D317C9F66 +:040130001D937C8817 +:040134001DF57C71C8 +:040138001E577C5979 +:04013C001EB87C412C +:040140001F1A7C29DD +:040144001F7B7C1091 +:040148001FDD7BF844 +:04014C00203E7BDEF8 +:04015000209F7BC5AC +:0401540021007BAB60 +:0401580021617B9115 +:04015C0021C27B77CA +:0401600022237B5C7F +:0401640022847B4135 +:0401680022E57B26EB +:04016C0023467B0AA1 +:0401700023A67AEE5A +:0401740024077AD210 +:0401780024677AB6C8 +:04017C0024C87A9980 +:0401800025287A7C38 +:0401840025887A5FF1 +:0401880025E87A41AB +:04018C0026487A2364 +:0401900026A87A051E +:04019400270879E6D9 +:04019800276779C894 +:04019C0027C779A94F +:0401A000282679890B +:0401A4002886796AC6 +:0401A80028E5794A83 +:0401AC002944792940 +:0401B00029A37909FD +:0401B4002A0278E8BB +:0401B8002A6178C779 +:0401BC002AC078A538 +:0401C0002B1F7884F5 +:0401C4002B7D7862B5 +:0401C8002BDC783F75 +:0401CC002C3A781D34 +:0401D0002C9977FAF5 +:0401D4002CF777D7B6 +:0401D8002D5577B377 +:0401DC002DB3778F39 +:0401E0002E11776BFA +:0401E4002E6E7747BD +:0401E8002ECC772280 +:0401EC002F2A76FE42 +:0401F0002F8776D807 +:0401F4002FE476B3CB +:0401F8003041768D8F +:0401FC00309E766754 +:0402000030FB764118 +:040204003158761ADD +:0402080031B575F3A4 +:04020C00321175CC6A +:04021000326E75A530 +:0402140032CA757DF8 +:0402180033267555BF +:04021C003383752D86 +:0402200033DF75044F +:04022400343A74DB19 +:04022800349674B2E2 +:04022C0034F27488AC +:04023000354D745F75 +:0402340035A8743540 +:040238003604740A0A +:04023C00365F73E0D6 +:0402400036BA73B5A2 +:040244003715738A6D +:04024800376F735E3B +:04024C0037CA733307 +:0402500038247307D4 +:04025400387E72DBA3 +:0402580038D972AE71 +:04025C00393372813F +:04026000398C72540F +:0402640039E67227DE +:040268003A4071F9AE +:04026C003A9971CB7F +:040270003AF2719D50 +:040274003B4C716F1F +:040278003BA57140F1 +:04027C003BFE7111C3 +:040280003C5670E296 +:040284003CAF70B269 +:040288003D0770833B +:04028C003D6070530E +:040290003DB87022E3 +:040294003E106FF2B7 +:040298003E686FC18C +:04029C003EBF6F9062 +:0402A0003F176F5E37 +:0402A4003F6E6F2C0E +:0402A8003FC56EFBE5 +:0402AC00401D6EC8BB +:0402B00040736E9693 +:0402B40040CA6E636B +:0402B80041216E3042 +:0402BC0041776DFD1C +:0402C00041CE6DC9F5 +:0402C40042246D95CE +:0402C800427A6D61A8 +:0402CC0042D06D2D82 +:0402D00043256CF85E +:0402D400437B6CC339 +:0402D80043D06C8E15 +:0402DC0044256C59F0 +:0402E000447A6C23CD +:0402E40044CF6BEDAB +:0402E80045246BB787 +:0402EC0045786B8165 +:0402F00045CD6B4A43 +:0402F40046216B1321 +:0402F80046756ADC01 +:0402FC0046C96AA4E1 +:04030000471C6A6DBF +:0403040047706A359F +:0403080047C369FD81 +:04030C00481669C462 +:040310004869698B44 +:0403140048BC695226 +:04031800490F691907 +:04031C00496168E0EB +:0403200049B468A6CE +:040324004A06686CB1 +:040328004A58683295 +:04032C004AA967F77C +:040330004AFB67BC61 +:040334004B4C678146 +:040338004B9D67462C +:04033C004BEE670A13 +:040340004C3F66CFF9 +:040344004C906693E0 +:040348004CE06656C9 +:04034C004D31661AAF +:040350004D8165DD99 +:040354004DD165A082 +:040358004E2065636B +:04035C004E70652555 +:040360004EBF64E840 +:040364004F0E64AA2A +:040368004F5D646C15 +:04036C004FAC642D01 +:040370004FFB63EEEE +:04037400504963AFDA +:0403780050976370C7 +:04037C0050E56331B4 +:04038000513362F1A2 +:04038400518162B190 +:0403880051CE62717F +:04038C00521B62316D +:04039000526861F05E +:0403940052B561AF4E +:040398005302616E3D +:04039C00534E612D2E +:0403A000539B60EB20 +:0403A40053E760AA11 +:0403A8005432606803 +:0403AC00547E6025F6 +:0403B00054C95FE3EA +:0403B40055155FA0DC +:0403B80055605F5DD0 +:0403BC0055AA5F1AC5 +:0403C00055F55ED7BA +:0403C400563F5E93AF +:0403C800568A5E4FA4 +:0403CC0056D35E0B9B +:0403D000571D5DC791 +:0403D40057675D8288 +:0403D80057B05D3E7F +:0403DC0057F95CF978 +:0403E00058425CB370 +:0403E400588B5C6E68 +:0403E80058D35C2862 +:0403EC00591C5BE25B +:0403F00059645B9C55 +:0403F40059AC5B564F +:0403F80059F35B0F4B +:0403FC005A3B5AC945 +:040400005A825A8240 +:040404005AC95A3B3C +:040408005B0F59F33A +:04040C005B5659AC36 +:040410005B9C596434 +:040414005BE2591C32 +:040418005C2858D331 +:04041C005C6E588B2F +:040420005CB358422F +:040424005CF957F92F +:040428005D3E57B02E +:04042C005D8257672F +:040430005DC7571D30 +:040434005E0B56D332 +:040438005E4F568A33 +:04043C005E93563F36 +:040440005ED755F539 +:040444005F1A55AA3C +:040448005F5D55603F +:04044C005FA0551543 +:040450005FE354C949 +:040454006025547E4D +:040458006068543252 +:04045C0060AA53E758 +:0404600060EB539B5F +:04046400612D534E65 +:04046800616E53026C +:04046C0061AF52B575 +:0404700061F052687D +:040474006231521B84 +:04047800627151CE8E +:04047C0062B1518197 +:0404800062F15133A1 +:04048400633150E5AB +:0404880063705097B6 +:04048C0063AF5049C1 +:0404900063EE4FFBCD +:04049400642D4FACD8 +:04049800646C4F5DE4 +:04049C0064AA4F0EF1 +:0404A00064E84EBFFF +:0404A40065254E700C +:0404A80065634E201A +:0404AC0065A04DD129 +:0404B00065DD4D8138 +:0404B400661A4D3146 +:0404B80066564CE058 +:0404BC0066934C9067 +:0404C00066CF4C3F78 +:0404C400670A4BEE8A +:0404C80067464B9D9B +:0404CC0067814B4CAD +:0404D00067BC4AFBC0 +:0404D40067F74AA9D3 +:0404D80068324A58E4 +:0404DC00686C4A06F8 +:0404E00068A649B40D +:0404E40068E0496122 +:0404E8006919490F36 +:0404EC00695248BC4D +:0404F000698B486963 +:0404F40069C4481679 +:0404F80069FD47C390 +:0404FC006A354770A6 +:040500006A6D471CBD +:040504006AA446C9D6 +:040508006ADC4675EE +:04050C006B13462106 +:040510006B4A45CD20 +:040514006B8145783A +:040518006BB7452454 +:04051C006BED44CF70 +:040520006C23447A8A +:040524006C594425A5 +:040528006C8E43D0C2 +:04052C006CC3437BDE +:040530006CF84325FB +:040534006D2D42D017 +:040538006D61427A35 +:04053C006D95422453 +:040540006DC941CE72 +:040544006DFD417791 +:040548006E304121AF +:04054C006E6340CAD0 +:040550006E964073F0 +:040554006EC8401D10 +:040558006EFB3FC532 +:04055C006F2C3F6E53 +:040560006F5E3F1774 +:040564006F903EBF97 +:040568006FC13E68B9 +:04056C006FF23E10DC +:0405700070223DB800 +:0405740070533D6023 +:0405780070833D0748 +:04057C0070B23CAF6E +:0405800070E23C5693 +:0405840071113BFEB8 +:0405880071403BA5DE +:04058C00716F3B4C04 +:04059000719D3AF22D +:0405940071CB3A9954 +:0405980071F93A407B +:04059C00722739E6A3 +:0405A0007254398CCC +:0405A40072813933F4 +:0405A80072AE38D91E +:0405AC0072DB387E48 +:0405B0007307382471 +:0405B400733337CA9C +:0405B800735E376FC8 +:0405BC00738A3715F2 +:0405C00073B536BA1F +:0405C40073E0365F4B +:0405C800740A360477 +:0405CC00743535A8A5 +:0405D000745F354DD2 +:0405D400748834F201 +:0405D80074B234962F +:0405DC0074DB343A5E +:0405E000750433DF8C +:0405E400752D3383BB +:0405E80075553326EC +:0405EC00757D32CA1D +:0405F00075A5326E4D +:0405F40075CC32117F +:0405F80075F331B5B1 +:0405FC00761A3158E2 +:04060000764130FB14 +:040604007667309E47 +:04060800768D30417A +:04060C0076B32FE4AE +:0406100076D82F87E2 +:0406140076FE2F2A15 +:0406180077222ECC4B +:04061C0077472E6E80 +:04062000776B2E11B5 +:04062400778F2DB3EC +:0406280077B32D5522 +:04062C0077D72CF759 +:0406300077FA2C9990 +:04063400781D2C3AC7 +:04063800783F2BDC00 +:04063C0078622B7D38 +:0406400078842B1F70 +:0406440078A52AC0AB +:0406480078C72A61E4 +:04064C0078E82A021E +:04065000790929A358 +:040654007929294493 +:04065800794A28E5CE +:04065C00796A288609 +:040660007989282646 +:0406640079A927C782 +:0406680079C82767BF +:04066C0079E62708FC +:040670007A0526A839 +:040674007A23264877 +:040678007A4125E8B6 +:04067C007A5F2588F4 +:040680007A7C252833 +:040684007A9924C873 +:040688007AB62467B3 +:04068C007AD22407F3 +:040690007AEE23A635 +:040694007B0A234674 +:040698007B2622E5B6 +:04069C007B412284F8 +:0406A0007B5C22233A +:0406A4007B7721C27D +:0406A8007B912161C0 +:0406AC007BAB210003 +:0406B0007BC5209F47 +:0406B4007BDE203E8B +:0406B8007BF81FDDCF +:0406BC007C101F7B14 +:0406C0007C291F1A58 +:0406C4007C411EB89F +:0406C8007C591E57E4 +:0406CC007C711DF52B +:0406D0007C881D9372 +:0406D4007C9F1D31B9 +:0406D8007CB61CCF01 +:0406DC007CCD1C6D48 +:0406E0007CE31C0B90 +:0406E4007CF91BA9D9 +:0406E8007D0E1B4721 +:0406EC007D241AE56A +:0406F0007D391A82B4 +:0406F4007D4D1A20FE +:0406F8007D6219BE48 +:0406FC007D76195B93 +:040700007D8918F9DE +:040704007D9D189629 +:040708007DB0183375 +:04070C007DC317D0C2 +:040710007DD5176E0E +:040714007DE8170B5A +:040718007DFA16A8A8 +:04071C007E0B1645F5 +:040720007E1D15E243 +:040724007E2E157F91 +:040728007E3E151CE0 +:04072C007E4F14B92F +:040730007E5F14557F +:040734007E6F13F2CF +:040738007E7E138F1F +:04073C007E8D132B70 +:040740007E9C12C8C1 +:040744007EAB126412 +:040748007EB9120163 +:04074C007EC7119DB6 +:040750007ED5113A07 +:040754007EE210D65B +:040758007EEF1072AE +:04075C007EFC100F00 +:040760007F090FAB53 +:040764007F150F47A7 +:040768007F210EE3FC +:04076C007F2C0E8050 +:040770007F370E1CA5 +:040774007F420DB8FB +:040778007F4D0D5450 +:04077C007F570CF0A7 +:040780007F610C8CFD +:040784007F6B0C2853 +:040788007F740BC4AB +:04078C007F7D0B5F03 +:040790007F860AFB5B +:040794007F8F0A97B2 +:040798007F970A330A +:04079C007F9F09CF63 +:0407A0007FA6096ABD +:0407A4007FAD090616 +:0407A8007FB408A270 +:0407AC007FBB083EC9 +:0407B0007FC107D925 +:0407B4007FC707757F +:0407B8007FCD0711D9 +:0407BC007FD206AC36 +:0407C0007FD8064890 +:0407C4007FDC05E3EE +:0407C8007FE1057F49 +:0407CC007FE5051BA5 +:0407D0007FE904B603 +:0407D4007FEC045260 +:0407D8007FF003EDBE +:0407DC007FF303891B +:0407E0007FF503247A +:0407E4007FF702C0D9 +:0407E8007FF9025B38 +:0407EC007FFB01F797 +:0407F0007FFD0192F6 +:0407F4007FFE012E55 +:0407F8007FFE00C9B7 +:0407FC007FFF006516 +:040800007FFF000076 +:040804007FFFFF9BD8 +:040808007FFEFF3739 +:04080C007FFEFED29B +:040810007FFDFE6EFC +:040814007FFBFE095F +:040818007FF9FDA5C2 +:04081C007FF7FD4025 +:040820007FF5FCDC88 +:040824007FF3FC77EB +:040828007FF0FC134E +:04082C007FECFBAEB4 +:040830007FE9FB4A17 +:040834007FE5FAE57D +:040838007FE1FA81E1 +:04083C007FDCFA1D46 +:040840007FD8F9B8AC +:040844007FD2F95412 +:040848007FCDF8EF79 +:04084C007FC7F88BDF +:040850007FC1F82745 +:040854007FBBF7C2AD +:040858007FB4F75E14 +:04085C007FADF6FA7C +:040860007FA6F696E3 +:040864007F9FF6314B +:040868007F97F5CDB4 +:04086C007F8FF5691C +:040870007F86F50585 +:040874007F7DF4A1EF +:040878007F74F43C59 +:04087C007F6BF3D8C3 +:040880007F61F3742D +:040884007F57F31097 +:040888007F4DF2AC02 +:04088C007F42F2486D +:040890007F37F1E4D9 +:040894007F2CF18044 +:040898007F21F11DAE +:04089C007F15F0B91B +:0408A0007F09F05587 +:0408A4007EFCEFF1F6 +:0408A8007EEFEF8E62 +:0408AC007EE2EF2ACF +:0408B0007ED5EEC63D +:0408B4007EC7EE63AA +:0408B8007EB9EDFF19 +:0408BC007EABED9C86 +:0408C0007E9CED38F5 +:0408C4007E8DECD564 +:0408C8007E7EEC71D3 +:0408CC007E6FEC0E41 +:0408D0007E5FEBABB1 +:0408D4007E4FEB4721 +:0408D8007E3EEAE492 +:0408DC007E2EEA8101 +:0408E0007E1DEA1E71 +:0408E4007E0BE9BBE3 +:0408E8007DFAE95854 +:0408EC007DE8E8F5C6 +:0408F0007DD5E89238 +:0408F4007DC3E830A8 +:0408F8007DB0E7CD1B +:0408FC007D9DE76A8D +:040900007D89E707FF +:040904007D76E6A571 +:040908007D62E642E4 +:04090C007D4DE5E058 +:040910007D39E57ECA +:040914007D24E51B3E +:040918007D0EE4B9B3 +:04091C007CF9E45727 +:040920007CE3E3F59C +:040924007CCDE39310 +:040928007CB6E33185 +:04092C007C9FE2CFFB +:040930007C88E26D70 +:040934007C71E20BE5 +:040938007C59E1A95C +:04093C007C41E148D1 +:040940007C29E0E648 +:040944007C10E085BE +:040948007BF8E02335 +:04094C007BDEDFC2AD +:040950007BC5DF6123 +:040954007BABDF009A +:040958007B91DE9F12 +:04095C007B77DE3E89 +:040960007B5CDDDD02 +:040964007B41DD7C7A +:040968007B26DD1BF2 +:04096C007B0ADCBA6C +:040970007AEEDC5AE5 +:040974007AD2DBF95F +:040978007AB6DB99D7 +:04097C007A99DB3851 +:040980007A7CDAD8CB +:040984007A5FDA7844 +:040988007A41DA18BE +:04098C007A23D9B839 +:040990007A05D958B3 +:0409940079E6D8F830 +:0409980079C8D899A9 +:04099C0079A9D83924 +:0409A0007989D7DAA0 +:0409A400796AD77A1B +:0409A800794AD71B96 +:0409AC007929D6BC13 +:0409B0007909D65D8E +:0409B40078E8D5FE0C +:0409B80078C7D59F88 +:0409BC0078A5D54005 +:0409C0007884D4E182 +:0409C4007862D483FE +:0409C800783FD4247C +:0409CC00781DD3C6F9 +:0409D00077FAD36778 +:0409D40077D7D309F5 +:0409D80077B3D2AB74 +:0409DC00778FD24DF2 +:0409E000776BD1EF71 +:0409E4007747D192EE +:0409E8007722D1346D +:0409EC0076FED0D6ED +:0409F00076D8D0796C +:0409F40076B3D01CEA +:0409F800768DCFBF6A +:0409FC007667CF62E9 +:040A00007641CF0567 +:040A0400761ACEA8E8 +:040A080075F3CE4B69 +:040A0C0075CCCDEFE9 +:040A100075A5CD9269 +:040A1400757DCD36E9 +:040A18007555CCDA6A +:040A1C00752DCC7DEB +:040A20007504CC216C +:040A240074DBCBC6EE +:040A280074B2CB6A6F +:040A2C007488CB0EF1 +:040A3000745FCAB372 +:040A34007435CA58F3 +:040A3800740AC9FC77 +:040A3C0073E0C9A1F9 +:040A400073B5C9467B +:040A4400738AC8EBFE +:040A4800735EC89180 +:040A4C007333C83602 +:040A50007307C7DC85 +:040A540072DBC78208 +:040A580072AEC7278C +:040A5C007281C6CD10 +:040A60007254C67492 +:040A64007227C61A15 +:040A680071F9C5C09B +:040A6C0071CBC5671E +:040A7000719DC50EA1 +:040A7400716FC4B426 +:040A78007140C45BAA +:040A7C007111C4022E +:040A800070E2C3AAB3 +:040A840070B2C35138 +:040A88007083C2F9BC +:040A8C007053C2A041 +:040A90007022C248C6 +:040A94006FF2C1F04C +:040A98006FC1C198D1 +:040A9C006F90C14155 +:040AA0006F5EC0E9DC +:040AA4006F2CC09261 +:040AA8006EFBC03BE6 +:040AAC006EC8BFE36E +:040AB0006E96BF8DF2 +:040AB4006E63BF3678 +:040AB8006E30BEDFFF +:040ABC006DFDBE8985 +:040AC0006DC9BE320C +:040AC4006D95BDDC93 +:040AC8006D61BD8619 +:040ACC006D2DBD309F +:040AD0006CF8BCDB27 +:040AD4006CC3BC85AE +:040AD8006C8EBC3034 +:040ADC006C59BBDBBB +:040AE0006C23BB8642 +:040AE4006BEDBB31CA +:040AE8006BB7BADC52 +:040AEC006B81BA88D8 +:040AF0006B4ABA3360 +:040AF4006B13B9DFE8 +:040AF8006ADCB98B70 +:040AFC006AA4B937F8 +:040B00006A6DB8E47E +:040B04006A35B89006 +:040B080069FDB83D8E +:040B0C0069C4B7EA17 +:040B1000698BB7979F +:040B14006952B74427 +:040B18006919B6F1B0 +:040B1C0068E0B69F38 +:040B200068A6B64CC1 +:040B2400686CB5FA4A +:040B28006832B5A8D2 +:040B2C0067F7B5575B +:040B300067BCB505E4 +:040B34006781B4B46D +:040B38006746B463F5 +:040B3C00670AB4127E +:040B400066CFB3C108 +:040B44006693B37091 +:040B48006656B3201A +:040B4C00661AB2CFA4 +:040B500065DDB27F2E +:040B540065A0B22FB7 +:040B58006563B1E040 +:040B5C006525B190CA +:040B600064E8B14153 +:040B640064AAB0F2DD +:040B6800646CB0A366 +:040B6C00642DB054F0 +:040B700063EEB0057B +:040B740063AFAFB705 +:040B78006370AF698E +:040B7C006331AF1B17 +:040B800062F1AECDA3 +:040B840062B1AE7F2D +:040B88006271AE32B6 +:040B8C006231ADE540 +:040B900061F0AD98CB +:040B940061AFAD4B55 +:040B9800616EACFEE0 +:040B9C00612DACB269 +:040BA00060EBAC65F5 +:040BA40060AAAC197E +:040BA8006068ABCE08 +:040BAC006025AB8293 +:040BB0005FE3AB371D +:040BB4005FA0AAEBA9 +:040BB8005F5DAAA033 +:040BBC005F1AAA56BC +:040BC0005ED7AA0B47 +:040BC4005E93A9C1D2 +:040BC8005E4FA9765D +:040BCC005E0BA92DE6 +:040BD0005DC7A8E372 +:040BD4005D82A899FD +:040BD8005D3EA85086 +:040BDC005CF9A80711 +:040BE0005CB3A7BE9D +:040BE4005C6EA77527 +:040BE8005C28A72DB1 +:040BEC005BE2A6E43E +:040BF0005B9CA69CC8 +:040BF4005B56A65452 +:040BF8005B0FA60DDC +:040BFC005AC9A5C568 +:040C00005A82A57EF1 +:040C04005A3BA5377B +:040C080059F3A4F107 +:040C0C0059ACA4AA91 +:040C10005964A4641B +:040C1400591CA41EA5 +:040C180058D3A3D832 +:040C1C00588BA392BC +:040C20005842A34D46 +:040C240057F9A307D2 +:040C280057B0A2C25D +:040C2C005767A27EE6 +:040C3000571DA23971 +:040C340056D3A1F5FD +:040C3800568AA1B186 +:040C3C00563FA16D11 +:040C400055F5A1299C +:040C440055AAA0E627 +:040C48005560A0A3B0 +:040C4C005515A0603A +:040C500054C9A01DC6 +:040C5400547E9FDB50 +:040C580054329F98DB +:040C5C0053E79F5665 +:040C6000539B9F15EE +:040C6400534E9ED37A +:040C680053029E9203 +:040C6C0052B59E518E +:040C700052689E1018 +:040C7400521B9DCFA3 +:040C780051CE9D8F2D +:040C7C0051819D4FB6 +:040C800051339D0F40 +:040C840050E59CCFCC +:040C880050979C9055 +:040C8C0050499C51DE +:040C90004FFB9C1268 +:040C94004FAC9BD3F3 +:040C98004F5D9B947D +:040C9C004F0E9B5606 +:040CA0004EBF9B1890 +:040CA4004E709ADB19 +:040CA8004E209A9DA3 +:040CAC004DD19A602C +:040CB0004D819A23B5 +:040CB4004D3199E63F +:040CB8004CE099AAC9 +:040CBC004C90996D52 +:040CC0004C3F9931DB +:040CC4004BEE98F665 +:040CC8004B9D98BAEE +:040CCC004B4C987F76 +:040CD0004AFB9844FF +:040CD4004AA9980988 +:040CD8004A5897CE11 +:040CDC004A06979499 +:040CE00049B4975A22 +:040CE40049619720AB +:040CE800490F96E733 +:040CEC0048BC96AEBC +:040CF0004869967544 +:040CF4004816963CCC +:040CF80047C3960355 +:040CFC00477095CBDD +:040D0000471C959364 +:040D040046C9955CEB +:040D08004675952473 +:040D0C00462194EDFB +:040D100045CD94B683 +:040D14004578947F0B +:040D18004524944991 +:040D1C0044CF941319 +:040D2000447A93DDA1 +:040D2400442593A728 +:040D280043D09372AF +:040D2C00437B933D35 +:040D300043259308BC +:040D340042D092D344 +:040D3800427A929FCA +:040D3C004224926B50 +:040D400041CE9237D7 +:040D4400417792035E +:040D4800412191D0E4 +:040D4C0040CA919D6B +:040D50004073916AF1 +:040D5400401D913875 +:040D58003FC59105FD +:040D5C003F6E90D482 +:040D60003F1790A207 +:040D64003EBF90708E +:040D68003E68903F12 +:040D6C003E10900E97 +:040D70003DB88FDE1D +:040D74003D608FADA2 +:040D78003D078F7D27 +:040D7C003CAF8F4EAB +:040D80003C568F1E30 +:040D84003BFE8EEFB5 +:040D88003BA58EC039 +:040D8C003B4C8E91BD +:040D90003AF28E6342 +:040D94003A998E35C5 +:040D98003A408E0748 +:040D9C0039E68DD9CE +:040DA000398C8DAC51 +:040DA40039338D7FD3 +:040DA80038D98D5257 +:040DAC00387E8D25DB +:040DB00038248CF95E +:040DB40037CA8CCDE1 +:040DB800376F8CA263 +:040DBC0037158C76E5 +:040DC00036BA8C4B68 +:040DC400365F8C20EA +:040DC80036048BF66C +:040DCC0035A88BCBF0 +:040DD000354D8BA171 +:040DD40034F28B78F2 +:040DD80034968B4E74 +:040DDC00343A8B25F5 +:040DE00033DF8AFC77 +:040DE40033838AD3F8 +:040DE80033268AAB79 +:040DEC0032CA8A83FA +:040DF000326E8A5B7A +:040DF40032118A34FA +:040DF80031B58A0D7A +:040DFC00315889E6FB +:040E000030FB89BF7B +:040E0400309E8999FA +:040E08003041897379 +:040E0C002FE4894DF9 +:040E10002F87892877 +:040E14002F2A8902F6 +:040E18002ECC88DE76 +:040E1C002E6E88B9F5 +:040E20002E11889572 +:040E24002DB38871F1 +:040E28002D55884D6F +:040E2C002CF78829EE +:040E30002C9988066B +:040E34002C3A87E3EA +:040E38002BDC87C167 +:040E3C002B7D879EE5 +:040E40002B1F877C61 +:040E44002AC0875BDE +:040E48002A6187395B +:040E4C002A028718D7 +:040E500029A386F755 +:040E5400294486D7D0 +:040E580028E586B64D +:040E5C0028868696C8 +:040E60002826867743 +:040E640027C78657BF +:040E6800276786383A +:040E6C002708861AB3 +:040E700026A885FB30 +:040E7400264885DDAA +:040E780025E885BF25 +:040E7C00258885A19F +:040E80002528858418 +:040E840024C8856792 +:040E88002467854A0C +:040E8C002407852E84 +:040E900023A68512FE +:040E9400234684F677 +:040E980022E584DAF1 +:040E9C00228484BF69 +:040EA000222384A4E1 +:040EA40021C284895A +:040EA8002161846FD1 +:040EAC002100845548 +:040EB000209F843BC0 +:040EB400203E842236 +:040EB8001FDD8408AE +:040EBC001F7B83F025 +:040EC0001F1A83D79B +:040EC4001EB883BF12 +:040EC8001E5783A787 +:040ECC001DF5838FFE +:040ED0001D93837873 +:040ED4001D318361E8 +:040ED8001CCF834A5E +:040EDC001C6D8333D3 +:040EE0001C0B831D47 +:040EE4001BA98307BC +:040EE8001B4782F230 +:040EEC001AE582DCA5 +:040EF0001A8282C719 +:040EF4001A2082B38B +:040EF80019BE829EFF +:040EFC00195B828A72 +:040F000018F98277E3 +:040F04001896826356 +:040F080018338250C8 +:040F0C0017D0823D3B +:040F1000176E822BAB +:040F1400170B82181D +:040F180016A882068F +:040F1C00164581F500 +:040F200015E281E372 +:040F2400157F81D2E2 +:040F2800151C81C251 +:040F2C0014B981B1C2 +:040F3000145581A132 +:040F340013F28191A2 +:040F3800138F818210 +:040F3C00132B81737F +:040F400012C88164EE +:040F4400126481555D +:040F480012018147CA +:040F4C00119D813939 +:040F5000113A812BA6 +:040F540010D6811E14 +:040F58001072811181 +:040F5C00100F8104ED +:040F60000FAB80F75C +:040F64000F4780EBC8 +:040F68000EE380DF35 +:040F6C000E8080D49F +:040F70000E1C80C90A +:040F74000DB880BE76 +:040F78000D5480B3E1 +:040F7C000CF080A94C +:040F80000C8C809FB6 +:040F84000C28809520 +:040F88000BC4808C8A +:040F8C000B5F8083F4 +:040F90000AFB807A5E +:040F94000A978071C7 +:040F98000A3380692F +:040F9C0009CF806198 +:040FA000096A805A00 +:040FA4000906805367 +:040FA80008A2804CCF +:040FAC00083E804536 +:040FB00007D9803F9E +:040FB4000775803904 +:040FB800071180336A +:040FBC0006AC802ED1 +:040FC0000648802837 +:040FC40005E380249D +:040FC800057F801F02 +:040FCC00051B801B66 +:040FD00004B68017CC +:040FD400045280142F +:040FD80003ED801095 +:040FDC000389800DF8 +:040FE0000324800B5B +:040FE40002C08009BE +:040FE800025B800721 +:040FEC0001F7800584 +:040FF00001928003E7 +:040FF400012E800248 +:040FF80000C98002AA +:040FFC00006580010B +:04100000000080016B +:04100400FF9B8001CD +:04100800FF3780022C +:04100C00FED280028E +:04101000FE6E8003ED +:04101400FE0980054C +:04101800FDA58007AB +:04101C00FD4080090A +:04102000FCDC800B69 +:04102400FC77800DC8 +:04102800FC13801025 +:04102C00FBAE801483 +:04103000FB4A8017E0 +:04103400FAE5801B3E +:04103800FA81801F9A +:04103C00FA1D8024F5 +:04104000F9B8802853 +:04104400F954802EAD +:04104800F8EF80330A +:04104C00F88B803964 +:04105000F827803FBE +:04105400F7C280451A +:04105800F75E804C73 +:04105C00F6FA8053CD +:04106000F696805A26 +:04106400F631806180 +:04106800F5CD8069D9 +:04106C00F569807131 +:04107000F505807A88 +:04107400F4A18083E0 +:04107800F43C808C38 +:04107C00F3D8809590 +:04108000F374809FE6 +:04108400F31080A93C +:04108800F2AC80B393 +:04108C00F24880BEE8 +:04109000F1E480C93E +:04109400F18080D493 +:04109800F11D80DFE7 +:04109C00F0B980EB3C +:0410A000F05580F790 +:0410A400EFF18104E3 +:0410A800EF8E811135 +:0410AC00EF2A811E88 +:0410B000EEC6812BDC +:0410B400EE6381392D +:0410B800EDFF814780 +:0410BC00ED9C8155D1 +:0410C000ED38816422 +:0410C400ECD5817373 +:0410C800EC718182C4 +:0410CC00EC0E819114 +:0410D000EBAB81A164 +:0410D400EB4781B1B4 +:0410D800EAE481C203 +:0410DC00EA8181D252 +:0410E000EA1E81E3A0 +:0410E400E9BB81F5EE +:0410E800E95882063B +:0410EC00E8F5821889 +:0410F000E892822BD5 +:0410F400E830823D21 +:0410F800E7CD82506E +:0410FC00E76A8263BA +:04110000E707827704 +:04110400E6A5828A50 +:04110800E642829E9B +:04110C00E5E082B3E5 +:04111000E57E82C72F +:04111400E51B82DC79 +:04111800E4B982F2C2 +:04111C00E45783070A +:04112000E3F5831D53 +:04112400E39383339B +:04112800E331834AE2 +:04112C00E2CF83612A +:04113000E26D837871 +:04113400E20B838FB8 +:04113800E1A983A7FF +:04113C00E14883BF44 +:04114000E0E683D78B +:04114400E08583F0CF +:04114800E023840814 +:04114C00DFC2842258 +:04115000DF61843B9C +:04115400DF008455DF +:04115800DE9F846F23 +:04115C00DE3E848966 +:04116000DDDD84A4A9 +:04116400DD7C84BFEB +:04116800DD1B84DA2D +:04116C00DCBA84F66F +:04117000DC5A8512AE +:04117400DBF9852EF0 +:04117800DB99854A30 +:04117C00DB38856770 +:04118000DAD88584B0 +:04118400DA7885A1EF +:04118800DA1885BF2D +:04118C00D9B885DD6C +:04119000D95885FBAA +:04119400D8F8861AE7 +:04119800D899863824 +:04119C00D839865761 +:0411A000D7DA86779D +:0411A400D77A8696DA +:0411A800D71B86B615 +:0411AC00D6BC86D750 +:0411B000D65D86F78B +:0411B400D5FE8718C5 +:0411B800D59F8739FF +:0411BC00D540875B38 +:0411C000D4E1877C73 +:0411C400D483879EAB +:0411C800D42487C1E3 +:0411CC00D3C687E31C +:0411D000D367880653 +:0411D400D30988298A +:0411D800D2AB884DC1 +:0411DC00D24D8871F7 +:0411E000D1EF88952E +:0411E400D19288B963 +:0411E800D13488DE98 +:0411EC00D0D68902CE +:0411F000D079892801 +:0411F400D01C894D35 +:0411F800CFBF897369 +:0411FC00CF6289999C +:04120000CF0589BFCE +:04120400CEA889E601 +:04120800CE4B8A0D32 +:04120C00CDEF8A3464 +:04121000CD928A5B96 +:04121400CD368A83C6 +:04121800CCDA8AABF7 +:04121C00CC7D8AD328 +:04122000CC218AFC57 +:04122400CBC68B2585 +:04122800CB6A8B4EB4 +:04122C00CB0E8B78E2 +:04123000CAB38BA111 +:04123400CA588BCB3E +:04123800C9FC8BF66C +:04123C00C9A18C2098 +:04124000C9468C4BC4 +:04124400C8EB8C76F1 +:04124800C8918CA21B +:04124C00C8368CCD47 +:04125000C7DC8CF972 +:04125400C7828D259B +:04125800C7278D52C5 +:04125C00C6CD8D7FEF +:04126000C6748DAC17 +:04126400C61A8DD940 +:04126800C5C08E0768 +:04126C00C5678E358F +:04127000C50E8E63B6 +:04127400C4B48E91DF +:04127800C45B8EC005 +:04127C00C4028EEF2B +:04128000C3AA8F1E50 +:04128400C3518F4E75 +:04128800C2F98F7D9B +:04128C00C2A08FADC0 +:04129000C2488FDEE3 +:04129400C1F0900E07 +:04129800C198903F2A +:04129C00C14190704C +:0412A000C0E990A26F +:0412A400C09290D490 +:0412A800C03B9105B1 +:0412AC00BFE39138D3 +:0412B000BF8D916AF3 +:0412B400BF36919D13 +:0412B800BEDF91D034 +:0412BC00BE89920352 +:0412C000BE32923771 +:0412C400BDDC926B90 +:0412C800BD86929FAE +:0412CC00BD3092D3CC +:0412D000BCDB9308E8 +:0412D400BC85933D05 +:0412D800BC30937221 +:0412DC00BBDB93A73E +:0412E000BB8693DD59 +:0412E400BB31941373 +:0412E800BADC94498F +:0412EC00BA88947FA9 +:0412F000BA3394B6C3 +:0412F400B9DF94EDDD +:0412F800B98B9524F5 +:0412FC00B937955C0D +:04130000B8E4959325 +:04130400B89095CB3D +:04130800B83D960353 +:04130C00B7EA963C6A +:04131000B797967580 +:04131400B74496AE96 +:04131800B6F196E7AD +:04131C00B69F9720C1 +:04132000B64C975AD6 +:04132400B5FA9794EB +:04132800B5A897CEFF +:04132C00B557980910 +:04133000B505984423 +:04133400B4B4987F36 +:04133800B46398BA48 +:04133C00B41298F659 +:04134000B3C199316B +:04134400B370996D7C +:04134800B32099AA8B +:04134C00B2CF99E69D +:04135000B27F9A23AB +:04135400B22F9A60BA +:04135800B1E09A9DC9 +:04135C00B1909ADBD7 +:04136000B1419B18E4 +:04136400B0F29B56F2 +:04136800B0A39B94FF +:04136C00B0549BD30B +:04137000B0059C1216 +:04137400AFB79C5122 +:04137800AF699C902D +:04137C00AF1B9CCF38 +:04138000AECD9D0F42 +:04138400AE7F9D4F4C +:04138800AE329D8F55 +:04138C00ADE59DCF5F +:04139000AD989E1066 +:04139400AD4B9E516E +:04139800ACFE9E9277 +:04139C00ACB29ED37E +:0413A000AC659F1584 +:0413A400AC199F568B +:0413A800ABCE9F9891 +:0413AC00AB829FDB96 +:0413B000AB37A01D9A +:0413B400AAEBA060A0 +:0413B800AAA0A0A3A4 +:0413BC00AA56A0E6A7 +:0413C000AA0BA129AA +:0413C400A9C1A16DAD +:0413C800A976A1B1B0 +:0413CC00A92DA1F5B1 +:0413D000A8E3A239B3 +:0413D400A899A27EB4 +:0413D800A850A2C2B5 +:0413DC00A807A307B4 +:0413E000A7BEA34DB4 +:0413E400A775A392B4 +:0413E800A72DA3D8B2 +:0413EC00A6E4A41EB1 +:0413F000A69CA464AF +:0413F400A654A4AAAD +:0413F800A60DA4F1A9 +:0413FC00A5C5A537A7 +:04140000A57EA57EA2 +:04140400A537A5C59E +:04140800A4F1A60D98 +:04140C00A4AAA65494 +:04141000A464A69C8E +:04141400A41EA6E488 +:04141800A3D8A72D81 +:04141C00A392A7757B +:04142000A34DA7BE73 +:04142400A307A8076B +:04142800A2C2A85064 +:04142C00A27EA8995B +:04143000A239A8E352 +:04143400A1F5A92D48 +:04143800A1B1A9763F +:04143C00A16DA9C134 +:04144000A129AA0B29 +:04144400A0E6AA561E +:04144800A0A3AAA013 +:04144C00A060AAEB07 +:04145000A01DAB37F9 +:041454009FDBAB82ED +:041458009F98ABCEE0 +:04145C009F56AC19D2 +:041460009F15AC65C3 +:041464009ED3ACB2B5 +:041468009E92ACFEA6 +:04146C009E51AD4B95 +:041470009E10AD9885 +:041474009DCFADE576 +:041478009D8FAE3264 +:04147C009D4FAE7F53 +:041480009D0FAECD41 +:041484009CCFAF1B2F +:041488009C90AF691C +:04148C009C51AFB709 +:041490009C12B005F5 +:041494009BD3B054E2 +:041498009B94B0A3CE +:04149C009B56B0F2B9 +:0414A0009B18B141A3 +:0414A4009ADBB1908E +:0414A8009A9DB1E078 +:0414AC009A60B22F61 +:0414B0009A23B27F4A +:0414B40099E6B2CF34 +:0414B80099AAB3201A +:0414BC00996DB37003 +:0414C0009931B3C1EA +:0414C40098F6B412D0 +:0414C80098BAB463B7 +:0414CC00987FB4B49D +:0414D0009844B50582 +:0414D4009809B55767 +:0414D80097CEB5A84E +:0414DC009794B5FA32 +:0414E000975AB64C15 +:0414E4009720B69FF8 +:0414E80096E7B6F1DC +:0414EC0096AEB744BD +:0414F0009675B7979F +:0414F400963CB7EA81 +:0414F8009603B83D62 +:0414FC0095CBB89044 +:041500009593B8E423 +:04150400955CB93702 +:041508009524B98BE2 +:04150C0094EDB9DFC2 +:0415100094B6BA33A0 +:04151400947FBA887E +:041518009449BADC5C +:04151C009413BB3138 +:0415200093DDBB8616 +:0415240093A7BBDBF3 +:041528009372BC30CE +:04152C00933DBC85AA +:041530009308BCDB85 +:0415340092D3BD3061 +:04153800929FBD863B +:04153C00926BBDDC15 +:041540009237BE32EE +:041544009203BE89C7 +:0415480091D0BEDFA1 +:04154C00919DBF3678 +:04155000916ABF8D50 +:041554009138BFE328 +:041558009105C03BFE +:04155C0090D4C092D5 +:0415600090A2C0E9AC +:041564009070C14181 +:04156800903FC19857 +:04156C00900EC1F02C +:041570008FDEC24800 +:041574008FADC2A0D5 +:041578008F7DC2F9A8 +:04157C008F4EC3517A +:041580008F1EC3AA4D +:041584008EEFC40220 +:041588008EC0C45BF2 +:04158C008E91C4B4C4 +:041590008E63C50E93 +:041594008E35C56764 +:041598008E07C5C035 +:04159C008DD9C61A05 +:0415A0008DACC674D4 +:0415A4008D7FC6CDA4 +:0415A8008D52C72772 +:0415AC008D25C78240 +:0415B0008CF9C7DC0F +:0415B4008CCDC836DC +:0415B8008CA2C891A8 +:0415BC008C76C8EB76 +:0415C0008C4BC94641 +:0415C4008C20C9A10D +:0415C8008BF6C9FCD9 +:0415CC008BCBCA58A3 +:0415D0008BA1CAB36E +:0415D4008B78CB0E37 +:0415D8008B4ECB6A01 +:0415DC008B25CBC6CA +:0415E0008AFCCC2194 +:0415E4008AD3CC7D5D +:0415E8008AABCCDA24 +:0415EC008A83CD36EB +:0415F0008A5BCD92B3 +:0415F4008A34CDEF79 +:0415F8008A0DCE4B3F +:0415FC0089E6CEA806 +:0416000089BFCF05CA +:041604008999CF628F +:041608008973CFBF54 +:04160C00894DD01C18 +:041610008928D079DC +:041614008902D0D6A1 +:0416180088DED13463 +:04161C0088B9D19226 +:041620008895D1EFE9 +:041624008871D24DAA +:04162800884DD2AB6C +:04162C008829D3092D +:041630008806D367EE +:0416340087E3D3C6AF +:0416380087C1D4246E +:04163C00879ED4832E +:04164000877CD4E1EE +:04164400875BD540AB +:041648008739D59F6A +:04164C008718D5FE28 +:0416500086F7D65DE6 +:0416540086D7D6BCA3 +:0416580086B6D71B60 +:04165C008696D77A1D +:041660008677D7DAD8 +:041664008657D83994 +:041668008638D8994F +:04166C00861AD8F80A +:0416700085FBD958C5 +:0416740085DDD9B87F +:0416780085BFDA1838 +:04167C0085A1DA78F2 +:041680008584DAD8AB +:041684008567DB3863 +:04168800854ADB991B +:04168C00852EDBF9D3 +:041690008512DC5A89 +:0416940084F6DCBA42 +:0416980084DADD1BF8 +:04169C0084BFDD7CAE +:0416A00084A4DDDD64 +:0416A4008489DE3E19 +:0416A800846FDE9FCE +:0416AC008455DF0082 +:0416B000843BDF6137 +:0416B4008422DFC2EB +:0416B8008408E0239F +:0416BC0083F0E08552 +:0416C00083D7E0E606 +:0416C40083BFE148B7 +:0416C80083A7E1A96A +:0416CC00838FE20B1B +:0416D0008378E26DCC +:0416D4008361E2CF7D +:0416D800834AE3312D +:0416DC008333E393DE +:0416E000831DE3F58E +:0416E4008307E4573D +:0416E80082F2E4B9ED +:0416EC0082DCE51B9C +:0416F00082C7E57E4A +:0416F40082B3E5E0F8 +:0416F800829EE642A6 +:0416FC00828AE6A553 +:041700008277E707FE +:041704008263E76AAB +:041708008250E7CD57 +:04170C00823DE83002 +:04171000822BE892AE +:041714008218E8F55A +:041718008206E95804 +:04171C0081F5E9BBAF +:0417200081E3EA1E59 +:0417240081D2EA8103 +:0417280081C2EAE4AC +:04172C0081B1EB4755 +:0417300081A1EBABFD +:041734008191EC0EA5 +:041738008182EC714D +:04173C008173ECD5F4 +:041740008164ED389B +:041744008155ED9C42 +:041748008147EDFFE9 +:04174C008139EE638E +:04175000812BEEC635 +:04175400811EEF2AD9 +:041758008111EF8E7E +:04175C008104EFF124 +:0417600080F7F055C9 +:0417640080EBF0B96D +:0417680080DFF11D10 +:04176C0080D4F180B4 +:0417700080C9F1E457 +:0417740080BEF248F9 +:0417780080B3F2AC9C +:04177C0080A9F3103D +:04178000809FF374DF +:041784008095F3D881 +:04178800808CF43C21 +:04178C008083F4A1C1 +:04179000807AF50561 +:041794008071F56902 +:041798008069F5CDA2 +:04179C008061F63141 +:0417A000805AF696DF +:0417A4008053F6FA7E +:0417A800804CF75E1C +:0417AC008045F7C2BB +:0417B000803FF82757 +:0417B4008039F88BF5 +:0417B8008033F8EF93 +:0417BC00802EF9542E +:0417C0008028F9B8CC +:0417C4008024FA1D66 +:0417C800801FFA8103 +:0417CC00801BFAE59F +:0417D0008017FB4A39 +:0417D4008014FBAED4 +:0417D8008010FC136E +:0417DC00800DFC7709 +:0417E000800BFCDCA2 +:0417E4008009FD403B +:0417E8008007FDA5D4 +:0417EC008005FE096D +:0417F0008003FE6E06 +:0417F4008002FED29F +:0417F8008002FF3735 +:0417FC008001FF9BCE +:041800008001000063 +:0418040080010065FA +:04180800800200C991 +:04180C008002012E27 +:0418100080030192BE +:04181400800501F753 +:041818008007025BE8 +:04181C00800902C07D +:04182000800B032412 +:04182400800D0389A7 +:04182800801003ED3C +:04182C0080140452CE +:04183000801704B663 +:04183400801B051BF5 +:04183800801F057F89 +:04183C00802405E31C +:0418400080280648AE +:04184400802E06AC40 +:0418480080330711D1 +:04184C008039077563 +:04185000803F07D9F5 +:041854008045083E85 +:04185800804C08A216 +:04185C0080530906A6 +:04186000805A096A37 +:04186400806109CFC7 +:0418680080690A3356 +:04186C0080710A97E6 +:04187000807A0AFB75 +:0418740080830B5F03 +:04187800808C0BC491 +:04187C0080950C281F +:04188000809F0C8CAD +:0418840080A90CF03B +:0418880080B30D54C8 +:04188C0080BE0DB855 +:0418900080C90E1CE1 +:0418940080D40E806E +:0418980080DF0EE3FC +:04189C0080EB0F4787 +:0418A00080F70FAB13 +:0418A4008104100F9C +:0418A8008111107228 +:0418AC00811E10D6B3 +:0418B000812B113A3D +:0418B4008139119DC8 +:0418B8008147120151 +:0418BC0081551264DC +:0418C000816412C865 +:0418C4008173132BEE +:0418C8008182138F77 +:0418CC00819113F201 +:0418D00081A1145589 +:0418D40081B114B911 +:0418D80081C2151C98 +:0418DC0081D2157F21 +:0418E00081E315E2A9 +:0418E40081F516452F +:0418E800820616A8B6 +:0418EC008218170B3C +:0418F000822B176EC2 +:0418F400823D17D04A +:0418F80082501833CF +:0418FC008263189655 +:04190000827718F9D9 +:04190400828A195B5F +:04190800829E19BEE4 +:04190C0082B31A2068 +:0419100082C71A82EE +:0419140082DC1AE572 +:0419180082F21B47F5 +:04191C0083071BA979 +:04192000831D1C0BFC +:0419240083331C6D80 +:04192800834A1CCF03 +:04192C0083611D3185 +:0419300083781D9308 +:04193400838F1DF58B +:0419380083A71E570C +:04193C0083BF1EB88F +:0419400083D71F1A10 +:0419440083F01F7B92 +:0419480084081FDD13 +:04194C008422203E93 +:04195000843B209F15 +:041954008455210095 +:04195800846F216116 +:04195C00848921C297 +:0419600084A4222316 +:0419640084BF228496 +:0419680084DA22E516 +:04196C0084F6234694 +:04197000851223A613 +:04197400852E240791 +:04197800854A246711 +:04197C00856724C88F +:04198000858425280D +:0419840085A125888C +:0419880085BF25E80A +:04198C0085DD264887 +:0419900085FB26A805 +:04199400861A270880 +:0419980086382767FF +:04199C00865727C77C +:0419A00086772826F8 +:0419A4008696288675 +:0419A80086B628E5F2 +:0419AC0086D729446D +:0419B00086F729A3EA +:0419B40087182A0264 +:0419B80087392A61E0 +:0419BC00875B2AC05B +:0419C000877C2B1FD6 +:0419C400879E2B7D52 +:0419C80087C12BDCCC +:0419CC0087E32C3A47 +:0419D00088062C99C0 +:0419D40088292CF73B +:0419D800884D2D55B4 +:0419DC0088712DB32E +:0419E00088952E11A7 +:0419E40088B92E6E22 +:0419E80088DE2ECC9B +:0419EC0089022F2A13 +:0419F00089282F878C +:0419F400894D2FE406 +:0419F800897330417E +:0419FC008999309EF7 +:041A000089BF30FB6F +:041A040089E63158E6 +:041A08008A0D31B55D +:041A0C008A343211D5 +:041A10008A5B326E4D +:041A14008A8332CAC5 +:041A18008AAB33263C +:041A1C008AD33383B3 +:041A20008AFC33DF2A +:041A24008B25343AA0 +:041A28008B4E349617 +:041A2C008B7834F28D +:041A30008BA1354D04 +:041A34008BCB35A87B +:041A38008BF63604EF +:041A3C008C20365F65 +:041A40008C4B36BADB +:041A44008C76371550 +:041A48008CA2376FC6 +:041A4C008CCD37CA3C +:041A50008CF93824B1 +:041A54008D25387E26 +:041A58008D5238D99A +:041A5C008D7F39330E +:041A60008DAC398C84 +:041A64008DD939E6F9 +:041A68008E073A406B +:041A6C008E353A99E0 +:041A70008E633AF255 +:041A74008E913B4CC8 +:041A78008EC03BA53C +:041A7C008EEF3BFEB0 +:041A80008F1E3C5623 +:041A84008F4E3CAF96 +:041A88008F7D3D070A +:041A8C008FAD3D607D +:041A90008FDE3DB8F0 +:041A9400900E3E1062 +:041A9800903F3E68D5 +:041A9C0090703EBF49 +:041AA00090A23F17BA +:041AA40090D43F6E2D +:041AA80091053FC5A0 +:041AAC009138401D10 +:041AB000916A407384 +:041AB400919D40CAF6 +:041AB80091D0412167 +:041ABC0092034177D9 +:041AC000923741CE4A +:041AC400926B4224BB +:041AC800929F427A2D +:041ACC0092D342D09F +:041AD000930843250F +:041AD400933D437B80 +:041AD800937243D0F2 +:041ADC0093A7442563 +:041AE00093DD447AD4 +:041AE400941344CF44 +:041AE80094494524B4 +:041AEC00947F457826 +:041AF00094B645CD96 +:041AF40094ED462106 +:041AF8009524467576 +:041AFC00955C46C9E6 +:041B00009593471C56 +:041B040095CB4770C6 +:041B0800960347C336 +:041B0C00963C4816A5 +:041B10009675486915 +:041B140096AE48BC85 +:041B180096E7490FF4 +:041B1C009720496164 +:041B2000975A49B4D3 +:041B240097944A0642 +:041B280097CE4A58B2 +:041B2C0098094AA921 +:041B300098444AFB90 +:041B3400987F4B4CFF +:041B380098BA4B9D6F +:041B3C0098F64BEEDE +:041B400099314C3F4C +:041B4400996D4C90BB +:041B480099AA4CE02A +:041B4C0099E64D3198 +:041B50009A234D8106 +:041B54009A604DD175 +:041B58009A9D4E20E4 +:041B5C009ADB4E7052 +:041B60009B184EBFC1 +:041B64009B564F0E2F +:041B68009B944F5D9E +:041B6C009BD34FAC0C +:041B70009C124FFB79 +:041B74009C515049E7 +:041B78009C90509756 +:041B7C009CCF50E5C5 +:041B80009D0F513331 +:041B84009D4F51819F +:041B88009D8F51CE0E +:041B8C009DCF521B7C +:041B90009E105268E9 +:041B94009E5152B557 +:041B98009E925302C4 +:041B9C009ED3534E33 +:041BA0009F15539B9F +:041BA4009F5653E70E +:041BA8009F9854327C +:041BAC009FDB547EE9 +:041BB000A01D54C957 +:041BB400A0605515C3 +:041BB800A0A3556031 +:041BBC00A0E655AAA0 +:041BC000A12955F50D +:041BC400A16D563F7A +:041BC800A1B1568AE7 +:041BCC00A1F556D356 +:041BD000A239571DC2 +:041BD400A27E57672F +:041BD800A2C257B09E +:041BDC00A30757F90B +:041BE000A34D584277 +:041BE400A392588BE5 +:041BE800A3D858D353 +:041BEC00A41E591CBE +:041BF000A46459642C +:041BF400A4AA59AC9A +:041BF800A4F159F308 +:041BFC00A5375A3B74 +:041C0000A57E5A82E1 +:041C0400A5C55AC94F +:041C0800A60D5B0FBB +:041C0C00A6545B5629 +:041C1000A69C5B9C97 +:041C1400A6E45BE205 +:041C1800A72D5C2870 +:041C1C00A7755C6EDE +:041C2000A7BE5CB34C +:041C2400A8075CF9B8 +:041C2800A8505D3E25 +:041C2C00A8995D8294 +:041C3000A8E35DC701 +:041C3400A92D5E0B6D +:041C3800A9765E4FDC +:041C3C00A9C15E9349 +:041C4000AA0B5ED7B6 +:041C4400AA565F1A23 +:041C4800AAA05F5D92 +:041C4C00AAEB5FA000 +:041C5000AB375FE36C +:041C5400AB826025DA +:041C5800ABCE606847 +:041C5C00AC1960AAB5 +:041C6000AC6560EB24 +:041C6400ACB2612D90 +:041C6800ACFE616EFF +:041C6C00AD4B61AF6C +:041C7000AD9861F0DA +:041C7400ADE5623147 +:041C7800AE326271B5 +:041C7C00AE7F62B124 +:041C8000AECD62F192 +:041C8400AF1B6331FE +:041C8800AF6963706D +:041C8C00AFB763AFDC +:041C9000B00563EE4A +:041C9400B054642DB7 +:041C9800B0A3646C25 +:041C9C00B0F264AA94 +:041CA000B14164E802 +:041CA400B190652571 +:041CA800B1E06563DF +:041CAC00B22F65A04E +:041CB000B27F65DDBD +:041CB400B2CF661A2B +:041CB800B320665699 +:041CBC00B370669308 +:041CC000B3C166CF77 +:041CC400B412670AE5 +:041CC800B463674654 +:041CCC00B4B46781C4 +:041CD000B50567BC33 +:041CD400B55767F7A2 +:041CD800B5A8683211 +:041CDC00B5FA686C81 +:041CE000B64C68A6F0 +:041CE400B69F68E05F +:041CE800B6F16919CF +:041CEC00B74469523E +:041CF000B797698BAE +:041CF400B7EA69C41E +:041CF800B83D69FD8D +:041CFC00B8906A35FD +:041D0000B8E46A6D6C +:041D0400B9376AA4DD +:041D0800B98B6ADC4D +:041D0C00B9DF6B13BD +:041D1000BA336B4A2D +:041D1400BA886B819D +:041D1800BADC6BB70F +:041D1C00BB316BED7F +:041D2000BB866C23EF +:041D2400BBDB6C5960 +:041D2800BC306C8ED1 +:041D2C00BC856CC343 +:041D3000BCDB6CF8B4 +:041D3400BD306D2D24 +:041D3800BD866D6196 +:041D3C00BDDC6D9508 +:041D4000BE326DC979 +:041D4400BE896DFDEA +:041D4800BEDF6E305C +:041D4C00BF366E63CD +:041D5000BF8D6E963F +:041D5400BFE36EC8B3 +:041D5800C03B6EFB23 +:041D5C00C0926F2C96 +:041D6000C0E96F5E09 +:041D6400C1416F907A +:041D6800C1986FC1EE +:041D6C00C1F06FF261 +:041D7000C2487022D3 +:041D7400C2A0705346 +:041D7800C2F97083B9 +:041D7C00C35170B22D +:041D8000C3AA70E2A0 +:041D8400C402711113 +:041D8800C45B714087 +:041D8C00C4B4716FFB +:041D9000C50E719D6E +:041D9400C56771CBE3 +:041D9800C5C071F958 +:041D9C00C61A7227CA +:041DA000C67472543F +:041DA400C6CD7281B5 +:041DA800C72772AE29 +:041DAC00C78272DB9D +:041DB000C7DC730712 +:041DB400C836733387 +:041DB800C891735EFD +:041DBC00C8EB738A73 +:041DC000C94673B5E8 +:041DC400C9A173E05E +:041DC800C9FC740AD4 +:041DCC00CA58743548 +:041DD000CAB3745FBF +:041DD400CB0E748836 +:041DD800CB6A74B2AC +:041DDC00CBC674DB23 +:041DE000CC21750499 +:041DE400CC7D752D10 +:041DE800CCDA755587 +:041DEC00CD36757DFE +:041DF000CD9275A576 +:041DF400CDEF75CCEE +:041DF800CE4B75F366 +:041DFC00CEA8761ADD +:041E0000CF05764153 +:041E0400CF627667CC +:041E0800CFBF768D45 +:041E0C00D01C76B3BD +:041E1000D07976D837 +:041E1400D0D676FEB0 +:041E1800D134772228 +:041E1C00D1927747A1 +:041E2000D1EF776B1C +:041E2400D24D778F95 +:041E2800D2AB77B30F +:041E2C00D30977D788 +:041E3000D36777FA03 +:041E3400D3C6781D7C +:041E3800D424783FF7 +:041E3C00D483786271 +:041E4000D4E17884ED +:041E4400D54078A568 +:041E4800D59F78C7E3 +:041E4C00D5FE78E85F +:041E5000D65D7909D9 +:041E5400D6BC792956 +:041E5800D71B794AD1 +:041E5C00D77A796A4E +:041E6000D7DA7989CB +:041E6400D83979A947 +:041E6800D89979C8C4 +:041E6C00D8F879E643 +:041E7000D9587A05BE +:041E7400D9B87A233C +:041E7800DA187A41B9 +:041E7C00DA787A5F37 +:041E8000DAD87A7CB6 +:041E8400DB387A9934 +:041E8800DB997AB6B2 +:041E8C00DBF97AD232 +:041E9000DC5A7AEEB0 +:041E9400DCBA7B0A2F +:041E9800DD1B7B26AD +:041E9C00DD7C7B412D +:041EA000DDDD7B5CAD +:041EA400DE3E7B772C +:041EA800DE9F7B91AD +:041EAC00DF007BAB2D +:041EB000DF617BC5AE +:041EB400DFC27BDE30 +:041EB800E0237BF8B0 +:041EBC00E0857C1031 +:041EC000E0E67C29B3 +:041EC400E1487C4134 +:041EC800E1A97C59B7 +:041ECC00E20B7C7138 +:041ED000E26D7C88BB +:041ED400E2CF7C9F3E +:041ED800E3317CB6C0 +:041EDC00E3937CCD43 +:041EE000E3F57CE3C7 +:041EE400E4577CF94A +:041EE800E4B97D0ECE +:041EEC00E51B7D2451 +:041EF000E57E7D39D5 +:041EF400E5E07D4D5B +:041EF800E6427D62DF +:041EFC00E6A57D7664 +:041F0000E7077D89E9 +:041F0400E76A7D9D6E +:041F0800E7CD7DB0F4 +:041F0C00E8307DC379 +:041F1000E8927DD501 +:041F1400E8F57DE887 +:041F1800E9587DFA0D +:041F1C00E9BB7E0B94 +:041F2000EA1E7E1D1A +:041F2400EA817E2EA2 +:041F2800EAE47E3E2B +:041F2C00EB477E4FB2 +:041F3000EBAB7E5F3A +:041F3400EC0E7E6FC2 +:041F3800EC717E7E4C +:041F3C00ECD57E8DD5 +:041F4000ED387E9C5E +:041F4400ED9C7EABE7 +:041F4800EDFF7EB972 +:041F4C00EE637EC7FB +:041F5000EEC67ED586 +:041F5400EF2A7EE210 +:041F5800EF8E7EEF9B +:041F5C00EFF17EFC27 +:041F6000F0557F09B0 +:041F6400F0B97F153C +:041F6800F11D7F21C7 +:041F6C00F1807F2C55 +:041F7000F1E47F37E2 +:041F7400F2487F426E +:041F7800F2AC7F4DFB +:041F7C00F3107F5788 +:041F8000F3747F6116 +:041F8400F3D87F6BA4 +:041F8800F43C7F7432 +:041F8C00F4A17F7DC0 +:041F9000F5057F864E +:041F9400F5697F8FDD +:041F9800F5CD7F976D +:041F9C00F6317F9FFC +:041FA000F6967FA68C +:041FA400F6FA7FAD1D +:041FA800F75E7FB4AD +:041FAC00F7C27FBB3E +:041FB000F8277FC1CE +:041FB400F88B7FC760 +:041FB800F8EF7FCDF2 +:041FBC00F9547FD283 +:041FC000F9B87FD815 +:041FC400FA1D7FDCA7 +:041FC800FA817FE13A +:041FCC00FAE57FE5CE +:041FD000FB4A7FE960 +:041FD400FBAE7FECF5 +:041FD800FC137FF087 +:041FDC00FC777FF31C +:041FE000FCDC7FF5B1 +:041FE400FD407FF746 +:041FE800FDA57FF9DB +:041FEC00FE097FFB70 +:041FF000FE6E7FFD05 +:041FF400FED27FFE9C +:041FF800FF377FFE32 +:041FFC00FF9B7FFFC9 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_0.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_0.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_0.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_1.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_1.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_1.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_10.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_10.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_10.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_11.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_11.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_11.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_2.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_2.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_2.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_3.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_3.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_3.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_4.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_4.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_4.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_5.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_5.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_5.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_6.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_6.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_6.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_7.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_7.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_7.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_8.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_8.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_8.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/disturb2/model/gains_1024_complex_16b13f_unit_9.hex b/applications/disturb2/model/gains_1024_complex_16b13f_unit_9.hex new file mode 100644 index 0000000000000000000000000000000000000000..ae43370003a96b8ad282faaa244394fadbba07e0 --- /dev/null +++ b/applications/disturb2/model/gains_1024_complex_16b13f_unit_9.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400040000002000D8 +:0400080000002000D4 +:04000C0000002000D0 +:0400100000002000CC +:0400140000002000C8 +:0400180000002000C4 +:04001C0000002000C0 +:0400200000002000BC +:0400240000002000B8 +:0400280000002000B4 +:04002C0000002000B0 +:0400300000002000AC +:0400340000002000A8 +:0400380000002000A4 +:04003C0000002000A0 +:04004000000020009C +:040044000000200098 +:040048000000200094 +:04004C000000200090 +:04005000000020008C +:040054000000200088 +:040058000000200084 +:04005C000000200080 +:04006000000020007C +:040064000000200078 +:040068000000200074 +:04006C000000200070 +:04007000000020006C +:040074000000200068 +:040078000000200064 +:04007C000000200060 +:04008000000020005C +:040084000000200058 +:040088000000200054 +:04008C000000200050 +:04009000000020004C +:040094000000200048 +:040098000000200044 +:04009C000000200040 +:0400A000000020003C +:0400A4000000200038 +:0400A8000000200034 +:0400AC000000200030 +:0400B000000020002C +:0400B4000000200028 +:0400B8000000200024 +:0400BC000000200020 +:0400C000000020001C +:0400C4000000200018 +:0400C8000000200014 +:0400CC000000200010 +:0400D000000020000C +:0400D4000000200008 +:0400D8000000200004 +:0400DC000000200000 +:0400E00000002000FC +:0400E40000002000F8 +:0400E80000002000F4 +:0400EC0000002000F0 +:0400F00000002000EC +:0400F40000002000E8 +:0400F80000002000E4 +:0400FC0000002000E0 +:0401000000002000DB +:0401040000002000D7 +:0401080000002000D3 +:04010C0000002000CF +:0401100000002000CB +:0401140000002000C7 +:0401180000002000C3 +:04011C0000002000BF +:0401200000002000BB +:0401240000002000B7 +:0401280000002000B3 +:04012C0000002000AF +:0401300000002000AB +:0401340000002000A7 +:0401380000002000A3 +:04013C00000020009F +:04014000000020009B +:040144000000200097 +:040148000000200093 +:04014C00000020008F +:04015000000020008B +:040154000000200087 +:040158000000200083 +:04015C00000020007F +:04016000000020007B +:040164000000200077 +:040168000000200073 +:04016C00000020006F +:04017000000020006B +:040174000000200067 +:040178000000200063 +:04017C00000020005F +:04018000000020005B +:040184000000200057 +:040188000000200053 +:04018C00000020004F +:04019000000020004B +:040194000000200047 +:040198000000200043 +:04019C00000020003F +:0401A000000020003B +:0401A4000000200037 +:0401A8000000200033 +:0401AC00000020002F +:0401B000000020002B +:0401B4000000200027 +:0401B8000000200023 +:0401BC00000020001F +:0401C000000020001B +:0401C4000000200017 +:0401C8000000200013 +:0401CC00000020000F +:0401D000000020000B +:0401D4000000200007 +:0401D8000000200003 +:0401DC0000002000FF +:0401E00000002000FB +:0401E40000002000F7 +:0401E80000002000F3 +:0401EC0000002000EF +:0401F00000002000EB +:0401F40000002000E7 +:0401F80000002000E3 +:0401FC0000002000DF +:0402000000002000DA +:0402040000002000D6 +:0402080000002000D2 +:04020C0000002000CE +:0402100000002000CA +:0402140000002000C6 +:0402180000002000C2 +:04021C0000002000BE +:0402200000002000BA +:0402240000002000B6 +:0402280000002000B2 +:04022C0000002000AE +:0402300000002000AA +:0402340000002000A6 +:0402380000002000A2 +:04023C00000020009E +:04024000000020009A +:040244000000200096 +:040248000000200092 +:04024C00000020008E +:04025000000020008A +:040254000000200086 +:040258000000200082 +:04025C00000020007E +:04026000000020007A +:040264000000200076 +:040268000000200072 +:04026C00000020006E +:04027000000020006A +:040274000000200066 +:040278000000200062 +:04027C00000020005E +:04028000000020005A +:040284000000200056 +:040288000000200052 +:04028C00000020004E +:04029000000020004A +:040294000000200046 +:040298000000200042 +:04029C00000020003E +:0402A000000020003A +:0402A4000000200036 +:0402A8000000200032 +:0402AC00000020002E +:0402B000000020002A +:0402B4000000200026 +:0402B8000000200022 +:0402BC00000020001E +:0402C000000020001A +:0402C4000000200016 +:0402C8000000200012 +:0402CC00000020000E +:0402D000000020000A +:0402D4000000200006 +:0402D8000000200002 +:0402DC0000002000FE +:0402E00000002000FA +:0402E40000002000F6 +:0402E80000002000F2 +:0402EC0000002000EE +:0402F00000002000EA +:0402F40000002000E6 +:0402F80000002000E2 +:0402FC0000002000DE +:0403000000002000D9 +:0403040000002000D5 +:0403080000002000D1 +:04030C0000002000CD +:0403100000002000C9 +:0403140000002000C5 +:0403180000002000C1 +:04031C0000002000BD +:0403200000002000B9 +:0403240000002000B5 +:0403280000002000B1 +:04032C0000002000AD +:0403300000002000A9 +:0403340000002000A5 +:0403380000002000A1 +:04033C00000020009D +:040340000000200099 +:040344000000200095 +:040348000000200091 +:04034C00000020008D +:040350000000200089 +:040354000000200085 +:040358000000200081 +:04035C00000020007D +:040360000000200079 +:040364000000200075 +:040368000000200071 +:04036C00000020006D +:040370000000200069 +:040374000000200065 +:040378000000200061 +:04037C00000020005D +:040380000000200059 +:040384000000200055 +:040388000000200051 +:04038C00000020004D +:040390000000200049 +:040394000000200045 +:040398000000200041 +:04039C00000020003D +:0403A0000000200039 +:0403A4000000200035 +:0403A8000000200031 +:0403AC00000020002D +:0403B0000000200029 +:0403B4000000200025 +:0403B8000000200021 +:0403BC00000020001D +:0403C0000000200019 +:0403C4000000200015 +:0403C8000000200011 +:0403CC00000020000D +:0403D0000000200009 +:0403D4000000200005 +:0403D8000000200001 +:0403DC0000002000FD +:0403E00000002000F9 +:0403E40000002000F5 +:0403E80000002000F1 +:0403EC0000002000ED +:0403F00000002000E9 +:0403F40000002000E5 +:0403F80000002000E1 +:0403FC0000002000DD +:0404000000002000D8 +:0404040000002000D4 +:0404080000002000D0 +:04040C0000002000CC +:0404100000002000C8 +:0404140000002000C4 +:0404180000002000C0 +:04041C0000002000BC +:0404200000002000B8 +:0404240000002000B4 +:0404280000002000B0 +:04042C0000002000AC +:0404300000002000A8 +:0404340000002000A4 +:0404380000002000A0 +:04043C00000020009C +:040440000000200098 +:040444000000200094 +:040448000000200090 +:04044C00000020008C +:040450000000200088 +:040454000000200084 +:040458000000200080 +:04045C00000020007C +:040460000000200078 +:040464000000200074 +:040468000000200070 +:04046C00000020006C +:040470000000200068 +:040474000000200064 +:040478000000200060 +:04047C00000020005C +:040480000000200058 +:040484000000200054 +:040488000000200050 +:04048C00000020004C +:040490000000200048 +:040494000000200044 +:040498000000200040 +:04049C00000020003C +:0404A0000000200038 +:0404A4000000200034 +:0404A8000000200030 +:0404AC00000020002C +:0404B0000000200028 +:0404B4000000200024 +:0404B8000000200020 +:0404BC00000020001C +:0404C0000000200018 +:0404C4000000200014 +:0404C8000000200010 +:0404CC00000020000C +:0404D0000000200008 +:0404D4000000200004 +:0404D8000000200000 +:0404DC0000002000FC +:0404E00000002000F8 +:0404E40000002000F4 +:0404E80000002000F0 +:0404EC0000002000EC +:0404F00000002000E8 +:0404F40000002000E4 +:0404F80000002000E0 +:0404FC0000002000DC +:0405000000002000D7 +:0405040000002000D3 +:0405080000002000CF +:04050C0000002000CB +:0405100000002000C7 +:0405140000002000C3 +:0405180000002000BF +:04051C0000002000BB +:0405200000002000B7 +:0405240000002000B3 +:0405280000002000AF +:04052C0000002000AB +:0405300000002000A7 +:0405340000002000A3 +:04053800000020009F +:04053C00000020009B +:040540000000200097 +:040544000000200093 +:04054800000020008F +:04054C00000020008B +:040550000000200087 +:040554000000200083 +:04055800000020007F +:04055C00000020007B +:040560000000200077 +:040564000000200073 +:04056800000020006F +:04056C00000020006B +:040570000000200067 +:040574000000200063 +:04057800000020005F +:04057C00000020005B +:040580000000200057 +:040584000000200053 +:04058800000020004F +:04058C00000020004B +:040590000000200047 +:040594000000200043 +:04059800000020003F +:04059C00000020003B +:0405A0000000200037 +:0405A4000000200033 +:0405A800000020002F +:0405AC00000020002B +:0405B0000000200027 +:0405B4000000200023 +:0405B800000020001F +:0405BC00000020001B +:0405C0000000200017 +:0405C4000000200013 +:0405C800000020000F +:0405CC00000020000B +:0405D0000000200007 +:0405D4000000200003 +:0405D80000002000FF +:0405DC0000002000FB +:0405E00000002000F7 +:0405E40000002000F3 +:0405E80000002000EF +:0405EC0000002000EB +:0405F00000002000E7 +:0405F40000002000E3 +:0405F80000002000DF +:0405FC0000002000DB +:0406000000002000D6 +:0406040000002000D2 +:0406080000002000CE +:04060C0000002000CA +:0406100000002000C6 +:0406140000002000C2 +:0406180000002000BE +:04061C0000002000BA +:0406200000002000B6 +:0406240000002000B2 +:0406280000002000AE +:04062C0000002000AA +:0406300000002000A6 +:0406340000002000A2 +:04063800000020009E +:04063C00000020009A +:040640000000200096 +:040644000000200092 +:04064800000020008E +:04064C00000020008A +:040650000000200086 +:040654000000200082 +:04065800000020007E +:04065C00000020007A +:040660000000200076 +:040664000000200072 +:04066800000020006E +:04066C00000020006A +:040670000000200066 +:040674000000200062 +:04067800000020005E +:04067C00000020005A +:040680000000200056 +:040684000000200052 +:04068800000020004E +:04068C00000020004A +:040690000000200046 +:040694000000200042 +:04069800000020003E +:04069C00000020003A +:0406A0000000200036 +:0406A4000000200032 +:0406A800000020002E +:0406AC00000020002A +:0406B0000000200026 +:0406B4000000200022 +:0406B800000020001E +:0406BC00000020001A +:0406C0000000200016 +:0406C4000000200012 +:0406C800000020000E +:0406CC00000020000A +:0406D0000000200006 +:0406D4000000200002 +:0406D80000002000FE +:0406DC0000002000FA +:0406E00000002000F6 +:0406E40000002000F2 +:0406E80000002000EE +:0406EC0000002000EA +:0406F00000002000E6 +:0406F40000002000E2 +:0406F80000002000DE +:0406FC0000002000DA +:0407000000002000D5 +:0407040000002000D1 +:0407080000002000CD +:04070C0000002000C9 +:0407100000002000C5 +:0407140000002000C1 +:0407180000002000BD +:04071C0000002000B9 +:0407200000002000B5 +:0407240000002000B1 +:0407280000002000AD +:04072C0000002000A9 +:0407300000002000A5 +:0407340000002000A1 +:04073800000020009D +:04073C000000200099 +:040740000000200095 +:040744000000200091 +:04074800000020008D +:04074C000000200089 +:040750000000200085 +:040754000000200081 +:04075800000020007D +:04075C000000200079 +:040760000000200075 +:040764000000200071 +:04076800000020006D +:04076C000000200069 +:040770000000200065 +:040774000000200061 +:04077800000020005D +:04077C000000200059 +:040780000000200055 +:040784000000200051 +:04078800000020004D +:04078C000000200049 +:040790000000200045 +:040794000000200041 +:04079800000020003D +:04079C000000200039 +:0407A0000000200035 +:0407A4000000200031 +:0407A800000020002D +:0407AC000000200029 +:0407B0000000200025 +:0407B4000000200021 +:0407B800000020001D +:0407BC000000200019 +:0407C0000000200015 +:0407C4000000200011 +:0407C800000020000D +:0407CC000000200009 +:0407D0000000200005 +:0407D4000000200001 +:0407D80000002000FD +:0407DC0000002000F9 +:0407E00000002000F5 +:0407E40000002000F1 +:0407E80000002000ED +:0407EC0000002000E9 +:0407F00000002000E5 +:0407F40000002000E1 +:0407F80000002000DD +:0407FC0000002000D9 +:0408000000002000D4 +:0408040000002000D0 +:0408080000002000CC +:04080C0000002000C8 +:0408100000002000C4 +:0408140000002000C0 +:0408180000002000BC +:04081C0000002000B8 +:0408200000002000B4 +:0408240000002000B0 +:0408280000002000AC +:04082C0000002000A8 +:0408300000002000A4 +:0408340000002000A0 +:04083800000020009C +:04083C000000200098 +:040840000000200094 +:040844000000200090 +:04084800000020008C +:04084C000000200088 +:040850000000200084 +:040854000000200080 +:04085800000020007C +:04085C000000200078 +:040860000000200074 +:040864000000200070 +:04086800000020006C +:04086C000000200068 +:040870000000200064 +:040874000000200060 +:04087800000020005C +:04087C000000200058 +:040880000000200054 +:040884000000200050 +:04088800000020004C +:04088C000000200048 +:040890000000200044 +:040894000000200040 +:04089800000020003C +:04089C000000200038 +:0408A0000000200034 +:0408A4000000200030 +:0408A800000020002C +:0408AC000000200028 +:0408B0000000200024 +:0408B4000000200020 +:0408B800000020001C +:0408BC000000200018 +:0408C0000000200014 +:0408C4000000200010 +:0408C800000020000C +:0408CC000000200008 +:0408D0000000200004 +:0408D4000000200000 +:0408D80000002000FC +:0408DC0000002000F8 +:0408E00000002000F4 +:0408E40000002000F0 +:0408E80000002000EC +:0408EC0000002000E8 +:0408F00000002000E4 +:0408F40000002000E0 +:0408F80000002000DC +:0408FC0000002000D8 +:0409000000002000D3 +:0409040000002000CF +:0409080000002000CB +:04090C0000002000C7 +:0409100000002000C3 +:0409140000002000BF +:0409180000002000BB +:04091C0000002000B7 +:0409200000002000B3 +:0409240000002000AF +:0409280000002000AB +:04092C0000002000A7 +:0409300000002000A3 +:04093400000020009F +:04093800000020009B +:04093C000000200097 +:040940000000200093 +:04094400000020008F +:04094800000020008B +:04094C000000200087 +:040950000000200083 +:04095400000020007F +:04095800000020007B +:04095C000000200077 +:040960000000200073 +:04096400000020006F +:04096800000020006B +:04096C000000200067 +:040970000000200063 +:04097400000020005F +:04097800000020005B +:04097C000000200057 +:040980000000200053 +:04098400000020004F +:04098800000020004B +:04098C000000200047 +:040990000000200043 +:04099400000020003F +:04099800000020003B +:04099C000000200037 +:0409A0000000200033 +:0409A400000020002F +:0409A800000020002B +:0409AC000000200027 +:0409B0000000200023 +:0409B400000020001F +:0409B800000020001B +:0409BC000000200017 +:0409C0000000200013 +:0409C400000020000F +:0409C800000020000B +:0409CC000000200007 +:0409D0000000200003 +:0409D40000002000FF +:0409D80000002000FB +:0409DC0000002000F7 +:0409E00000002000F3 +:0409E40000002000EF +:0409E80000002000EB +:0409EC0000002000E7 +:0409F00000002000E3 +:0409F40000002000DF +:0409F80000002000DB +:0409FC0000002000D7 +:040A000000002000D2 +:040A040000002000CE +:040A080000002000CA +:040A0C0000002000C6 +:040A100000002000C2 +:040A140000002000BE +:040A180000002000BA +:040A1C0000002000B6 +:040A200000002000B2 +:040A240000002000AE +:040A280000002000AA +:040A2C0000002000A6 +:040A300000002000A2 +:040A3400000020009E +:040A3800000020009A +:040A3C000000200096 +:040A40000000200092 +:040A4400000020008E +:040A4800000020008A +:040A4C000000200086 +:040A50000000200082 +:040A5400000020007E +:040A5800000020007A +:040A5C000000200076 +:040A60000000200072 +:040A6400000020006E +:040A6800000020006A +:040A6C000000200066 +:040A70000000200062 +:040A7400000020005E +:040A7800000020005A +:040A7C000000200056 +:040A80000000200052 +:040A8400000020004E +:040A8800000020004A +:040A8C000000200046 +:040A90000000200042 +:040A9400000020003E +:040A9800000020003A +:040A9C000000200036 +:040AA0000000200032 +:040AA400000020002E +:040AA800000020002A +:040AAC000000200026 +:040AB0000000200022 +:040AB400000020001E +:040AB800000020001A +:040ABC000000200016 +:040AC0000000200012 +:040AC400000020000E +:040AC800000020000A +:040ACC000000200006 +:040AD0000000200002 +:040AD40000002000FE +:040AD80000002000FA +:040ADC0000002000F6 +:040AE00000002000F2 +:040AE40000002000EE +:040AE80000002000EA +:040AEC0000002000E6 +:040AF00000002000E2 +:040AF40000002000DE +:040AF80000002000DA +:040AFC0000002000D6 +:040B000000002000D1 +:040B040000002000CD +:040B080000002000C9 +:040B0C0000002000C5 +:040B100000002000C1 +:040B140000002000BD +:040B180000002000B9 +:040B1C0000002000B5 +:040B200000002000B1 +:040B240000002000AD +:040B280000002000A9 +:040B2C0000002000A5 +:040B300000002000A1 +:040B3400000020009D +:040B38000000200099 +:040B3C000000200095 +:040B40000000200091 +:040B4400000020008D +:040B48000000200089 +:040B4C000000200085 +:040B50000000200081 +:040B5400000020007D +:040B58000000200079 +:040B5C000000200075 +:040B60000000200071 +:040B6400000020006D +:040B68000000200069 +:040B6C000000200065 +:040B70000000200061 +:040B7400000020005D +:040B78000000200059 +:040B7C000000200055 +:040B80000000200051 +:040B8400000020004D +:040B88000000200049 +:040B8C000000200045 +:040B90000000200041 +:040B9400000020003D +:040B98000000200039 +:040B9C000000200035 +:040BA0000000200031 +:040BA400000020002D +:040BA8000000200029 +:040BAC000000200025 +:040BB0000000200021 +:040BB400000020001D +:040BB8000000200019 +:040BBC000000200015 +:040BC0000000200011 +:040BC400000020000D +:040BC8000000200009 +:040BCC000000200005 +:040BD0000000200001 +:040BD40000002000FD +:040BD80000002000F9 +:040BDC0000002000F5 +:040BE00000002000F1 +:040BE40000002000ED +:040BE80000002000E9 +:040BEC0000002000E5 +:040BF00000002000E1 +:040BF40000002000DD +:040BF80000002000D9 +:040BFC0000002000D5 +:040C000000002000D0 +:040C040000002000CC +:040C080000002000C8 +:040C0C0000002000C4 +:040C100000002000C0 +:040C140000002000BC +:040C180000002000B8 +:040C1C0000002000B4 +:040C200000002000B0 +:040C240000002000AC +:040C280000002000A8 +:040C2C0000002000A4 +:040C300000002000A0 +:040C3400000020009C +:040C38000000200098 +:040C3C000000200094 +:040C40000000200090 +:040C4400000020008C +:040C48000000200088 +:040C4C000000200084 +:040C50000000200080 +:040C5400000020007C +:040C58000000200078 +:040C5C000000200074 +:040C60000000200070 +:040C6400000020006C +:040C68000000200068 +:040C6C000000200064 +:040C70000000200060 +:040C7400000020005C +:040C78000000200058 +:040C7C000000200054 +:040C80000000200050 +:040C8400000020004C +:040C88000000200048 +:040C8C000000200044 +:040C90000000200040 +:040C9400000020003C +:040C98000000200038 +:040C9C000000200034 +:040CA0000000200030 +:040CA400000020002C +:040CA8000000200028 +:040CAC000000200024 +:040CB0000000200020 +:040CB400000020001C +:040CB8000000200018 +:040CBC000000200014 +:040CC0000000200010 +:040CC400000020000C +:040CC8000000200008 +:040CCC000000200004 +:040CD0000000200000 +:040CD40000002000FC +:040CD80000002000F8 +:040CDC0000002000F4 +:040CE00000002000F0 +:040CE40000002000EC +:040CE80000002000E8 +:040CEC0000002000E4 +:040CF00000002000E0 +:040CF40000002000DC +:040CF80000002000D8 +:040CFC0000002000D4 +:040D000000002000CF +:040D040000002000CB +:040D080000002000C7 +:040D0C0000002000C3 +:040D100000002000BF +:040D140000002000BB +:040D180000002000B7 +:040D1C0000002000B3 +:040D200000002000AF +:040D240000002000AB +:040D280000002000A7 +:040D2C0000002000A3 +:040D3000000020009F +:040D3400000020009B +:040D38000000200097 +:040D3C000000200093 +:040D4000000020008F +:040D4400000020008B +:040D48000000200087 +:040D4C000000200083 +:040D5000000020007F +:040D5400000020007B +:040D58000000200077 +:040D5C000000200073 +:040D6000000020006F +:040D6400000020006B +:040D68000000200067 +:040D6C000000200063 +:040D7000000020005F +:040D7400000020005B +:040D78000000200057 +:040D7C000000200053 +:040D8000000020004F +:040D8400000020004B +:040D88000000200047 +:040D8C000000200043 +:040D9000000020003F +:040D9400000020003B +:040D98000000200037 +:040D9C000000200033 +:040DA000000020002F +:040DA400000020002B +:040DA8000000200027 +:040DAC000000200023 +:040DB000000020001F +:040DB400000020001B +:040DB8000000200017 +:040DBC000000200013 +:040DC000000020000F +:040DC400000020000B +:040DC8000000200007 +:040DCC000000200003 +:040DD00000002000FF +:040DD40000002000FB +:040DD80000002000F7 +:040DDC0000002000F3 +:040DE00000002000EF +:040DE40000002000EB +:040DE80000002000E7 +:040DEC0000002000E3 +:040DF00000002000DF +:040DF40000002000DB +:040DF80000002000D7 +:040DFC0000002000D3 +:040E000000002000CE +:040E040000002000CA +:040E080000002000C6 +:040E0C0000002000C2 +:040E100000002000BE +:040E140000002000BA +:040E180000002000B6 +:040E1C0000002000B2 +:040E200000002000AE +:040E240000002000AA +:040E280000002000A6 +:040E2C0000002000A2 +:040E3000000020009E +:040E3400000020009A +:040E38000000200096 +:040E3C000000200092 +:040E4000000020008E +:040E4400000020008A +:040E48000000200086 +:040E4C000000200082 +:040E5000000020007E +:040E5400000020007A +:040E58000000200076 +:040E5C000000200072 +:040E6000000020006E +:040E6400000020006A +:040E68000000200066 +:040E6C000000200062 +:040E7000000020005E +:040E7400000020005A +:040E78000000200056 +:040E7C000000200052 +:040E8000000020004E +:040E8400000020004A +:040E88000000200046 +:040E8C000000200042 +:040E9000000020003E +:040E9400000020003A +:040E98000000200036 +:040E9C000000200032 +:040EA000000020002E +:040EA400000020002A +:040EA8000000200026 +:040EAC000000200022 +:040EB000000020001E +:040EB400000020001A +:040EB8000000200016 +:040EBC000000200012 +:040EC000000020000E +:040EC400000020000A +:040EC8000000200006 +:040ECC000000200002 +:040ED00000002000FE +:040ED40000002000FA +:040ED80000002000F6 +:040EDC0000002000F2 +:040EE00000002000EE +:040EE40000002000EA +:040EE80000002000E6 +:040EEC0000002000E2 +:040EF00000002000DE +:040EF40000002000DA +:040EF80000002000D6 +:040EFC0000002000D2 +:040F000000002000CD +:040F040000002000C9 +:040F080000002000C5 +:040F0C0000002000C1 +:040F100000002000BD +:040F140000002000B9 +:040F180000002000B5 +:040F1C0000002000B1 +:040F200000002000AD +:040F240000002000A9 +:040F280000002000A5 +:040F2C0000002000A1 +:040F3000000020009D +:040F34000000200099 +:040F38000000200095 +:040F3C000000200091 +:040F4000000020008D +:040F44000000200089 +:040F48000000200085 +:040F4C000000200081 +:040F5000000020007D +:040F54000000200079 +:040F58000000200075 +:040F5C000000200071 +:040F6000000020006D +:040F64000000200069 +:040F68000000200065 +:040F6C000000200061 +:040F7000000020005D +:040F74000000200059 +:040F78000000200055 +:040F7C000000200051 +:040F8000000020004D +:040F84000000200049 +:040F88000000200045 +:040F8C000000200041 +:040F9000000020003D +:040F94000000200039 +:040F98000000200035 +:040F9C000000200031 +:040FA000000020002D +:040FA4000000200029 +:040FA8000000200025 +:040FAC000000200021 +:040FB000000020001D +:040FB4000000200019 +:040FB8000000200015 +:040FBC000000200011 +:040FC000000020000D +:040FC4000000200009 +:040FC8000000200005 +:040FCC000000200001 +:040FD00000002000FD +:040FD40000002000F9 +:040FD80000002000F5 +:040FDC0000002000F1 +:040FE00000002000ED +:040FE40000002000E9 +:040FE80000002000E5 +:040FEC0000002000E1 +:040FF00000002000DD +:040FF40000002000D9 +:040FF80000002000D5 +:040FFC0000002000D1 +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml index 26b558380e9ab0e708215833ce0cd40961af3a32..1124ffd4312c183c8e2b2d48dfb916ed410d027a 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml @@ -21,6 +21,7 @@ parameters: - { name: c_N_taps, value: 16 } - { name: c_W_adc_jesd, value: 16 } - { name: c_W_adc, value: 14 } + - { name: c_V_oversample, value: 2 } - { name: c_V_sample_delay, value: 4096 } - { name: c_V_si_db_large, value: 131072 } - { name: c_V_si_db, value: 1024 } @@ -202,6 +203,8 @@ peripherals: - REG_SI - peripheral_name: filter/fil_ppf_w + number_of_peripherals: c_V_oversample # Disturb uses 2x oversample + peripheral_span: ceil_pow2(c_N_taps) * ceil_pow2(c_N_fft) * MM_BUS_SIZE # number_of_ports = ceil_pow2(c_N_taps), mm_port_span = ceil_pow2(c_N_fft) words parameter_overrides: - { name: g_fil_ppf.wb_factor, value: 1 } # process at sample rate (so no parallel wideband factor) - { name: g_fil_ppf.nof_chan, value: 0 } # process at sample rate (so no serial time multiplexing) @@ -213,6 +216,8 @@ peripherals: - RAM_FIL_COEFS - peripheral_name: sdp/sdp_subband_equalizer + parameter_overrides: + - { name: P_pfb, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_EQUALIZER_GAINS @@ -221,6 +226,8 @@ peripherals: - REG_DP_SELECTOR # input_select = 0 for weighted subbands, input_select = 1 for raw subbands - peripheral_name: st/st_sst_for_sdp + parameter_overrides: + - { name: g_nof_instances, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_ST_SST @@ -402,7 +409,6 @@ peripherals: peripheral_group: ring_rx_bf number_of_peripherals: c_N_beamsets peripheral_span: 8 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 8 words - parameter_overrides: - { name: g_nof_streams, value: 1 } mm_port_names: diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip index fbf1e8fd680cc4ff94fc06d857891e7fac4bb5bc..345943be8f39b4e11a090ec7faf4c248d54e1a9f 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip @@ -2218,7 +2218,7 @@ <spirit:parameter> <spirit:name>dataSlaveMapParam</spirit:name> <spirit:displayName>dataSlaveMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x10C400' end='0x10C500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x10C500' end='0x10C600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C600' end='0x10C680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C680' end='0x10C700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C700' end='0x10C780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C800' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C840' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C880' end='0x10C8C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C8C0' end='0x10C900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C900' end='0x10C940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C940' end='0x10C980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C980' end='0x10C9C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C9C0' end='0x10CA00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10CA00' end='0x10CA20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10CA20' end='0x10CA40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10CA40' end='0x10CA60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10CA60' end='0x10CA80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10CA80' end='0x10CAA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10CAA0' end='0x10CAC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10CAC0' end='0x10CAE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10CAE0' end='0x10CB00' datawidth='32' /><slave name='reg_epcs.mem' start='0x10CB00' end='0x10CB20' datawidth='32' /><slave name='reg_remu.mem' start='0x10CB20' end='0x10CB40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10CB40' end='0x10CB50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10CB50' end='0x10CB60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10CB60' end='0x10CB70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10CB70' end='0x10CB80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10CB80' end='0x10CB90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10CB90' end='0x10CBA0' datawidth='32' /><slave name='pio_pps.mem' start='0x10CBA0' end='0x10CBB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10CBB0' end='0x10CBB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10CBB8' end='0x10CBC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10CBC0' end='0x10CBC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10CBC8' end='0x10CBD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10CBD0' end='0x10CBD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10CBD8' end='0x10CBE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10CBE0' end='0x10CBE8' datawidth='32' /><slave name='reg_si.mem' start='0x10CBE8' end='0x10CBF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10CBF0' end='0x10CBF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10CBF8' end='0x10CC00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CC00' end='0x10CC08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CC08' end='0x10CC10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CC10' end='0x10CC18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map>]]></spirit:value> + <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134400' end='0x134500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134500' end='0x134600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134600' end='0x134680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134680' end='0x134700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134700' end='0x134780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134800' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134840' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134880' end='0x1348C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1348C0' end='0x134900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134900' end='0x134940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134940' end='0x134980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134980' end='0x1349C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1349C0' end='0x134A00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134A00' end='0x134A20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134A20' end='0x134A40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134A40' end='0x134A60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134A60' end='0x134A80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134A80' end='0x134AA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x134AA0' end='0x134AC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x134AC0' end='0x134AE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x134AE0' end='0x134B00' datawidth='32' /><slave name='reg_epcs.mem' start='0x134B00' end='0x134B20' datawidth='32' /><slave name='reg_remu.mem' start='0x134B20' end='0x134B40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134B40' end='0x134B50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134B50' end='0x134B60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134B60' end='0x134B70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134B70' end='0x134B80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134B80' end='0x134B90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134B90' end='0x134BA0' datawidth='32' /><slave name='pio_pps.mem' start='0x134BA0' end='0x134BB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x134BB0' end='0x134BB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x134BB8' end='0x134BC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x134BC0' end='0x134BC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x134BC8' end='0x134BD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x134BD0' end='0x134BD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x134BD8' end='0x134BE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x134BE0' end='0x134BE8' datawidth='32' /><slave name='reg_si.mem' start='0x134BE8' end='0x134BF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x134BF0' end='0x134BF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x134BF8' end='0x134C00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134C00' end='0x134C08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134C08' end='0x134C10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134C10' end='0x134C18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map>]]></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>tightlyCoupledDataMaster0MapParam</spirit:name> @@ -3489,7 +3489,7 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x10C400' end='0x10C500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x10C500' end='0x10C600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C600' end='0x10C680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C680' end='0x10C700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C700' end='0x10C780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C800' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C840' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C880' end='0x10C8C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C8C0' end='0x10C900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C900' end='0x10C940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C940' end='0x10C980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C980' end='0x10C9C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C9C0' end='0x10CA00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10CA00' end='0x10CA20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10CA20' end='0x10CA40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10CA40' end='0x10CA60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10CA60' end='0x10CA80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10CA80' end='0x10CAA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10CAA0' end='0x10CAC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10CAC0' end='0x10CAE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10CAE0' end='0x10CB00' datawidth='32' /><slave name='reg_epcs.mem' start='0x10CB00' end='0x10CB20' datawidth='32' /><slave name='reg_remu.mem' start='0x10CB20' end='0x10CB40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10CB40' end='0x10CB50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10CB50' end='0x10CB60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10CB60' end='0x10CB70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10CB70' end='0x10CB80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10CB80' end='0x10CB90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10CB90' end='0x10CBA0' datawidth='32' /><slave name='pio_pps.mem' start='0x10CBA0' end='0x10CBB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10CBB0' end='0x10CBB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10CBB8' end='0x10CBC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10CBC0' end='0x10CBC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10CBC8' end='0x10CBD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10CBD0' end='0x10CBD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10CBD8' end='0x10CBE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10CBE0' end='0x10CBE8' datawidth='32' /><slave name='reg_si.mem' start='0x10CBE8' end='0x10CBF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10CBF0' end='0x10CBF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10CBF8' end='0x10CC00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CC00' end='0x10CC08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CC08' end='0x10CC10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CC10' end='0x10CC18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134400' end='0x134500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134500' end='0x134600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134600' end='0x134680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134680' end='0x134700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134700' end='0x134780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134800' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134840' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134880' end='0x1348C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1348C0' end='0x134900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134900' end='0x134940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134940' end='0x134980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134980' end='0x1349C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1349C0' end='0x134A00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134A00' end='0x134A20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134A20' end='0x134A40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134A40' end='0x134A60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134A60' end='0x134A80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134A80' end='0x134AA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x134AA0' end='0x134AC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x134AC0' end='0x134AE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x134AE0' end='0x134B00' datawidth='32' /><slave name='reg_epcs.mem' start='0x134B00' end='0x134B20' datawidth='32' /><slave name='reg_remu.mem' start='0x134B20' end='0x134B40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134B40' end='0x134B50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134B50' end='0x134B60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134B60' end='0x134B70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134B70' end='0x134B80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134B80' end='0x134B90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134B90' end='0x134BA0' datawidth='32' /><slave name='pio_pps.mem' start='0x134BA0' end='0x134BB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x134BB0' end='0x134BB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x134BB8' end='0x134BC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x134BC0' end='0x134BC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x134BC8' end='0x134BD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x134BD0' end='0x134BD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x134BD8' end='0x134BE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x134BE0' end='0x134BE8' datawidth='32' /><slave name='reg_si.mem' start='0x134BE8' end='0x134BF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x134BF0' end='0x134BF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x134BF8' end='0x134C00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134C00' end='0x134C08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134C08' end='0x134C10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134C10' end='0x134C18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip index db6fc39074d58381ab89c6b31436537be13f1b2f..a41b1862532cae74669bc5796dd21eee27635333 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip @@ -129,7 +129,7 @@ <spirit:parameter> <spirit:name>addressSpan</spirit:name> <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32768</spirit:value> + <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>addressUnits</spirit:name> @@ -607,7 +607,7 @@ <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> + <spirit:right>13</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -703,7 +703,7 @@ <spirit:direction>out</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> + <spirit:right>13</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -783,7 +783,7 @@ <spirit:parameter> <spirit:name>g_adr_w</spirit:name> <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">13</spirit:value> + <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>g_dat_w</spirit:name> @@ -846,7 +846,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -910,7 +910,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -979,7 +979,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -1374,11 +1374,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip index 84f4ab16fc3ab53e83d375f158d4588247ad4ecb..b738e91a46e6a43447119e6184a3fce6af59b067 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip @@ -129,7 +129,7 @@ <spirit:parameter> <spirit:name>addressSpan</spirit:name> <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> + <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>addressUnits</spirit:name> @@ -607,7 +607,7 @@ <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -703,7 +703,7 @@ <spirit:direction>out</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -783,7 +783,7 @@ <spirit:parameter> <spirit:name>g_adr_w</spirit:name> <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> + <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>g_dat_w</spirit:name> @@ -846,7 +846,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -910,7 +910,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -979,7 +979,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1374,11 +1374,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip index 26fa1a2d5968f125e4f8934794feb2e3cb144686..f1909a6dbac234a137fff0ef33501209b7d2f8eb 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip @@ -129,7 +129,7 @@ <spirit:parameter> <spirit:name>addressSpan</spirit:name> <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> + <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>addressUnits</spirit:name> @@ -607,7 +607,7 @@ <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -703,7 +703,7 @@ <spirit:direction>out</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -783,7 +783,7 @@ <spirit:parameter> <spirit:name>g_adr_w</spirit:name> <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> + <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>g_dat_w</spirit:name> @@ -846,7 +846,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -910,7 +910,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -979,7 +979,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1374,11 +1374,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys index 72ec443b74114af5a260e92fc2a8646a785144a0..2f785947ec6d087af7126a047e523df70e21d1f8 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys @@ -83,7 +83,7 @@ { datum baseAddress { - value = "1081344"; + value = "1245184"; type = "String"; } } @@ -99,7 +99,7 @@ { datum baseAddress { - value = "1100816"; + value = "1264656"; type = "String"; } } @@ -144,7 +144,7 @@ { datum baseAddress { - value = "1100744"; + value = "1264584"; type = "String"; } } @@ -165,7 +165,7 @@ { datum baseAddress { - value = "1100704"; + value = "1264544"; type = "String"; } } @@ -250,7 +250,7 @@ { datum baseAddress { - value = "32768"; + value = "1048576"; type = "String"; } } @@ -266,7 +266,7 @@ { datum baseAddress { - value = "917504"; + value = "786432"; type = "String"; } } @@ -298,7 +298,7 @@ { datum baseAddress { - value = "786432"; + value = "1114112"; type = "String"; } } @@ -330,7 +330,7 @@ { datum baseAddress { - value = "98304"; + value = "32768"; type = "String"; } } @@ -346,7 +346,7 @@ { datum baseAddress { - value = "983040"; + value = "917504"; type = "String"; } } @@ -378,7 +378,7 @@ { datum baseAddress { - value = "851968"; + value = "1179648"; type = "String"; } } @@ -394,7 +394,7 @@ { datum baseAddress { - value = "1098496"; + value = "1262336"; type = "String"; } } @@ -410,7 +410,7 @@ { datum baseAddress { - value = "1100688"; + value = "1264528"; type = "String"; } } @@ -426,7 +426,7 @@ { datum baseAddress { - value = "1100320"; + value = "1264160"; type = "String"; } } @@ -442,7 +442,7 @@ { datum baseAddress { - value = "1099264"; + value = "1263104"; type = "String"; } } @@ -474,7 +474,7 @@ { datum baseAddress { - value = "1099776"; + value = "1263616"; type = "String"; } } @@ -490,7 +490,7 @@ { datum baseAddress { - value = "1100448"; + value = "1264288"; type = "String"; } } @@ -506,7 +506,7 @@ { datum baseAddress { - value = "1099840"; + value = "1263680"; type = "String"; } } @@ -522,7 +522,7 @@ { datum baseAddress { - value = "1099904"; + value = "1263744"; type = "String"; } } @@ -538,7 +538,7 @@ { datum baseAddress { - value = "1099712"; + value = "1263552"; type = "String"; } } @@ -570,7 +570,7 @@ { datum baseAddress { - value = "1099648"; + value = "1263488"; type = "String"; } } @@ -634,7 +634,7 @@ { datum baseAddress { - value = "1100352"; + value = "1264192"; type = "String"; } } @@ -650,7 +650,7 @@ { datum baseAddress { - value = "1100416"; + value = "1264256"; type = "String"; } } @@ -666,7 +666,7 @@ { datum baseAddress { - value = "1100768"; + value = "1264608"; type = "String"; } } @@ -682,7 +682,7 @@ { datum baseAddress { - value = "1100480"; + value = "1264320"; type = "String"; } } @@ -698,7 +698,7 @@ { datum baseAddress { - value = "1100032"; + value = "1263872"; type = "String"; } } @@ -714,7 +714,7 @@ { datum baseAddress { - value = "1100096"; + value = "1263936"; type = "String"; } } @@ -730,7 +730,7 @@ { datum baseAddress { - value = "1099392"; + value = "1263232"; type = "String"; } } @@ -746,7 +746,7 @@ { datum baseAddress { - value = "1100288"; + value = "1264128"; type = "String"; } } @@ -770,7 +770,7 @@ { datum baseAddress { - value = "1100640"; + value = "1264480"; type = "String"; } } @@ -802,7 +802,7 @@ { datum baseAddress { - value = "1099968"; + value = "1263808"; type = "String"; } } @@ -818,7 +818,7 @@ { datum baseAddress { - value = "1100760"; + value = "1264600"; type = "String"; } } @@ -834,7 +834,7 @@ { datum baseAddress { - value = "1099520"; + value = "1263360"; type = "String"; } } @@ -850,7 +850,7 @@ { datum baseAddress { - value = "1100672"; + value = "1264512"; type = "String"; } } @@ -871,7 +871,7 @@ { datum baseAddress { - value = "1100808"; + value = "1264648"; type = "String"; } } @@ -892,7 +892,7 @@ { datum baseAddress { - value = "1100800"; + value = "1264640"; type = "String"; } } @@ -913,7 +913,7 @@ { datum baseAddress { - value = "1100544"; + value = "1264384"; type = "String"; } } @@ -929,7 +929,7 @@ { datum baseAddress { - value = "1100512"; + value = "1264352"; type = "String"; } } @@ -950,7 +950,7 @@ { datum baseAddress { - value = "1100224"; + value = "1264064"; type = "String"; } } @@ -966,7 +966,7 @@ { datum baseAddress { - value = "1097728"; + value = "1261568"; type = "String"; } } @@ -987,7 +987,7 @@ { datum baseAddress { - value = "1100792"; + value = "1264632"; type = "String"; } } @@ -1008,7 +1008,7 @@ { datum baseAddress { - value = "1100784"; + value = "1264624"; type = "String"; } } @@ -1024,7 +1024,7 @@ { datum baseAddress { - value = "1100720"; + value = "1264560"; type = "String"; } } @@ -1040,7 +1040,7 @@ { datum baseAddress { - value = "1100752"; + value = "1264592"; type = "String"; } } @@ -1056,7 +1056,7 @@ { datum baseAddress { - value = "1048576"; + value = "98304"; type = "String"; } } @@ -1077,7 +1077,7 @@ { datum baseAddress { - value = "1100576"; + value = "1264416"; type = "String"; } } @@ -1093,7 +1093,7 @@ { datum baseAddress { - value = "1100624"; + value = "1264464"; type = "String"; } } @@ -1109,7 +1109,7 @@ { datum baseAddress { - value = "1100608"; + value = "1264448"; type = "String"; } } @@ -1141,7 +1141,7 @@ { datum baseAddress { - value = "1100160"; + value = "1264000"; type = "String"; } } @@ -1157,7 +1157,7 @@ { datum baseAddress { - value = "1100776"; + value = "1264616"; type = "String"; } } @@ -1173,7 +1173,7 @@ { datum baseAddress { - value = "1100656"; + value = "1264496"; type = "String"; } } @@ -1189,7 +1189,7 @@ { datum baseAddress { - value = "1100736"; + value = "1264576"; type = "String"; } } @@ -1205,7 +1205,7 @@ { datum baseAddress { - value = "1100728"; + value = "1264568"; type = "String"; } } @@ -1269,7 +1269,7 @@ { datum baseAddress { - value = "1100384"; + value = "1264224"; type = "String"; } } @@ -1301,7 +1301,7 @@ { datum baseAddress { - value = "1098752"; + value = "1262592"; type = "String"; } } @@ -1317,7 +1317,7 @@ { datum baseAddress { - value = "1099008"; + value = "1262848"; type = "String"; } } @@ -1359,7 +1359,7 @@ { datum baseAddress { - value = "1098240"; + value = "1262080"; type = "String"; } } @@ -7037,7 +7037,7 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x10C400' end='0x10C500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x10C500' end='0x10C600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C600' end='0x10C680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C680' end='0x10C700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C700' end='0x10C780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C800' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C840' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C880' end='0x10C8C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C8C0' end='0x10C900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C900' end='0x10C940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C940' end='0x10C980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C980' end='0x10C9C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C9C0' end='0x10CA00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10CA00' end='0x10CA20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10CA20' end='0x10CA40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10CA40' end='0x10CA60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10CA60' end='0x10CA80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10CA80' end='0x10CAA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10CAA0' end='0x10CAC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10CAC0' end='0x10CAE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10CAE0' end='0x10CB00' datawidth='32' /><slave name='reg_epcs.mem' start='0x10CB00' end='0x10CB20' datawidth='32' /><slave name='reg_remu.mem' start='0x10CB20' end='0x10CB40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10CB40' end='0x10CB50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10CB50' end='0x10CB60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10CB60' end='0x10CB70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10CB70' end='0x10CB80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10CB80' end='0x10CB90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10CB90' end='0x10CBA0' datawidth='32' /><slave name='pio_pps.mem' start='0x10CBA0' end='0x10CBB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10CBB0' end='0x10CBB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10CBB8' end='0x10CBC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10CBC0' end='0x10CBC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10CBC8' end='0x10CBD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10CBD0' end='0x10CBD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10CBD8' end='0x10CBE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10CBE0' end='0x10CBE8' datawidth='32' /><slave name='reg_si.mem' start='0x10CBE8' end='0x10CBF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10CBF0' end='0x10CBF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10CBF8' end='0x10CC00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CC00' end='0x10CC08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CC08' end='0x10CC10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CC10' end='0x10CC18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134400' end='0x134500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134500' end='0x134600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134600' end='0x134680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134680' end='0x134700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134700' end='0x134780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134800' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134840' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134880' end='0x1348C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1348C0' end='0x134900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134900' end='0x134940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134940' end='0x134980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134980' end='0x1349C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1349C0' end='0x134A00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134A00' end='0x134A20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134A20' end='0x134A40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134A40' end='0x134A60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134A60' end='0x134A80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134A80' end='0x134AA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x134AA0' end='0x134AC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x134AC0' end='0x134AE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x134AE0' end='0x134B00' datawidth='32' /><slave name='reg_epcs.mem' start='0x134B00' end='0x134B20' datawidth='32' /><slave name='reg_remu.mem' start='0x134B20' end='0x134B40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134B40' end='0x134B50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134B50' end='0x134B60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134B60' end='0x134B70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134B70' end='0x134B80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134B80' end='0x134B90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134B90' end='0x134BA0' datawidth='32' /><slave name='pio_pps.mem' start='0x134BA0' end='0x134BB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x134BB0' end='0x134BB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x134BB8' end='0x134BC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x134BC0' end='0x134BC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x134BC8' end='0x134BD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x134BD0' end='0x134BD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x134BD8' end='0x134BE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x134BE0' end='0x134BE8' datawidth='32' /><slave name='reg_si.mem' start='0x134BE8' end='0x134BF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x134BF0' end='0x134BF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x134BF8' end='0x134C00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134C00' end='0x134C08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134C08' end='0x134C10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134C10' end='0x134C18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> @@ -12772,7 +12772,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -12836,7 +12836,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -12905,7 +12905,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -13311,11 +13311,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -13388,7 +13388,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -13452,7 +13452,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -13521,7 +13521,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -13927,11 +13927,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -16468,7 +16468,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -16532,7 +16532,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -16601,7 +16601,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -17007,11 +17007,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -55372,7 +55372,7 @@ version="18.0" start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - <parameter name="baseAddress" value="0x0010cc10" /> + <parameter name="baseAddress" value="0x00134c10" /> </connection> <connection kind="avalon" @@ -55386,7 +55386,7 @@ version="18.0" start="cpu_0.data_master" end="reg_unb_sens.mem"> - <parameter name="baseAddress" value="0x0010c500" /> + <parameter name="baseAddress" value="0x00134500" /> </connection> <connection kind="avalon" @@ -55407,7 +55407,7 @@ version="18.0" start="cpu_0.data_master" end="pio_pps.mem"> - <parameter name="baseAddress" value="0x0010cba0" /> + <parameter name="baseAddress" value="0x00134ba0" /> </connection> <connection kind="avalon" @@ -55421,84 +55421,84 @@ version="18.0" start="cpu_0.data_master" end="reg_remu.mem"> - <parameter name="baseAddress" value="0x0010cb20" /> + <parameter name="baseAddress" value="0x00134b20" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_epcs.mem"> - <parameter name="baseAddress" value="0x0010cb00" /> + <parameter name="baseAddress" value="0x00134b00" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dpmm_ctrl.mem"> - <parameter name="baseAddress" value="0x0010cc08" /> + <parameter name="baseAddress" value="0x00134c08" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dpmm_data.mem"> - <parameter name="baseAddress" value="0x0010cc00" /> + <parameter name="baseAddress" value="0x00134c00" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_mmdp_ctrl.mem"> - <parameter name="baseAddress" value="0x0010cbf8" /> + <parameter name="baseAddress" value="0x00134bf8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_mmdp_data.mem"> - <parameter name="baseAddress" value="0x0010cbf0" /> + <parameter name="baseAddress" value="0x00134bf0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_fpga_temp_sens.mem"> - <parameter name="baseAddress" value="0x0010cae0" /> + <parameter name="baseAddress" value="0x00134ae0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_unb_pmbus.mem"> - <parameter name="baseAddress" value="0x0010c400" /> + <parameter name="baseAddress" value="0x00134400" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_fpga_voltage_sens.mem"> - <parameter name="baseAddress" value="0x0010c9c0" /> + <parameter name="baseAddress" value="0x001349c0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_st_sst.mem"> - <parameter name="baseAddress" value="0x000f0000" /> + <parameter name="baseAddress" value="0x000e0000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_si.mem"> - <parameter name="baseAddress" value="0x0010cbe8" /> + <parameter name="baseAddress" value="0x00134be8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_fil_coefs.mem"> - <parameter name="baseAddress" value="0x000e0000" /> + <parameter name="baseAddress" value="0x000c0000" /> </connection> <connection kind="avalon" @@ -55512,42 +55512,42 @@ version="18.0" start="cpu_0.data_master" end="reg_aduh_monitor.mem"> - <parameter name="baseAddress" value="0x0010c300" /> + <parameter name="baseAddress" value="0x00134300" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_wg.mem"> - <parameter name="baseAddress" value="0x000d0000" /> + <parameter name="baseAddress" value="0x00120000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_shiftram.mem"> - <parameter name="baseAddress" value="0x0010c700" /> + <parameter name="baseAddress" value="0x00134700" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_scheduler.mem"> - <parameter name="baseAddress" value="0x0010cbe0" /> + <parameter name="baseAddress" value="0x00134be0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_source_v2.mem"> - <parameter name="baseAddress" value="0x0010cac0" /> + <parameter name="baseAddress" value="0x00134ac0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_wg.mem"> - <parameter name="baseAddress" value="0x0010c200" /> + <parameter name="baseAddress" value="0x00134200" /> </connection> <connection kind="avalon" @@ -55561,42 +55561,42 @@ version="18.0" start="cpu_0.data_master" end="jesd204b.mem"> - <parameter name="baseAddress" value="0x00108000" /> + <parameter name="baseAddress" value="0x00130000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_selector.mem"> - <parameter name="baseAddress" value="0x0010cbd8" /> + <parameter name="baseAddress" value="0x00134bd8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_ss_ss_wide.mem"> - <parameter name="baseAddress" value="0x000c0000" /> + <parameter name="baseAddress" value="0x00110000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bf_scale.mem"> - <parameter name="baseAddress" value="0x0010cb90" /> + <parameter name="baseAddress" value="0x00134b90" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_hdr_dat.mem"> - <parameter name="baseAddress" value="0x0010c000" /> + <parameter name="baseAddress" value="0x00134000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_xonoff.mem"> - <parameter name="baseAddress" value="0x0010cb80" /> + <parameter name="baseAddress" value="0x00134b80" /> </connection> <connection kind="avalon" @@ -55610,28 +55610,28 @@ version="18.0" start="cpu_0.data_master" end="reg_sdp_info.mem"> - <parameter name="baseAddress" value="0x0010c980" /> + <parameter name="baseAddress" value="0x00134980" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_nw_10gbe_eth10g.mem"> - <parameter name="baseAddress" value="0x0010cbd0" /> + <parameter name="baseAddress" value="0x00134bd0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_nw_10gbe_mac.mem"> - <parameter name="baseAddress" value="0x00100000" /> + <parameter name="baseAddress" value="0x00018000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_diag_data_buffer_bsn.mem"> - <parameter name="baseAddress" value="0x0010c680" /> + <parameter name="baseAddress" value="0x00134680" /> </connection> <connection kind="avalon" @@ -55645,14 +55645,14 @@ version="18.0" start="cpu_0.data_master" end="pio_jesd_ctrl.mem"> - <parameter name="baseAddress" value="0x0010cbc8" /> + <parameter name="baseAddress" value="0x00134bc8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_stat_enable_sst.mem"> - <parameter name="baseAddress" value="0x0010cbc0" /> + <parameter name="baseAddress" value="0x00134bc0" /> </connection> <connection kind="avalon" @@ -55666,7 +55666,7 @@ version="18.0" start="cpu_0.data_master" end="reg_stat_enable_bst.mem"> - <parameter name="baseAddress" value="0x0010cb70" /> + <parameter name="baseAddress" value="0x00134b70" /> </connection> <connection kind="avalon" @@ -55680,7 +55680,7 @@ version="18.0" start="cpu_0.data_master" end="reg_crosslets_info.mem"> - <parameter name="baseAddress" value="0x0010c940" /> + <parameter name="baseAddress" value="0x00134940" /> </connection> <connection kind="avalon" @@ -55694,7 +55694,7 @@ version="18.0" start="cpu_0.data_master" end="reg_stat_enable_xst.mem"> - <parameter name="baseAddress" value="0x0010cbb8" /> + <parameter name="baseAddress" value="0x00134bb8" /> </connection> <connection kind="avalon" @@ -55708,28 +55708,28 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_sync_scheduler_xsub.mem"> - <parameter name="baseAddress" value="0x0010c900" /> + <parameter name="baseAddress" value="0x00134900" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_st_histogram.mem"> - <parameter name="baseAddress" value="0x00018000" /> + <parameter name="baseAddress" value="0x8000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_nof_crosslets.mem"> - <parameter name="baseAddress" value="0x0010cbb0" /> + <parameter name="baseAddress" value="0x00134bb0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_align_v2_xsub.mem"> - <parameter name="baseAddress" value="0x0010c600" /> + <parameter name="baseAddress" value="0x00134600" /> </connection> <connection kind="avalon" @@ -55743,14 +55743,14 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_xsub.mem"> - <parameter name="baseAddress" value="0x0010caa0" /> + <parameter name="baseAddress" value="0x00134aa0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_xst_offload.mem"> - <parameter name="baseAddress" value="0x0010ca80" /> + <parameter name="baseAddress" value="0x00134a80" /> </connection> <connection kind="avalon" @@ -55778,28 +55778,28 @@ version="18.0" start="cpu_0.data_master" end="reg_dp_block_validate_err_xst.mem"> - <parameter name="baseAddress" value="0x0010c8c0" /> + <parameter name="baseAddress" value="0x001348c0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_xst.mem"> - <parameter name="baseAddress" value="0x0010cb60" /> + <parameter name="baseAddress" value="0x00134b60" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_ring_info.mem"> - <parameter name="baseAddress" value="0x0010cb50" /> + <parameter name="baseAddress" value="0x00134b50" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_tr_10gbe_eth10g.mem"> - <parameter name="baseAddress" value="0x0010ca60" /> + <parameter name="baseAddress" value="0x00134a60" /> </connection> <connection kind="avalon" @@ -55813,28 +55813,28 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_sst_offload.mem"> - <parameter name="baseAddress" value="0x0010ca40" /> + <parameter name="baseAddress" value="0x00134a40" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_bst_offload.mem"> - <parameter name="baseAddress" value="0x0010c880" /> + <parameter name="baseAddress" value="0x00134880" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_beamlet_output.mem"> - <parameter name="baseAddress" value="0x0010c840" /> + <parameter name="baseAddress" value="0x00134840" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_align_v2_bf.mem"> - <parameter name="baseAddress" value="0x0010ca20" /> + <parameter name="baseAddress" value="0x00134a20" /> </connection> <connection kind="avalon" @@ -55848,28 +55848,28 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_bf.mem"> - <parameter name="baseAddress" value="0x0010c800" /> + <parameter name="baseAddress" value="0x00134800" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_ring_lane_info_bf.mem"> - <parameter name="baseAddress" value="0x0010cb40" /> + <parameter name="baseAddress" value="0x00134b40" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_rx_bf.mem"> - <parameter name="baseAddress" value="0x0010c7c0" /> + <parameter name="baseAddress" value="0x001347c0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_tx_bf.mem"> - <parameter name="baseAddress" value="0x0010c780" /> + <parameter name="baseAddress" value="0x00134780" /> </connection> <connection kind="avalon" @@ -55883,7 +55883,7 @@ version="18.0" start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_bf.mem"> - <parameter name="baseAddress" value="0x0010ca00" /> + <parameter name="baseAddress" value="0x00134a00" /> </connection> <connection kind="avalon" @@ -55897,7 +55897,7 @@ version="18.0" start="cpu_0.data_master" end="ram_equalizer_gains.mem"> - <parameter name="baseAddress" value="0x8000" /> + <parameter name="baseAddress" value="0x00100000" /> </connection> <connection kind="avalon" diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd new file mode 100644 index 0000000000000000000000000000000000000000..2cfff131262a66a8e1c7c65bd345791bd2835a43 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd @@ -0,0 +1,194 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2022 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Disturb2 SDP Station full design, a revision of lofar2_sdp_station_full +-- Description: +-- Unb2b version for lab testing +-- Contains complete SDP station design with AIT input stage with 12 ADC streams, oversampeld FSUB, XSUB, BF and RING + + +LIBRARY IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2b_board_lib.unb2b_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2b_sdp_station_full IS + GENERIC ( + g_design_name : STRING := "disturb2_unb2b_sdp_station_full"; + g_design_note : STRING := "Disturb2 SDP station full design"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); + + -- I2C Interface to Sensors + SENS_SC : INOUT STD_LOGIC; + SENS_SD : INOUT STD_LOGIC; + + PMBUS_SC : INOUT STD_LOGIC; + PMBUS_SD : INOUT STD_LOGIC; + PMBUS_ALERT : IN STD_LOGIC := '0'; + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC; + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); + + -- back transceivers (note only 6 are used in unb2b) + BCK_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b-1 downto c_unb2b_board_nof_tr_jesd204b); -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12 + BCK_REF_CLK : IN STD_LOGIC; -- Use as JESD204B_REFCLK + + -- jesd204b syncronization signals (2 syncs) + JESD204B_SYSREF : IN STD_LOGIC; + JESD204B_SYNC_N : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) -- c_unb2b_board_nof_sync_jesd204b = c_sdp_N_sync_jesd = 4 + ); +END disturb2_unb2b_sdp_station_full; + +ARCHITECTURE str OF disturb2_unb2b_sdp_station_full IS + + SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w*c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); + SIGNAL jesd204b_sync_n_arr : STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 downto 0); + SIGNAL JESD204B_REFCLK : STD_LOGIC; + + +BEGIN + + -- Mapping between JESD signal names and UNB2B pin/schematic names + JESD204B_REFCLK <= BCK_REF_CLK; + JESD204B_SERIAL_DATA(0) <= BCK_RX(42); + JESD204B_SERIAL_DATA(1) <= BCK_RX(43); + JESD204B_SERIAL_DATA(2) <= BCK_RX(44); + JESD204B_SERIAL_DATA(3) <= BCK_RX(45); + JESD204B_SERIAL_DATA(4) <= BCK_RX(46); + JESD204B_SERIAL_DATA(5) <= BCK_RX(47); + JESD204B_SERIAL_DATA(6) <= '0'; + JESD204B_SERIAL_DATA(7) <= '0'; + JESD204B_SERIAL_DATA(8) <= '0'; + JESD204B_SERIAL_DATA(9) <= '0'; + JESD204B_SERIAL_DATA(10) <= '0'; + JESD204B_SERIAL_DATA(11) <= '0'; + JESD204B_SYNC_N(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) <= jesd204b_sync_n_arr(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0); + + + u_revision : ENTITY lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- I2C Interface to Sensors + SENS_SC => SENS_SC, + SENS_SD => SENS_SD, + + PMBUS_SC => PMBUS_SC, + PMBUS_SD => PMBUS_SD, + PMBUS_ALERT => PMBUS_ALERT, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX, + + -- back transceivers + JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, + JESD204B_REFCLK => JESD204B_REFCLK, + + -- jesd204b syncronization signals + JESD204B_SYSREF => JESD204B_SYSREF, + JESD204B_SYNC_N => jesd204b_sync_n_arr + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full_pins.tcl b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..b85bc78b08035457af0eed4a6fae25007a1f4bef --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full_pins.tcl @@ -0,0 +1,26 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_jesd_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..fc9f9b0be2067dec7d4a402040b2b2fc1bc90645 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/hdllib.cfg @@ -0,0 +1,131 @@ +hdl_lib_name = disturb2_unb2b_sdp_station_full +hdl_library_clause_name = disturb2_unb2b_sdp_station_full_lib +hdl_lib_uses_synth = common mm technology unb2b_board lofar2_unb2b_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e1sg + + synth_files = + disturb2_unb2b_sdp_station_full.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.qsf + +# use lofar2_unb2b_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2b_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc + +quartus_tcl_files = + disturb2_unb2b_sdp_station_full_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_sdp_station_full/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_pmbus.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..4878d4fa2420751e1152ee92afdf35d650962a93 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd @@ -0,0 +1,157 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2022 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Disturb2 SDP Station full design. +-- Description: +-- Unb2b version for lab testing of SDP using the WG. +-- Contains AIT input stage with WG, oversampled FSUB, XSUB, BF and RING, so without ADC JESD. + + +LIBRARY IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2b_board_lib.unb2b_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2b_sdp_station_full_wg IS + GENERIC ( + g_design_name : STRING := "Disturb2_unb2b_sdp_station_full_wg"; + g_design_note : STRING := "Disturb2 SDP station full design WG"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); + + -- I2C Interface to Sensors + SENS_SC : INOUT STD_LOGIC; + SENS_SD : INOUT STD_LOGIC; + + PMBUS_SC : INOUT STD_LOGIC; + PMBUS_SD : INOUT STD_LOGIC; + PMBUS_ALERT : IN STD_LOGIC := '0'; + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC; + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) + ); +END disturb2_unb2b_sdp_station_full_wg; + +ARCHITECTURE str OF disturb2_unb2b_sdp_station_full_wg IS + +BEGIN + + u_revision : ENTITY lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- I2C Interface to Sensors + SENS_SC => SENS_SC, + SENS_SD => SENS_SD, + + PMBUS_SC => PMBUS_SC, + PMBUS_SD => PMBUS_SD, + PMBUS_ALERT => PMBUS_ALERT, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg_pins.tcl b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..689b010fb83a0f4df276dd070b6e8cc383755595 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg_pins.tcl @@ -0,0 +1,25 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..c94035c6cff04e94efdb8bb8de049c37dd9465fd --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/hdllib.cfg @@ -0,0 +1,131 @@ +hdl_lib_name = disturb2_unb2b_sdp_station_full_wg +hdl_library_clause_name = disturb2_unb2b_sdp_station_full_wg_lib +hdl_lib_uses_synth = common mm technology unb2b_board lofar2_unb2b_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e1sg + + synth_files = + disturb2_unb2b_sdp_station_full_wg.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.qsf + +# use lofar2_unb2b_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2b_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc + +quartus_tcl_files = + disturb2_unb2b_sdp_station_full_wg_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_sdp_station_full_wg/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_pmbus.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex new file mode 100644 index 0000000000000000000000000000000000000000..19547d8205c37b81f704cafae5ac8ba4fd17beaf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex @@ -0,0 +1,2049 @@ +:0400000000007FFF7E +:0400010000657FFF18 +:0400020000C97FFEB4 +:04000300012E7FFE4D +:0400040001927FFDE9 +:0400050001F77FFB85 +:04000600025B7FF921 +:0400070002C07FF7BD +:0400080003247FF559 +:0400090003897FF3F5 +:04000A0003ED7FF093 +:04000B0004527FEC30 +:04000C0004B67FE9CE +:04000D00051B7FE56B +:04000E00057F7FE10A +:04000F0005E37FDCAA +:0400100006487FD847 +:0400110006AC7FD2E8 +:0400120007117FCD86 +:0400130007757FC727 +:0400140007D97FC1C8 +:04001500083E7FBB67 +:0400160008A27FB409 +:0400170009067FADAA +:04001800096A7FA64C +:0400190009CF7F9FED +:04001A000A337F978F +:04001B000A977F8F32 +:04001C000AFB7F86D6 +:04001D000B5F7F7D79 +:04001E000BC47F741C +:04001F000C287F6BBF +:040020000C8C7F6164 +:040021000CF07F5709 +:040022000D547F4DAD +:040023000DB87F4253 +:040024000E1C7F37F8 +:040025000E807F2C9E +:040026000EE37F2145 +:040027000F477F15EB +:040028000FAB7F0992 +:04002900100F7EFC3A +:04002A0010727EEFE3 +:04002B0010D67EE28B +:04002C00113A7ED532 +:04002D00119D7EC7DC +:04002E0012017EB984 +:04002F0012647EAB2E +:0400300012C87E9CD8 +:04003100132B7E8D82 +:04003200138F7E7E2C +:0400330013F27E6FD7 +:0400340014557E5F82 +:0400350014B97E4F2D +:04003600151C7E3ED9 +:04003700157F7E2E85 +:0400380015E27E1D32 +:0400390016457E0BDF +:04003A0016A87DFA8D +:04003B00170B7DE83A +:04003C00176E7DD5E9 +:04003D0017D07DC398 +:04003E0018337DB046 +:04003F0018967D9DF5 +:0400400018F97D89A5 +:04004100195B7D7654 +:0400420019BE7D6204 +:040043001A207D4DB5 +:040044001A827D3966 +:040045001AE57D2417 +:040046001B477D0EC9 +:040047001BA97CF97C +:040048001C0B7CE32E +:040049001C6D7CCDE1 +:04004A001CCF7CB695 +:04004B001D317C9F48 +:04004C001D937C88FC +:04004D001DF57C71B0 +:04004E001E577C5964 +:04004F001EB87C411A +:040050001F1A7C29CE +:040051001F7B7C1085 +:040052001FDD7BF83B +:04005300203E7BDEF2 +:04005400209F7BC5A9 +:0400550021007BAB60 +:0400560021617B9118 +:0400570021C27B77D0 +:0400580022237B5C88 +:0400590022847B4141 +:04005A0022E57B26FA +:04005B0023467B0AB3 +:04005C0023A67AEE6F +:04005D0024077AD228 +:04005E0024677AB6E3 +:04005F0024C87A999E +:0400600025287A7C59 +:0400610025887A5F15 +:0400620025E87A41D2 +:0400630026487A238E +:0400640026A87A054B +:04006500270879E609 +:04006600276779C8C7 +:0400670027C779A985 +:040068002826798944 +:040069002886796A02 +:04006A0028E5794AC2 +:04006B002944792982 +:04006C0029A3790942 +:04006D002A0278E803 +:04006E002A6178C7C4 +:04006F002AC078A586 +:040070002B1F788446 +:040071002B7D786209 +:040072002BDC783FCC +:040073002C3A781D8E +:040074002C9977FA52 +:040075002CF777D716 +:040076002D5577B3DA +:040077002DB3778F9F +:040078002E11776B63 +:040079002E6E774729 +:04007A002ECC7722EF +:04007B002F2A76FEB4 +:04007C002F8776D87C +:04007D002FE476B343 +:04007E003041768D0A +:04007F00309E7667D2 +:0400800030FB76419A +:040081003158761A62 +:0400820031B575F32C +:04008300321175CCF5 +:04008400326E75A5BE +:0400850032CA757D89 +:040086003326755553 +:040087003383752D1D +:0400880033DF7504E9 +:04008900343A74DBB6 +:04008A00349674B282 +:04008B0034F274884F +:04008C00354D745F1B +:04008D0035A87435E9 +:04008E003604740AB6 +:04008F00365F73E085 +:0400900036BA73B554 +:040091003715738A22 +:04009200376F735EF3 +:0400930037CA7333C2 +:040094003824730792 +:04009500387E72DB64 +:0400960038D972AE35 +:040097003933728106 +:04009800398C7254D9 +:0400990039E67227AB +:04009A003A4071F97E +:04009B003A9971CB52 +:04009C003AF2719D26 +:04009D003B4C716FF8 +:04009E003BA57140CD +:04009F003BFE7111A2 +:0400A0003C5670E278 +:0400A1003CAF70B24E +:0400A2003D07708323 +:0400A3003D607053F9 +:0400A4003DB87022D1 +:0400A5003E106FF2A8 +:0400A6003E686FC180 +:0400A7003EBF6F9059 +:0400A8003F176F5E31 +:0400A9003F6E6F2C0B +:0400AA003FC56EFBE5 +:0400AB00401D6EC8BE +:0400AC0040736E9699 +:0400AD0040CA6E6374 +:0400AE0041216E304E +:0400AF0041776DFD2B +:0400B00041CE6DC907 +:0400B10042246D95E3 +:0400B200427A6D61C0 +:0400B30042D06D2D9D +:0400B40043256CF87C +:0400B500437B6CC35A +:0400B60043D06C8E39 +:0400B70044256C5917 +:0400B800447A6C23F7 +:0400B90044CF6BEDD8 +:0400BA0045246BB7B7 +:0400BB0045786B8198 +:0400BC0045CD6B4A79 +:0400BD0046216B135A +:0400BE0046756ADC3D +:0400BF0046C96AA420 +:0400C000471C6A6D02 +:0400C10047706A35E5 +:0400C20047C369FDCA +:0400C300481669C4AE +:0400C4004869698B93 +:0400C50048BC695278 +:0400C600490F69195C +:0400C700496168E043 +:0400C80049B468A629 +:0400C9004A06686C0F +:0400CA004A586832F6 +:0400CB004AA967F7E0 +:0400CC004AFB67BCC8 +:0400CD004B4C6781B0 +:0400CE004B9D674699 +:0400CF004BEE670A83 +:0400D0004C3F66CF6C +:0400D1004C90669356 +:0400D2004CE0665642 +:0400D3004D31661A2B +:0400D4004D8165DD18 +:0400D5004DD165A004 +:0400D6004E206563F0 +:0400D7004E706525DD +:0400D8004EBF64E8CB +:0400D9004F0E64AAB8 +:0400DA004F5D646CA6 +:0400DB004FAC642D95 +:0400DC004FFB63EE85 +:0400DD00504963AF74 +:0400DE005097637064 +:0400DF0050E5633154 +:0400E000513362F145 +:0400E100518162B136 +:0400E20051CE627128 +:0400E300521B623119 +:0400E400526861F00D +:0400E50052B561AF00 +:0400E6005302616EF2 +:0400E700534E612DE6 +:0400E800539B60EBDB +:0400E90053E760AACF +:0400EA0054326068C4 +:0400EB00547E6025BA +:0400EC0054C95FE3B1 +:0400ED0055155FA0A6 +:0400EE0055605F5D9D +:0400EF0055AA5F1A95 +:0400F00055F55ED78D +:0400F100563F5E9385 +:0400F200568A5E4F7D +:0400F30056D35E0B77 +:0400F400571D5DC770 +:0400F50057675D826A +:0400F60057B05D3E64 +:0400F70057F95CF960 +:0400F80058425CB35B +:0400F900588B5C6E56 +:0400FA0058D35C2853 +:0400FB00591C5BE24F +:0400FC0059645B9C4C +:0400FD0059AC5B5649 +:0400FE0059F35B0F48 +:0400FF005A3B5AC945 +:040100005A825A8243 +:040101005AC95A3B42 +:040102005B0F59F343 +:040103005B5659AC42 +:040104005B9C596443 +:040105005BE2591C44 +:040106005C2858D346 +:040107005C6E588B47 +:040108005CB358424A +:040109005CF957F94D +:04010A005D3E57B04F +:04010B005D82576753 +:04010C005DC7571D57 +:04010D005E0B56D35C +:04010E005E4F568A60 +:04010F005E93563F66 +:040110005ED755F56C +:040111005F1A55AA72 +:040112005F5D556078 +:040113005FA055157F +:040114005FE354C988 +:040115006025547E8F +:040116006068543297 +:0401170060AA53E7A0 +:0401180060EB539BAA +:04011900612D534EB3 +:04011A00616E5302BD +:04011B0061AF52B5C9 +:04011C0061F05268D4 +:04011D006231521BDE +:04011E00627151CEEB +:04011F0062B15181F7 +:0401200062F1513304 +:04012100633150E511 +:04012200637050971F +:0401230063AF50492D +:0401240063EE4FFB3C +:04012500642D4FAC4A +:04012600646C4F5D59 +:0401270064AA4F0E69 +:0401280064E84EBF7A +:0401290065254E708A +:04012A0065634E209B +:04012B0065A04DD1AD +:04012C0065DD4D81BF +:04012D00661A4D31D0 +:04012E0066564CE0E5 +:04012F0066934C90F7 +:0401300066CF4C3F0B +:04013100670A4BEE20 +:0401320067464B9D34 +:0401330067814B4C49 +:0401340067BC4AFB5F +:0401350067F74AA975 +:0401360068324A5889 +:04013700686C4A06A0 +:0401380068A649B4B8 +:0401390068E04961D0 +:04013A006919490FE7 +:04013B00695248BC01 +:04013C00698B48691A +:04013D0069C4481633 +:04013E0069FD47C34D +:04013F006A35477066 +:040140006A6D471C81 +:040141006AA446C99D +:040142006ADC4675B8 +:040143006B134621D3 +:040144006B4A45CDF0 +:040145006B8145780D +:040146006BB745242A +:040147006BED44CF49 +:040148006C23447A66 +:040149006C59442584 +:04014A006C8E43D0A4 +:04014B006CC3437BC3 +:04014C006CF84325E3 +:04014D006D2D42D002 +:04014E006D61427A23 +:04014F006D95422444 +:040150006DC941CE66 +:040151006DFD417788 +:040152006E304121A9 +:040153006E6340CACD +:040154006E964073F0 +:040155006EC8401D13 +:040156006EFB3FC538 +:040157006F2C3F6E5C +:040158006F5E3F1780 +:040159006F903EBFA6 +:04015A006FC13E68CB +:04015B006FF23E10F1 +:04015C0070223DB818 +:04015D0070533D603E +:04015E0070833D0766 +:04015F0070B23CAF8F +:0401600070E23C56B7 +:0401610071113BFEDF +:0401620071403BA508 +:04016300716F3B4C31 +:04016400719D3AF25D +:0401650071CB3A9987 +:0401660071F93A40B1 +:04016700722739E6DC +:040168007254398C08 +:040169007281393333 +:04016A0072AE38D960 +:04016B0072DB387E8D +:04016C0073073824B9 +:04016D00733337CAE7 +:04016E00735E376F16 +:04016F00738A371543 +:0401700073B536BA73 +:0401710073E0365FA2 +:04017200740A3604D1 +:04017300743535A802 +:04017400745F354D32 +:04017500748834F264 +:0401760074B2349695 +:0401770074DB343AC7 +:04017800750433DFF8 +:04017900752D33832A +:04017A00755533265E +:04017B00757D32CA92 +:04017C0075A5326EC5 +:04017D0075CC3211FA +:04017E0075F331B52F +:04017F00761A315863 +:04018000764130FB99 +:040181007667309ECF +:04018200768D304105 +:0401830076B32FE43C +:0401840076D82F8773 +:0401850076FE2F2AA9 +:0401860077222ECCE2 +:0401870077472E6E1A +:04018800776B2E1152 +:04018900778F2DB38C +:04018A0077B32D55C5 +:04018B0077D72CF7FF +:04018C0077FA2C9939 +:04018D00781D2C3A73 +:04018E00783F2BDCAF +:04018F0078622B7DEA +:0401900078842B1F25 +:0401910078A52AC063 +:0401920078C72A619F +:0401930078E82A02DC +:04019400790929A319 +:040195007929294457 +:04019600794A28E595 +:04019700796A2886D3 +:040198007989282613 +:0401990079A927C752 +:04019A0079C8276792 +:04019B0079E62708D2 +:04019C007A0526A812 +:04019D007A23264853 +:04019E007A4125E895 +:04019F007A5F2588D6 +:0401A0007A7C252818 +:0401A1007A9924C85B +:0401A2007AB624679E +:0401A3007AD22407E1 +:0401A4007AEE23A626 +:0401A5007B0A234668 +:0401A6007B2622E5AD +:0401A7007B412284F2 +:0401A8007B5C222337 +:0401A9007B7721C27D +:0401AA007B912161C3 +:0401AB007BAB210009 +:0401AC007BC5209F50 +:0401AD007BDE203E97 +:0401AE007BF81FDDDE +:0401AF007C101F7B26 +:0401B0007C291F1A6D +:0401B1007C411EB8B7 +:0401B2007C591E57FF +:0401B3007C711DF549 +:0401B4007C881D9393 +:0401B5007C9F1D31DD +:0401B6007CB61CCF28 +:0401B7007CCD1C6D72 +:0401B8007CE31C0BBD +:0401B9007CF91BA909 +:0401BA007D0E1B4754 +:0401BB007D241AE5A0 +:0401BC007D391A82ED +:0401BD007D4D1A203A +:0401BE007D6219BE87 +:0401BF007D76195BD5 +:0401C0007D8918F924 +:0401C1007D9D189672 +:0401C2007DB01833C1 +:0401C3007DC317D011 +:0401C4007DD5176E60 +:0401C5007DE8170BAF +:0401C6007DFA16A800 +:0401C7007E0B164550 +:0401C8007E1D15E2A1 +:0401C9007E2E157FF2 +:0401CA007E3E151C44 +:0401CB007E4F14B996 +:0401CC007E5F1455E9 +:0401CD007E6F13F23C +:0401CE007E7E138F8F +:0401CF007E8D132BE3 +:0401D0007E9C12C837 +:0401D1007EAB12648B +:0401D2007EB91201DF +:0401D3007EC7119D35 +:0401D4007ED5113A89 +:0401D5007EE210D6E0 +:0401D6007EEF107236 +:0401D7007EFC100F8B +:0401D8007F090FABE1 +:0401D9007F150F4738 +:0401DA007F210EE390 +:0401DB007F2C0E80E7 +:0401DC007F370E1C3F +:0401DD007F420DB898 +:0401DE007F4D0D54F0 +:0401DF007F570CF04A +:0401E0007F610C8CA3 +:0401E1007F6B0C28FC +:0401E2007F740BC457 +:0401E3007F7D0B5FB2 +:0401E4007F860AFB0D +:0401E5007F8F0A9767 +:0401E6007F970A33C2 +:0401E7007F9F09CF1E +:0401E8007FA6096A7B +:0401E9007FAD0906D7 +:0401EA007FB408A234 +:0401EB007FBB083E90 +:0401EC007FC107D9EF +:0401ED007FC707754C +:0401EE007FCD0711A9 +:0401EF007FD206AC09 +:0401F0007FD8064866 +:0401F1007FDC05E3C7 +:0401F2007FE1057F25 +:0401F3007FE5051B84 +:0401F4007FE904B6E5 +:0401F5007FEC045245 +:0401F6007FF003EDA6 +:0401F7007FF3038906 +:0401F8007FF5032468 +:0401F9007FF702C0CA +:0401FA007FF9025B2C +:0401FB007FFB01F78E +:0401FC007FFD0192F0 +:0401FD007FFE012E52 +:0401FE007FFE00C9B7 +:0401FF007FFF006519 +:040200007FFF00007C +:040201007FFFFF9BE1 +:040202007FFEFF3745 +:040203007FFEFED2AA +:040204007FFDFE6E0E +:040205007FFBFE0974 +:040206007FF9FDA5DA +:040207007FF7FD4040 +:040208007FF5FCDCA6 +:040209007FF3FC770C +:04020A007FF0FC1372 +:04020B007FECFBAEDB +:04020C007FE9FB4A41 +:04020D007FE5FAE5AA +:04020E007FE1FA8111 +:04020F007FDCFA1D79 +:040210007FD8F9B8E2 +:040211007FD2F9544B +:040212007FCDF8EFB5 +:040213007FC7F88B1E +:040214007FC1F82787 +:040215007FBBF7C2F2 +:040216007FB4F75E5C +:040217007FADF6FAC7 +:040218007FA6F69631 +:040219007F9FF6319C +:04021A007F97F5CD08 +:04021B007F8FF56973 +:04021C007F86F505DF +:04021D007F7DF4A14C +:04021E007F74F43CB9 +:04021F007F6BF3D826 +:040220007F61F37493 +:040221007F57F31000 +:040222007F4DF2AC6E +:040223007F42F248DC +:040224007F37F1E44B +:040225007F2CF180B9 +:040226007F21F11D26 +:040227007F15F0B996 +:040228007F09F05505 +:040229007EFCEFF177 +:04022A007EEFEF8EE6 +:04022B007EE2EF2A56 +:04022C007ED5EEC6C7 +:04022D007EC7EE6337 +:04022E007EB9EDFFA9 +:04022F007EABED9C19 +:040230007E9CED388B +:040231007E8DECD5FD +:040232007E7EEC716F +:040233007E6FEC0EE0 +:040234007E5FEBAB53 +:040235007E4FEB47C6 +:040236007E3EEAE43A +:040237007E2EEA81AC +:040238007E1DEA1E1F +:040239007E0BE9BB94 +:04023A007DFAE95808 +:04023B007DE8E8F57D +:04023C007DD5E892F2 +:04023D007DC3E83065 +:04023E007DB0E7CDDB +:04023F007D9DE76A50 +:040240007D89E707C6 +:040241007D76E6A53B +:040242007D62E642B1 +:040243007D4DE5E028 +:040244007D39E57E9D +:040245007D24E51B14 +:040246007D0EE4B98C +:040247007CF9E45703 +:040248007CE3E3F57B +:040249007CCDE393F2 +:04024A007CB6E3316A +:04024B007C9FE2CFE3 +:04024C007C88E26D5B +:04024D007C71E20BD3 +:04024E007C59E1A94D +:04024F007C41E148C5 +:040250007C29E0E63F +:040251007C10E085B8 +:040252007BF8E02332 +:040253007BDEDFC2AD +:040254007BC5DF6126 +:040255007BABDF00A0 +:040256007B91DE9F1B +:040257007B77DE3E95 +:040258007B5CDDDD11 +:040259007B41DD7C8C +:04025A007B26DD1B07 +:04025B007B0ADCBA84 +:04025C007AEEDC5A00 +:04025D007AD2DBF97D +:04025E007AB6DB99F8 +:04025F007A99DB3875 +:040260007A7CDAD8F2 +:040261007A5FDA786E +:040262007A41DA18EB +:040263007A23D9B869 +:040264007A05D958E6 +:0402650079E6D8F866 +:0402660079C8D899E2 +:0402670079A9D83960 +:040268007989D7DADF +:04026900796AD77A5D +:04026A00794AD71BDB +:04026B007929D6BC5B +:04026C007909D65DD9 +:04026D0078E8D5FE5A +:04026E0078C7D59FD9 +:04026F0078A5D54059 +:040270007884D4E1D9 +:040271007862D48358 +:04027200783FD424D9 +:04027300781DD3C659 +:0402740077FAD367DB +:0402750077D7D3095B +:0402760077B3D2ABDD +:04027700778FD24D5E +:04027800776BD1EFE0 +:040279007747D19260 +:04027A007722D134E2 +:04027B0076FED0D665 +:04027C0076D8D079E7 +:04027D0076B3D01C68 +:04027E00768DCFBFEB +:04027F007667CF626D +:040280007641CF05EF +:04028100761ACEA873 +:0402820075F3CE4BF7 +:0402830075CCCDEF7A +:0402840075A5CD92FD +:04028500757DCD3680 +:040286007555CCDA04 +:04028700752DCC7D88 +:040288007504CC210C +:0402890074DBCBC691 +:04028A0074B2CB6A15 +:04028B007488CB0E9A +:04028C00745FCAB31E +:04028D007435CA58A2 +:04028E00740AC9FC29 +:04028F0073E0C9A1AE +:0402900073B5C94633 +:04029100738AC8EBB9 +:04029200735EC8913E +:040293007333C836C3 +:040294007307C7DC49 +:0402950072DBC782CF +:0402960072AEC72756 +:040297007281C6CDDD +:040298007254C67462 +:040299007227C61AE8 +:04029A0071F9C5C071 +:04029B0071CBC567F7 +:04029C00719DC50E7D +:04029D00716FC4B405 +:04029E007140C45B8C +:04029F007111C40213 +:0402A00070E2C3AA9B +:0402A10070B2C35123 +:0402A2007083C2F9AA +:0402A3007053C2A032 +:0402A4007022C248BA +:0402A5006FF2C1F043 +:0402A6006FC1C198CB +:0402A7006F90C14152 +:0402A8006F5EC0E9DC +:0402A9006F2CC09264 +:0402AA006EFBC03BEC +:0402AB006EC8BFE377 +:0402AC006E96BF8DFE +:0402AD006E63BF3687 +:0402AE006E30BEDF11 +:0402AF006DFDBE899A +:0402B0006DC9BE3224 +:0402B1006D95BDDCAE +:0402B2006D61BD8637 +:0402B3006D2DBD30C0 +:0402B4006CF8BCDB4B +:0402B5006CC3BC85D5 +:0402B6006C8EBC305E +:0402B7006C59BBDBE8 +:0402B8006C23BB8672 +:0402B9006BEDBB31FD +:0402BA006BB7BADC88 +:0402BB006B81BA8811 +:0402BC006B4ABA339C +:0402BD006B13B9DF27 +:0402BE006ADCB98BB2 +:0402BF006AA4B9373D +:0402C0006A6DB8E4C7 +:0402C1006A35B89052 +:0402C20069FDB83DDD +:0402C30069C4B7EA69 +:0402C400698BB797F4 +:0402C5006952B7447F +:0402C6006919B6F10B +:0402C70068E0B69F96 +:0402C80068A6B64C22 +:0402C900686CB5FAAE +:0402CA006832B5A839 +:0402CB0067F7B557C5 +:0402CC0067BCB50551 +:0402CD006781B4B4DD +:0402CE006746B46368 +:0402CF00670AB412F4 +:0402D00066CFB3C181 +:0402D1006693B3700D +:0402D2006656B32099 +:0402D300661AB2CF26 +:0402D40065DDB27FB3 +:0402D50065A0B22F3F +:0402D6006563B1E0CB +:0402D7006525B19058 +:0402D80064E8B141E4 +:0402D90064AAB0F271 +:0402DA00646CB0A3FD +:0402DB00642DB0548A +:0402DC0063EEB00518 +:0402DD0063AFAFB7A5 +:0402DE006370AF6931 +:0402DF006331AF1BBD +:0402E00062F1AECD4C +:0402E10062B1AE7FD9 +:0402E2006271AE3265 +:0402E3006231ADE5F2 +:0402E40061F0AD9880 +:0402E50061AFAD4B0D +:0402E600616EACFE9B +:0402E700612DACB227 +:0402E80060EBAC65B6 +:0402E90060AAAC1942 +:0402EA006068ABCECF +:0402EB006025AB825D +:0402EC005FE3AB37EA +:0402ED005FA0AAEB79 +:0402EE005F5DAAA006 +:0402EF005F1AAA5692 +:0402F0005ED7AA0B20 +:0402F1005E93A9C1AE +:0402F2005E4FA9763C +:0402F3005E0BA92DC8 +:0402F4005DC7A8E357 +:0402F5005D82A899E5 +:0402F6005D3EA85071 +:0402F7005CF9A807FF +:0402F8005CB3A7BE8E +:0402F9005C6EA7751B +:0402FA005C28A72DA8 +:0402FB005BE2A6E438 +:0402FC005B9CA69CC5 +:0402FD005B56A65452 +:0402FE005B0FA60DDF +:0402FF005AC9A5C56E +:040300005A82A57EFA +:040301005A3BA53787 +:0403020059F3A4F116 +:0403030059ACA4AAA3 +:040304005964A46430 +:04030500591CA41EBD +:0403060058D3A3D84D +:04030700588BA392DA +:040308005842A34D67 +:0403090057F9A307F6 +:04030A0057B0A2C284 +:04030B005767A27E10 +:04030C00571DA2399E +:04030D0056D3A1F52D +:04030E00568AA1B1B9 +:04030F00563FA16D47 +:0403100055F5A129D5 +:0403110055AAA0E663 +:040312005560A0A3EF +:040313005515A0607C +:0403140054C9A01D0B +:04031500547E9FDB98 +:0403160054329F9826 +:0403170053E79F56B3 +:04031800539B9F153F +:04031900534E9ED3CE +:04031A0053029E925A +:04031B0052B59E51E8 +:04031C0052689E1075 +:04031D00521B9DCF03 +:04031E0051CE9D8F90 +:04031F0051819D4F1C +:0403200051339D0FA9 +:0403210050E59CCF38 +:0403220050979C90C4 +:0403230050499C5150 +:040324004FFB9C12DD +:040325004FAC9BD36B +:040326004F5D9B94F8 +:040327004F0E9B5684 +:040328004EBF9B1811 +:040329004E709ADB9D +:04032A004E209A9D2A +:04032B004DD19A60B6 +:04032C004D819A2342 +:04032D004D3199E6CF +:04032E004CE099AA5C +:04032F004C90996DE8 +:040330004C3F993174 +:040331004BEE98F601 +:040332004B9D98BA8D +:040333004B4C987F18 +:040334004AFB9844A4 +:040335004AA9980930 +:040336004A5897CEBC +:040337004A06979447 +:0403380049B4975AD3 +:04033900496197205F +:04033A00490F96E7EA +:04033B0048BC96AE76 +:04033C004869967501 +:04033D004816963C8C +:04033E0047C3960318 +:04033F00477095CBA3 +:04034000471C95932E +:0403410046C9955CB8 +:040342004675952443 +:04034300462194EDCE +:0403440045CD94B659 +:040345004578947FE4 +:04034600452494496D +:0403470044CF9413F8 +:04034800447A93DD83 +:04034900442593A70D +:04034A0043D0937297 +:04034B00437B933D20 +:04034C0043259308AA +:04034D0042D092D335 +:04034E00427A929FBE +:04034F004224926B47 +:0403500041CE9237D1 +:04035100417792035B +:04035200412191D0E4 +:0403530040CA919D6E +:040354004073916AF7 +:04035500401D91387E +:040356003FC5910509 +:040357003F6E90D491 +:040358003F1790A219 +:040359003EBF9070A3 +:04035A003E68903F2A +:04035B003E10900EB2 +:04035C003DB88FDE3B +:04035D003D608FADC3 +:04035E003D078F7D4B +:04035F003CAF8F4ED2 +:040360003C568F1E5A +:040361003BFE8EEFE2 +:040362003BA58EC069 +:040363003B4C8E91F0 +:040364003AF28E6378 +:040365003A998E35FE +:040366003A408E0784 +:0403670039E68DD90D +:04036800398C8DAC93 +:0403690039338D7F18 +:04036A0038D98D529F +:04036B00387E8D2526 +:04036C0038248CF9AC +:04036D0037CA8CCD32 +:04036E00376F8CA2B7 +:04036F0037158C763C +:0403700036BA8C4BC2 +:04037100365F8C2047 +:0403720036048BF6CC +:0403730035A88BCB53 +:04037400354D8BA1D7 +:0403750034F28B785B +:0403760034968B4EE0 +:04037700343A8B2564 +:0403780033DF8AFCE9 +:0403790033838AD36D +:04037A0033268AABF1 +:04037B0032CA8A8375 +:04037C00326E8A5BF8 +:04037D0032118A347B +:04037E0031B58A0DFE +:04037F00315889E682 +:0403800030FB89BF06 +:04038100309E899988 +:04038200304189730A +:040383002FE4894D8D +:040384002F8789280E +:040385002F2A890290 +:040386002ECC88DE13 +:040387002E6E88B995 +:040388002E11889515 +:040389002DB3887197 +:04038A002D55884D18 +:04038B002CF788299A +:04038C002C9988061A +:04038D002C3A87E39C +:04038E002BDC87C11C +:04038F002B7D879E9D +:040390002B1F877C1C +:040391002AC0875B9C +:040392002A6187391C +:040393002A0287189B +:0403940029A386F71C +:04039500294486D79A +:0403960028E586B61A +:040397002886869698 +:040398002826867716 +:0403990027C7865795 +:04039A002767863813 +:04039B002708861A8F +:04039C0026A885FB0F +:04039D00264885DD8C +:04039E0025E885BF0A +:04039F00258885A187 +:0403A0002528858403 +:0403A10024C8856780 +:0403A2002467854AFD +:0403A3002407852E78 +:0403A40023A68512F5 +:0403A500234684F671 +:0403A60022E584DAEE +:0403A700228484BF69 +:0403A800222384A4E4 +:0403A90021C2848960 +:0403AA002161846FDA +:0403AB002100845554 +:0403AC00209F843BCF +:0403AD00203E842248 +:0403AE001FDD8408C3 +:0403AF001F7B83F03D +:0403B0001F1A83D7B6 +:0403B1001EB883BF30 +:0403B2001E5783A7A8 +:0403B3001DF5838F22 +:0403B4001D9383789A +:0403B5001D31836112 +:0403B6001CCF834A8B +:0403B7001C6D833303 +:0403B8001C0B831D7A +:0403B9001BA98307F2 +:0403BA001B4782F269 +:0403BB001AE582DCE1 +:0403BC001A8282C758 +:0403BD001A2082B3CD +:0403BE0019BE829E44 +:0403BF00195B828ABA +:0403C00018F982772F +:0403C10018968263A5 +:0403C200183382501A +:0403C30017D0823D90 +:0403C400176E822B03 +:0403C500170B821878 +:0403C60016A88206ED +:0403C700164581F561 +:0403C80015E281E3D6 +:0403C900157F81D249 +:0403CA00151C81C2BB +:0403CB0014B981B12F +:0403CC00145581A1A2 +:0403CD0013F2819115 +:0403CE00138F818286 +:0403CF00132B8173F8 +:0403D00012C881646A +:0403D10012648155DC +:0403D200120181474C +:0403D300119D8139BE +:0403D400113A812B2E +:0403D50010D6811E9F +:0403D600107281110F +:0403D700100F81047E +:0403D8000FAB80F7F0 +:0403D9000F4780EB5F +:0403DA000EE380DFCF +:0403DB000E8080D43C +:0403DC000E1C80C9AA +:0403DD000DB880BE19 +:0403DE000D5480B387 +:0403DF000CF080A9F5 +:0403E0000C8C809F62 +:0403E1000C288095CF +:0403E2000BC4808C3C +:0403E3000B5F8083A9 +:0403E4000AFB807A16 +:0403E5000A97807182 +:0403E6000A338069ED +:0403E70009CF806159 +:0403E800096A805AC4 +:0403E900090680532E +:0403EA0008A2804C99 +:0403EB00083E804503 +:0403EC0007D9803F6E +:0403ED0007758039D7 +:0403EE000711803340 +:0403EF0006AC802EAA +:0403F0000648802813 +:0403F10005E380247C +:0403F200057F801FE4 +:0403F300051B801B4B +:0403F40004B68017B4 +:0403F500045280141A +:0403F60003ED801083 +:0403F7000389800DE9 +:0403F8000324800B4F +:0403F90002C08009B5 +:0403FA00025B80071B +:0403FB0001F7800581 +:0403FC0001928003E7 +:0403FD00012E80024B +:0403FE0000C98002B0 +:0403FF000065800114 +:040400000000800177 +:04040100FF9B8001DC +:04040200FF3780023E +:04040300FED28002A3 +:04040400FE6E800305 +:04040500FE09800567 +:04040600FDA58007C9 +:04040700FD4080092B +:04040800FCDC800B8D +:04040900FC77800DEF +:04040A00FC1380104F +:04040B00FBAE8014B0 +:04040C00FB4A801710 +:04040D00FAE5801B71 +:04040E00FA81801FD0 +:04040F00FA1D80242E +:04041000F9B880288F +:04041100F954802EEC +:04041200F8EF80334C +:04041300F88B8039A9 +:04041400F827803F06 +:04041500F7C2804565 +:04041600F75E804CC1 +:04041700F6FA80531E +:04041800F696805A7A +:04041900F6318061D7 +:04041A00F5CD806933 +:04041B00F56980718E +:04041C00F505807AE8 +:04041D00F4A1808343 +:04041E00F43C808C9E +:04041F00F3D88095F9 +:04042000F374809F52 +:04042100F31080A9AB +:04042200F2AC80B305 +:04042300F24880BE5D +:04042400F1E480C9B6 +:04042500F18080D40E +:04042600F11D80DF65 +:04042700F0B980EBBD +:04042800F05580F714 +:04042900EFF181046A +:04042A00EF8E8111BF +:04042B00EF2A811E15 +:04042C00EEC6812B6C +:04042D00EE638139C0 +:04042E00EDFF814716 +:04042F00ED9C81556A +:04043000ED388164BE +:04043100ECD5817312 +:04043200EC71818266 +:04043300EC0E8191B9 +:04043400EBAB81A10C +:04043500EB4781B15F +:04043600EAE481C2B1 +:04043700EA8181D203 +:04043800EA1E81E354 +:04043900E9BB81F5A5 +:04043A00E9588206F5 +:04043B00E8F5821846 +:04043C00E892822B95 +:04043D00E830823DE4 +:04043E00E7CD825034 +:04043F00E76A826383 +:04044000E7078277D1 +:04044100E6A5828A20 +:04044200E642829E6E +:04044300E5E082B3BB +:04044400E57E82C708 +:04044500E51B82DC55 +:04044600E4B982F2A1 +:04044700E4578307EC +:04044800E3F5831D38 +:04044900E393833383 +:04044A00E331834ACD +:04044B00E2CF836118 +:04044C00E26D837862 +:04044D00E20B838FAC +:04044E00E1A983A7F6 +:04044F00E14883BF3E +:04045000E0E683D788 +:04045100E08583F0CF +:04045200E023840817 +:04045300DFC284225E +:04045400DF61843BA5 +:04045500DF008455EB +:04045600DE9F846F32 +:04045700DE3E848978 +:04045800DDDD84A4BE +:04045900DD7C84BF03 +:04045A00DD1B84DA48 +:04045B00DCBA84F68D +:04045C00DC5A8512CF +:04045D00DBF9852E14 +:04045E00DB99854A57 +:04045F00DB3885679A +:04046000DAD88584DD +:04046100DA7885A11F +:04046200DA1885BF60 +:04046300D9B885DDA2 +:04046400D95885FBE3 +:04046500D8F8861A23 +:04046600D899863863 +:04046700D8398657A3 +:04046800D7DA8677E2 +:04046900D77A869622 +:04046A00D71B86B660 +:04046B00D6BC86D79E +:04046C00D65D86F7DC +:04046D00D5FE871819 +:04046E00D59F873956 +:04046F00D540875B92 +:04047000D4E1877CD0 +:04047100D483879E0B +:04047200D42487C146 +:04047300D3C687E382 +:04047400D3678806BC +:04047500D3098829F6 +:04047600D2AB884D30 +:04047700D24D887169 +:04047800D1EF8895A3 +:04047900D19288B9DB +:04047A00D13488DE13 +:04047B00D0D689024C +:04047C00D079892882 +:04047D00D01C894DB9 +:04047E00CFBF8973F0 +:04047F00CF62899926 +:04048000CF0589BF5C +:04048100CEA889E692 +:04048200CE4B8A0DC6 +:04048300CDEF8A34FB +:04048400CD928A5B30 +:04048500CD368A8363 +:04048600CCDA8AAB97 +:04048700CC7D8AD3CB +:04048800CC218AFCFD +:04048900CBC68B252E +:04048A00CB6A8B4E60 +:04048B00CB0E8B7891 +:04048C00CAB38BA1C3 +:04048D00CA588BCBF3 +:04048E00C9FC8BF624 +:04048F00C9A18C2053 +:04049000C9468C4B82 +:04049100C8EB8C76B2 +:04049200C8918CA2DF +:04049300C8368CCD0E +:04049400C7DC8CF93C +:04049500C7828D2568 +:04049600C7278D5295 +:04049700C6CD8D7FC2 +:04049800C6748DACED +:04049900C61A8DD919 +:04049A00C5C08E0744 +:04049B00C5678E356E +:04049C00C50E8E6398 +:04049D00C4B48E91C4 +:04049E00C45B8EC0ED +:04049F00C4028EEF16 +:0404A000C3AA8F1E3E +:0404A100C3518F4E66 +:0404A200C2F98F7D8F +:0404A300C2A08FADB7 +:0404A400C2488FDEDD +:0404A500C1F0900E04 +:0404A600C198903F2A +:0404A700C14190704F +:0404A800C0E990A275 +:0404A900C09290D499 +:0404AA00C03B9105BD +:0404AB00BFE39138E2 +:0404AC00BF8D916A05 +:0404AD00BF36919D28 +:0404AE00BEDF91D04C +:0404AF00BE8992036D +:0404B000BE3292378F +:0404B100BDDC926BB1 +:0404B200BD86929FD2 +:0404B300BD3092D3F3 +:0404B400BCDB930812 +:0404B500BC85933D32 +:0404B600BC30937251 +:0404B700BBDB93A771 +:0404B800BB8693DD8F +:0404B900BB319413AC +:0404BA00BADC9449CB +:0404BB00BA88947FE8 +:0404BC00BA3394B605 +:0404BD00B9DF94ED22 +:0404BE00B98B95243D +:0404BF00B937955C58 +:0404C000B8E4959374 +:0404C100B89095CB8F +:0404C200B83D9603A8 +:0404C300B7EA963CC2 +:0404C400B7979675DB +:0404C500B74496AEF4 +:0404C600B6F196E70E +:0404C700B69F972025 +:0404C800B64C975A3D +:0404C900B5FA979455 +:0404CA00B5A897CE6C +:0404CB00B557980980 +:0404CC00B505984496 +:0404CD00B4B4987FAC +:0404CE00B46398BAC1 +:0404CF00B41298F6D5 +:0404D000B3C19931EA +:0404D100B370996DFE +:0404D200B32099AA10 +:0404D300B2CF99E625 +:0404D400B27F9A2336 +:0404D500B22F9A6048 +:0404D600B1E09A9D5A +:0404D700B1909ADB6B +:0404D800B1419B187B +:0404D900B0F29B568C +:0404DA00B0A39B949C +:0404DB00B0549BD3AB +:0404DC00B0059C12B9 +:0404DD00AFB79C51C8 +:0404DE00AF699C90D6 +:0404DF00AF1B9CCFE4 +:0404E000AECD9D0FF1 +:0404E100AE7F9D4FFE +:0404E200AE329D8F0A +:0404E300ADE59DCF17 +:0404E400AD989E1021 +:0404E500AD4B9E512C +:0404E600ACFE9E9238 +:0404E700ACB29ED342 +:0404E800AC659F154B +:0404E900AC199F5655 +:0404EA00ABCE9F985E +:0404EB00AB829FDB66 +:0404EC00AB37A01D6D +:0404ED00AAEBA06076 +:0404EE00AAA0A0A37D +:0404EF00AA56A0E683 +:0404F000AA0BA12989 +:0404F100A9C1A16D8F +:0404F200A976A1B195 +:0404F300A92DA1F599 +:0404F400A8E3A2399E +:0404F500A899A27EA2 +:0404F600A850A2C2A6 +:0404F700A807A307A8 +:0404F800A7BEA34DAB +:0404F900A775A392AE +:0404FA00A72DA3D8AF +:0404FB00A6E4A41EB1 +:0404FC00A69CA464B2 +:0404FD00A654A4AAB3 +:0404FE00A60DA4F1B2 +:0404FF00A5C5A537B3 +:04050000A57EA57EB1 +:04050100A537A5C5B0 +:04050200A4F1A60DAD +:04050300A4AAA654AC +:04050400A464A69CA9 +:04050500A41EA6E4A6 +:04050600A3D8A72DA2 +:04050700A392A7759F +:04050800A34DA7BE9A +:04050900A307A80795 +:04050A00A2C2A85091 +:04050B00A27EA8998B +:04050C00A239A8E385 +:04050D00A1F5A92D7E +:04050E00A1B1A97678 +:04050F00A16DA9C170 +:04051000A129AA0B68 +:04051100A0E6AA5660 +:04051200A0A3AAA058 +:04051300A060AAEB4F +:04051400A01DAB3744 +:040515009FDBAB823B +:040516009F98ABCE31 +:040517009F56AC1926 +:040518009F15AC651A +:040519009ED3ACB20F +:04051A009E92ACFE03 +:04051B009E51AD4BF5 +:04051C009E10AD98E8 +:04051D009DCFADE5DC +:04051E009D8FAE32CD +:04051F009D4FAE7FBF +:040520009D0FAECDB0 +:040521009CCFAF1BA1 +:040522009C90AF6991 +:040523009C51AFB781 +:040524009C12B00570 +:040525009BD3B05460 +:040526009B94B0A34F +:040527009B56B0F23D +:040528009B18B1412A +:040529009ADBB19018 +:04052A009A9DB1E005 +:04052B009A60B22FF1 +:04052C009A23B27FDD +:04052D0099E6B2CFCA +:04052E0099AAB320B3 +:04052F00996DB3709F +:040530009931B3C189 +:0405310098F6B41272 +:0405320098BAB4635C +:04053300987FB4B445 +:040534009844B5052D +:040535009809B55715 +:0405360097CEB5A8FF +:040537009794B5FAE6 +:04053800975AB64CCC +:040539009720B69FB2 +:04053A0096E7B6F199 +:04053B0096AEB7447D +:04053C009675B79762 +:04053D00963CB7EA47 +:04053E009603B83D2B +:04053F0095CBB89010 +:040540009593B8E4F3 +:04054100955CB937D5 +:040542009524B98BB8 +:0405430094EDB9DF9B +:0405440094B6BA337C +:04054500947FBA885D +:040546009449BADC3E +:040547009413BB311D +:0405480093DDBB86FE +:0405490093A7BBDBDE +:04054A009372BC30BC +:04054B00933DBC859B +:04054C009308BCDB79 +:04054D0092D3BD3058 +:04054E00929FBD8635 +:04054F00926BBDDC12 +:040550009237BE32EE +:040551009203BE89CA +:0405520091D0BEDFA7 +:04055300919DBF3681 +:04055400916ABF8D5C +:040555009138BFE337 +:040556009105C03B10 +:0405570090D4C092EA +:0405580090A2C0E9C4 +:040559009070C1419C +:04055A00903FC19875 +:04055B00900EC1F04D +:04055C008FDEC24824 +:04055D008FADC2A0FC +:04055E008F7DC2F9D2 +:04055F008F4EC351A7 +:040560008F1EC3AA7D +:040561008EEFC40253 +:040562008EC0C45B28 +:040563008E91C4B4FD +:040564008E63C50ECF +:040565008E35C567A3 +:040566008E07C5C077 +:040567008DD9C61A4A +:040568008DACC6741C +:040569008D7FC6CDEF +:04056A008D52C727C0 +:04056B008D25C78291 +:04056C008CF9C7DC63 +:04056D008CCDC83633 +:04056E008CA2C89102 +:04056F008C76C8EBD3 +:040570008C4BC946A1 +:040571008C20C9A170 +:040572008BF6C9FC3F +:040573008BCBCA580C +:040574008BA1CAB3DA +:040575008B78CB0EA6 +:040576008B4ECB6A73 +:040577008B25CBC63F +:040578008AFCCC210C +:040579008AD3CC7DD8 +:04057A008AABCCDAA2 +:04057B008A83CD366C +:04057C008A5BCD9237 +:04057D008A34CDEF00 +:04057E008A0DCE4BC9 +:04057F0089E6CEA893 +:0405800089BFCF055B +:040581008999CF6223 +:040582008973CFBFEB +:04058300894DD01CB2 +:040584008928D07979 +:040585008902D0D641 +:0405860088DED13406 +:0405870088B9D192CC +:040588008895D1EF92 +:040589008871D24D56 +:04058A00884DD2AB1B +:04058B008829D309DF +:04058C008806D367A3 +:04058D0087E3D3C667 +:04058E0087C1D42429 +:04058F00879ED483EC +:04059000877CD4E1AF +:04059100875BD5406F +:040592008739D59F31 +:040593008718D5FEF2 +:0405940086F7D65DB3 +:0405950086D7D6BC73 +:0405960086B6D71B33 +:040597008696D77AF3 +:040598008677D7DAB1 +:040599008657D83970 +:04059A008638D8992E +:04059B00861AD8F8EC +:04059C0085FBD958AA +:04059D0085DDD9B867 +:04059E0085BFDA1823 +:04059F0085A1DA78E0 +:0405A0008584DAD89C +:0405A1008567DB3857 +:0405A200854ADB9912 +:0405A300852EDBF9CD +:0405A4008512DC5A86 +:0405A50084F6DCBA42 +:0405A60084DADD1BFB +:0405A70084BFDD7CB4 +:0405A80084A4DDDD6D +:0405A9008489DE3E25 +:0405AA00846FDE9FDD +:0405AB008455DF0094 +:0405AC00843BDF614C +:0405AD008422DFC203 +:0405AE008408E023BA +:0405AF0083F0E08570 +:0405B00083D7E0E627 +:0405B10083BFE148DB +:0405B20083A7E1A991 +:0405B300838FE20B45 +:0405B4008378E26DF9 +:0405B5008361E2CFAD +:0405B600834AE33160 +:0405B7008333E39314 +:0405B800831DE3F5C7 +:0405B9008307E45779 +:0405BA0082F2E4B92C +:0405BB0082DCE51BDE +:0405BC0082C7E57E8F +:0405BD0082B3E5E040 +:0405BE00829EE642F1 +:0405BF00828AE6A5A1 +:0405C0008277E70750 +:0405C1008263E76A00 +:0405C2008250E7CDAF +:0405C300823DE8305D +:0405C400822BE8920C +:0405C5008218E8F5BB +:0405C6008206E95868 +:0405C70081F5E9BB16 +:0405C80081E3EA1EC3 +:0405C90081D2EA8170 +:0405CA0081C2EAE41C +:0405CB0081B1EB47C8 +:0405CC0081A1EBAB73 +:0405CD008191EC0E1E +:0405CE008182EC71C9 +:0405CF008173ECD573 +:0405D0008164ED381D +:0405D1008155ED9CC7 +:0405D2008147EDFF71 +:0405D3008139EE6319 +:0405D400812BEEC6C3 +:0405D500811EEF2A6A +:0405D6008111EF8E12 +:0405D7008104EFF1BB +:0405D80080F7F05563 +:0405D90080EBF0B90A +:0405DA0080DFF11DB0 +:0405DB0080D4F18057 +:0405DC0080C9F1E4FD +:0405DD0080BEF248A2 +:0405DE0080B3F2AC48 +:0405DF0080A9F310EC +:0405E000809FF37491 +:0405E1008095F3D836 +:0405E200808CF43CD9 +:0405E3008083F4A17C +:0405E400807AF5051F +:0405E5008071F569C3 +:0405E6008069F5CD66 +:0405E7008061F63108 +:0405E800805AF696A9 +:0405E9008053F6FA4B +:0405EA00804CF75EEC +:0405EB008045F7C28E +:0405EC00803FF8272D +:0405ED008039F88BCE +:0405EE008033F8EF6F +:0405EF00802EF9540D +:0405F0008028F9B8AE +:0405F1008024FA1D4B +:0405F200801FFA81EB +:0405F300801BFAE58A +:0405F4008017FB4A27 +:0405F5008014FBAEC5 +:0405F6008010FC1362 +:0405F700800DFC7700 +:0405F800800BFCDC9C +:0405F9008009FD4038 +:0405FA008007FDA5D4 +:0405FB008005FE0970 +:0405FC008003FE6E0C +:0405FD008002FED2A8 +:0405FE008002FF3741 +:0405FF008001FF9BDD +:040600008001000075 +:04060100800100650F +:04060200800200C9A9 +:040603008002012E42 +:0406040080030192DC +:04060500800501F774 +:040606008007025B0C +:04060700800902C0A4 +:04060800800B03243C +:04060900800D0389D4 +:04060A00801003ED6C +:04060B008014045201 +:04060C00801704B699 +:04060D00801B051B2E +:04060E00801F057FC5 +:04060F00802405E35B +:0406100080280648F0 +:04061100802E06AC85 +:040612008033071119 +:0406130080390775AE +:04061400803F07D943 +:040615008045083ED6 +:04061600804C08A26A +:0406170080530906FD +:04061800805A096A91 +:04061900806109CF24 +:04061A0080690A33B6 +:04061B0080710A9749 +:04061C00807A0AFBDB +:04061D0080830B5F6C +:04061E00808C0BC4FD +:04061F0080950C288E +:04062000809F0C8C1F +:0406210080A90CF0B0 +:0406220080B30D5440 +:0406230080BE0DB8D0 +:0406240080C90E1C5F +:0406250080D40E80EF +:0406260080DF0EE380 +:0406270080EB0F470E +:0406280080F70FAB9D +:040629008104100F29 +:04062A0081111072B8 +:04062B00811E10D646 +:04062C00812B113AD3 +:04062D008139119D61 +:04062E0081471201ED +:04062F00815512647B +:04063000816412C807 +:040631008173132B93 +:040632008182138F1F +:04063300819113F2AC +:0406340081A1145537 +:0406350081B114B9C2 +:0406360081C2151C4C +:0406370081D2157FD8 +:0406380081E315E263 +:0406390081F51645EC +:04063A00820616A876 +:04063B008218170BFF +:04063C00822B176E88 +:04063D00823D17D013 +:04063E00825018339B +:04063F008263189624 +:04064000827718F9AC +:04064100828A195B35 +:04064200829E19BEBD +:0406430082B31A2044 +:0406440082C71A82CD +:0406450082DC1AE554 +:0406460082F21B47DA +:0406470083071BA961 +:04064800831D1C0BE7 +:0406490083331C6D6E +:04064A00834A1CCFF4 +:04064B0083611D3179 +:04064C0083781D93FF +:04064D00838F1DF585 +:04064E0083A71E5709 +:04064F0083BF1EB88F +:0406500083D71F1A13 +:0406510083F01F7B98 +:0406520084081FDD1C +:040653008422203E9F +:04065400843B209F24 +:0406550084552100A7 +:04065600846F21612B +:04065700848921C2AF +:0406580084A4222331 +:0406590084BF2284B4 +:04065A0084DA22E537 +:04065B0084F62346B8 +:04065C00851223A63A +:04065D00852E2407BB +:04065E00854A24673E +:04065F00856724C8BF +:040660008584252840 +:0406610085A12588C2 +:0406620085BF25E843 +:0406630085DD2648C3 +:0406640085FB26A844 +:04066500861A2708C2 +:040666008638276744 +:04066700865727C7C4 +:040668008677282643 +:0406690086962886C3 +:04066A0086B628E543 +:04066B0086D72944C1 +:04066C0086F729A341 +:04066D0087182A02BE +:04066E0087392A613D +:04066F00875B2AC0BB +:04067000877C2B1F39 +:04067100879E2B7DB8 +:0406720087C12BDC35 +:0406730087E32C3AB3 +:0406740088062C992F +:0406750088292CF7AD +:04067600884D2D5529 +:0406770088712DB3A6 +:0406780088952E1122 +:0406790088B92E6EA0 +:04067A0088DE2ECC1C +:04067B0089022F2A97 +:04067C0089282F8713 +:04067D00894D2FE490 +:04067E00897330410B +:04067F008999309E87 +:0406800089BF30FB03 +:0406810089E631587D +:040682008A0D31B5F7 +:040683008A34321172 +:040684008A5B326EED +:040685008A8332CA68 +:040686008AAB3326E2 +:040687008AD333835C +:040688008AFC33DFD6 +:040689008B25343A4F +:04068A008B4E3496C9 +:04068B008B7834F242 +:04068C008BA1354DBC +:04068D008BCB35A836 +:04068E008BF63604AD +:04068F008C20365F26 +:040690008C4B36BA9F +:040691008C76371517 +:040692008CA2376F90 +:040693008CCD37CA09 +:040694008CF9382481 +:040695008D25387EF9 +:040696008D5238D970 +:040697008D7F3933E7 +:040698008DAC398C60 +:040699008DD939E6D8 +:04069A008E073A404D +:04069B008E353A99C5 +:04069C008E633AF23D +:04069D008E913B4CB3 +:04069E008EC03BA52A +:04069F008EEF3BFEA1 +:0406A0008F1E3C5617 +:0406A1008F4E3CAF8D +:0406A2008F7D3D0704 +:0406A3008FAD3D607A +:0406A4008FDE3DB8F0 +:0406A500900E3E1065 +:0406A600903F3E68DB +:0406A70090703EBF52 +:0406A80090A23F17C6 +:0406A90090D43F6E3C +:0406AA0091053FC5B2 +:0406AB009138401D25 +:0406AC00916A40739C +:0406AD00919D40CA11 +:0406AE0091D0412185 +:0406AF0092034177FA +:0406B000923741CE6E +:0406B100926B4224E2 +:0406B200929F427A57 +:0406B30092D342D0CC +:0406B400930843253F +:0406B500933D437BB3 +:0406B600937243D028 +:0406B70093A744259C +:0406B80093DD447A10 +:0406B900941344CF83 +:0406BA0094494524F6 +:0406BB00947F45786B +:0406BC0094B645CDDE +:0406BD0094ED462151 +:0406BE0095244675C4 +:0406BF00955C46C937 +:0406C0009593471CAB +:0406C10095CB47701E +:0406C200960347C391 +:0406C300963C481603 +:0406C4009675486976 +:0406C50096AE48BCE9 +:0406C60096E7490F5B +:0406C70097204961CE +:0406C800975A49B440 +:0406C90097944A06B2 +:0406CA0097CE4A5825 +:0406CB0098094AA997 +:0406CC0098444AFB09 +:0406CD00987F4B4C7B +:0406CE0098BA4B9DEE +:0406CF0098F64BEE60 +:0406D00099314C3FD1 +:0406D100996D4C9043 +:0406D20099AA4CE0B5 +:0406D30099E64D3126 +:0406D4009A234D8197 +:0406D5009A604DD109 +:0406D6009A9D4E207B +:0406D7009ADB4E70EC +:0406D8009B184EBF5E +:0406D9009B564F0ECF +:0406DA009B944F5D41 +:0406DB009BD34FACB2 +:0406DC009C124FFB22 +:0406DD009C51504993 +:0406DE009C90509705 +:0406DF009CCF50E577 +:0406E0009D0F5133E6 +:0406E1009D4F518157 +:0406E2009D8F51CEC9 +:0406E3009DCF521B3A +:0406E4009E105268AA +:0406E5009E5152B51B +:0406E6009E9253028B +:0406E7009ED3534EFD +:0406E8009F15539B6C +:0406E9009F5653E7DE +:0406EA009F9854324F +:0406EB009FDB547EBF +:0406EC00A01D54C930 +:0406ED00A06055159F +:0406EE00A0A3556010 +:0406EF00A0E655AA82 +:0406F000A12955F5F2 +:0406F100A16D563F62 +:0406F200A1B1568AD2 +:0406F300A1F556D344 +:0406F400A239571DB3 +:0406F500A27E576723 +:0406F600A2C257B095 +:0406F700A30757F905 +:0406F800A34D584274 +:0406F900A392588BE5 +:0406FA00A3D858D356 +:0406FB00A41E591CC4 +:0406FC00A464596435 +:0406FD00A4AA59ACA6 +:0406FE00A4F159F317 +:0406FF00A5375A3B86 +:04070000A57E5A82F6 +:04070100A5C55AC967 +:04070200A60D5B0FD6 +:04070300A6545B5647 +:04070400A69C5B9CB8 +:04070500A6E45BE229 +:04070600A72D5C2897 +:04070700A7755C6E08 +:04070800A7BE5CB379 +:04070900A8075CF9E8 +:04070A00A8505D3E58 +:04070B00A8995D82CA +:04070C00A8E35DC73A +:04070D00A92D5E0BA9 +:04070E00A9765E4F1B +:04070F00A9C15E938B +:04071000AA0B5ED7FB +:04071100AA565F1A6B +:04071200AAA05F5DDD +:04071300AAEB5FA04E +:04071400AB375FE3BD +:04071500AB8260252E +:04071600ABCE60689E +:04071700AC1960AA0F +:04071800AC6560EB81 +:04071900ACB2612DF0 +:04071A00ACFE616E62 +:04071B00AD4B61AFD2 +:04071C00AD9861F043 +:04071D00ADE56231B3 +:04071E00AE32627124 +:04071F00AE7F62B196 +:04072000AECD62F107 +:04072100AF1B633176 +:04072200AF696370E8 +:04072300AFB763AF5A +:04072400B00563EECB +:04072500B054642D3B +:04072600B0A3646CAC +:04072700B0F264AA1E +:04072800B14164E88F +:04072900B190652501 +:04072A00B1E0656372 +:04072B00B22F65A0E4 +:04072C00B27F65DD56 +:04072D00B2CF661AC7 +:04072E00B320665638 +:04072F00B3706693AA +:04073000B3C166CF1C +:04073100B412670A8D +:04073200B4636746FF +:04073300B4B4678172 +:04073400B50567BCE4 +:04073500B55767F756 +:04073600B5A86832C8 +:04073700B5FA686C3B +:04073800B64C68A6AD +:04073900B69F68E01F +:04073A00B6F1691992 +:04073B00B744695204 +:04073C00B797698B77 +:04073D00B7EA69C4EA +:04073E00B83D69FD5C +:04073F00B8906A35CF +:04074000B8E46A6D42 +:04074100B9376AA4B6 +:04074200B98B6ADC29 +:04074300B9DF6B139C +:04074400BA336B4A0F +:04074500BA886B8182 +:04074600BADC6BB7F7 +:04074700BB316BED6A +:04074800BB866C23DD +:04074900BBDB6C5951 +:04074A00BC306C8EC5 +:04074B00BC856CC33A +:04074C00BCDB6CF8AE +:04074D00BD306D2D21 +:04074E00BD866D6196 +:04074F00BDDC6D950B +:04075000BE326DC97F +:04075100BE896DFDF3 +:04075200BEDF6E3068 +:04075300BF366E63DC +:04075400BF8D6E9651 +:04075500BFE36EC8C8 +:04075600C03B6EFB3B +:04075700C0926F2CB1 +:04075800C0E96F5E27 +:04075900C1416F909B +:04075A00C1986FC112 +:04075B00C1F06FF288 +:04075C00C2487022FD +:04075D00C2A0705373 +:04075E00C2F97083E9 +:04075F00C35170B260 +:04076000C3AA70E2D6 +:04076100C40271114C +:04076200C45B7140C3 +:04076300C4B4716F3A +:04076400C50E719DB0 +:04076500C56771CB28 +:04076600C5C071F9A0 +:04076700C61A722715 +:04076800C67472548D +:04076900C6CD728106 +:04076A00C72772AE7D +:04076B00C78272DBF4 +:04076C00C7DC73076C +:04076D00C8367333E4 +:04076E00C891735E5D +:04076F00C8EB738AD6 +:04077000C94673B54E +:04077100C9A173E0C7 +:04077200C9FC740A40 +:04077300CA587435B7 +:04077400CAB3745F31 +:04077500CB0E7488AB +:04077600CB6A74B224 +:04077700CBC674DB9E +:04077800CC21750417 +:04077900CC7D752D91 +:04077A00CCDA75550B +:04077B00CD36757D85 +:04077C00CD9275A500 +:04077D00CDEF75CC7B +:04077E00CE4B75F3F6 +:04077F00CEA8761A70 +:04078000CF057641EA +:04078100CF62766766 +:04078200CFBF768DE2 +:04078300D01C76B35D +:04078400D07976D8DA +:04078500D0D676FE56 +:04078600D1347722D1 +:04078700D19277474D +:04078800D1EF776BCB +:04078900D24D778F47 +:04078A00D2AB77B3C4 +:04078B00D30977D740 +:04078C00D36777FABE +:04078D00D3C6781D3A +:04078E00D424783FB8 +:04078F00D483786235 +:04079000D4E17884B4 +:04079100D54078A532 +:04079200D59F78C7B0 +:04079300D5FE78E82F +:04079400D65D7909AC +:04079500D6BC79292C +:04079600D71B794AAA +:04079700D77A796A2A +:04079800D7DA7989AA +:04079900D83979A929 +:04079A00D89979C8A9 +:04079B00D8F879E62B +:04079C00D9587A05A9 +:04079D00D9B87A232A +:04079E00DA187A41AA +:04079F00DA787A5F2B +:0407A000DAD87A7CAD +:0407A100DB387A992E +:0407A200DB997AB6AF +:0407A300DBF97AD232 +:0407A400DC5A7AEEB3 +:0407A500DCBA7B0A35 +:0407A600DD1B7B26B6 +:0407A700DD7C7B4139 +:0407A800DDDD7B5CBC +:0407A900DE3E7B773E +:0407AA00DE9F7B91C2 +:0407AB00DF007BAB45 +:0407AC00DF617BC5C9 +:0407AD00DFC27BDE4E +:0407AE00E0237BF8D1 +:0407AF00E0857C1055 +:0407B000E0E67C29DA +:0407B100E1487C415E +:0407B200E1A97C59E4 +:0407B300E20B7C7168 +:0407B400E26D7C88EE +:0407B500E2CF7C9F74 +:0407B600E3317CB6F9 +:0407B700E3937CCD7F +:0407B800E3F57CE306 +:0407B900E4577CF98C +:0407BA00E4B97D0E13 +:0407BB00E51B7D2499 +:0407BC00E57E7D3920 +:0407BD00E5E07D4DA9 +:0407BE00E6427D6230 +:0407BF00E6A57D76B8 +:0407C000E7077D8941 +:0407C100E76A7D9DC9 +:0407C200E7CD7DB052 +:0407C300E8307DC3DA +:0407C400E8927DD565 +:0407C500E8F57DE8EE +:0407C600E9587DFA77 +:0407C700E9BB7E0B01 +:0407C800EA1E7E1D8A +:0407C900EA817E2E15 +:0407CA00EAE47E3EA1 +:0407CB00EB477E4F2B +:0407CC00EBAB7E5FB6 +:0407CD00EC0E7E6F41 +:0407CE00EC717E7ECE +:0407CF00ECD57E8D5A +:0407D000ED387E9CE6 +:0407D100ED9C7EAB72 +:0407D200EDFF7EB900 +:0407D300EE637EC78C +:0407D400EEC67ED51A +:0407D500EF2A7EE2A7 +:0407D600EF8E7EEF35 +:0407D700EFF17EFCC4 +:0407D800F0557F0950 +:0407D900F0B97F15DF +:0407DA00F11D7F216D +:0407DB00F1807F2CFE +:0407DC00F1E47F378E +:0407DD00F2487F421D +:0407DE00F2AC7F4DAD +:0407DF00F3107F573D +:0407E000F3747F61CE +:0407E100F3D87F6B5F +:0407E200F43C7F74F0 +:0407E300F4A17F7D81 +:0407E400F5057F8612 +:0407E500F5697F8FA4 +:0407E600F5CD7F9737 +:0407E700F6317F9FC9 +:0407E800F6967FA65C +:0407E900F6FA7FADF0 +:0407EA00F75E7FB483 +:0407EB00F7C27FBB17 +:0407EC00F8277FC1AA +:0407ED00F88B7FC73F +:0407EE00F8EF7FCDD4 +:0407EF00F9547FD268 +:0407F000F9B87FD8FD +:0407F100FA1D7FDC92 +:0407F200FA817FE128 +:0407F300FAE57FE5BF +:0407F400FB4A7FE954 +:0407F500FBAE7FECEC +:0407F600FC137FF081 +:0407F700FC777FF319 +:0407F800FCDC7FF5B1 +:0407F900FD407FF749 +:0407FA00FDA57FF9E1 +:0407FB00FE097FFB79 +:0407FC00FE6E7FFD11 +:0407FD00FED27FFEAB +:0407FE00FF377FFE44 +:0407FF00FF9B7FFFDE +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd index a5f605b3520af98c62aa61a552bad970cc807c3a..aebdb44fbc63e59491da5ef90addfce1354ed21d 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd @@ -811,6 +811,7 @@ BEGIN g_scope_selected_subband => g_scope_selected_subband, g_no_jesd => c_revision_select.no_jesd, g_use_fsub => c_revision_select.use_fsub, + g_use_oversample => c_revision_select.use_oversample, g_use_xsub => c_revision_select.use_xsub, g_use_bf => c_revision_select.use_bf, g_use_ring => c_revision_select.use_ring, diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd index f30f8846296984174033903e141b5d2d5c3f7877..ee9647cb426ff1aa1cac7bd3de7c502723b51201 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd @@ -34,20 +34,23 @@ PACKAGE lofar2_unb2b_sdp_station_pkg IS TYPE t_lofar2_unb2b_sdp_station_config IS RECORD no_jesd : BOOLEAN; use_fsub : BOOLEAN; + use_oversample : BOOLEAN; use_bf : BOOLEAN; use_xsub : BOOLEAN; use_ring : BOOLEAN; P_sq : NATURAL; END RECORD; - CONSTANT c_ait : t_lofar2_unb2b_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, 0); - CONSTANT c_fsub : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, 0); - CONSTANT c_bf : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, FALSE, 0); - CONSTANT c_bf_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, TRUE, 0); - CONSTANT c_xsub_one : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, 1); - CONSTANT c_xsub_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, 9); - CONSTANT c_full_wg : t_lofar2_unb2b_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, 9); - CONSTANT c_full : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_ait : t_lofar2_unb2b_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_fsub : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_bf : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, FALSE, 0); + CONSTANT c_bf_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, TRUE, 0); + CONSTANT c_xsub_one : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, FALSE, 1); + CONSTANT c_xsub_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, TRUE, 9); + CONSTANT c_full_wg : t_lofar2_unb2b_sdp_station_config := (TRUE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_os : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_wg_os : t_lofar2_unb2b_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); -- Function to select the revision configuration. FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_lofar2_unb2b_sdp_station_config; @@ -67,6 +70,8 @@ PACKAGE BODY lofar2_unb2b_sdp_station_pkg IS ELSIF g_design_name = "lofar2_unb2b_sdp_station_xsub_one" THEN RETURN c_xsub_one; ELSIF g_design_name = "lofar2_unb2b_sdp_station_xsub_ring" THEN RETURN c_xsub_ring; ELSIF g_design_name = "lofar2_unb2b_sdp_station_full_wg" THEN RETURN c_full_wg; + ELSIF g_design_name = "disturb2_unb2b_sdp_station_full" THEN RETURN c_full_os; + ELSIF g_design_name = "disturb2_unb2b_sdp_station_full_wg" THEN RETURN c_full_wg_os; ELSE RETURN c_full; END IF; END; diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd index 9537b5c59308a8b7f8dc686e7be8a8fb6945c730..b156b4c6a05e253dd1b19676589277f604fa6b53 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd @@ -91,14 +91,14 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS ram_diag_data_buffer_bsn_reset_export : out std_logic; -- export ram_diag_data_buffer_bsn_write_export : out std_logic; -- export ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_equalizer_gains_address_export : out std_logic_vector(12 downto 0); -- export + ram_equalizer_gains_address_export : out std_logic_vector(13 downto 0); -- export ram_equalizer_gains_clk_export : out std_logic; -- export ram_equalizer_gains_read_export : out std_logic; -- export ram_equalizer_gains_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export ram_equalizer_gains_reset_export : out std_logic; -- export ram_equalizer_gains_write_export : out std_logic; -- export ram_equalizer_gains_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_fil_coefs_address_export : out std_logic_vector(13 downto 0); -- export + ram_fil_coefs_address_export : out std_logic_vector(14 downto 0); -- export ram_fil_coefs_clk_export : out std_logic; -- export ram_fil_coefs_read_export : out std_logic; -- export ram_fil_coefs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export @@ -133,7 +133,7 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS ram_st_histogram_reset_export : out std_logic; -- export ram_st_histogram_write_export : out std_logic; -- export ram_st_histogram_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_st_sst_address_export : out std_logic_vector(13 downto 0); -- export + ram_st_sst_address_export : out std_logic_vector(14 downto 0); -- export ram_st_sst_clk_export : out std_logic; -- export ram_st_sst_read_export : out std_logic; -- export ram_st_sst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml b/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml index 3155c2a507d4e80854ca7c45be46bb6215f91fe9..226536ab9f0f53b777950a8c08c5213ca04346dc 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml @@ -21,6 +21,7 @@ parameters: - { name: c_N_taps, value: 16 } - { name: c_W_adc_jesd, value: 16 } - { name: c_W_adc, value: 14 } + - { name: c_V_oversample, value: 2 } - { name: c_V_sample_delay, value: 4096 } - { name: c_V_si_db_large, value: 131072 } - { name: c_V_si_db, value: 1024 } @@ -202,6 +203,8 @@ peripherals: - REG_SI - peripheral_name: filter/fil_ppf_w + number_of_peripherals: c_V_oversample # Disturb uses 2x oversample + peripheral_span: ceil_pow2(c_N_taps) * ceil_pow2(c_N_fft) * MM_BUS_SIZE # number_of_ports = ceil_pow2(c_N_taps), mm_port_span = ceil_pow2(c_N_fft) words parameter_overrides: - { name: g_fil_ppf.wb_factor, value: 1 } # process at sample rate (so no parallel wideband factor) - { name: g_fil_ppf.nof_chan, value: 0 } # process at sample rate (so no serial time multiplexing) @@ -213,6 +216,8 @@ peripherals: - RAM_FIL_COEFS - peripheral_name: sdp/sdp_subband_equalizer + parameter_overrides: + - { name: P_pfb, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_EQUALIZER_GAINS @@ -221,6 +226,8 @@ peripherals: - REG_DP_SELECTOR # input_select = 0 for weighted subbands, input_select = 1 for raw subbands - peripheral_name: st/st_sst_for_sdp + parameter_overrides: + - { name: g_nof_instances, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_ST_SST diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip index fc2497605897ab8330046f4e49f409a0e1936c52..7b36d6200aacf1d634d610f1a7be86659bef960a 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip @@ -2302,7 +2302,7 @@ <ipxact:parameter parameterId="dataSlaveMapParam" type="string"> <ipxact:name>dataSlaveMapParam</ipxact:name> <ipxact:displayName>dataSlaveMapParam</ipxact:displayName> - <ipxact:value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C400' end='0x10C480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C480' end='0x10C500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C500' end='0x10C580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C580' end='0x10C5C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C5C0' end='0x10C600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C600' end='0x10C640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C640' end='0x10C680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C680' end='0x10C6C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C6C0' end='0x10C700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C700' end='0x10C740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C740' end='0x10C780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10C800' end='0x10C820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10C820' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10C840' end='0x10C860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10C860' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10C880' end='0x10C8A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10C8A0' end='0x10C8C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10C8C0' end='0x10C8E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10C8E0' end='0x10C900' datawidth='32' /><slave name='reg_epcs.mem' start='0x10C900' end='0x10C920' datawidth='32' /><slave name='reg_remu.mem' start='0x10C920' end='0x10C940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10C940' end='0x10C950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10C950' end='0x10C960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10C960' end='0x10C970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10C970' end='0x10C980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10C980' end='0x10C990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10C990' end='0x10C9A0' datawidth='32' /><slave name='pio_pps.mem' start='0x10C9A0' end='0x10C9B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10C9B0' end='0x10C9B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10C9B8' end='0x10C9C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10C9C0' end='0x10C9C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10C9C8' end='0x10C9D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10C9D0' end='0x10C9D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10C9D8' end='0x10C9E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10C9E0' end='0x10C9E8' datawidth='32' /><slave name='reg_si.mem' start='0x10C9E8' end='0x10C9F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10C9F0' end='0x10C9F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10C9F8' end='0x10CA00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CA00' end='0x10CA08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CA08' end='0x10CA10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CA10' end='0x10CA18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></ipxact:value> + <ipxact:value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134400' end='0x134480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134480' end='0x134500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134500' end='0x134580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134580' end='0x1345C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1345C0' end='0x134600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134600' end='0x134640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134640' end='0x134680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134680' end='0x1346C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1346C0' end='0x134700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134700' end='0x134740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134740' end='0x134780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134800' end='0x134820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134820' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134840' end='0x134860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134860' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134880' end='0x1348A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x1348A0' end='0x1348C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x1348C0' end='0x1348E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x1348E0' end='0x134900' datawidth='32' /><slave name='reg_epcs.mem' start='0x134900' end='0x134920' datawidth='32' /><slave name='reg_remu.mem' start='0x134920' end='0x134940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134940' end='0x134950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134950' end='0x134960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134960' end='0x134970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134970' end='0x134980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134980' end='0x134990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134990' end='0x1349A0' datawidth='32' /><slave name='pio_pps.mem' start='0x1349A0' end='0x1349B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x1349B0' end='0x1349B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x1349B8' end='0x1349C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x1349C0' end='0x1349C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x1349C8' end='0x1349D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x1349D0' end='0x1349D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x1349D8' end='0x1349E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x1349E0' end='0x1349E8' datawidth='32' /><slave name='reg_si.mem' start='0x1349E8' end='0x1349F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x1349F0' end='0x1349F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x1349F8' end='0x134A00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134A00' end='0x134A08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134A08' end='0x134A10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134A10' end='0x134A18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="tightlyCoupledDataMaster0MapParam" type="string"> <ipxact:name>tightlyCoupledDataMaster0MapParam</ipxact:name> @@ -3589,7 +3589,7 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /&gt;&lt;slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /&gt;&lt;slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /&gt;&lt;slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /&gt;&lt;slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_xsub.mem' start='0x10C400' end='0x10C480' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x10C480' end='0x10C500' datawidth='32' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x10C500' end='0x10C580' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C580' end='0x10C5C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C5C0' end='0x10C600' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C600' end='0x10C640' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C640' end='0x10C680' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C680' end='0x10C6C0' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_xst.mem' start='0x10C6C0' end='0x10C700' datawidth='32' /&gt;&lt;slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C700' end='0x10C740' datawidth='32' /&gt;&lt;slave name='reg_crosslets_info.mem' start='0x10C740' end='0x10C780' datawidth='32' /&gt;&lt;slave name='reg_sdp_info.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10C800' end='0x10C820' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_bf.mem' start='0x10C820' end='0x10C840' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10C840' end='0x10C860' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_eth10g.mem' start='0x10C860' end='0x10C880' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10C880' end='0x10C8A0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10C8A0' end='0x10C8C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source_v2.mem' start='0x10C8C0' end='0x10C8E0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x10C8E0' end='0x10C900' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x10C900' end='0x10C920' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x10C920' end='0x10C940' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_bf.mem' start='0x10C940' end='0x10C950' datawidth='32' /&gt;&lt;slave name='reg_ring_info.mem' start='0x10C950' end='0x10C960' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10C960' end='0x10C970' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_bst.mem' start='0x10C970' end='0x10C980' datawidth='32' /&gt;&lt;slave name='reg_dp_xonoff.mem' start='0x10C980' end='0x10C990' datawidth='32' /&gt;&lt;slave name='reg_bf_scale.mem' start='0x10C990' end='0x10C9A0' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x10C9A0' end='0x10C9B0' datawidth='32' /&gt;&lt;slave name='reg_nof_crosslets.mem' start='0x10C9B0' end='0x10C9B8' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_xst.mem' start='0x10C9B8' end='0x10C9C0' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_sst.mem' start='0x10C9C0' end='0x10C9C8' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x10C9C8' end='0x10C9D0' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_eth10g.mem' start='0x10C9D0' end='0x10C9D8' datawidth='32' /&gt;&lt;slave name='reg_dp_selector.mem' start='0x10C9D8' end='0x10C9E0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x10C9E0' end='0x10C9E8' datawidth='32' /&gt;&lt;slave name='reg_si.mem' start='0x10C9E8' end='0x10C9F0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x10C9F0' end='0x10C9F8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x10C9F8' end='0x10CA00' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x10CA00' end='0x10CA08' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x10CA08' end='0x10CA10' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CA10' end='0x10CA18' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /&gt;&lt;slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /&gt;&lt;slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /&gt;&lt;slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /&gt;&lt;slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /&gt;&lt;slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_xsub.mem' start='0x134400' end='0x134480' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x134480' end='0x134500' datawidth='32' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x134500' end='0x134580' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134580' end='0x1345C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1345C0' end='0x134600' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134600' end='0x134640' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134640' end='0x134680' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134680' end='0x1346C0' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_xst.mem' start='0x1346C0' end='0x134700' datawidth='32' /&gt;&lt;slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134700' end='0x134740' datawidth='32' /&gt;&lt;slave name='reg_crosslets_info.mem' start='0x134740' end='0x134780' datawidth='32' /&gt;&lt;slave name='reg_sdp_info.mem' start='0x134780' end='0x1347C0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x1347C0' end='0x134800' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134800' end='0x134820' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_bf.mem' start='0x134820' end='0x134840' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134840' end='0x134860' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_eth10g.mem' start='0x134860' end='0x134880' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134880' end='0x1348A0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x1348A0' end='0x1348C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source_v2.mem' start='0x1348C0' end='0x1348E0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x1348E0' end='0x134900' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x134900' end='0x134920' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x134920' end='0x134940' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_bf.mem' start='0x134940' end='0x134950' datawidth='32' /&gt;&lt;slave name='reg_ring_info.mem' start='0x134950' end='0x134960' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134960' end='0x134970' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_bst.mem' start='0x134970' end='0x134980' datawidth='32' /&gt;&lt;slave name='reg_dp_xonoff.mem' start='0x134980' end='0x134990' datawidth='32' /&gt;&lt;slave name='reg_bf_scale.mem' start='0x134990' end='0x1349A0' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x1349A0' end='0x1349B0' datawidth='32' /&gt;&lt;slave name='reg_nof_crosslets.mem' start='0x1349B0' end='0x1349B8' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_xst.mem' start='0x1349B8' end='0x1349C0' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_sst.mem' start='0x1349C0' end='0x1349C8' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x1349C8' end='0x1349D0' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_eth10g.mem' start='0x1349D0' end='0x1349D8' datawidth='32' /&gt;&lt;slave name='reg_dp_selector.mem' start='0x1349D8' end='0x1349E0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x1349E0' end='0x1349E8' datawidth='32' /&gt;&lt;slave name='reg_si.mem' start='0x1349E8' end='0x1349F0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x1349F0' end='0x1349F8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x1349F8' end='0x134A00' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x134A00' end='0x134A08' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x134A08' end='0x134A10' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x134A10' end='0x134A18' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip index 2d023f2008c88bf2c88f2ae07b9be0c815763243..25c86334f48878443a250dc2e74b39f4524727db 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip @@ -139,7 +139,7 @@ <ipxact:parameter parameterId="addressSpan" type="string"> <ipxact:name>addressSpan</ipxact:name> <ipxact:displayName>Address span</ipxact:displayName> - <ipxact:value>32768</ipxact:value> + <ipxact:value>65536</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="addressUnits" type="string"> <ipxact:name>addressUnits</ipxact:name> @@ -667,7 +667,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>12</ipxact:right> + <ipxact:right>13</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -773,7 +773,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>12</ipxact:right> + <ipxact:right>13</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="g_adr_w" type="int"> <ipxact:name>g_adr_w</ipxact:name> <ipxact:displayName>g_adr_w</ipxact:displayName> - <ipxact:value>13</ipxact:value> + <ipxact:value>14</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="g_dat_w" type="int"> <ipxact:name>g_dat_w</ipxact:name> @@ -997,7 +997,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1066,7 +1066,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -1295,7 +1295,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1462,11 +1462,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip index ea72955b322c7baf3566e7078987f6bddedd25d1..e40819858e4e1b18383b63f49cf2ba4ee88587ab 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip @@ -139,7 +139,7 @@ <ipxact:parameter parameterId="addressSpan" type="string"> <ipxact:name>addressSpan</ipxact:name> <ipxact:displayName>Address span</ipxact:displayName> - <ipxact:value>65536</ipxact:value> + <ipxact:value>131072</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="addressUnits" type="string"> <ipxact:name>addressUnits</ipxact:name> @@ -667,7 +667,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -773,7 +773,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="g_adr_w" type="int"> <ipxact:name>g_adr_w</ipxact:name> <ipxact:displayName>g_adr_w</ipxact:displayName> - <ipxact:value>14</ipxact:value> + <ipxact:value>15</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="g_dat_w" type="int"> <ipxact:name>g_dat_w</ipxact:name> @@ -997,7 +997,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1066,7 +1066,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1295,7 +1295,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1462,11 +1462,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip index 6dd5191ee9830ecc9d1ac89dfb333a8dd724480f..533be46b78230b19d615dad5e0db35f81b4219b4 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip @@ -139,7 +139,7 @@ <ipxact:parameter parameterId="addressSpan" type="string"> <ipxact:name>addressSpan</ipxact:name> <ipxact:displayName>Address span</ipxact:displayName> - <ipxact:value>65536</ipxact:value> + <ipxact:value>131072</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="addressUnits" type="string"> <ipxact:name>addressUnits</ipxact:name> @@ -667,7 +667,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -773,7 +773,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="g_adr_w" type="int"> <ipxact:name>g_adr_w</ipxact:name> <ipxact:displayName>g_adr_w</ipxact:displayName> - <ipxact:value>14</ipxact:value> + <ipxact:value>15</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="g_dat_w" type="int"> <ipxact:name>g_dat_w</ipxact:name> @@ -997,7 +997,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1066,7 +1066,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1295,7 +1295,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1462,11 +1462,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys index 2f380edef643f6f6d7f1fd27c48aead80a0a25e2..67b6afa9b53eba540562ccdec1c5d32642e1845d 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys @@ -83,7 +83,7 @@ { datum baseAddress { - value = "1081344"; + value = "1245184"; type = "String"; } } @@ -99,7 +99,7 @@ { datum baseAddress { - value = "1100304"; + value = "1264144"; type = "String"; } } @@ -144,7 +144,7 @@ { datum baseAddress { - value = "1100232"; + value = "1264072"; type = "String"; } } @@ -165,7 +165,7 @@ { datum baseAddress { - value = "1100192"; + value = "1264032"; type = "String"; } } @@ -250,7 +250,7 @@ { datum baseAddress { - value = "32768"; + value = "1048576"; type = "String"; } } @@ -266,7 +266,7 @@ { datum baseAddress { - value = "917504"; + value = "786432"; type = "String"; } } @@ -298,7 +298,7 @@ { datum baseAddress { - value = "786432"; + value = "1114112"; type = "String"; } } @@ -330,7 +330,7 @@ { datum baseAddress { - value = "98304"; + value = "32768"; type = "String"; } } @@ -346,7 +346,7 @@ { datum baseAddress { - value = "983040"; + value = "917504"; type = "String"; } } @@ -378,7 +378,7 @@ { datum baseAddress { - value = "851968"; + value = "1179648"; type = "String"; } } @@ -394,7 +394,7 @@ { datum baseAddress { - value = "1098496"; + value = "1262336"; type = "String"; } } @@ -410,7 +410,7 @@ { datum baseAddress { - value = "1100176"; + value = "1264016"; type = "String"; } } @@ -426,7 +426,7 @@ { datum baseAddress { - value = "1099808"; + value = "1263648"; type = "String"; } } @@ -442,7 +442,7 @@ { datum baseAddress { - value = "1098752"; + value = "1262592"; type = "String"; } } @@ -474,7 +474,7 @@ { datum baseAddress { - value = "1099264"; + value = "1263104"; type = "String"; } } @@ -490,7 +490,7 @@ { datum baseAddress { - value = "1099936"; + value = "1263776"; type = "String"; } } @@ -506,7 +506,7 @@ { datum baseAddress { - value = "1099328"; + value = "1263168"; type = "String"; } } @@ -522,7 +522,7 @@ { datum baseAddress { - value = "1099392"; + value = "1263232"; type = "String"; } } @@ -538,7 +538,7 @@ { datum baseAddress { - value = "1099200"; + value = "1263040"; type = "String"; } } @@ -570,7 +570,7 @@ { datum baseAddress { - value = "1099136"; + value = "1262976"; type = "String"; } } @@ -634,7 +634,7 @@ { datum baseAddress { - value = "1099840"; + value = "1263680"; type = "String"; } } @@ -650,7 +650,7 @@ { datum baseAddress { - value = "1099904"; + value = "1263744"; type = "String"; } } @@ -666,7 +666,7 @@ { datum baseAddress { - value = "1100256"; + value = "1264096"; type = "String"; } } @@ -682,7 +682,7 @@ { datum baseAddress { - value = "1099968"; + value = "1263808"; type = "String"; } } @@ -698,7 +698,7 @@ { datum baseAddress { - value = "1099520"; + value = "1263360"; type = "String"; } } @@ -714,7 +714,7 @@ { datum baseAddress { - value = "1099584"; + value = "1263424"; type = "String"; } } @@ -730,7 +730,7 @@ { datum baseAddress { - value = "1098880"; + value = "1262720"; type = "String"; } } @@ -746,7 +746,7 @@ { datum baseAddress { - value = "1099776"; + value = "1263616"; type = "String"; } } @@ -762,7 +762,7 @@ { datum baseAddress { - value = "1100128"; + value = "1263968"; type = "String"; } } @@ -794,7 +794,7 @@ { datum baseAddress { - value = "1099456"; + value = "1263296"; type = "String"; } } @@ -810,7 +810,7 @@ { datum baseAddress { - value = "1100248"; + value = "1264088"; type = "String"; } } @@ -826,7 +826,7 @@ { datum baseAddress { - value = "1099008"; + value = "1262848"; type = "String"; } } @@ -842,7 +842,7 @@ { datum baseAddress { - value = "1100160"; + value = "1264000"; type = "String"; } } @@ -863,7 +863,7 @@ { datum baseAddress { - value = "1100296"; + value = "1264136"; type = "String"; } } @@ -884,7 +884,7 @@ { datum baseAddress { - value = "1100288"; + value = "1264128"; type = "String"; } } @@ -905,7 +905,7 @@ { datum baseAddress { - value = "1100032"; + value = "1263872"; type = "String"; } } @@ -921,7 +921,7 @@ { datum baseAddress { - value = "1100000"; + value = "1263840"; type = "String"; } } @@ -942,7 +942,7 @@ { datum baseAddress { - value = "1099712"; + value = "1263552"; type = "String"; } } @@ -958,7 +958,7 @@ { datum baseAddress { - value = "1097728"; + value = "1261568"; type = "String"; } } @@ -979,7 +979,7 @@ { datum baseAddress { - value = "1100280"; + value = "1264120"; type = "String"; } } @@ -1000,7 +1000,7 @@ { datum baseAddress { - value = "1100272"; + value = "1264112"; type = "String"; } } @@ -1016,7 +1016,7 @@ { datum baseAddress { - value = "1100208"; + value = "1264048"; type = "String"; } } @@ -1032,7 +1032,7 @@ { datum baseAddress { - value = "1100240"; + value = "1264080"; type = "String"; } } @@ -1048,7 +1048,7 @@ { datum baseAddress { - value = "1048576"; + value = "98304"; type = "String"; } } @@ -1069,7 +1069,7 @@ { datum baseAddress { - value = "1100064"; + value = "1263904"; type = "String"; } } @@ -1085,7 +1085,7 @@ { datum baseAddress { - value = "1100112"; + value = "1263952"; type = "String"; } } @@ -1101,7 +1101,7 @@ { datum baseAddress { - value = "1100096"; + value = "1263936"; type = "String"; } } @@ -1133,7 +1133,7 @@ { datum baseAddress { - value = "1099648"; + value = "1263488"; type = "String"; } } @@ -1149,7 +1149,7 @@ { datum baseAddress { - value = "1100264"; + value = "1264104"; type = "String"; } } @@ -1165,7 +1165,7 @@ { datum baseAddress { - value = "1100144"; + value = "1263984"; type = "String"; } } @@ -1181,7 +1181,7 @@ { datum baseAddress { - value = "1100224"; + value = "1264064"; type = "String"; } } @@ -1197,7 +1197,7 @@ { datum baseAddress { - value = "1100216"; + value = "1264056"; type = "String"; } } @@ -1261,7 +1261,7 @@ { datum baseAddress { - value = "1099872"; + value = "1263712"; type = "String"; } } @@ -1319,7 +1319,7 @@ { datum baseAddress { - value = "1098240"; + value = "1262080"; type = "String"; } } @@ -8497,7 +8497,7 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C400' end='0x10C480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C480' end='0x10C500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C500' end='0x10C580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C580' end='0x10C5C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C5C0' end='0x10C600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C600' end='0x10C640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C640' end='0x10C680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C680' end='0x10C6C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C6C0' end='0x10C700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C700' end='0x10C740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C740' end='0x10C780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10C800' end='0x10C820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10C820' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10C840' end='0x10C860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10C860' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10C880' end='0x10C8A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10C8A0' end='0x10C8C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10C8C0' end='0x10C8E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10C8E0' end='0x10C900' datawidth='32' /><slave name='reg_epcs.mem' start='0x10C900' end='0x10C920' datawidth='32' /><slave name='reg_remu.mem' start='0x10C920' end='0x10C940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10C940' end='0x10C950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10C950' end='0x10C960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10C960' end='0x10C970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10C970' end='0x10C980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10C980' end='0x10C990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10C990' end='0x10C9A0' datawidth='32' /><slave name='pio_pps.mem' start='0x10C9A0' end='0x10C9B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10C9B0' end='0x10C9B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10C9B8' end='0x10C9C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10C9C0' end='0x10C9C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10C9C8' end='0x10C9D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10C9D0' end='0x10C9D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10C9D8' end='0x10C9E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10C9E0' end='0x10C9E8' datawidth='32' /><slave name='reg_si.mem' start='0x10C9E8' end='0x10C9F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10C9F0' end='0x10C9F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10C9F8' end='0x10CA00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CA00' end='0x10CA08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CA08' end='0x10CA10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CA10' end='0x10CA18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134400' end='0x134480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134480' end='0x134500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134500' end='0x134580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134580' end='0x1345C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1345C0' end='0x134600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134600' end='0x134640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134640' end='0x134680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134680' end='0x1346C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1346C0' end='0x134700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134700' end='0x134740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134740' end='0x134780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134800' end='0x134820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134820' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134840' end='0x134860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134860' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134880' end='0x1348A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x1348A0' end='0x1348C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x1348C0' end='0x1348E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x1348E0' end='0x134900' datawidth='32' /><slave name='reg_epcs.mem' start='0x134900' end='0x134920' datawidth='32' /><slave name='reg_remu.mem' start='0x134920' end='0x134940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134940' end='0x134950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134950' end='0x134960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134960' end='0x134970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134970' end='0x134980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134980' end='0x134990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134990' end='0x1349A0' datawidth='32' /><slave name='pio_pps.mem' start='0x1349A0' end='0x1349B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x1349B0' end='0x1349B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x1349B8' end='0x1349C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x1349C0' end='0x1349C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x1349C8' end='0x1349D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x1349D0' end='0x1349D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x1349D8' end='0x1349E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x1349E0' end='0x1349E8' datawidth='32' /><slave name='reg_si.mem' start='0x1349E8' end='0x1349F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x1349F0' end='0x1349F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x1349F8' end='0x134A00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134A00' end='0x134A08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134A08' end='0x134A10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134A10' end='0x134A18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> @@ -19557,7 +19557,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -19626,7 +19626,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -19855,7 +19855,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20033,11 +20033,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -20137,7 +20137,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20206,7 +20206,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -20435,7 +20435,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20635,7 +20635,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20699,7 +20699,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20768,7 +20768,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -21174,11 +21174,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -21215,7 +21215,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -21279,7 +21279,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -21348,7 +21348,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -26340,7 +26340,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26404,7 +26404,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26473,7 +26473,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -26879,11 +26879,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -26920,7 +26920,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26984,7 +26984,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -27053,7 +27053,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -96093,7 +96093,7 @@ start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010ca10" /> + <parameter name="baseAddress" value="0x00134a10" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96173,7 +96173,7 @@ start="cpu_0.data_master" end="pio_pps.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9a0" /> + <parameter name="baseAddress" value="0x001349a0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96213,7 +96213,7 @@ start="cpu_0.data_master" end="reg_remu.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c920" /> + <parameter name="baseAddress" value="0x00134920" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96233,7 +96233,7 @@ start="cpu_0.data_master" end="reg_epcs.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c900" /> + <parameter name="baseAddress" value="0x00134900" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96253,7 +96253,7 @@ start="cpu_0.data_master" end="reg_dpmm_ctrl.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010ca08" /> + <parameter name="baseAddress" value="0x00134a08" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96273,7 +96273,7 @@ start="cpu_0.data_master" end="reg_dpmm_data.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010ca00" /> + <parameter name="baseAddress" value="0x00134a00" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96293,7 +96293,7 @@ start="cpu_0.data_master" end="reg_mmdp_ctrl.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9f8" /> + <parameter name="baseAddress" value="0x001349f8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96313,7 +96313,7 @@ start="cpu_0.data_master" end="reg_mmdp_data.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9f0" /> + <parameter name="baseAddress" value="0x001349f0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96333,7 +96333,7 @@ start="cpu_0.data_master" end="reg_fpga_temp_sens.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c8e0" /> + <parameter name="baseAddress" value="0x001348e0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96353,7 +96353,7 @@ start="cpu_0.data_master" end="reg_fpga_voltage_sens.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c7c0" /> + <parameter name="baseAddress" value="0x001347c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96373,7 +96373,7 @@ start="cpu_0.data_master" end="ram_st_sst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000f0000" /> + <parameter name="baseAddress" value="0x000e0000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96393,7 +96393,7 @@ start="cpu_0.data_master" end="reg_si.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9e8" /> + <parameter name="baseAddress" value="0x001349e8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96413,7 +96413,7 @@ start="cpu_0.data_master" end="ram_fil_coefs.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000e0000" /> + <parameter name="baseAddress" value="0x000c0000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96453,7 +96453,7 @@ start="cpu_0.data_master" end="reg_aduh_monitor.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c300" /> + <parameter name="baseAddress" value="0x00134300" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96473,7 +96473,7 @@ start="cpu_0.data_master" end="ram_wg.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000d0000" /> + <parameter name="baseAddress" value="0x00120000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96493,7 +96493,7 @@ start="cpu_0.data_master" end="reg_dp_shiftram.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c500" /> + <parameter name="baseAddress" value="0x00134500" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96513,7 +96513,7 @@ start="cpu_0.data_master" end="reg_bsn_scheduler.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9e0" /> + <parameter name="baseAddress" value="0x001349e0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96533,7 +96533,7 @@ start="cpu_0.data_master" end="reg_bsn_source_v2.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c8c0" /> + <parameter name="baseAddress" value="0x001348c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96553,7 +96553,7 @@ start="cpu_0.data_master" end="reg_wg.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c200" /> + <parameter name="baseAddress" value="0x00134200" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96593,7 +96593,7 @@ start="cpu_0.data_master" end="jesd204b.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x00108000" /> + <parameter name="baseAddress" value="0x00130000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96613,7 +96613,7 @@ start="cpu_0.data_master" end="reg_dp_selector.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9d8" /> + <parameter name="baseAddress" value="0x001349d8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96633,7 +96633,7 @@ start="cpu_0.data_master" end="ram_ss_ss_wide.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000c0000" /> + <parameter name="baseAddress" value="0x00110000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96653,7 +96653,7 @@ start="cpu_0.data_master" end="reg_bf_scale.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c990" /> + <parameter name="baseAddress" value="0x00134990" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96673,7 +96673,7 @@ start="cpu_0.data_master" end="reg_hdr_dat.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c000" /> + <parameter name="baseAddress" value="0x00134000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96693,7 +96693,7 @@ start="cpu_0.data_master" end="reg_dp_xonoff.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c980" /> + <parameter name="baseAddress" value="0x00134980" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96733,7 +96733,7 @@ start="cpu_0.data_master" end="reg_sdp_info.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c780" /> + <parameter name="baseAddress" value="0x00134780" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96753,7 +96753,7 @@ start="cpu_0.data_master" end="reg_nw_10gbe_eth10g.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9d0" /> + <parameter name="baseAddress" value="0x001349d0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96773,7 +96773,7 @@ start="cpu_0.data_master" end="reg_nw_10gbe_mac.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x00100000" /> + <parameter name="baseAddress" value="0x00018000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96793,7 +96793,7 @@ start="cpu_0.data_master" end="reg_diag_data_buffer_bsn.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c480" /> + <parameter name="baseAddress" value="0x00134480" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96833,7 +96833,7 @@ start="cpu_0.data_master" end="pio_jesd_ctrl.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9c8" /> + <parameter name="baseAddress" value="0x001349c8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96853,7 +96853,7 @@ start="cpu_0.data_master" end="reg_stat_enable_sst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9c0" /> + <parameter name="baseAddress" value="0x001349c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96893,7 +96893,7 @@ start="cpu_0.data_master" end="reg_stat_enable_bst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c970" /> + <parameter name="baseAddress" value="0x00134970" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96933,7 +96933,7 @@ start="cpu_0.data_master" end="reg_crosslets_info.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c740" /> + <parameter name="baseAddress" value="0x00134740" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96973,7 +96973,7 @@ start="cpu_0.data_master" end="reg_stat_enable_xst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9b8" /> + <parameter name="baseAddress" value="0x001349b8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97013,7 +97013,7 @@ start="cpu_0.data_master" end="reg_bsn_sync_scheduler_xsub.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c700" /> + <parameter name="baseAddress" value="0x00134700" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97033,7 +97033,7 @@ start="cpu_0.data_master" end="ram_st_histogram.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x00018000" /> + <parameter name="baseAddress" value="0x8000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97053,7 +97053,7 @@ start="cpu_0.data_master" end="reg_nof_crosslets.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9b0" /> + <parameter name="baseAddress" value="0x001349b0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97073,7 +97073,7 @@ start="cpu_0.data_master" end="reg_bsn_align_v2_xsub.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c400" /> + <parameter name="baseAddress" value="0x00134400" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97093,7 +97093,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_xsub.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c8a0" /> + <parameter name="baseAddress" value="0x001348a0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97113,7 +97113,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_xst_offload.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c880" /> + <parameter name="baseAddress" value="0x00134880" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97193,7 +97193,7 @@ start="cpu_0.data_master" end="reg_dp_block_validate_err_xst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c6c0" /> + <parameter name="baseAddress" value="0x001346c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97213,7 +97213,7 @@ start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_xst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c960" /> + <parameter name="baseAddress" value="0x00134960" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97233,7 +97233,7 @@ start="cpu_0.data_master" end="reg_ring_info.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c950" /> + <parameter name="baseAddress" value="0x00134950" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97253,7 +97253,7 @@ start="cpu_0.data_master" end="reg_tr_10gbe_eth10g.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c860" /> + <parameter name="baseAddress" value="0x00134860" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97313,7 +97313,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_sst_offload.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c840" /> + <parameter name="baseAddress" value="0x00134840" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97333,7 +97333,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_bst_offload.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c680" /> + <parameter name="baseAddress" value="0x00134680" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97353,7 +97353,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_beamlet_output.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c640" /> + <parameter name="baseAddress" value="0x00134640" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97373,7 +97373,7 @@ start="cpu_0.data_master" end="reg_bsn_align_v2_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c820" /> + <parameter name="baseAddress" value="0x00134820" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97413,7 +97413,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c600" /> + <parameter name="baseAddress" value="0x00134600" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97433,7 +97433,7 @@ start="cpu_0.data_master" end="reg_ring_lane_info_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c940" /> + <parameter name="baseAddress" value="0x00134940" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97453,7 +97453,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_rx_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c5c0" /> + <parameter name="baseAddress" value="0x001345c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97473,7 +97473,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_tx_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c580" /> + <parameter name="baseAddress" value="0x00134580" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97513,7 +97513,7 @@ start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c800" /> + <parameter name="baseAddress" value="0x00134800" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97553,7 +97553,7 @@ start="cpu_0.data_master" end="ram_equalizer_gains.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x8000" /> + <parameter name="baseAddress" value="0x00100000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd new file mode 100644 index 0000000000000000000000000000000000000000..dae5fadcbac5f0875667aa45de31828b4f53938c --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd @@ -0,0 +1,165 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2021 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Lofar2 SDP Station full design +-- Description: +-- Unb2c version for lab testing +-- Contains complete AIT input stage with 12 ADC streams, FSUB, XSUB, BF and RING + + +LIBRARY IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2c_board_lib.unb2c_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2c_sdp_station_full IS + GENERIC ( + g_design_name : STRING := "disturb2_unb2c_sdp_station_full"; + g_design_note : STRING := "Disturb2 SDP station full design"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0); + + -- back transceivers (note only 12 are used in unb2c) + BCK_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_tr_jesd204b-1 DOWNTO 0); -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12 + BCK_REF_CLK : IN STD_LOGIC; -- Use as JESD204B_REFCLK + + -- jesd204b syncronization signals (4 syncs) + JESD204B_SYSREF : IN STD_LOGIC; + JESD204B_SYNC_N : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0) -- c_unb2c_board_nof_sync_jesd204b = c_sdp_N_sync_jesd = 4 + ); +END disturb2_unb2c_sdp_station_full; + +ARCHITECTURE str OF disturb2_unb2c_sdp_station_full IS + + SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2c_board_tr_jesd204b.bus_w*c_unb2c_board_tr_jesd204b.nof_bus)-1 DOWNTO 0); + SIGNAL jesd204b_sync_n_arr : STD_LOGIC_VECTOR(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0); + SIGNAL JESD204B_REFCLK : STD_LOGIC; + +BEGIN + + -- Mapping between JESD signal names and UNB2B pin/schematic names + JESD204B_REFCLK <= BCK_REF_CLK; + JESD204B_SERIAL_DATA <= BCK_RX; + JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0); + + u_revision : ENTITY lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX, + + -- back transceivers + JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, + JESD204B_REFCLK => JESD204B_REFCLK, + + -- jesd204b syncronization signals + JESD204B_SYSREF => JESD204B_SYSREF, + JESD204B_SYNC_N => jesd204b_sync_n_arr + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full_pins.tcl b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..273a627af9469a3f619164eee8ded453c302cb7f --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full_pins.tcl @@ -0,0 +1,26 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_jesd_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..1b6f161754fd025476117c836247694ef5ff6491 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/hdllib.cfg @@ -0,0 +1,129 @@ +hdl_lib_name = disturb2_unb2c_sdp_station_full +hdl_library_clause_name = disturb2_unb2c_sdp_station_full_lib +hdl_lib_uses_synth = common mm technology unb2c_board lofar2_unb2c_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e2sg + + synth_files = + disturb2_unb2c_sdp_station_full.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf + +# use lofar2_unb2c_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2c_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc + +quartus_tcl_files = + disturb2_unb2c_sdp_station_full_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/disturb2_unb2c_sdp_station_full/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..5080e013c8db75e269d780ae0f8b2b41130ee47f --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd @@ -0,0 +1,140 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2022 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Lofar2 SDP Station full wg design. +-- Description: +-- Unb2c version for lab testing of SDP using the WG. +-- Contains AIT input stage with WG, FSUB, XSUB, BF and RING, so without ADC JESD. + + +LIBRARY IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2c_board_lib.unb2c_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2c_sdp_station_full_wg IS + GENERIC ( + g_design_name : STRING := "disturb2_unb2c_sdp_station_full_wg"; + g_design_note : STRING := "Disturb2 SDP station full design WG"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) + ); +END disturb2_unb2c_sdp_station_full_wg; + +ARCHITECTURE str OF disturb2_unb2c_sdp_station_full_wg IS + +BEGIN + + u_revision : ENTITY lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg_pins.tcl b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..4ceebdedad386e07a109627cae9cd0a15507fa48 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg_pins.tcl @@ -0,0 +1,25 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..bc7d3a1b7e4959f22f31bbbd80ca7a282ee65b4e --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/hdllib.cfg @@ -0,0 +1,129 @@ +hdl_lib_name = disturb2_unb2c_sdp_station_full_wg +hdl_library_clause_name = disturb2_unb2c_sdp_station_full_wg_lib +hdl_lib_uses_synth = common mm technology unb2c_board lofar2_unb2c_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e2sg + + synth_files = + disturb2_unb2c_sdp_station_full_wg.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf + +# use lofar2_unb2c_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2c_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc + +quartus_tcl_files = + disturb2_unb2c_sdp_station_full_wg_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/disturb2_unb2c_sdp_station_full_wg/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex new file mode 100644 index 0000000000000000000000000000000000000000..19547d8205c37b81f704cafae5ac8ba4fd17beaf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex @@ -0,0 +1,2049 @@ +:0400000000007FFF7E +:0400010000657FFF18 +:0400020000C97FFEB4 +:04000300012E7FFE4D +:0400040001927FFDE9 +:0400050001F77FFB85 +:04000600025B7FF921 +:0400070002C07FF7BD +:0400080003247FF559 +:0400090003897FF3F5 +:04000A0003ED7FF093 +:04000B0004527FEC30 +:04000C0004B67FE9CE +:04000D00051B7FE56B +:04000E00057F7FE10A +:04000F0005E37FDCAA +:0400100006487FD847 +:0400110006AC7FD2E8 +:0400120007117FCD86 +:0400130007757FC727 +:0400140007D97FC1C8 +:04001500083E7FBB67 +:0400160008A27FB409 +:0400170009067FADAA +:04001800096A7FA64C +:0400190009CF7F9FED +:04001A000A337F978F +:04001B000A977F8F32 +:04001C000AFB7F86D6 +:04001D000B5F7F7D79 +:04001E000BC47F741C +:04001F000C287F6BBF +:040020000C8C7F6164 +:040021000CF07F5709 +:040022000D547F4DAD +:040023000DB87F4253 +:040024000E1C7F37F8 +:040025000E807F2C9E +:040026000EE37F2145 +:040027000F477F15EB +:040028000FAB7F0992 +:04002900100F7EFC3A +:04002A0010727EEFE3 +:04002B0010D67EE28B +:04002C00113A7ED532 +:04002D00119D7EC7DC +:04002E0012017EB984 +:04002F0012647EAB2E +:0400300012C87E9CD8 +:04003100132B7E8D82 +:04003200138F7E7E2C +:0400330013F27E6FD7 +:0400340014557E5F82 +:0400350014B97E4F2D +:04003600151C7E3ED9 +:04003700157F7E2E85 +:0400380015E27E1D32 +:0400390016457E0BDF +:04003A0016A87DFA8D +:04003B00170B7DE83A +:04003C00176E7DD5E9 +:04003D0017D07DC398 +:04003E0018337DB046 +:04003F0018967D9DF5 +:0400400018F97D89A5 +:04004100195B7D7654 +:0400420019BE7D6204 +:040043001A207D4DB5 +:040044001A827D3966 +:040045001AE57D2417 +:040046001B477D0EC9 +:040047001BA97CF97C +:040048001C0B7CE32E +:040049001C6D7CCDE1 +:04004A001CCF7CB695 +:04004B001D317C9F48 +:04004C001D937C88FC +:04004D001DF57C71B0 +:04004E001E577C5964 +:04004F001EB87C411A +:040050001F1A7C29CE +:040051001F7B7C1085 +:040052001FDD7BF83B +:04005300203E7BDEF2 +:04005400209F7BC5A9 +:0400550021007BAB60 +:0400560021617B9118 +:0400570021C27B77D0 +:0400580022237B5C88 +:0400590022847B4141 +:04005A0022E57B26FA +:04005B0023467B0AB3 +:04005C0023A67AEE6F +:04005D0024077AD228 +:04005E0024677AB6E3 +:04005F0024C87A999E +:0400600025287A7C59 +:0400610025887A5F15 +:0400620025E87A41D2 +:0400630026487A238E +:0400640026A87A054B +:04006500270879E609 +:04006600276779C8C7 +:0400670027C779A985 +:040068002826798944 +:040069002886796A02 +:04006A0028E5794AC2 +:04006B002944792982 +:04006C0029A3790942 +:04006D002A0278E803 +:04006E002A6178C7C4 +:04006F002AC078A586 +:040070002B1F788446 +:040071002B7D786209 +:040072002BDC783FCC +:040073002C3A781D8E +:040074002C9977FA52 +:040075002CF777D716 +:040076002D5577B3DA +:040077002DB3778F9F +:040078002E11776B63 +:040079002E6E774729 +:04007A002ECC7722EF +:04007B002F2A76FEB4 +:04007C002F8776D87C +:04007D002FE476B343 +:04007E003041768D0A +:04007F00309E7667D2 +:0400800030FB76419A +:040081003158761A62 +:0400820031B575F32C +:04008300321175CCF5 +:04008400326E75A5BE +:0400850032CA757D89 +:040086003326755553 +:040087003383752D1D +:0400880033DF7504E9 +:04008900343A74DBB6 +:04008A00349674B282 +:04008B0034F274884F +:04008C00354D745F1B +:04008D0035A87435E9 +:04008E003604740AB6 +:04008F00365F73E085 +:0400900036BA73B554 +:040091003715738A22 +:04009200376F735EF3 +:0400930037CA7333C2 +:040094003824730792 +:04009500387E72DB64 +:0400960038D972AE35 +:040097003933728106 +:04009800398C7254D9 +:0400990039E67227AB +:04009A003A4071F97E +:04009B003A9971CB52 +:04009C003AF2719D26 +:04009D003B4C716FF8 +:04009E003BA57140CD +:04009F003BFE7111A2 +:0400A0003C5670E278 +:0400A1003CAF70B24E +:0400A2003D07708323 +:0400A3003D607053F9 +:0400A4003DB87022D1 +:0400A5003E106FF2A8 +:0400A6003E686FC180 +:0400A7003EBF6F9059 +:0400A8003F176F5E31 +:0400A9003F6E6F2C0B +:0400AA003FC56EFBE5 +:0400AB00401D6EC8BE +:0400AC0040736E9699 +:0400AD0040CA6E6374 +:0400AE0041216E304E +:0400AF0041776DFD2B +:0400B00041CE6DC907 +:0400B10042246D95E3 +:0400B200427A6D61C0 +:0400B30042D06D2D9D +:0400B40043256CF87C +:0400B500437B6CC35A +:0400B60043D06C8E39 +:0400B70044256C5917 +:0400B800447A6C23F7 +:0400B90044CF6BEDD8 +:0400BA0045246BB7B7 +:0400BB0045786B8198 +:0400BC0045CD6B4A79 +:0400BD0046216B135A +:0400BE0046756ADC3D +:0400BF0046C96AA420 +:0400C000471C6A6D02 +:0400C10047706A35E5 +:0400C20047C369FDCA +:0400C300481669C4AE +:0400C4004869698B93 +:0400C50048BC695278 +:0400C600490F69195C +:0400C700496168E043 +:0400C80049B468A629 +:0400C9004A06686C0F +:0400CA004A586832F6 +:0400CB004AA967F7E0 +:0400CC004AFB67BCC8 +:0400CD004B4C6781B0 +:0400CE004B9D674699 +:0400CF004BEE670A83 +:0400D0004C3F66CF6C +:0400D1004C90669356 +:0400D2004CE0665642 +:0400D3004D31661A2B +:0400D4004D8165DD18 +:0400D5004DD165A004 +:0400D6004E206563F0 +:0400D7004E706525DD +:0400D8004EBF64E8CB +:0400D9004F0E64AAB8 +:0400DA004F5D646CA6 +:0400DB004FAC642D95 +:0400DC004FFB63EE85 +:0400DD00504963AF74 +:0400DE005097637064 +:0400DF0050E5633154 +:0400E000513362F145 +:0400E100518162B136 +:0400E20051CE627128 +:0400E300521B623119 +:0400E400526861F00D +:0400E50052B561AF00 +:0400E6005302616EF2 +:0400E700534E612DE6 +:0400E800539B60EBDB +:0400E90053E760AACF +:0400EA0054326068C4 +:0400EB00547E6025BA +:0400EC0054C95FE3B1 +:0400ED0055155FA0A6 +:0400EE0055605F5D9D +:0400EF0055AA5F1A95 +:0400F00055F55ED78D +:0400F100563F5E9385 +:0400F200568A5E4F7D +:0400F30056D35E0B77 +:0400F400571D5DC770 +:0400F50057675D826A +:0400F60057B05D3E64 +:0400F70057F95CF960 +:0400F80058425CB35B +:0400F900588B5C6E56 +:0400FA0058D35C2853 +:0400FB00591C5BE24F +:0400FC0059645B9C4C +:0400FD0059AC5B5649 +:0400FE0059F35B0F48 +:0400FF005A3B5AC945 +:040100005A825A8243 +:040101005AC95A3B42 +:040102005B0F59F343 +:040103005B5659AC42 +:040104005B9C596443 +:040105005BE2591C44 +:040106005C2858D346 +:040107005C6E588B47 +:040108005CB358424A +:040109005CF957F94D +:04010A005D3E57B04F +:04010B005D82576753 +:04010C005DC7571D57 +:04010D005E0B56D35C +:04010E005E4F568A60 +:04010F005E93563F66 +:040110005ED755F56C +:040111005F1A55AA72 +:040112005F5D556078 +:040113005FA055157F +:040114005FE354C988 +:040115006025547E8F +:040116006068543297 +:0401170060AA53E7A0 +:0401180060EB539BAA +:04011900612D534EB3 +:04011A00616E5302BD +:04011B0061AF52B5C9 +:04011C0061F05268D4 +:04011D006231521BDE +:04011E00627151CEEB +:04011F0062B15181F7 +:0401200062F1513304 +:04012100633150E511 +:04012200637050971F +:0401230063AF50492D +:0401240063EE4FFB3C +:04012500642D4FAC4A +:04012600646C4F5D59 +:0401270064AA4F0E69 +:0401280064E84EBF7A +:0401290065254E708A +:04012A0065634E209B +:04012B0065A04DD1AD +:04012C0065DD4D81BF +:04012D00661A4D31D0 +:04012E0066564CE0E5 +:04012F0066934C90F7 +:0401300066CF4C3F0B +:04013100670A4BEE20 +:0401320067464B9D34 +:0401330067814B4C49 +:0401340067BC4AFB5F +:0401350067F74AA975 +:0401360068324A5889 +:04013700686C4A06A0 +:0401380068A649B4B8 +:0401390068E04961D0 +:04013A006919490FE7 +:04013B00695248BC01 +:04013C00698B48691A +:04013D0069C4481633 +:04013E0069FD47C34D +:04013F006A35477066 +:040140006A6D471C81 +:040141006AA446C99D +:040142006ADC4675B8 +:040143006B134621D3 +:040144006B4A45CDF0 +:040145006B8145780D +:040146006BB745242A +:040147006BED44CF49 +:040148006C23447A66 +:040149006C59442584 +:04014A006C8E43D0A4 +:04014B006CC3437BC3 +:04014C006CF84325E3 +:04014D006D2D42D002 +:04014E006D61427A23 +:04014F006D95422444 +:040150006DC941CE66 +:040151006DFD417788 +:040152006E304121A9 +:040153006E6340CACD +:040154006E964073F0 +:040155006EC8401D13 +:040156006EFB3FC538 +:040157006F2C3F6E5C +:040158006F5E3F1780 +:040159006F903EBFA6 +:04015A006FC13E68CB +:04015B006FF23E10F1 +:04015C0070223DB818 +:04015D0070533D603E +:04015E0070833D0766 +:04015F0070B23CAF8F +:0401600070E23C56B7 +:0401610071113BFEDF +:0401620071403BA508 +:04016300716F3B4C31 +:04016400719D3AF25D +:0401650071CB3A9987 +:0401660071F93A40B1 +:04016700722739E6DC +:040168007254398C08 +:040169007281393333 +:04016A0072AE38D960 +:04016B0072DB387E8D +:04016C0073073824B9 +:04016D00733337CAE7 +:04016E00735E376F16 +:04016F00738A371543 +:0401700073B536BA73 +:0401710073E0365FA2 +:04017200740A3604D1 +:04017300743535A802 +:04017400745F354D32 +:04017500748834F264 +:0401760074B2349695 +:0401770074DB343AC7 +:04017800750433DFF8 +:04017900752D33832A +:04017A00755533265E +:04017B00757D32CA92 +:04017C0075A5326EC5 +:04017D0075CC3211FA +:04017E0075F331B52F +:04017F00761A315863 +:04018000764130FB99 +:040181007667309ECF +:04018200768D304105 +:0401830076B32FE43C +:0401840076D82F8773 +:0401850076FE2F2AA9 +:0401860077222ECCE2 +:0401870077472E6E1A +:04018800776B2E1152 +:04018900778F2DB38C +:04018A0077B32D55C5 +:04018B0077D72CF7FF +:04018C0077FA2C9939 +:04018D00781D2C3A73 +:04018E00783F2BDCAF +:04018F0078622B7DEA +:0401900078842B1F25 +:0401910078A52AC063 +:0401920078C72A619F +:0401930078E82A02DC +:04019400790929A319 +:040195007929294457 +:04019600794A28E595 +:04019700796A2886D3 +:040198007989282613 +:0401990079A927C752 +:04019A0079C8276792 +:04019B0079E62708D2 +:04019C007A0526A812 +:04019D007A23264853 +:04019E007A4125E895 +:04019F007A5F2588D6 +:0401A0007A7C252818 +:0401A1007A9924C85B +:0401A2007AB624679E +:0401A3007AD22407E1 +:0401A4007AEE23A626 +:0401A5007B0A234668 +:0401A6007B2622E5AD +:0401A7007B412284F2 +:0401A8007B5C222337 +:0401A9007B7721C27D +:0401AA007B912161C3 +:0401AB007BAB210009 +:0401AC007BC5209F50 +:0401AD007BDE203E97 +:0401AE007BF81FDDDE +:0401AF007C101F7B26 +:0401B0007C291F1A6D +:0401B1007C411EB8B7 +:0401B2007C591E57FF +:0401B3007C711DF549 +:0401B4007C881D9393 +:0401B5007C9F1D31DD +:0401B6007CB61CCF28 +:0401B7007CCD1C6D72 +:0401B8007CE31C0BBD +:0401B9007CF91BA909 +:0401BA007D0E1B4754 +:0401BB007D241AE5A0 +:0401BC007D391A82ED +:0401BD007D4D1A203A +:0401BE007D6219BE87 +:0401BF007D76195BD5 +:0401C0007D8918F924 +:0401C1007D9D189672 +:0401C2007DB01833C1 +:0401C3007DC317D011 +:0401C4007DD5176E60 +:0401C5007DE8170BAF +:0401C6007DFA16A800 +:0401C7007E0B164550 +:0401C8007E1D15E2A1 +:0401C9007E2E157FF2 +:0401CA007E3E151C44 +:0401CB007E4F14B996 +:0401CC007E5F1455E9 +:0401CD007E6F13F23C +:0401CE007E7E138F8F +:0401CF007E8D132BE3 +:0401D0007E9C12C837 +:0401D1007EAB12648B +:0401D2007EB91201DF +:0401D3007EC7119D35 +:0401D4007ED5113A89 +:0401D5007EE210D6E0 +:0401D6007EEF107236 +:0401D7007EFC100F8B +:0401D8007F090FABE1 +:0401D9007F150F4738 +:0401DA007F210EE390 +:0401DB007F2C0E80E7 +:0401DC007F370E1C3F +:0401DD007F420DB898 +:0401DE007F4D0D54F0 +:0401DF007F570CF04A +:0401E0007F610C8CA3 +:0401E1007F6B0C28FC +:0401E2007F740BC457 +:0401E3007F7D0B5FB2 +:0401E4007F860AFB0D +:0401E5007F8F0A9767 +:0401E6007F970A33C2 +:0401E7007F9F09CF1E +:0401E8007FA6096A7B +:0401E9007FAD0906D7 +:0401EA007FB408A234 +:0401EB007FBB083E90 +:0401EC007FC107D9EF +:0401ED007FC707754C +:0401EE007FCD0711A9 +:0401EF007FD206AC09 +:0401F0007FD8064866 +:0401F1007FDC05E3C7 +:0401F2007FE1057F25 +:0401F3007FE5051B84 +:0401F4007FE904B6E5 +:0401F5007FEC045245 +:0401F6007FF003EDA6 +:0401F7007FF3038906 +:0401F8007FF5032468 +:0401F9007FF702C0CA +:0401FA007FF9025B2C +:0401FB007FFB01F78E +:0401FC007FFD0192F0 +:0401FD007FFE012E52 +:0401FE007FFE00C9B7 +:0401FF007FFF006519 +:040200007FFF00007C +:040201007FFFFF9BE1 +:040202007FFEFF3745 +:040203007FFEFED2AA +:040204007FFDFE6E0E +:040205007FFBFE0974 +:040206007FF9FDA5DA +:040207007FF7FD4040 +:040208007FF5FCDCA6 +:040209007FF3FC770C +:04020A007FF0FC1372 +:04020B007FECFBAEDB +:04020C007FE9FB4A41 +:04020D007FE5FAE5AA +:04020E007FE1FA8111 +:04020F007FDCFA1D79 +:040210007FD8F9B8E2 +:040211007FD2F9544B +:040212007FCDF8EFB5 +:040213007FC7F88B1E +:040214007FC1F82787 +:040215007FBBF7C2F2 +:040216007FB4F75E5C +:040217007FADF6FAC7 +:040218007FA6F69631 +:040219007F9FF6319C +:04021A007F97F5CD08 +:04021B007F8FF56973 +:04021C007F86F505DF +:04021D007F7DF4A14C +:04021E007F74F43CB9 +:04021F007F6BF3D826 +:040220007F61F37493 +:040221007F57F31000 +:040222007F4DF2AC6E +:040223007F42F248DC +:040224007F37F1E44B +:040225007F2CF180B9 +:040226007F21F11D26 +:040227007F15F0B996 +:040228007F09F05505 +:040229007EFCEFF177 +:04022A007EEFEF8EE6 +:04022B007EE2EF2A56 +:04022C007ED5EEC6C7 +:04022D007EC7EE6337 +:04022E007EB9EDFFA9 +:04022F007EABED9C19 +:040230007E9CED388B +:040231007E8DECD5FD +:040232007E7EEC716F +:040233007E6FEC0EE0 +:040234007E5FEBAB53 +:040235007E4FEB47C6 +:040236007E3EEAE43A +:040237007E2EEA81AC +:040238007E1DEA1E1F +:040239007E0BE9BB94 +:04023A007DFAE95808 +:04023B007DE8E8F57D +:04023C007DD5E892F2 +:04023D007DC3E83065 +:04023E007DB0E7CDDB +:04023F007D9DE76A50 +:040240007D89E707C6 +:040241007D76E6A53B +:040242007D62E642B1 +:040243007D4DE5E028 +:040244007D39E57E9D +:040245007D24E51B14 +:040246007D0EE4B98C +:040247007CF9E45703 +:040248007CE3E3F57B +:040249007CCDE393F2 +:04024A007CB6E3316A +:04024B007C9FE2CFE3 +:04024C007C88E26D5B +:04024D007C71E20BD3 +:04024E007C59E1A94D +:04024F007C41E148C5 +:040250007C29E0E63F +:040251007C10E085B8 +:040252007BF8E02332 +:040253007BDEDFC2AD +:040254007BC5DF6126 +:040255007BABDF00A0 +:040256007B91DE9F1B +:040257007B77DE3E95 +:040258007B5CDDDD11 +:040259007B41DD7C8C +:04025A007B26DD1B07 +:04025B007B0ADCBA84 +:04025C007AEEDC5A00 +:04025D007AD2DBF97D +:04025E007AB6DB99F8 +:04025F007A99DB3875 +:040260007A7CDAD8F2 +:040261007A5FDA786E +:040262007A41DA18EB +:040263007A23D9B869 +:040264007A05D958E6 +:0402650079E6D8F866 +:0402660079C8D899E2 +:0402670079A9D83960 +:040268007989D7DADF +:04026900796AD77A5D +:04026A00794AD71BDB +:04026B007929D6BC5B +:04026C007909D65DD9 +:04026D0078E8D5FE5A +:04026E0078C7D59FD9 +:04026F0078A5D54059 +:040270007884D4E1D9 +:040271007862D48358 +:04027200783FD424D9 +:04027300781DD3C659 +:0402740077FAD367DB +:0402750077D7D3095B +:0402760077B3D2ABDD +:04027700778FD24D5E +:04027800776BD1EFE0 +:040279007747D19260 +:04027A007722D134E2 +:04027B0076FED0D665 +:04027C0076D8D079E7 +:04027D0076B3D01C68 +:04027E00768DCFBFEB +:04027F007667CF626D +:040280007641CF05EF +:04028100761ACEA873 +:0402820075F3CE4BF7 +:0402830075CCCDEF7A +:0402840075A5CD92FD +:04028500757DCD3680 +:040286007555CCDA04 +:04028700752DCC7D88 +:040288007504CC210C +:0402890074DBCBC691 +:04028A0074B2CB6A15 +:04028B007488CB0E9A +:04028C00745FCAB31E +:04028D007435CA58A2 +:04028E00740AC9FC29 +:04028F0073E0C9A1AE +:0402900073B5C94633 +:04029100738AC8EBB9 +:04029200735EC8913E +:040293007333C836C3 +:040294007307C7DC49 +:0402950072DBC782CF +:0402960072AEC72756 +:040297007281C6CDDD +:040298007254C67462 +:040299007227C61AE8 +:04029A0071F9C5C071 +:04029B0071CBC567F7 +:04029C00719DC50E7D +:04029D00716FC4B405 +:04029E007140C45B8C +:04029F007111C40213 +:0402A00070E2C3AA9B +:0402A10070B2C35123 +:0402A2007083C2F9AA +:0402A3007053C2A032 +:0402A4007022C248BA +:0402A5006FF2C1F043 +:0402A6006FC1C198CB +:0402A7006F90C14152 +:0402A8006F5EC0E9DC +:0402A9006F2CC09264 +:0402AA006EFBC03BEC +:0402AB006EC8BFE377 +:0402AC006E96BF8DFE +:0402AD006E63BF3687 +:0402AE006E30BEDF11 +:0402AF006DFDBE899A +:0402B0006DC9BE3224 +:0402B1006D95BDDCAE +:0402B2006D61BD8637 +:0402B3006D2DBD30C0 +:0402B4006CF8BCDB4B +:0402B5006CC3BC85D5 +:0402B6006C8EBC305E +:0402B7006C59BBDBE8 +:0402B8006C23BB8672 +:0402B9006BEDBB31FD +:0402BA006BB7BADC88 +:0402BB006B81BA8811 +:0402BC006B4ABA339C +:0402BD006B13B9DF27 +:0402BE006ADCB98BB2 +:0402BF006AA4B9373D +:0402C0006A6DB8E4C7 +:0402C1006A35B89052 +:0402C20069FDB83DDD +:0402C30069C4B7EA69 +:0402C400698BB797F4 +:0402C5006952B7447F +:0402C6006919B6F10B +:0402C70068E0B69F96 +:0402C80068A6B64C22 +:0402C900686CB5FAAE +:0402CA006832B5A839 +:0402CB0067F7B557C5 +:0402CC0067BCB50551 +:0402CD006781B4B4DD +:0402CE006746B46368 +:0402CF00670AB412F4 +:0402D00066CFB3C181 +:0402D1006693B3700D +:0402D2006656B32099 +:0402D300661AB2CF26 +:0402D40065DDB27FB3 +:0402D50065A0B22F3F +:0402D6006563B1E0CB +:0402D7006525B19058 +:0402D80064E8B141E4 +:0402D90064AAB0F271 +:0402DA00646CB0A3FD +:0402DB00642DB0548A +:0402DC0063EEB00518 +:0402DD0063AFAFB7A5 +:0402DE006370AF6931 +:0402DF006331AF1BBD +:0402E00062F1AECD4C +:0402E10062B1AE7FD9 +:0402E2006271AE3265 +:0402E3006231ADE5F2 +:0402E40061F0AD9880 +:0402E50061AFAD4B0D +:0402E600616EACFE9B +:0402E700612DACB227 +:0402E80060EBAC65B6 +:0402E90060AAAC1942 +:0402EA006068ABCECF +:0402EB006025AB825D +:0402EC005FE3AB37EA +:0402ED005FA0AAEB79 +:0402EE005F5DAAA006 +:0402EF005F1AAA5692 +:0402F0005ED7AA0B20 +:0402F1005E93A9C1AE +:0402F2005E4FA9763C +:0402F3005E0BA92DC8 +:0402F4005DC7A8E357 +:0402F5005D82A899E5 +:0402F6005D3EA85071 +:0402F7005CF9A807FF +:0402F8005CB3A7BE8E +:0402F9005C6EA7751B +:0402FA005C28A72DA8 +:0402FB005BE2A6E438 +:0402FC005B9CA69CC5 +:0402FD005B56A65452 +:0402FE005B0FA60DDF +:0402FF005AC9A5C56E +:040300005A82A57EFA +:040301005A3BA53787 +:0403020059F3A4F116 +:0403030059ACA4AAA3 +:040304005964A46430 +:04030500591CA41EBD +:0403060058D3A3D84D +:04030700588BA392DA +:040308005842A34D67 +:0403090057F9A307F6 +:04030A0057B0A2C284 +:04030B005767A27E10 +:04030C00571DA2399E +:04030D0056D3A1F52D +:04030E00568AA1B1B9 +:04030F00563FA16D47 +:0403100055F5A129D5 +:0403110055AAA0E663 +:040312005560A0A3EF +:040313005515A0607C +:0403140054C9A01D0B +:04031500547E9FDB98 +:0403160054329F9826 +:0403170053E79F56B3 +:04031800539B9F153F +:04031900534E9ED3CE +:04031A0053029E925A +:04031B0052B59E51E8 +:04031C0052689E1075 +:04031D00521B9DCF03 +:04031E0051CE9D8F90 +:04031F0051819D4F1C +:0403200051339D0FA9 +:0403210050E59CCF38 +:0403220050979C90C4 +:0403230050499C5150 +:040324004FFB9C12DD +:040325004FAC9BD36B +:040326004F5D9B94F8 +:040327004F0E9B5684 +:040328004EBF9B1811 +:040329004E709ADB9D +:04032A004E209A9D2A +:04032B004DD19A60B6 +:04032C004D819A2342 +:04032D004D3199E6CF +:04032E004CE099AA5C +:04032F004C90996DE8 +:040330004C3F993174 +:040331004BEE98F601 +:040332004B9D98BA8D +:040333004B4C987F18 +:040334004AFB9844A4 +:040335004AA9980930 +:040336004A5897CEBC +:040337004A06979447 +:0403380049B4975AD3 +:04033900496197205F +:04033A00490F96E7EA +:04033B0048BC96AE76 +:04033C004869967501 +:04033D004816963C8C +:04033E0047C3960318 +:04033F00477095CBA3 +:04034000471C95932E +:0403410046C9955CB8 +:040342004675952443 +:04034300462194EDCE +:0403440045CD94B659 +:040345004578947FE4 +:04034600452494496D +:0403470044CF9413F8 +:04034800447A93DD83 +:04034900442593A70D +:04034A0043D0937297 +:04034B00437B933D20 +:04034C0043259308AA +:04034D0042D092D335 +:04034E00427A929FBE +:04034F004224926B47 +:0403500041CE9237D1 +:04035100417792035B +:04035200412191D0E4 +:0403530040CA919D6E +:040354004073916AF7 +:04035500401D91387E +:040356003FC5910509 +:040357003F6E90D491 +:040358003F1790A219 +:040359003EBF9070A3 +:04035A003E68903F2A +:04035B003E10900EB2 +:04035C003DB88FDE3B +:04035D003D608FADC3 +:04035E003D078F7D4B +:04035F003CAF8F4ED2 +:040360003C568F1E5A +:040361003BFE8EEFE2 +:040362003BA58EC069 +:040363003B4C8E91F0 +:040364003AF28E6378 +:040365003A998E35FE +:040366003A408E0784 +:0403670039E68DD90D +:04036800398C8DAC93 +:0403690039338D7F18 +:04036A0038D98D529F +:04036B00387E8D2526 +:04036C0038248CF9AC +:04036D0037CA8CCD32 +:04036E00376F8CA2B7 +:04036F0037158C763C +:0403700036BA8C4BC2 +:04037100365F8C2047 +:0403720036048BF6CC +:0403730035A88BCB53 +:04037400354D8BA1D7 +:0403750034F28B785B +:0403760034968B4EE0 +:04037700343A8B2564 +:0403780033DF8AFCE9 +:0403790033838AD36D +:04037A0033268AABF1 +:04037B0032CA8A8375 +:04037C00326E8A5BF8 +:04037D0032118A347B +:04037E0031B58A0DFE +:04037F00315889E682 +:0403800030FB89BF06 +:04038100309E899988 +:04038200304189730A +:040383002FE4894D8D +:040384002F8789280E +:040385002F2A890290 +:040386002ECC88DE13 +:040387002E6E88B995 +:040388002E11889515 +:040389002DB3887197 +:04038A002D55884D18 +:04038B002CF788299A +:04038C002C9988061A +:04038D002C3A87E39C +:04038E002BDC87C11C +:04038F002B7D879E9D +:040390002B1F877C1C +:040391002AC0875B9C +:040392002A6187391C +:040393002A0287189B +:0403940029A386F71C +:04039500294486D79A +:0403960028E586B61A +:040397002886869698 +:040398002826867716 +:0403990027C7865795 +:04039A002767863813 +:04039B002708861A8F +:04039C0026A885FB0F +:04039D00264885DD8C +:04039E0025E885BF0A +:04039F00258885A187 +:0403A0002528858403 +:0403A10024C8856780 +:0403A2002467854AFD +:0403A3002407852E78 +:0403A40023A68512F5 +:0403A500234684F671 +:0403A60022E584DAEE +:0403A700228484BF69 +:0403A800222384A4E4 +:0403A90021C2848960 +:0403AA002161846FDA +:0403AB002100845554 +:0403AC00209F843BCF +:0403AD00203E842248 +:0403AE001FDD8408C3 +:0403AF001F7B83F03D +:0403B0001F1A83D7B6 +:0403B1001EB883BF30 +:0403B2001E5783A7A8 +:0403B3001DF5838F22 +:0403B4001D9383789A +:0403B5001D31836112 +:0403B6001CCF834A8B +:0403B7001C6D833303 +:0403B8001C0B831D7A +:0403B9001BA98307F2 +:0403BA001B4782F269 +:0403BB001AE582DCE1 +:0403BC001A8282C758 +:0403BD001A2082B3CD +:0403BE0019BE829E44 +:0403BF00195B828ABA +:0403C00018F982772F +:0403C10018968263A5 +:0403C200183382501A +:0403C30017D0823D90 +:0403C400176E822B03 +:0403C500170B821878 +:0403C60016A88206ED +:0403C700164581F561 +:0403C80015E281E3D6 +:0403C900157F81D249 +:0403CA00151C81C2BB +:0403CB0014B981B12F +:0403CC00145581A1A2 +:0403CD0013F2819115 +:0403CE00138F818286 +:0403CF00132B8173F8 +:0403D00012C881646A +:0403D10012648155DC +:0403D200120181474C +:0403D300119D8139BE +:0403D400113A812B2E +:0403D50010D6811E9F +:0403D600107281110F +:0403D700100F81047E +:0403D8000FAB80F7F0 +:0403D9000F4780EB5F +:0403DA000EE380DFCF +:0403DB000E8080D43C +:0403DC000E1C80C9AA +:0403DD000DB880BE19 +:0403DE000D5480B387 +:0403DF000CF080A9F5 +:0403E0000C8C809F62 +:0403E1000C288095CF +:0403E2000BC4808C3C +:0403E3000B5F8083A9 +:0403E4000AFB807A16 +:0403E5000A97807182 +:0403E6000A338069ED +:0403E70009CF806159 +:0403E800096A805AC4 +:0403E900090680532E +:0403EA0008A2804C99 +:0403EB00083E804503 +:0403EC0007D9803F6E +:0403ED0007758039D7 +:0403EE000711803340 +:0403EF0006AC802EAA +:0403F0000648802813 +:0403F10005E380247C +:0403F200057F801FE4 +:0403F300051B801B4B +:0403F40004B68017B4 +:0403F500045280141A +:0403F60003ED801083 +:0403F7000389800DE9 +:0403F8000324800B4F +:0403F90002C08009B5 +:0403FA00025B80071B +:0403FB0001F7800581 +:0403FC0001928003E7 +:0403FD00012E80024B +:0403FE0000C98002B0 +:0403FF000065800114 +:040400000000800177 +:04040100FF9B8001DC +:04040200FF3780023E +:04040300FED28002A3 +:04040400FE6E800305 +:04040500FE09800567 +:04040600FDA58007C9 +:04040700FD4080092B +:04040800FCDC800B8D +:04040900FC77800DEF +:04040A00FC1380104F +:04040B00FBAE8014B0 +:04040C00FB4A801710 +:04040D00FAE5801B71 +:04040E00FA81801FD0 +:04040F00FA1D80242E +:04041000F9B880288F +:04041100F954802EEC +:04041200F8EF80334C +:04041300F88B8039A9 +:04041400F827803F06 +:04041500F7C2804565 +:04041600F75E804CC1 +:04041700F6FA80531E +:04041800F696805A7A +:04041900F6318061D7 +:04041A00F5CD806933 +:04041B00F56980718E +:04041C00F505807AE8 +:04041D00F4A1808343 +:04041E00F43C808C9E +:04041F00F3D88095F9 +:04042000F374809F52 +:04042100F31080A9AB +:04042200F2AC80B305 +:04042300F24880BE5D +:04042400F1E480C9B6 +:04042500F18080D40E +:04042600F11D80DF65 +:04042700F0B980EBBD +:04042800F05580F714 +:04042900EFF181046A +:04042A00EF8E8111BF +:04042B00EF2A811E15 +:04042C00EEC6812B6C +:04042D00EE638139C0 +:04042E00EDFF814716 +:04042F00ED9C81556A +:04043000ED388164BE +:04043100ECD5817312 +:04043200EC71818266 +:04043300EC0E8191B9 +:04043400EBAB81A10C +:04043500EB4781B15F +:04043600EAE481C2B1 +:04043700EA8181D203 +:04043800EA1E81E354 +:04043900E9BB81F5A5 +:04043A00E9588206F5 +:04043B00E8F5821846 +:04043C00E892822B95 +:04043D00E830823DE4 +:04043E00E7CD825034 +:04043F00E76A826383 +:04044000E7078277D1 +:04044100E6A5828A20 +:04044200E642829E6E +:04044300E5E082B3BB +:04044400E57E82C708 +:04044500E51B82DC55 +:04044600E4B982F2A1 +:04044700E4578307EC +:04044800E3F5831D38 +:04044900E393833383 +:04044A00E331834ACD +:04044B00E2CF836118 +:04044C00E26D837862 +:04044D00E20B838FAC +:04044E00E1A983A7F6 +:04044F00E14883BF3E +:04045000E0E683D788 +:04045100E08583F0CF +:04045200E023840817 +:04045300DFC284225E +:04045400DF61843BA5 +:04045500DF008455EB +:04045600DE9F846F32 +:04045700DE3E848978 +:04045800DDDD84A4BE +:04045900DD7C84BF03 +:04045A00DD1B84DA48 +:04045B00DCBA84F68D +:04045C00DC5A8512CF +:04045D00DBF9852E14 +:04045E00DB99854A57 +:04045F00DB3885679A +:04046000DAD88584DD +:04046100DA7885A11F +:04046200DA1885BF60 +:04046300D9B885DDA2 +:04046400D95885FBE3 +:04046500D8F8861A23 +:04046600D899863863 +:04046700D8398657A3 +:04046800D7DA8677E2 +:04046900D77A869622 +:04046A00D71B86B660 +:04046B00D6BC86D79E +:04046C00D65D86F7DC +:04046D00D5FE871819 +:04046E00D59F873956 +:04046F00D540875B92 +:04047000D4E1877CD0 +:04047100D483879E0B +:04047200D42487C146 +:04047300D3C687E382 +:04047400D3678806BC +:04047500D3098829F6 +:04047600D2AB884D30 +:04047700D24D887169 +:04047800D1EF8895A3 +:04047900D19288B9DB +:04047A00D13488DE13 +:04047B00D0D689024C +:04047C00D079892882 +:04047D00D01C894DB9 +:04047E00CFBF8973F0 +:04047F00CF62899926 +:04048000CF0589BF5C +:04048100CEA889E692 +:04048200CE4B8A0DC6 +:04048300CDEF8A34FB +:04048400CD928A5B30 +:04048500CD368A8363 +:04048600CCDA8AAB97 +:04048700CC7D8AD3CB +:04048800CC218AFCFD +:04048900CBC68B252E +:04048A00CB6A8B4E60 +:04048B00CB0E8B7891 +:04048C00CAB38BA1C3 +:04048D00CA588BCBF3 +:04048E00C9FC8BF624 +:04048F00C9A18C2053 +:04049000C9468C4B82 +:04049100C8EB8C76B2 +:04049200C8918CA2DF +:04049300C8368CCD0E +:04049400C7DC8CF93C +:04049500C7828D2568 +:04049600C7278D5295 +:04049700C6CD8D7FC2 +:04049800C6748DACED +:04049900C61A8DD919 +:04049A00C5C08E0744 +:04049B00C5678E356E +:04049C00C50E8E6398 +:04049D00C4B48E91C4 +:04049E00C45B8EC0ED +:04049F00C4028EEF16 +:0404A000C3AA8F1E3E +:0404A100C3518F4E66 +:0404A200C2F98F7D8F +:0404A300C2A08FADB7 +:0404A400C2488FDEDD +:0404A500C1F0900E04 +:0404A600C198903F2A +:0404A700C14190704F +:0404A800C0E990A275 +:0404A900C09290D499 +:0404AA00C03B9105BD +:0404AB00BFE39138E2 +:0404AC00BF8D916A05 +:0404AD00BF36919D28 +:0404AE00BEDF91D04C +:0404AF00BE8992036D +:0404B000BE3292378F +:0404B100BDDC926BB1 +:0404B200BD86929FD2 +:0404B300BD3092D3F3 +:0404B400BCDB930812 +:0404B500BC85933D32 +:0404B600BC30937251 +:0404B700BBDB93A771 +:0404B800BB8693DD8F +:0404B900BB319413AC +:0404BA00BADC9449CB +:0404BB00BA88947FE8 +:0404BC00BA3394B605 +:0404BD00B9DF94ED22 +:0404BE00B98B95243D +:0404BF00B937955C58 +:0404C000B8E4959374 +:0404C100B89095CB8F +:0404C200B83D9603A8 +:0404C300B7EA963CC2 +:0404C400B7979675DB +:0404C500B74496AEF4 +:0404C600B6F196E70E +:0404C700B69F972025 +:0404C800B64C975A3D +:0404C900B5FA979455 +:0404CA00B5A897CE6C +:0404CB00B557980980 +:0404CC00B505984496 +:0404CD00B4B4987FAC +:0404CE00B46398BAC1 +:0404CF00B41298F6D5 +:0404D000B3C19931EA +:0404D100B370996DFE +:0404D200B32099AA10 +:0404D300B2CF99E625 +:0404D400B27F9A2336 +:0404D500B22F9A6048 +:0404D600B1E09A9D5A +:0404D700B1909ADB6B +:0404D800B1419B187B +:0404D900B0F29B568C +:0404DA00B0A39B949C +:0404DB00B0549BD3AB +:0404DC00B0059C12B9 +:0404DD00AFB79C51C8 +:0404DE00AF699C90D6 +:0404DF00AF1B9CCFE4 +:0404E000AECD9D0FF1 +:0404E100AE7F9D4FFE +:0404E200AE329D8F0A +:0404E300ADE59DCF17 +:0404E400AD989E1021 +:0404E500AD4B9E512C +:0404E600ACFE9E9238 +:0404E700ACB29ED342 +:0404E800AC659F154B +:0404E900AC199F5655 +:0404EA00ABCE9F985E +:0404EB00AB829FDB66 +:0404EC00AB37A01D6D +:0404ED00AAEBA06076 +:0404EE00AAA0A0A37D +:0404EF00AA56A0E683 +:0404F000AA0BA12989 +:0404F100A9C1A16D8F +:0404F200A976A1B195 +:0404F300A92DA1F599 +:0404F400A8E3A2399E +:0404F500A899A27EA2 +:0404F600A850A2C2A6 +:0404F700A807A307A8 +:0404F800A7BEA34DAB +:0404F900A775A392AE +:0404FA00A72DA3D8AF +:0404FB00A6E4A41EB1 +:0404FC00A69CA464B2 +:0404FD00A654A4AAB3 +:0404FE00A60DA4F1B2 +:0404FF00A5C5A537B3 +:04050000A57EA57EB1 +:04050100A537A5C5B0 +:04050200A4F1A60DAD +:04050300A4AAA654AC +:04050400A464A69CA9 +:04050500A41EA6E4A6 +:04050600A3D8A72DA2 +:04050700A392A7759F +:04050800A34DA7BE9A +:04050900A307A80795 +:04050A00A2C2A85091 +:04050B00A27EA8998B +:04050C00A239A8E385 +:04050D00A1F5A92D7E +:04050E00A1B1A97678 +:04050F00A16DA9C170 +:04051000A129AA0B68 +:04051100A0E6AA5660 +:04051200A0A3AAA058 +:04051300A060AAEB4F +:04051400A01DAB3744 +:040515009FDBAB823B +:040516009F98ABCE31 +:040517009F56AC1926 +:040518009F15AC651A +:040519009ED3ACB20F +:04051A009E92ACFE03 +:04051B009E51AD4BF5 +:04051C009E10AD98E8 +:04051D009DCFADE5DC +:04051E009D8FAE32CD +:04051F009D4FAE7FBF +:040520009D0FAECDB0 +:040521009CCFAF1BA1 +:040522009C90AF6991 +:040523009C51AFB781 +:040524009C12B00570 +:040525009BD3B05460 +:040526009B94B0A34F +:040527009B56B0F23D +:040528009B18B1412A +:040529009ADBB19018 +:04052A009A9DB1E005 +:04052B009A60B22FF1 +:04052C009A23B27FDD +:04052D0099E6B2CFCA +:04052E0099AAB320B3 +:04052F00996DB3709F +:040530009931B3C189 +:0405310098F6B41272 +:0405320098BAB4635C +:04053300987FB4B445 +:040534009844B5052D +:040535009809B55715 +:0405360097CEB5A8FF +:040537009794B5FAE6 +:04053800975AB64CCC +:040539009720B69FB2 +:04053A0096E7B6F199 +:04053B0096AEB7447D +:04053C009675B79762 +:04053D00963CB7EA47 +:04053E009603B83D2B +:04053F0095CBB89010 +:040540009593B8E4F3 +:04054100955CB937D5 +:040542009524B98BB8 +:0405430094EDB9DF9B +:0405440094B6BA337C +:04054500947FBA885D +:040546009449BADC3E +:040547009413BB311D +:0405480093DDBB86FE +:0405490093A7BBDBDE +:04054A009372BC30BC +:04054B00933DBC859B +:04054C009308BCDB79 +:04054D0092D3BD3058 +:04054E00929FBD8635 +:04054F00926BBDDC12 +:040550009237BE32EE +:040551009203BE89CA +:0405520091D0BEDFA7 +:04055300919DBF3681 +:04055400916ABF8D5C +:040555009138BFE337 +:040556009105C03B10 +:0405570090D4C092EA +:0405580090A2C0E9C4 +:040559009070C1419C +:04055A00903FC19875 +:04055B00900EC1F04D +:04055C008FDEC24824 +:04055D008FADC2A0FC +:04055E008F7DC2F9D2 +:04055F008F4EC351A7 +:040560008F1EC3AA7D +:040561008EEFC40253 +:040562008EC0C45B28 +:040563008E91C4B4FD +:040564008E63C50ECF +:040565008E35C567A3 +:040566008E07C5C077 +:040567008DD9C61A4A +:040568008DACC6741C +:040569008D7FC6CDEF +:04056A008D52C727C0 +:04056B008D25C78291 +:04056C008CF9C7DC63 +:04056D008CCDC83633 +:04056E008CA2C89102 +:04056F008C76C8EBD3 +:040570008C4BC946A1 +:040571008C20C9A170 +:040572008BF6C9FC3F +:040573008BCBCA580C +:040574008BA1CAB3DA +:040575008B78CB0EA6 +:040576008B4ECB6A73 +:040577008B25CBC63F +:040578008AFCCC210C +:040579008AD3CC7DD8 +:04057A008AABCCDAA2 +:04057B008A83CD366C +:04057C008A5BCD9237 +:04057D008A34CDEF00 +:04057E008A0DCE4BC9 +:04057F0089E6CEA893 +:0405800089BFCF055B +:040581008999CF6223 +:040582008973CFBFEB +:04058300894DD01CB2 +:040584008928D07979 +:040585008902D0D641 +:0405860088DED13406 +:0405870088B9D192CC +:040588008895D1EF92 +:040589008871D24D56 +:04058A00884DD2AB1B +:04058B008829D309DF +:04058C008806D367A3 +:04058D0087E3D3C667 +:04058E0087C1D42429 +:04058F00879ED483EC +:04059000877CD4E1AF +:04059100875BD5406F +:040592008739D59F31 +:040593008718D5FEF2 +:0405940086F7D65DB3 +:0405950086D7D6BC73 +:0405960086B6D71B33 +:040597008696D77AF3 +:040598008677D7DAB1 +:040599008657D83970 +:04059A008638D8992E +:04059B00861AD8F8EC +:04059C0085FBD958AA +:04059D0085DDD9B867 +:04059E0085BFDA1823 +:04059F0085A1DA78E0 +:0405A0008584DAD89C +:0405A1008567DB3857 +:0405A200854ADB9912 +:0405A300852EDBF9CD +:0405A4008512DC5A86 +:0405A50084F6DCBA42 +:0405A60084DADD1BFB +:0405A70084BFDD7CB4 +:0405A80084A4DDDD6D +:0405A9008489DE3E25 +:0405AA00846FDE9FDD +:0405AB008455DF0094 +:0405AC00843BDF614C +:0405AD008422DFC203 +:0405AE008408E023BA +:0405AF0083F0E08570 +:0405B00083D7E0E627 +:0405B10083BFE148DB +:0405B20083A7E1A991 +:0405B300838FE20B45 +:0405B4008378E26DF9 +:0405B5008361E2CFAD +:0405B600834AE33160 +:0405B7008333E39314 +:0405B800831DE3F5C7 +:0405B9008307E45779 +:0405BA0082F2E4B92C +:0405BB0082DCE51BDE +:0405BC0082C7E57E8F +:0405BD0082B3E5E040 +:0405BE00829EE642F1 +:0405BF00828AE6A5A1 +:0405C0008277E70750 +:0405C1008263E76A00 +:0405C2008250E7CDAF +:0405C300823DE8305D +:0405C400822BE8920C +:0405C5008218E8F5BB +:0405C6008206E95868 +:0405C70081F5E9BB16 +:0405C80081E3EA1EC3 +:0405C90081D2EA8170 +:0405CA0081C2EAE41C +:0405CB0081B1EB47C8 +:0405CC0081A1EBAB73 +:0405CD008191EC0E1E +:0405CE008182EC71C9 +:0405CF008173ECD573 +:0405D0008164ED381D +:0405D1008155ED9CC7 +:0405D2008147EDFF71 +:0405D3008139EE6319 +:0405D400812BEEC6C3 +:0405D500811EEF2A6A +:0405D6008111EF8E12 +:0405D7008104EFF1BB +:0405D80080F7F05563 +:0405D90080EBF0B90A +:0405DA0080DFF11DB0 +:0405DB0080D4F18057 +:0405DC0080C9F1E4FD +:0405DD0080BEF248A2 +:0405DE0080B3F2AC48 +:0405DF0080A9F310EC +:0405E000809FF37491 +:0405E1008095F3D836 +:0405E200808CF43CD9 +:0405E3008083F4A17C +:0405E400807AF5051F +:0405E5008071F569C3 +:0405E6008069F5CD66 +:0405E7008061F63108 +:0405E800805AF696A9 +:0405E9008053F6FA4B +:0405EA00804CF75EEC +:0405EB008045F7C28E +:0405EC00803FF8272D +:0405ED008039F88BCE +:0405EE008033F8EF6F +:0405EF00802EF9540D +:0405F0008028F9B8AE +:0405F1008024FA1D4B +:0405F200801FFA81EB +:0405F300801BFAE58A +:0405F4008017FB4A27 +:0405F5008014FBAEC5 +:0405F6008010FC1362 +:0405F700800DFC7700 +:0405F800800BFCDC9C +:0405F9008009FD4038 +:0405FA008007FDA5D4 +:0405FB008005FE0970 +:0405FC008003FE6E0C +:0405FD008002FED2A8 +:0405FE008002FF3741 +:0405FF008001FF9BDD +:040600008001000075 +:04060100800100650F +:04060200800200C9A9 +:040603008002012E42 +:0406040080030192DC +:04060500800501F774 +:040606008007025B0C +:04060700800902C0A4 +:04060800800B03243C +:04060900800D0389D4 +:04060A00801003ED6C +:04060B008014045201 +:04060C00801704B699 +:04060D00801B051B2E +:04060E00801F057FC5 +:04060F00802405E35B +:0406100080280648F0 +:04061100802E06AC85 +:040612008033071119 +:0406130080390775AE +:04061400803F07D943 +:040615008045083ED6 +:04061600804C08A26A +:0406170080530906FD +:04061800805A096A91 +:04061900806109CF24 +:04061A0080690A33B6 +:04061B0080710A9749 +:04061C00807A0AFBDB +:04061D0080830B5F6C +:04061E00808C0BC4FD +:04061F0080950C288E +:04062000809F0C8C1F +:0406210080A90CF0B0 +:0406220080B30D5440 +:0406230080BE0DB8D0 +:0406240080C90E1C5F +:0406250080D40E80EF +:0406260080DF0EE380 +:0406270080EB0F470E +:0406280080F70FAB9D +:040629008104100F29 +:04062A0081111072B8 +:04062B00811E10D646 +:04062C00812B113AD3 +:04062D008139119D61 +:04062E0081471201ED +:04062F00815512647B +:04063000816412C807 +:040631008173132B93 +:040632008182138F1F +:04063300819113F2AC +:0406340081A1145537 +:0406350081B114B9C2 +:0406360081C2151C4C +:0406370081D2157FD8 +:0406380081E315E263 +:0406390081F51645EC +:04063A00820616A876 +:04063B008218170BFF +:04063C00822B176E88 +:04063D00823D17D013 +:04063E00825018339B +:04063F008263189624 +:04064000827718F9AC +:04064100828A195B35 +:04064200829E19BEBD +:0406430082B31A2044 +:0406440082C71A82CD +:0406450082DC1AE554 +:0406460082F21B47DA +:0406470083071BA961 +:04064800831D1C0BE7 +:0406490083331C6D6E +:04064A00834A1CCFF4 +:04064B0083611D3179 +:04064C0083781D93FF +:04064D00838F1DF585 +:04064E0083A71E5709 +:04064F0083BF1EB88F +:0406500083D71F1A13 +:0406510083F01F7B98 +:0406520084081FDD1C +:040653008422203E9F +:04065400843B209F24 +:0406550084552100A7 +:04065600846F21612B +:04065700848921C2AF +:0406580084A4222331 +:0406590084BF2284B4 +:04065A0084DA22E537 +:04065B0084F62346B8 +:04065C00851223A63A +:04065D00852E2407BB +:04065E00854A24673E +:04065F00856724C8BF +:040660008584252840 +:0406610085A12588C2 +:0406620085BF25E843 +:0406630085DD2648C3 +:0406640085FB26A844 +:04066500861A2708C2 +:040666008638276744 +:04066700865727C7C4 +:040668008677282643 +:0406690086962886C3 +:04066A0086B628E543 +:04066B0086D72944C1 +:04066C0086F729A341 +:04066D0087182A02BE +:04066E0087392A613D +:04066F00875B2AC0BB +:04067000877C2B1F39 +:04067100879E2B7DB8 +:0406720087C12BDC35 +:0406730087E32C3AB3 +:0406740088062C992F +:0406750088292CF7AD +:04067600884D2D5529 +:0406770088712DB3A6 +:0406780088952E1122 +:0406790088B92E6EA0 +:04067A0088DE2ECC1C +:04067B0089022F2A97 +:04067C0089282F8713 +:04067D00894D2FE490 +:04067E00897330410B +:04067F008999309E87 +:0406800089BF30FB03 +:0406810089E631587D +:040682008A0D31B5F7 +:040683008A34321172 +:040684008A5B326EED +:040685008A8332CA68 +:040686008AAB3326E2 +:040687008AD333835C +:040688008AFC33DFD6 +:040689008B25343A4F +:04068A008B4E3496C9 +:04068B008B7834F242 +:04068C008BA1354DBC +:04068D008BCB35A836 +:04068E008BF63604AD +:04068F008C20365F26 +:040690008C4B36BA9F +:040691008C76371517 +:040692008CA2376F90 +:040693008CCD37CA09 +:040694008CF9382481 +:040695008D25387EF9 +:040696008D5238D970 +:040697008D7F3933E7 +:040698008DAC398C60 +:040699008DD939E6D8 +:04069A008E073A404D +:04069B008E353A99C5 +:04069C008E633AF23D +:04069D008E913B4CB3 +:04069E008EC03BA52A +:04069F008EEF3BFEA1 +:0406A0008F1E3C5617 +:0406A1008F4E3CAF8D +:0406A2008F7D3D0704 +:0406A3008FAD3D607A +:0406A4008FDE3DB8F0 +:0406A500900E3E1065 +:0406A600903F3E68DB +:0406A70090703EBF52 +:0406A80090A23F17C6 +:0406A90090D43F6E3C +:0406AA0091053FC5B2 +:0406AB009138401D25 +:0406AC00916A40739C +:0406AD00919D40CA11 +:0406AE0091D0412185 +:0406AF0092034177FA +:0406B000923741CE6E +:0406B100926B4224E2 +:0406B200929F427A57 +:0406B30092D342D0CC +:0406B400930843253F +:0406B500933D437BB3 +:0406B600937243D028 +:0406B70093A744259C +:0406B80093DD447A10 +:0406B900941344CF83 +:0406BA0094494524F6 +:0406BB00947F45786B +:0406BC0094B645CDDE +:0406BD0094ED462151 +:0406BE0095244675C4 +:0406BF00955C46C937 +:0406C0009593471CAB +:0406C10095CB47701E +:0406C200960347C391 +:0406C300963C481603 +:0406C4009675486976 +:0406C50096AE48BCE9 +:0406C60096E7490F5B +:0406C70097204961CE +:0406C800975A49B440 +:0406C90097944A06B2 +:0406CA0097CE4A5825 +:0406CB0098094AA997 +:0406CC0098444AFB09 +:0406CD00987F4B4C7B +:0406CE0098BA4B9DEE +:0406CF0098F64BEE60 +:0406D00099314C3FD1 +:0406D100996D4C9043 +:0406D20099AA4CE0B5 +:0406D30099E64D3126 +:0406D4009A234D8197 +:0406D5009A604DD109 +:0406D6009A9D4E207B +:0406D7009ADB4E70EC +:0406D8009B184EBF5E +:0406D9009B564F0ECF +:0406DA009B944F5D41 +:0406DB009BD34FACB2 +:0406DC009C124FFB22 +:0406DD009C51504993 +:0406DE009C90509705 +:0406DF009CCF50E577 +:0406E0009D0F5133E6 +:0406E1009D4F518157 +:0406E2009D8F51CEC9 +:0406E3009DCF521B3A +:0406E4009E105268AA +:0406E5009E5152B51B +:0406E6009E9253028B +:0406E7009ED3534EFD +:0406E8009F15539B6C +:0406E9009F5653E7DE +:0406EA009F9854324F +:0406EB009FDB547EBF +:0406EC00A01D54C930 +:0406ED00A06055159F +:0406EE00A0A3556010 +:0406EF00A0E655AA82 +:0406F000A12955F5F2 +:0406F100A16D563F62 +:0406F200A1B1568AD2 +:0406F300A1F556D344 +:0406F400A239571DB3 +:0406F500A27E576723 +:0406F600A2C257B095 +:0406F700A30757F905 +:0406F800A34D584274 +:0406F900A392588BE5 +:0406FA00A3D858D356 +:0406FB00A41E591CC4 +:0406FC00A464596435 +:0406FD00A4AA59ACA6 +:0406FE00A4F159F317 +:0406FF00A5375A3B86 +:04070000A57E5A82F6 +:04070100A5C55AC967 +:04070200A60D5B0FD6 +:04070300A6545B5647 +:04070400A69C5B9CB8 +:04070500A6E45BE229 +:04070600A72D5C2897 +:04070700A7755C6E08 +:04070800A7BE5CB379 +:04070900A8075CF9E8 +:04070A00A8505D3E58 +:04070B00A8995D82CA +:04070C00A8E35DC73A +:04070D00A92D5E0BA9 +:04070E00A9765E4F1B +:04070F00A9C15E938B +:04071000AA0B5ED7FB +:04071100AA565F1A6B +:04071200AAA05F5DDD +:04071300AAEB5FA04E +:04071400AB375FE3BD +:04071500AB8260252E +:04071600ABCE60689E +:04071700AC1960AA0F +:04071800AC6560EB81 +:04071900ACB2612DF0 +:04071A00ACFE616E62 +:04071B00AD4B61AFD2 +:04071C00AD9861F043 +:04071D00ADE56231B3 +:04071E00AE32627124 +:04071F00AE7F62B196 +:04072000AECD62F107 +:04072100AF1B633176 +:04072200AF696370E8 +:04072300AFB763AF5A +:04072400B00563EECB +:04072500B054642D3B +:04072600B0A3646CAC +:04072700B0F264AA1E +:04072800B14164E88F +:04072900B190652501 +:04072A00B1E0656372 +:04072B00B22F65A0E4 +:04072C00B27F65DD56 +:04072D00B2CF661AC7 +:04072E00B320665638 +:04072F00B3706693AA +:04073000B3C166CF1C +:04073100B412670A8D +:04073200B4636746FF +:04073300B4B4678172 +:04073400B50567BCE4 +:04073500B55767F756 +:04073600B5A86832C8 +:04073700B5FA686C3B +:04073800B64C68A6AD +:04073900B69F68E01F +:04073A00B6F1691992 +:04073B00B744695204 +:04073C00B797698B77 +:04073D00B7EA69C4EA +:04073E00B83D69FD5C +:04073F00B8906A35CF +:04074000B8E46A6D42 +:04074100B9376AA4B6 +:04074200B98B6ADC29 +:04074300B9DF6B139C +:04074400BA336B4A0F +:04074500BA886B8182 +:04074600BADC6BB7F7 +:04074700BB316BED6A +:04074800BB866C23DD +:04074900BBDB6C5951 +:04074A00BC306C8EC5 +:04074B00BC856CC33A +:04074C00BCDB6CF8AE +:04074D00BD306D2D21 +:04074E00BD866D6196 +:04074F00BDDC6D950B +:04075000BE326DC97F +:04075100BE896DFDF3 +:04075200BEDF6E3068 +:04075300BF366E63DC +:04075400BF8D6E9651 +:04075500BFE36EC8C8 +:04075600C03B6EFB3B +:04075700C0926F2CB1 +:04075800C0E96F5E27 +:04075900C1416F909B +:04075A00C1986FC112 +:04075B00C1F06FF288 +:04075C00C2487022FD +:04075D00C2A0705373 +:04075E00C2F97083E9 +:04075F00C35170B260 +:04076000C3AA70E2D6 +:04076100C40271114C +:04076200C45B7140C3 +:04076300C4B4716F3A +:04076400C50E719DB0 +:04076500C56771CB28 +:04076600C5C071F9A0 +:04076700C61A722715 +:04076800C67472548D +:04076900C6CD728106 +:04076A00C72772AE7D +:04076B00C78272DBF4 +:04076C00C7DC73076C +:04076D00C8367333E4 +:04076E00C891735E5D +:04076F00C8EB738AD6 +:04077000C94673B54E +:04077100C9A173E0C7 +:04077200C9FC740A40 +:04077300CA587435B7 +:04077400CAB3745F31 +:04077500CB0E7488AB +:04077600CB6A74B224 +:04077700CBC674DB9E +:04077800CC21750417 +:04077900CC7D752D91 +:04077A00CCDA75550B +:04077B00CD36757D85 +:04077C00CD9275A500 +:04077D00CDEF75CC7B +:04077E00CE4B75F3F6 +:04077F00CEA8761A70 +:04078000CF057641EA +:04078100CF62766766 +:04078200CFBF768DE2 +:04078300D01C76B35D +:04078400D07976D8DA +:04078500D0D676FE56 +:04078600D1347722D1 +:04078700D19277474D +:04078800D1EF776BCB +:04078900D24D778F47 +:04078A00D2AB77B3C4 +:04078B00D30977D740 +:04078C00D36777FABE +:04078D00D3C6781D3A +:04078E00D424783FB8 +:04078F00D483786235 +:04079000D4E17884B4 +:04079100D54078A532 +:04079200D59F78C7B0 +:04079300D5FE78E82F +:04079400D65D7909AC +:04079500D6BC79292C +:04079600D71B794AAA +:04079700D77A796A2A +:04079800D7DA7989AA +:04079900D83979A929 +:04079A00D89979C8A9 +:04079B00D8F879E62B +:04079C00D9587A05A9 +:04079D00D9B87A232A +:04079E00DA187A41AA +:04079F00DA787A5F2B +:0407A000DAD87A7CAD +:0407A100DB387A992E +:0407A200DB997AB6AF +:0407A300DBF97AD232 +:0407A400DC5A7AEEB3 +:0407A500DCBA7B0A35 +:0407A600DD1B7B26B6 +:0407A700DD7C7B4139 +:0407A800DDDD7B5CBC +:0407A900DE3E7B773E +:0407AA00DE9F7B91C2 +:0407AB00DF007BAB45 +:0407AC00DF617BC5C9 +:0407AD00DFC27BDE4E +:0407AE00E0237BF8D1 +:0407AF00E0857C1055 +:0407B000E0E67C29DA +:0407B100E1487C415E +:0407B200E1A97C59E4 +:0407B300E20B7C7168 +:0407B400E26D7C88EE +:0407B500E2CF7C9F74 +:0407B600E3317CB6F9 +:0407B700E3937CCD7F +:0407B800E3F57CE306 +:0407B900E4577CF98C +:0407BA00E4B97D0E13 +:0407BB00E51B7D2499 +:0407BC00E57E7D3920 +:0407BD00E5E07D4DA9 +:0407BE00E6427D6230 +:0407BF00E6A57D76B8 +:0407C000E7077D8941 +:0407C100E76A7D9DC9 +:0407C200E7CD7DB052 +:0407C300E8307DC3DA +:0407C400E8927DD565 +:0407C500E8F57DE8EE +:0407C600E9587DFA77 +:0407C700E9BB7E0B01 +:0407C800EA1E7E1D8A +:0407C900EA817E2E15 +:0407CA00EAE47E3EA1 +:0407CB00EB477E4F2B +:0407CC00EBAB7E5FB6 +:0407CD00EC0E7E6F41 +:0407CE00EC717E7ECE +:0407CF00ECD57E8D5A +:0407D000ED387E9CE6 +:0407D100ED9C7EAB72 +:0407D200EDFF7EB900 +:0407D300EE637EC78C +:0407D400EEC67ED51A +:0407D500EF2A7EE2A7 +:0407D600EF8E7EEF35 +:0407D700EFF17EFCC4 +:0407D800F0557F0950 +:0407D900F0B97F15DF +:0407DA00F11D7F216D +:0407DB00F1807F2CFE +:0407DC00F1E47F378E +:0407DD00F2487F421D +:0407DE00F2AC7F4DAD +:0407DF00F3107F573D +:0407E000F3747F61CE +:0407E100F3D87F6B5F +:0407E200F43C7F74F0 +:0407E300F4A17F7D81 +:0407E400F5057F8612 +:0407E500F5697F8FA4 +:0407E600F5CD7F9737 +:0407E700F6317F9FC9 +:0407E800F6967FA65C +:0407E900F6FA7FADF0 +:0407EA00F75E7FB483 +:0407EB00F7C27FBB17 +:0407EC00F8277FC1AA +:0407ED00F88B7FC73F +:0407EE00F8EF7FCDD4 +:0407EF00F9547FD268 +:0407F000F9B87FD8FD +:0407F100FA1D7FDC92 +:0407F200FA817FE128 +:0407F300FAE57FE5BF +:0407F400FB4A7FE954 +:0407F500FBAE7FECEC +:0407F600FC137FF081 +:0407F700FC777FF319 +:0407F800FCDC7FF5B1 +:0407F900FD407FF749 +:0407FA00FDA57FF9E1 +:0407FB00FE097FFB79 +:0407FC00FE6E7FFD11 +:0407FD00FED27FFEAB +:0407FE00FF377FFE44 +:0407FF00FF9B7FFFDE +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd index 1ef4c3b4ab9444431c638f49ef5a2d7289010c23..032a29e62fdffac0ad0b4bed69e0a9599d4aee7d 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd @@ -777,6 +777,7 @@ BEGIN g_scope_selected_subband => g_scope_selected_subband, g_no_jesd => c_revision_select.no_jesd, g_use_fsub => c_revision_select.use_fsub, + g_use_oversample => c_revision_select.use_oversample, g_use_xsub => c_revision_select.use_xsub, g_use_bf => c_revision_select.use_bf, g_use_ring => c_revision_select.use_ring, diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd index 903b88e1ec98003c2d99c329173ef30ccc424c9f..ce8ffd3ab09523951ff6db68a13ce0696c1ea3ec 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd @@ -34,20 +34,23 @@ PACKAGE lofar2_unb2c_sdp_station_pkg IS TYPE t_lofar2_unb2c_sdp_station_config IS RECORD no_jesd : BOOLEAN; use_fsub : BOOLEAN; + use_oversample : BOOLEAN; use_bf : BOOLEAN; use_xsub : BOOLEAN; use_ring : BOOLEAN; P_sq : NATURAL; END RECORD; - CONSTANT c_ait : t_lofar2_unb2c_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, 0); - CONSTANT c_fsub : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, 0); - CONSTANT c_bf : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, FALSE, 0); - CONSTANT c_bf_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, TRUE, 0); - CONSTANT c_xsub_one : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, 1); - CONSTANT c_xsub_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, 9); - CONSTANT c_full_wg : t_lofar2_unb2c_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, 9); - CONSTANT c_full : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_ait : t_lofar2_unb2c_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_fsub : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_bf : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, FALSE, 0); + CONSTANT c_bf_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, TRUE, 0); + CONSTANT c_xsub_one : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, FALSE, 1); + CONSTANT c_xsub_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, TRUE, 9); + CONSTANT c_full_wg : t_lofar2_unb2c_sdp_station_config := (TRUE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_os : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_wg_os : t_lofar2_unb2c_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); -- Function to select the revision configuration. FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_lofar2_unb2c_sdp_station_config; @@ -67,6 +70,8 @@ PACKAGE BODY lofar2_unb2c_sdp_station_pkg IS ELSIF g_design_name = "lofar2_unb2c_sdp_station_xsub_one" THEN RETURN c_xsub_one; ELSIF g_design_name = "lofar2_unb2c_sdp_station_xsub_ring" THEN RETURN c_xsub_ring; ELSIF g_design_name = "lofar2_unb2c_sdp_station_full_wg" THEN RETURN c_full_wg; + ELSIF g_design_name = "disturb2_unb2c_sdp_station_full" THEN RETURN c_full_os; + ELSIF g_design_name = "disturb2_unb2c_sdp_station_full_wg" THEN RETURN c_full_wg_os; ELSE RETURN c_full; END IF; END; diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd index 12f93299f3749dd70aeada6cd42e001a944071d7..c8f7511c6e9e19f71e91ec67d526c2f11eecb18a 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd @@ -92,14 +92,14 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS ram_diag_data_buffer_bsn_reset_export : out std_logic; -- export ram_diag_data_buffer_bsn_write_export : out std_logic; -- export ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_equalizer_gains_address_export : out std_logic_vector(12 downto 0); -- export + ram_equalizer_gains_address_export : out std_logic_vector(13 downto 0); -- export ram_equalizer_gains_clk_export : out std_logic; -- export ram_equalizer_gains_read_export : out std_logic; -- export ram_equalizer_gains_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export ram_equalizer_gains_reset_export : out std_logic; -- export ram_equalizer_gains_write_export : out std_logic; -- export ram_equalizer_gains_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_fil_coefs_address_export : out std_logic_vector(13 downto 0); -- export + ram_fil_coefs_address_export : out std_logic_vector(14 downto 0); -- export ram_fil_coefs_clk_export : out std_logic; -- export ram_fil_coefs_read_export : out std_logic; -- export ram_fil_coefs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export @@ -134,7 +134,7 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS ram_st_histogram_writedata_export : out std_logic_vector(31 downto 0); -- export ram_st_histogram_read_export : out std_logic; -- export ram_st_histogram_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_st_sst_address_export : out std_logic_vector(13 downto 0); -- export + ram_st_sst_address_export : out std_logic_vector(14 downto 0); -- export ram_st_sst_clk_export : out std_logic; -- export ram_st_sst_read_export : out std_logic; -- export ram_st_sst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export diff --git a/applications/lofar2/libraries/sdp/hdllib.cfg b/applications/lofar2/libraries/sdp/hdllib.cfg index 380e327a6bc72885dc4b16121b43a70e32146aca..e351f1ec0688cb36d9f5ecd55cce061a3e9bd5d2 100644 --- a/applications/lofar2/libraries/sdp/hdllib.cfg +++ b/applications/lofar2/libraries/sdp/hdllib.cfg @@ -18,6 +18,7 @@ synth_files = src/vhdl/sdp_crosslets_subband_select.vhd src/vhdl/node_sdp_adc_input_and_timing.vhd src/vhdl/node_sdp_filterbank.vhd + src/vhdl/node_sdp_oversampled_filterbank.vhd src/vhdl/node_sdp_beamformer.vhd src/vhdl/node_sdp_correlator.vhd src/vhdl/sdp_station.vhd diff --git a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_oversampled_filterbank.vhd b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_oversampled_filterbank.vhd new file mode 100644 index 0000000000000000000000000000000000000000..23d6a870744e3c6e4836c8b2d0c662f710c28b57 --- /dev/null +++ b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_oversampled_filterbank.vhd @@ -0,0 +1,686 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2020 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- +-- Author: R. van der Walle +-- Purpose: +-- . Implements the functionality of the complex subband filterbank (Fsub complex) in the +-- DISTURB SDPFW design. +-- Description: +-- . The subband filterbank seperates the incoming timestamped ADC samples into +-- 512 frequency bands called subbands. +-- . It implements a critically sampled poly-phase filterbank (PFB). The PFB consists of a +-- poly-phase finite impulse response (PFIR) filter per complex input and a +-- complex fast fourier transform (FFT) per complex input. +-- . The number of points of the FFT is 1024. +-- Remark: +-- . +------------------------------------------------------------------------------- + +LIBRARY IEEE, common_lib, dp_lib, diag_lib, rTwoSDF_lib, common_mult_lib, wpfb_lib, filter_lib, si_lib, st_lib, mm_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE common_lib.common_network_layers_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; +USE rTwoSDF_lib.rTwoSDFPkg.ALL; +USE filter_lib.fil_pkg.ALL; +USE wpfb_lib.wpfb_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE work.sdp_pkg.ALL; + +ENTITY node_sdp_oversampled_filterbank IS + GENERIC ( + g_sim : BOOLEAN := FALSE; + g_sim_sdp : t_sdp_sim := c_sdp_sim; + g_wpfb : t_wpfb := c_sdp_wpfb_subbands; + g_wpfb_complex : t_wpfb := c_sdp_wpfb_complex_subbands; + g_scope_selected_subband : NATURAL := 0 + ); + PORT ( + dp_clk : IN STD_LOGIC; + dp_rst : IN STD_LOGIC; + + in_sosi_arr : IN t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0); + pfb_sosi_arr : OUT t_dp_sosi_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0); + fsub_sosi_arr : OUT t_dp_sosi_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0); + sst_udp_sosi : OUT t_dp_sosi; + sst_udp_siso : IN t_dp_siso := c_dp_siso_rst; + + dp_bsn_source_restart : IN STD_LOGIC; + + mm_rst : IN STD_LOGIC; + mm_clk : IN STD_LOGIC; + + reg_si_mosi : IN t_mem_mosi := c_mem_mosi_rst; + reg_si_miso : OUT t_mem_miso; + ram_st_sst_mosi : IN t_mem_mosi := c_mem_mosi_rst; + ram_st_sst_miso : OUT t_mem_miso; + ram_fil_coefs_mosi : IN t_mem_mosi := c_mem_mosi_rst; + ram_fil_coefs_miso : OUT t_mem_miso; + ram_gains_mosi : IN t_mem_mosi := c_mem_mosi_rst; + ram_gains_miso : OUT t_mem_miso; + reg_selector_mosi : IN t_mem_mosi := c_mem_mosi_rst; + reg_selector_miso : OUT t_mem_miso; + reg_enable_mosi : IN t_mem_mosi := c_mem_mosi_rst; + reg_enable_miso : OUT t_mem_miso; + reg_hdr_dat_mosi : IN t_mem_mosi := c_mem_mosi_rst; + reg_hdr_dat_miso : OUT t_mem_miso; + reg_bsn_monitor_v2_sst_offload_copi : IN t_mem_copi := c_mem_copi_rst; + reg_bsn_monitor_v2_sst_offload_cipo : OUT t_mem_cipo; + + sdp_info : IN t_sdp_info; + gn_id : IN STD_LOGIC_VECTOR(c_sdp_W_gn_id-1 DOWNTO 0); + + eth_src_mac : IN STD_LOGIC_VECTOR(c_network_eth_mac_addr_w-1 DOWNTO 0); + ip_src_addr : IN STD_LOGIC_VECTOR(c_network_ip_addr_w-1 DOWNTO 0); + udp_src_port : IN STD_LOGIC_VECTOR(c_network_udp_port_w-1 DOWNTO 0) + ); +END node_sdp_oversampled_filterbank; + +ARCHITECTURE str OF node_sdp_oversampled_filterbank IS + + CONSTANT c_coefs_file_prefix : STRING := "data/Coeffs16384Kaiser-quant_1wb"; + CONSTANT c_gains_file_name : STRING := "data/gains_1024_complex_16b13f_unit"; -- Can be generated by src/python/sdp_hex.py + + CONSTANT c_subband_equalizer_latency : NATURAL := 5; + + CONSTANT c_nof_masters : POSITIVE := 2; + + CONSTANT c_si_pipeline : NATURAL := 1; + CONSTANT c_complex_mult_pipeline : NATURAL := 3; + CONSTANT c_complex_pfb_pipeline : NATURAL := 2; + + CONSTANT c_buf : t_c_mem := (latency => 1, + adr_w => ceil_log2(2 * c_sdp_N_fft), + dat_w => c_nof_complex * c_halfword_w, + nof_dat => c_sdp_V_oversample * c_sdp_N_fft, + init_sl => '0'); + + CONSTANT c_buf_file : STRING := "data/freq_shift_half_subband_2048x16_im_re.hex"; + + CONSTANT c_wg_ctrl : t_diag_wg := (TO_UVEC(c_diag_wg_mode_repeat, c_diag_wg_mode_w), TO_UVEC(c_buf.nof_dat, c_diag_wg_nofsamples_w), (OTHERS => '0'), (OTHERS => '0'), (OTHERS => '0')); + CONSTANT c_wg_phase_offset : NATURAL := 6; -- Compensate for WG start latency. In nof samples. + + CONSTANT c_fil_coefs_mem_addr_w : NATURAL := ceil_log2(c_sdp_N_fft * c_sdp_N_taps); + + SIGNAL ram_fil_coefs_mosi_arr : t_mem_mosi_arr(c_sdp_V_oversample-1 DOWNTO 0) := (OTHERS => c_mem_mosi_rst); + SIGNAL ram_fil_coefs_miso_arr : t_mem_miso_arr(c_sdp_V_oversample-1 DOWNTO 0) := (OTHERS => c_mem_miso_rst); + + SIGNAL ram_st_sst_mosi_arr : t_mem_mosi_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_mem_mosi_rst); + SIGNAL ram_st_sst_miso_arr : t_mem_miso_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_mem_miso_rst); + + -- Subband statistics + SIGNAL ram_st_offload_mosi : t_mem_mosi := c_mem_mosi_rst; + SIGNAL ram_st_offload_miso : t_mem_miso := c_mem_miso_rst; + + SIGNAL master_mem_mux_mosi : t_mem_mosi := c_mem_mosi_rst; + SIGNAL master_mem_mux_miso : t_mem_miso := c_mem_miso_rst; + SIGNAL master_mosi_arr : t_mem_mosi_arr(0 TO c_nof_masters-1) := (OTHERS=>c_mem_mosi_rst); + SIGNAL master_miso_arr : t_mem_miso_arr(0 TO c_nof_masters-1) := (OTHERS=>c_mem_miso_rst); + + SIGNAL wg_rddata : STD_LOGIC_VECTOR(c_buf.dat_w -1 DOWNTO 0); + SIGNAL wg_rdval : STD_LOGIC; + SIGNAL wg_address : STD_LOGIC_VECTOR(c_buf.adr_w -1 DOWNTO 0); + SIGNAL wg_rom_address : STD_LOGIC_VECTOR(c_buf.adr_w -1 DOWNTO 0); + SIGNAL wg_rd : STD_LOGIC; + SIGNAL wg_out_dat : STD_LOGIC_VECTOR(c_buf.dat_w -1 DOWNTO 0); + + SIGNAL si_sosi_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL si_sosi_0_piped : t_dp_sosi := c_dp_sosi_rst; + SIGNAL wpfb_unit_out_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_unit_out_sosi_arr_piped : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_unit_fil_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_unit_in_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + + SIGNAL complex_mult_src_out_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL requantize_src_out_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_unit_complex_out_sosi_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_unit_complex_fil_sosi_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_unit_complex_in_sosi_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_unit_out_resized_sosi_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_fifo_sosi_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL wpfb_fifo_siso_arr : t_dp_siso_arr(c_sdp_S_pn-1 DOWNTO 0) := (OTHERS => c_dp_siso_rst); + SIGNAL wpfb_resized_sosi_2arr : t_dp_sosi_2arr_2(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => (OTHERS => c_dp_sosi_rst)); + SIGNAL wpfb_resized_siso_2arr : t_dp_siso_2arr_2(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => (OTHERS => c_dp_siso_rst)); + SIGNAL subband_equalizer_in_sosi_arr : t_dp_sosi_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL subband_equalizer_out_sosi_arr : t_dp_sosi_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + SIGNAL dp_selector_out_sosi_arr : t_dp_sosi_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); + + SIGNAL scope_sosi_arr : t_dp_sosi_integer_arr(c_sdp_V_oversample * c_sdp_S_pn-1 DOWNTO 0); + + SIGNAL selector_en : STD_LOGIC; + SIGNAL weighted_subbands_flag : STD_LOGIC; + SIGNAL dp_bsn_source_restart_pipe : STD_LOGIC; + SIGNAL dp_bsn_source_restart_delayed : STD_LOGIC; + SIGNAL dp_bsn_source_restart_wg : STD_LOGIC; + SIGNAL dp_bsn_source_restart_pipe_complex : STD_LOGIC; +BEGIN + --------------------------------------------------------------- + -- SPECTRAL INVERSION + --------------------------------------------------------------- + u_si_arr : ENTITY si_lib.si_arr + GENERIC MAP ( + g_nof_streams => c_sdp_S_pn, + g_pipeline => c_si_pipeline, + g_dat_w => c_sdp_W_adc + ) + PORT MAP( + in_sosi_arr => in_sosi_arr, + out_sosi_arr => si_sosi_arr, + + reg_si_mosi => reg_si_mosi, + reg_si_miso => reg_si_miso, + + mm_rst => mm_rst, + mm_clk => mm_clk, + dp_clk => dp_clk, + dp_rst => dp_rst + ); + + --------------------------------------------------------------- + -- POLY-PHASE FILTERBANK + --------------------------------------------------------------- + + -- Connect the 12 ADC streams to the re and im fields of the PFB input. + p_pfb_streams : PROCESS(si_sosi_arr) + BEGIN + FOR I IN 0 TO c_sdp_P_pfb-1 LOOP + wpfb_unit_in_sosi_arr(I) <= si_sosi_arr(2*I); + wpfb_unit_in_sosi_arr(I).re <= RESIZE_DP_DSP_DATA(si_sosi_arr(2*I).data(c_sdp_W_adc-1 DOWNTO 0)); + wpfb_unit_in_sosi_arr(I).im <= RESIZE_DP_DSP_DATA(si_sosi_arr(2*I+1).data(c_sdp_W_adc-1 DOWNTO 0)); + END LOOP; + END PROCESS; + + -- pipeline bsn restart signal to keep dp_bsn_source_restart aligned with si_sosi_arr + u_common_pipeline_sl : ENTITY common_lib.common_pipeline_sl + GENERIC MAP ( + g_pipeline => c_si_pipeline + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + in_dat => dp_bsn_source_restart, + out_dat => dp_bsn_source_restart_pipe + ); + + -- PFB + u_wpfb_unit_dev : ENTITY wpfb_lib.wpfb_unit_dev + GENERIC MAP ( + g_wpfb => g_wpfb, + g_use_prefilter => TRUE, + g_stats_ena => FALSE, + g_use_bg => FALSE, + g_coefs_file_prefix => c_coefs_file_prefix, + g_restart_on_valid => FALSE + ) + PORT MAP ( + dp_rst => dp_rst, + dp_clk => dp_clk, + mm_rst => mm_rst, + mm_clk => mm_clk, + + ram_fil_coefs_mosi => ram_fil_coefs_mosi_arr(0), + ram_fil_coefs_miso => ram_fil_coefs_miso_arr(0), + + in_sosi_arr => wpfb_unit_in_sosi_arr, + fil_sosi_arr => wpfb_unit_fil_sosi_arr, + out_sosi_arr => wpfb_unit_out_sosi_arr, + + dp_bsn_source_restart => dp_bsn_source_restart_pipe + ); + + + --------------------------------------------------------------- + -- POLY-PHASE COMPLEX FILTERBANK + --------------------------------------------------------------- + -- WG for frequency shift by half a subband + -- real part is in LSB and imaginary part in MSB. + -- Waveform buffer + u_buf : ENTITY common_lib.common_rom + GENERIC MAP ( + g_ram => c_buf, + g_init_file => c_buf_file + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + rd_adr => wg_address, + rd_en => wg_rd, + rd_val => wg_rdval, + rd_dat => wg_rddata + ); + + -- Waveform generator as local oscillator. + p_lo_restart : PROCESS(dp_clk, dp_rst) + BEGIN + IF rising_edge(dp_clk) THEN + dp_bsn_source_restart_wg <= '0'; + IF dp_rst = '1' THEN + dp_bsn_source_restart_delayed <= '0'; + ELSIF si_sosi_arr(0).sop = '1' THEN + dp_bsn_source_restart_delayed <= dp_bsn_source_restart_pipe; + IF dp_bsn_source_restart_pipe = '1' AND si_sosi_arr(0).bsn(0) = '0' THEN -- even bsn, start now. + dp_bsn_source_restart_wg <= '1'; + ELSE -- Odd bsn, start 1 block later. + dp_bsn_source_restart_wg <= dp_bsn_source_restart_delayed; + END IF; + END IF; + END IF; + END PROCESS; + + u_lo_wg : ENTITY diag_lib.diag_wg + GENERIC MAP ( + g_buf_dat_w => c_buf.dat_w, + g_buf_addr_w => c_buf.adr_w, + g_rate_offset => c_wg_phase_offset, + g_calc_support => FALSE + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + restart => dp_bsn_source_restart_wg, + + buf_rddat => wg_rddata, + buf_rdval => wg_rdval, + buf_addr => wg_address, + buf_rden => wg_rd, + + ctrl => c_wg_ctrl, + +-- out_ovr => out_ovr, + out_dat => wg_out_dat --, +-- out_val => wg_out_val, +-- out_sync => out_sync + ); + + -- Complex mult + gen_complex_mult: FOR I IN 0 TO c_sdp_S_pn-1 GENERATE + u_common_complex_mult : ENTITY common_mult_lib.common_complex_mult + GENERIC MAP ( + g_in_a_w => c_halfword_w, + g_in_b_w => c_sdp_W_adc, + g_out_p_w => c_halfword_w + c_sdp_W_adc, + g_conjugate_b => FALSE + ) + PORT MAP ( + clk => dp_clk, + clken => '1', + rst => dp_rst, + in_ar => wg_out_dat(c_halfword_w-1 DOWNTO 0), + in_ai => wg_out_dat(2 * c_halfword_w-1 DOWNTO c_halfword_w), + in_br => si_sosi_arr(I).data(c_sdp_W_adc-1 DOWNTO 0), + in_bi => (OTHERS => '0'), + in_val => si_sosi_arr(I).valid, + out_pr => complex_mult_src_out_arr(I).re(c_halfword_w + c_sdp_W_adc-1 DOWNTO 0), + out_pi => complex_mult_src_out_arr(I).im(c_halfword_w + c_sdp_W_adc-1 DOWNTO 0), + out_val => complex_mult_src_out_arr(I).valid + ); + + --requantize + u_dp_requantize : ENTITY dp_lib.dp_requantize + GENERIC MAP ( + g_complex => TRUE, + g_representation => "SIGNED", + g_lsb_w => c_sdp_W_fsub_wg_fraction, + g_lsb_round => TRUE, + g_lsb_round_clip => FALSE, + g_msb_clip => TRUE, + g_msb_clip_symmetric => FALSE, + g_in_dat_w => c_halfword_w + c_sdp_W_adc, + g_out_dat_w => c_sdp_W_adc + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + -- ST sink + snk_in => complex_mult_src_out_arr(I), + -- ST source + src_out => requantize_src_out_arr(I) + ); + + END GENERATE; + + -- Pipeline to compensate for complex mult. + u_dp_pipeline : ENTITY dp_lib.dp_pipeline + GENERIC MAP ( + g_pipeline => c_complex_mult_pipeline + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + -- ST sink + snk_in => si_sosi_arr(0), + -- ST source + src_out => si_sosi_0_piped + ); + + -- pipeline bsn restart signal to keep dp_bsn_source_restart aligned with si_sosi_arr + u_common_pipeline_sl_cplx : ENTITY common_lib.common_pipeline_sl + GENERIC MAP ( + g_pipeline => c_complex_mult_pipeline + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + in_dat => dp_bsn_source_restart_pipe, + out_dat => dp_bsn_source_restart_pipe_complex + ); + PROCESS(requantize_src_out_arr, si_sosi_0_piped) + BEGIN + FOR I IN 0 TO c_sdp_S_pn-1 LOOP + wpfb_unit_complex_in_sosi_arr(I) <= si_sosi_0_piped; + wpfb_unit_complex_in_sosi_arr(I).re <= requantize_src_out_arr(I).re; + wpfb_unit_complex_in_sosi_arr(I).im <= requantize_src_out_arr(I).im; + END LOOP; + END PROCESS; + + -- PFB complex + u_wpfb_unit_dev_complex : ENTITY wpfb_lib.wpfb_unit_dev + GENERIC MAP ( + g_wpfb => g_wpfb_complex, + g_use_prefilter => TRUE, + g_stats_ena => FALSE, + g_use_bg => FALSE, + g_coefs_file_prefix => c_coefs_file_prefix, + g_restart_on_valid => FALSE + ) + PORT MAP ( + dp_rst => dp_rst, + dp_clk => dp_clk, + mm_rst => mm_rst, + mm_clk => mm_clk, + + ram_fil_coefs_mosi => ram_fil_coefs_mosi_arr(1), + ram_fil_coefs_miso => ram_fil_coefs_miso_arr(1), + + in_sosi_arr => wpfb_unit_complex_in_sosi_arr, + fil_sosi_arr => wpfb_unit_complex_fil_sosi_arr, + out_sosi_arr => wpfb_unit_complex_out_sosi_arr, + + dp_bsn_source_restart => dp_bsn_source_restart_pipe_complex + ); + + --------------------------------------------------------------- + -- Interleave for PFB complex + --------------------------------------------------------------- + -- Remove the part that is in the negative frequency domain by reducing the size to N_sub = 512. + gen_dp_block_resize: FOR I IN 0 TO c_sdp_S_pn-1 GENERATE + u_dp_block_resize : ENTITY dp_lib.dp_block_resize + GENERIC MAP( + g_input_block_size => c_sdp_N_fft, + g_out_eop_index => c_sdp_N_sub - 1 + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + snk_in => wpfb_unit_complex_out_sosi_arr(I), + src_out => wpfb_unit_out_resized_sosi_arr(I) + ); + END GENERATE; + + -- buffer for interleaving + gen_dp_fifo: FOR I IN 0 TO c_sdp_S_pn-1 GENERATE + u_dp_fifo_sc : ENTITY dp_lib.dp_fifo_sc + GENERIC MAP( + g_data_w => c_nof_complex * c_sdp_W_subband, + g_bsn_w => c_dp_stream_bsn_w, + g_use_bsn => TRUE, + g_use_sync => TRUE, + g_use_complex => TRUE, + g_fifo_size => c_sdp_N_sub + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + snk_in => wpfb_unit_out_resized_sosi_arr(I), + src_out => wpfb_fifo_sosi_arr(I), + src_in => wpfb_fifo_siso_arr(I) + ); + END GENERATE; + + -- rewire 1d array of 1 X S_pn to 2d array of 2 X P_pfb + gen_rewire: FOR I IN 0 TO c_sdp_P_pfb-1 GENERATE + wpfb_resized_sosi_2arr(I)(0) <= wpfb_fifo_sosi_arr(2*I); + wpfb_resized_sosi_2arr(I)(1) <= wpfb_fifo_sosi_arr(2*I + 1); + wpfb_fifo_siso_arr(2*I) <= wpfb_resized_siso_2arr(I)(0); + wpfb_fifo_siso_arr(2*I + 1) <= wpfb_resized_siso_2arr(I)(1); + END GENERATE; + + -- Interleave 2 to 1 for all S_pn signals. + gen_interleave: FOR I IN 0 TO c_sdp_P_pfb-1 GENERATE + u_dp_interleave_n_to_one : ENTITY dp_lib.dp_interleave_n_to_one + GENERIC MAP( + g_nof_inputs => c_sdp_Q_fft + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + snk_in_arr => wpfb_resized_sosi_2arr(I), + snk_out_arr => wpfb_resized_siso_2arr(I), + src_out => subband_equalizer_in_sosi_arr(c_sdp_P_pfb + I) + ); + END GENERATE; + + + -- Pipeline to compensate for longer latency of the complex PFB. + u_dp_pipeline_arr : ENTITY dp_lib.dp_pipeline_arr + GENERIC MAP ( + g_nof_streams => c_sdp_P_pfb, + g_pipeline => c_complex_pfb_pipeline + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + -- ST sink + snk_in_arr => wpfb_unit_out_sosi_arr, + -- ST source + src_out_arr => subband_equalizer_in_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0) + ); + + -- Output PFB streams + pfb_sosi_arr <= subband_equalizer_in_sosi_arr; + + --------------------------------------------------------------- + -- COMBINE MEMORY MAPPED INTERFACES OF RAM_FIL_COEFS + --------------------------------------------------------------- + u_mem_mux_coef : ENTITY common_lib.common_mem_mux + GENERIC MAP ( + g_nof_mosi => c_sdp_V_oversample, + g_mult_addr_w => c_fil_coefs_mem_addr_w + ) + PORT MAP ( + mosi => ram_fil_coefs_mosi, + miso => ram_fil_coefs_miso, + mosi_arr => ram_fil_coefs_mosi_arr, + miso_arr => ram_fil_coefs_miso_arr + ); + + --------------------------------------------------------------- + -- SUBBAND EQUALIZER + --------------------------------------------------------------- + u_sdp_subband_equalizer : ENTITY work.sdp_subband_equalizer + GENERIC MAP ( + g_gains_file_name => c_gains_file_name, + g_nof_streams => c_sdp_V_oversample * c_sdp_P_pfb + ) + PORT MAP( + dp_clk => dp_clk, + dp_rst => dp_rst, + + in_sosi_arr => subband_equalizer_in_sosi_arr, + out_sosi_arr => subband_equalizer_out_sosi_arr, + + mm_rst => mm_rst, + mm_clk => mm_clk, + + ram_gains_mosi => ram_gains_mosi, + ram_gains_miso => ram_gains_miso + ); + + -- Output fsub streams + fsub_sosi_arr <= subband_equalizer_out_sosi_arr; + + --------------------------------------------------------------- + -- DP SELECTOR + --------------------------------------------------------------- + u_dp_selector_arr : ENTITY dp_lib.dp_selector_arr + GENERIC MAP ( + g_nof_arr => c_sdp_V_oversample * c_sdp_P_pfb, + g_pipeline => c_subband_equalizer_latency + ) + PORT MAP ( + mm_rst => mm_rst, + mm_clk => mm_clk, + dp_rst => dp_rst, + dp_clk => dp_clk, + + reg_selector_mosi => reg_selector_mosi, + reg_selector_miso => reg_selector_miso, + + pipe_sosi_arr => subband_equalizer_in_sosi_arr, + ref_sosi_arr => subband_equalizer_out_sosi_arr, + out_sosi_arr => dp_selector_out_sosi_arr, + + selector_en => selector_en + ); + + --------------------------------------------------------------- + -- SUBBAND STATISTICS + --------------------------------------------------------------- + gen_stats_streams: FOR I IN 0 TO c_sdp_V_oversample * c_sdp_P_pfb-1 GENERATE + u_subband_stats : ENTITY st_lib.st_sst + GENERIC MAP( + g_nof_stat => c_sdp_N_sub*c_sdp_Q_fft, + g_in_data_w => c_sdp_W_subband, + g_stat_data_w => g_wpfb.stat_data_w, + g_stat_data_sz => g_wpfb.stat_data_sz, + g_stat_multiplex => c_sdp_Q_fft + ) + PORT MAP ( + mm_rst => mm_rst, + mm_clk => mm_clk, + dp_rst => dp_rst, + dp_clk => dp_clk, + in_complex => dp_selector_out_sosi_arr(I), + ram_st_sst_mosi => ram_st_sst_mosi_arr(I), + ram_st_sst_miso => ram_st_sst_miso_arr(I) + ); + END GENERATE; + + --------------------------------------------------------------- + -- COMBINE MEMORY MAPPED INTERFACES OF SST + --------------------------------------------------------------- + -- Combine the internal array of mm interfaces for the subband + -- statistics to one array. + u_mem_mux_sst : ENTITY common_lib.common_mem_mux + GENERIC MAP ( + g_nof_mosi => c_sdp_V_oversample * c_sdp_P_pfb, + g_mult_addr_w => ceil_log2(c_sdp_N_sub*c_sdp_Q_fft*g_wpfb.stat_data_sz) + ) + PORT MAP ( + mosi => master_mem_mux_mosi, + miso => master_mem_mux_miso, + mosi_arr => ram_st_sst_mosi_arr, + miso_arr => ram_st_sst_miso_arr + ); + + -- Connect 2 mm_masters to the common_mem_mux output + master_mosi_arr(0) <= ram_st_sst_mosi; -- MM access via QSYS MM bus + ram_st_sst_miso <= master_miso_arr(0); + master_mosi_arr(1) <= ram_st_offload_mosi; -- MM access by SST offload + ram_st_offload_miso <= master_miso_arr(1); + + u_mem_master_mux : ENTITY mm_lib.mm_master_mux + GENERIC MAP ( + g_nof_masters => c_nof_masters, + g_rd_latency_min => 1 -- read latency of statistics RAM is 1 + ) + PORT MAP ( + mm_clk => mm_clk, + + master_mosi_arr => master_mosi_arr, + master_miso_arr => master_miso_arr, + mux_mosi => master_mem_mux_mosi, + mux_miso => master_mem_mux_miso + ); + + --------------------------------------------------------------- + -- SIGNAL SCOPE + --------------------------------------------------------------- + u_sdp_scope : ENTITY work.sdp_scope + GENERIC MAP ( + g_sim => g_sim, + g_selection => g_scope_selected_subband, + g_nof_input => c_sdp_V_oversample * c_sdp_P_pfb, + g_n_deinterleave => c_sdp_Q_fft, + g_dat_w => c_sdp_W_subband + ) + PORT MAP ( + clk => dp_clk, + rst => dp_rst, + sp_sosi_arr => subband_equalizer_out_sosi_arr, + scope_sosi_arr => scope_sosi_arr + ); + + --------------------------------------------------------------- + -- STATISTICS OFFLOAD + --------------------------------------------------------------- + weighted_subbands_flag <= NOT selector_en; + + u_sdp_sst_udp_offload: ENTITY work.sdp_statistics_offload + GENERIC MAP ( + g_statistics_type => "SST_OS", + g_offload_time => sel_a_b(g_sim, g_sim_sdp.offload_time, c_sdp_offload_time) + ) + PORT MAP ( + mm_clk => mm_clk, + mm_rst => mm_rst, + + dp_clk => dp_clk, + dp_rst => dp_rst, + + master_mosi => ram_st_offload_mosi, + master_miso => ram_st_offload_miso, + + reg_enable_mosi => reg_enable_mosi, + reg_enable_miso => reg_enable_miso, + + reg_hdr_dat_mosi => reg_hdr_dat_mosi, + reg_hdr_dat_miso => reg_hdr_dat_miso, + + reg_bsn_monitor_v2_offload_copi => reg_bsn_monitor_v2_sst_offload_copi, + reg_bsn_monitor_v2_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo, + + in_sosi => dp_selector_out_sosi_arr(0), + out_sosi => sst_udp_sosi, + out_siso => sst_udp_siso, + + eth_src_mac => eth_src_mac, + udp_src_port => udp_src_port, + ip_src_addr => ip_src_addr, + + gn_index => TO_UINT(gn_id), + sdp_info => sdp_info, + weighted_subbands_flag => weighted_subbands_flag + ); + +END str; diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd index c7d6f7fc46f5c5238a512102cec999dbd494ad6d..52c400be4bf76fb4abe06e3a83fcc38041216aa6 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_local.vhd @@ -59,7 +59,7 @@ END sdp_beamformer_local; ARCHITECTURE str OF sdp_beamformer_local IS CONSTANT c_complex_adder_latency : NATURAL := ceil_log2(c_sdp_S_pn); - CONSTANT c_bf_weights_latency : NATURAL := 5; + CONSTANT c_bf_weights_latency : NATURAL := 5; -- 3 for complex multiplier + 2 RAM latency CONSTANT c_total_latency : NATURAL := 3 + c_bf_weights_latency + c_complex_adder_latency; CONSTANT c_complex_adder_sum_w : NATURAL := c_sdp_W_bf_product + ceil_log2(c_sdp_S_pn); diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd index 8435ef4163ca221cb5376635be90f1760e96bfe9..3b5d57a7f320031afaab78b9d63b4dc4e0dae874 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd @@ -82,6 +82,7 @@ PACKAGE sdp_pkg is CONSTANT c_sdp_S_pn : NATURAL := 12; CONSTANT c_sdp_S_rcu : NATURAL := 3; CONSTANT c_sdp_S_sub_bf : NATURAL := 488; + CONSTANT c_sdp_V_oversample : NATURAL := 2; -- Oversampling factor of 2 for PFB. CONSTANT c_sdp_V_ring_pkt_len_max : NATURAL := 48; -- for 16 nodes CONSTANT c_sdp_V_sample_delay : NATURAL := 4096; CONSTANT c_sdp_V_si_db : NATURAL := 1024; @@ -106,6 +107,9 @@ PACKAGE sdp_pkg is CONSTANT c_sdp_W_bf_weight : NATURAL := 16; -- = w in s(w, p), s = signed CONSTANT c_sdp_W_bf_weight_fraction : NATURAL := 14; -- = p in s(w, p) CONSTANT c_sdp_W_bf_weight_magnitude : NATURAL := c_sdp_W_bf_weight - c_sdp_W_bf_weight_fraction - 1; -- = 1 + CONSTANT c_sdp_W_fsub_wg : NATURAL := 16; -- = w in s(w, p), s = signed + CONSTANT c_sdp_W_fsub_wg_fraction : NATURAL := 15; -- = p in s(w, p) + CONSTANT c_sdp_W_fsub_wg_magnitude : NATURAL := c_sdp_W_fsub_wg - c_sdp_W_fsub_wg_fraction - 1; -- = 0 CONSTANT c_sdp_N_ring_nof_mac10g : NATURAL := 3; -- for sdp_station_xsub_ring design. -- Derived constants @@ -172,6 +176,12 @@ PACKAGE sdp_pkg is true, false, true, 23, c_sdp_W_subband, 1, 24, 1, true, 54, c_sdp_W_statistic_sz, 195313, c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline); -- = c_wpfb_lofar2_subbands_dts_18b + CONSTANT c_sdp_wpfb_complex_subbands : t_wpfb := + (1, c_sdp_N_fft, 0, c_sdp_S_pn, + c_sdp_N_taps, 1, c_sdp_W_adc, 23, c_sdp_W_fir_coef, + true, false, false, 23, c_sdp_W_subband, 1, 24, 1, true, 54, c_sdp_W_statistic_sz, 195313, + c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline); -- = c_wpfb_lofar2_subbands_dts_18b + -- DC gain of WPFB FIR filter obtained from applications/lofar2/model/run_pfir_coef.m using application = 'lofar_subband' -- Not used in RTL, only used in test benches to verify expected suband levels CONSTANT c_sdp_wpfb_fir_filter_dc_gain : REAL := c_fil_lofar1_fir_filter_dc_gain; -- = 0.994817, almost unit DC gain @@ -456,10 +466,10 @@ PACKAGE sdp_pkg is CONSTANT c_sdp_reg_aduh_monitor_addr_w : NATURAL := ceil_log2(c_sdp_S_pn) + 2; -- FSUB MM address widths - CONSTANT c_sdp_ram_fil_coefs_addr_w : NATURAL := ceil_log2(c_sdp_N_fft * c_sdp_N_taps); - CONSTANT c_sdp_ram_st_sst_addr_w : NATURAL := ceil_log2(c_sdp_P_pfb * c_sdp_N_sub * c_sdp_Q_fft * c_sdp_W_statistic_sz); + CONSTANT c_sdp_ram_fil_coefs_addr_w : NATURAL := ceil_log2(c_sdp_V_oversample) + ceil_log2(c_sdp_N_fft * c_sdp_N_taps); + CONSTANT c_sdp_ram_st_sst_addr_w : NATURAL := ceil_log2(c_sdp_V_oversample * c_sdp_P_pfb) + ceil_log2(c_sdp_N_sub * c_sdp_Q_fft * c_sdp_W_statistic_sz); CONSTANT c_sdp_reg_si_addr_w : NATURAL := 1; --enable/disable - CONSTANT c_sdp_ram_equalizer_gains_addr_w : NATURAL := ceil_log2(c_sdp_P_pfb*c_sdp_N_sub*c_sdp_Q_fft); + CONSTANT c_sdp_ram_equalizer_gains_addr_w : NATURAL := ceil_log2(c_sdp_V_oversample * c_sdp_P_pfb) + ceil_log2(c_sdp_N_sub * c_sdp_Q_fft); CONSTANT c_sdp_reg_dp_selector_addr_w : NATURAL := 1; --Select input 0 or 1. CONSTANT c_sdp_reg_bsn_monitor_v2_sst_offload_addr_w : NATURAL := c_sdp_reg_bsn_monitor_v2_addr_w; @@ -634,14 +644,14 @@ PACKAGE BODY sdp_pkg IS BEGIN RETURN sel_a_b(g_statistics_type="BST", c_marker_bst, sel_a_b(g_statistics_type="XST", c_marker_xst, - c_marker_sst)); -- SST + c_marker_sst)); -- SST, SST_OS END func_sdp_get_stat_marker; FUNCTION func_sdp_get_stat_nof_signal_inputs(g_statistics_type : STRING) RETURN NATURAL IS BEGIN RETURN sel_a_b(g_statistics_type="BST", 0, -- not applicable for BST, so use 0, sel_a_b(g_statistics_type="XST", c_sdp_S_pn, - 1)); -- SST + 1)); -- SST, SST_OS END func_sdp_get_stat_nof_signal_inputs; FUNCTION func_sdp_get_stat_from_mm_user_size(g_statistics_type : STRING) RETURN NATURAL IS @@ -649,14 +659,14 @@ PACKAGE BODY sdp_pkg IS BEGIN RETURN sel_a_b(g_statistics_type="BST", c_sdp_W_statistic_sz, -- = 2, so preserve X, Y order sel_a_b(g_statistics_type="XST", c_sdp_W_statistic_sz, -- = 2, so preserve Re, Im order - c_sdp_W_statistic_sz)); -- = 2, SST + c_sdp_W_statistic_sz)); -- = 2, SST, SST_OS END func_sdp_get_stat_from_mm_user_size; FUNCTION func_sdp_get_stat_from_mm_data_size(g_statistics_type : STRING) RETURN NATURAL IS BEGIN RETURN sel_a_b(g_statistics_type="BST", c_sdp_N_pol_bf * c_sdp_W_statistic_sz, -- = 4 sel_a_b(g_statistics_type="XST", c_nof_complex * c_sdp_W_statistic_sz, -- = 4 - c_sdp_W_statistic_sz)); -- = 2, SST + c_sdp_W_statistic_sz)); -- = 2, SST, SST_OS END func_sdp_get_stat_from_mm_data_size; FUNCTION func_sdp_get_stat_from_mm_step_size(g_statistics_type : STRING) RETURN NATURAL IS @@ -664,14 +674,14 @@ PACKAGE BODY sdp_pkg IS BEGIN RETURN sel_a_b(g_statistics_type="BST", c_data_size, -- = 4 sel_a_b(g_statistics_type="XST", c_data_size, -- = 4 - c_data_size * c_sdp_Q_fft)); -- = 4, SST + c_data_size * c_sdp_Q_fft)); -- = 4, SST, SST_OS END func_sdp_get_stat_from_mm_step_size; FUNCTION func_sdp_get_stat_from_mm_nof_data(g_statistics_type : STRING) RETURN NATURAL IS BEGIN RETURN sel_a_b(g_statistics_type="BST", c_sdp_S_sub_bf, -- = 488 sel_a_b(g_statistics_type="XST", c_sdp_X_sq, -- = 144 - c_sdp_N_sub)); -- = 512, SST + c_sdp_N_sub)); -- = 512, SST, SST_OS END func_sdp_get_stat_from_mm_nof_data; -- nof_statistics_per_packet = mm_nof_data * mm_data_size / c_sdp_W_statistic_sz @@ -679,7 +689,7 @@ PACKAGE BODY sdp_pkg IS BEGIN RETURN sel_a_b(g_statistics_type="BST", c_sdp_S_sub_bf * c_sdp_N_pol_bf, -- = 976 sel_a_b(g_statistics_type="XST", c_sdp_X_sq * c_nof_complex, -- = 288 - c_sdp_N_sub)); -- = 512, SST + c_sdp_N_sub)); -- = 512, SST, SST_OS END func_sdp_get_stat_nof_statistics_per_packet; FUNCTION func_sdp_get_stat_app_total_length(g_statistics_type : STRING) RETURN NATURAL IS @@ -717,14 +727,15 @@ PACKAGE BODY sdp_pkg IS BEGIN RETURN sel_a_b(g_statistics_type="BST", c_sdp_bst_udp_src_port_15_8 & c_gn_index, -- BST = 0xD0 & gn_index sel_a_b(g_statistics_type="XST", c_sdp_xst_udp_src_port_15_8 & c_gn_index, -- XST = 0xD1 & gn_index - c_sdp_sst_udp_src_port_15_8 & c_gn_index)); -- SST = 0xD2 & gn_index + c_sdp_sst_udp_src_port_15_8 & c_gn_index)); -- SST = 0xD2 & gn_index, SST_OS END func_sdp_get_stat_udp_src_port; FUNCTION func_sdp_get_stat_nof_packets(g_statistics_type : STRING; S_pn, P_sq, N_crosslets : NATURAL) RETURN NATURAL IS BEGIN RETURN sel_a_b(g_statistics_type="BST", 1, sel_a_b(g_statistics_type="XST", P_sq * N_crosslets, - S_pn)); -- SST + sel_a_b(g_statistics_type="SST", S_pn, + c_sdp_V_oversample * S_pn))); -- SST_OS END func_sdp_get_stat_nof_packets; FUNCTION func_sdp_get_stat_nof_packets(g_statistics_type : STRING) RETURN NATURAL IS @@ -853,14 +864,14 @@ PACKAGE BODY sdp_pkg IS FUNCTION func_sdp_map_stat_data_id(g_statistics_type : STRING; data_id_slv : STD_LOGIC_VECTOR) RETURN t_sdp_stat_data_id IS VARIABLE v_rec : t_sdp_stat_data_id; BEGIN - IF g_statistics_type = "SST" THEN - v_rec.sst_signal_input_index := TO_UINT(data_id_slv(7 DOWNTO 0)); - ELSIF g_statistics_type = "BST" THEN + IF g_statistics_type = "BST" THEN v_rec.bst_beamlet_index := TO_UINT(data_id_slv(15 DOWNTO 0)); ELSIF g_statistics_type = "XST" THEN v_rec.xst_subband_index := TO_UINT(data_id_slv(24 DOWNTO 16)); v_rec.xst_signal_input_A_index := TO_UINT(data_id_slv(15 DOWNTO 8)); v_rec.xst_signal_input_B_index := TO_UINT(data_id_slv(7 DOWNTO 0)); + ELSE -- SST, SST_OS + v_rec.sst_signal_input_index := TO_UINT(data_id_slv(7 DOWNTO 0)); END IF; RETURN v_rec; END func_sdp_map_stat_data_id; @@ -868,14 +879,14 @@ PACKAGE BODY sdp_pkg IS FUNCTION func_sdp_map_stat_data_id(g_statistics_type : STRING; data_id_rec : t_sdp_stat_data_id) RETURN STD_LOGIC_VECTOR IS VARIABLE v_slv : STD_LOGIC_VECTOR(31 DOWNTO 0) := x"00000000"; BEGIN - IF g_statistics_type = "SST" THEN - v_slv(7 DOWNTO 0) := TO_UVEC(data_id_rec.sst_signal_input_index, 8); - ELSIF g_statistics_type = "BST" THEN + IF g_statistics_type = "BST" THEN v_slv(15 DOWNTO 0) := TO_UVEC(data_id_rec.bst_beamlet_index, 16); ELSIF g_statistics_type = "XST" THEN v_slv(24 DOWNTO 16) := TO_UVEC(data_id_rec.xst_subband_index, 9); v_slv(15 DOWNTO 8) := TO_UVEC(data_id_rec.xst_signal_input_A_index, 8); v_slv(7 DOWNTO 0) := TO_UVEC(data_id_rec.xst_signal_input_B_index, 8); + ELSE -- SST, SST_OS + v_slv(7 DOWNTO 0) := TO_UVEC(data_id_rec.sst_signal_input_index, 8); END IF; RETURN v_slv; END func_sdp_map_stat_data_id; diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd index 59c761de88644857e1b6434664cd499730650e62..cdb5f276b171f38e27c45bab9847e2074fc92556 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd @@ -46,10 +46,12 @@ ENTITY sdp_station IS g_sim_sdp : t_sdp_sim := c_sdp_sim; -- Used when g_sim = TRUE, otherwise use HW defaults g_sim_sync_timeout : NATURAL := 1024; g_wpfb : t_wpfb := c_sdp_wpfb_subbands; + g_wpfb_complex : t_wpfb := c_sdp_wpfb_complex_subbands; g_bsn_nof_clk_per_sync : NATURAL := c_sdp_N_clk_per_sync; -- Default 200M, overide for short simulation g_scope_selected_subband : NATURAL := 0; g_no_jesd : BOOLEAN := FALSE; g_use_fsub : BOOLEAN := TRUE; + g_use_oversample : BOOLEAN := FALSE; g_use_xsub : BOOLEAN := TRUE; g_use_bf : BOOLEAN := TRUE; g_use_ring : BOOLEAN := TRUE; @@ -421,6 +423,8 @@ ARCHITECTURE str OF sdp_station IS CONSTANT c_ring_1_if_offset : NATURAL := 2; -- RING_1 signals are indexed at c_nof_if * I + 2. CONSTANT c_ring_nof_mac : NATURAL := 12; -- Using 9 out of 12 (this is NOT optimized away during synthesis), must match one of the MAC IP variations, e.g. 1, 3, 4, 12, 24, 48 + TYPE t_dp_sosi_2arr_pfb IS ARRAY (INTEGER RANGE <>) OF t_dp_sosi_arr(c_sdp_P_pfb - 1 DOWNTO 0); + SIGNAL gn_index : NATURAL := 0; -- range 0:31 (c_sdp_W_gn_id = 5) SIGNAL this_rn : STD_LOGIC_VECTOR(c_byte_w-1 DOWNTO 0); @@ -506,8 +510,9 @@ ARCHITECTURE str OF sdp_station IS ---------------------------------------------- SIGNAL ait_sosi_arr : t_dp_sosi_arr(c_sdp_S_pn-1 DOWNTO 0); - SIGNAL pfb_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); -- raw subbands SIGNAL fsub_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); -- weighted subbands + SIGNAL fsub_oversampled_sosi_arr : t_dp_sosi_arr(c_sdp_V_oversample * c_sdp_P_pfb-1 DOWNTO 0); + SIGNAL bf_sosi_2arr : t_dp_sosi_2arr_pfb(c_sdp_N_beamsets-1 DOWNTO 0); SIGNAL bs_sosi : t_dp_sosi; SIGNAL xst_from_ri_sosi : t_dp_sosi := c_dp_sosi_rst; @@ -676,53 +681,116 @@ BEGIN -- node_sdp_filterbank (FSUB) ----------------------------------------------------------------------------- gen_use_fsub : IF g_use_fsub GENERATE - u_fsub : ENTITY work.node_sdp_filterbank - GENERIC MAP( - g_sim => g_sim, - g_sim_sdp => g_sim_sdp, - g_wpfb => g_wpfb, - g_scope_selected_subband => g_scope_selected_subband - ) - PORT MAP( - dp_clk => dp_clk, - dp_rst => dp_rst, - - in_sosi_arr => ait_sosi_arr, - pfb_sosi_arr => pfb_sosi_arr, - fsub_sosi_arr => fsub_sosi_arr, - dp_bsn_source_restart => dp_bsn_source_restart, - - sst_udp_sosi => udp_tx_sosi_arr(0), - sst_udp_siso => udp_tx_siso_arr(0), - - mm_rst => mm_rst, - mm_clk => mm_clk, - - reg_si_mosi => reg_si_copi, - reg_si_miso => reg_si_cipo, - ram_st_sst_mosi => ram_st_sst_copi, - ram_st_sst_miso => ram_st_sst_cipo, - ram_fil_coefs_mosi => ram_fil_coefs_copi, - ram_fil_coefs_miso => ram_fil_coefs_cipo, - ram_gains_mosi => ram_equalizer_gains_copi, - ram_gains_miso => ram_equalizer_gains_cipo, - reg_selector_mosi => reg_dp_selector_copi, - reg_selector_miso => reg_dp_selector_cipo, - - reg_enable_mosi => reg_stat_enable_sst_copi, - reg_enable_miso => reg_stat_enable_sst_cipo, - reg_hdr_dat_mosi => reg_stat_hdr_dat_sst_copi, - reg_hdr_dat_miso => reg_stat_hdr_dat_sst_cipo, + gen_use_no_oversample : IF NOT g_use_oversample GENERATE + u_fsub : ENTITY work.node_sdp_filterbank + GENERIC MAP( + g_sim => g_sim, + g_sim_sdp => g_sim_sdp, + g_wpfb => g_wpfb, + g_scope_selected_subband => g_scope_selected_subband + ) + PORT MAP( + dp_clk => dp_clk, + dp_rst => dp_rst, + + in_sosi_arr => ait_sosi_arr, + fsub_sosi_arr => fsub_sosi_arr, + dp_bsn_source_restart => dp_bsn_source_restart, + + sst_udp_sosi => udp_tx_sosi_arr(0), + sst_udp_siso => udp_tx_siso_arr(0), + + mm_rst => mm_rst, + mm_clk => mm_clk, + + reg_si_mosi => reg_si_copi, + reg_si_miso => reg_si_cipo, + ram_st_sst_mosi => ram_st_sst_copi, + ram_st_sst_miso => ram_st_sst_cipo, + ram_fil_coefs_mosi => ram_fil_coefs_copi, + ram_fil_coefs_miso => ram_fil_coefs_cipo, + ram_gains_mosi => ram_equalizer_gains_copi, + ram_gains_miso => ram_equalizer_gains_cipo, + reg_selector_mosi => reg_dp_selector_copi, + reg_selector_miso => reg_dp_selector_cipo, + + reg_enable_mosi => reg_stat_enable_sst_copi, + reg_enable_miso => reg_stat_enable_sst_cipo, + reg_hdr_dat_mosi => reg_stat_hdr_dat_sst_copi, + reg_hdr_dat_miso => reg_stat_hdr_dat_sst_cipo, + + reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi, + reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo, + + sdp_info => sdp_info, + gn_id => gn_id, + eth_src_mac => stat_eth_src_mac, + ip_src_addr => stat_ip_src_addr, + udp_src_port => sst_udp_src_port + ); + + gen_bf_sosi : FOR I IN 0 TO c_sdp_N_beamsets-1 GENERATE + bf_sosi_2arr(I) <= fsub_sosi_arr; + END GENERATE; + END GENERATE; + + ----------------------------------------------------------------------------- + -- node_sdp_oversampled_filterbank + ----------------------------------------------------------------------------- + gen_use_oversample : IF g_use_oversample GENERATE + u_fsub : ENTITY work.node_sdp_oversampled_filterbank + GENERIC MAP( + g_sim => g_sim, + g_sim_sdp => g_sim_sdp, + g_wpfb => g_wpfb, + g_wpfb_complex => g_wpfb_complex, + g_scope_selected_subband => g_scope_selected_subband + ) + PORT MAP( + dp_clk => dp_clk, + dp_rst => dp_rst, + + in_sosi_arr => ait_sosi_arr, + fsub_sosi_arr => fsub_oversampled_sosi_arr, + dp_bsn_source_restart => dp_bsn_source_restart, + + sst_udp_sosi => udp_tx_sosi_arr(0), + sst_udp_siso => udp_tx_siso_arr(0), + + mm_rst => mm_rst, + mm_clk => mm_clk, + + reg_si_mosi => reg_si_copi, + reg_si_miso => reg_si_cipo, + ram_st_sst_mosi => ram_st_sst_copi, + ram_st_sst_miso => ram_st_sst_cipo, + ram_fil_coefs_mosi => ram_fil_coefs_copi, + ram_fil_coefs_miso => ram_fil_coefs_cipo, + ram_gains_mosi => ram_equalizer_gains_copi, + ram_gains_miso => ram_equalizer_gains_cipo, + reg_selector_mosi => reg_dp_selector_copi, + reg_selector_miso => reg_dp_selector_cipo, + + reg_enable_mosi => reg_stat_enable_sst_copi, + reg_enable_miso => reg_stat_enable_sst_cipo, + reg_hdr_dat_mosi => reg_stat_hdr_dat_sst_copi, + reg_hdr_dat_miso => reg_stat_hdr_dat_sst_cipo, - reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi, - reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo, + reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi, + reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo, - sdp_info => sdp_info, - gn_id => gn_id, - eth_src_mac => stat_eth_src_mac, - ip_src_addr => stat_ip_src_addr, - udp_src_port => sst_udp_src_port - ); + sdp_info => sdp_info, + gn_id => gn_id, + eth_src_mac => stat_eth_src_mac, + ip_src_addr => stat_ip_src_addr, + udp_src_port => sst_udp_src_port + ); + + fsub_sosi_arr <= fsub_oversampled_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); -- Lower part contains normal subbands, higher part contains shifted subbands. + + bf_sosi_2arr(0) <= fsub_sosi_arr; + bf_sosi_2arr(1) <= fsub_oversampled_sosi_arr(2 * c_sdp_P_pfb-1 DOWNTO c_sdp_P_pfb); -- Use second beamset for oversampled subbands + END GENERATE; END GENERATE; @@ -803,7 +871,7 @@ BEGIN dp_clk => dp_clk, dp_rst => dp_rst, - in_sosi_arr => fsub_sosi_arr, + in_sosi_arr => bf_sosi_2arr(beamset_id), from_ri_sosi => bf_from_ri_sosi_arr(beamset_id), to_ri_sosi => bf_to_ri_sosi_arr(beamset_id), bf_udp_sosi => bf_udp_sosi_arr(beamset_id), diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd index 40a078a306a943b1d30d856ab94704e7f9c16331..584b96d958ede425135472847f9b1f1f4402490f 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd @@ -409,6 +409,9 @@ BEGIN gen_data_id_sst : IF g_statistics_type = "SST" GENERATE data_id_rec.sst_signal_input_index <= r.packet_count + p.local_si_offset; END GENERATE; + gen_data_id_sst_os : IF g_statistics_type = "SST_OS" GENERATE + data_id_rec.sst_signal_input_index <= r.packet_count + c_sdp_V_oversample * p.local_si_offset; + END GENERATE; gen_data_id_bst : IF g_statistics_type = "BST" GENERATE data_id_rec.bst_beamlet_index <= c_beamlet_id; END GENERATE; diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd index 05d222f417f158351779bbee469fc7b5543f3124..f337da198f9eb4334e72899c5bdf348db38ee59b 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd @@ -41,14 +41,15 @@ USE work.sdp_pkg.ALL; ENTITY sdp_subband_equalizer IS GENERIC ( - g_gains_file_name : STRING := "UNUSED" + g_gains_file_name : STRING := "UNUSED"; + g_nof_streams : NATURAL := c_sdp_P_pfb ); PORT ( dp_clk : IN STD_LOGIC; dp_rst : IN STD_LOGIC; - in_sosi_arr : IN t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); - out_sosi_arr : OUT t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); + in_sosi_arr : IN t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + out_sosi_arr : OUT t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); mm_rst : IN STD_LOGIC; mm_clk : IN STD_LOGIC; @@ -65,7 +66,7 @@ ARCHITECTURE str OF sdp_subband_equalizer IS SIGNAL cnt : NATURAL RANGE 0 TO c_sdp_Q_fft * c_sdp_N_sub-1; SIGNAL gains_rd_address : STD_LOGIC_VECTOR(c_gain_addr_w-1 DOWNTO 0); - SIGNAL dp_gain_serial_out_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); + SIGNAL dp_gain_serial_out_sosi_arr : t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); BEGIN --------------------------------------------------------------- @@ -113,7 +114,7 @@ BEGIN --------------------------------------------------------------- u_mms_dp_gain_serial_arr : ENTITY dp_lib.mms_dp_gain_serial_arr GENERIC MAP ( - g_nof_streams => c_sdp_P_pfb, + g_nof_streams => g_nof_streams, g_nof_gains => c_sdp_Q_fft * c_sdp_N_sub, g_complex_data => TRUE, g_complex_gain => TRUE, @@ -143,7 +144,7 @@ BEGIN --------------------------------------------------------------- -- Requantize --------------------------------------------------------------- - gen_dp_requantize : FOR I IN 0 TO c_sdp_P_pfb-1 GENERATE + gen_dp_requantize : FOR I IN 0 TO g_nof_streams-1 GENERATE u_dp_requantize : ENTITY dp_lib.dp_requantize GENERIC MAP ( g_complex => TRUE,