diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_tbuf_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_tbuf_pkg.vhd
index 368e2f54e923c61d6eddddaf726931149f81a23f..8a50fed7d6945bba5c6ca11997eeff18937c76d1 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_tbuf_pkg.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_tbuf_pkg.vhd
@@ -141,28 +141,28 @@ package sdp_tbuf_pkg is
 
   -- 'to' order as in document [1] to ease view in wave window
   -- . RW control registers
-  type t_sdp_tbuf_registers_rw is record                                -- word index
-    record_all            : std_logic;                                  -- 2
-    record_enable         : std_logic;                                  -- 3
-    dump_inter_packet_gap : natural;                                    -- 11
-    dump_start_page       : natural;                                    -- 12
-    dump_nof_pages        : natural;                                    -- 13
-    dump_start_rsn        : std_logic_vector(63 downto 0);              -- 14
-    dump_enables          : std_logic_vector(c_sdp_A_pn - 1 downto 0);  -- 16
+  type t_sdp_tbuf_registers_rw is record                                 -- word index
+    record_all            : std_logic;                                   -- 2
+    record_enable         : std_logic;                                   -- 3
+    dump_inter_packet_gap : natural;                                     -- 11
+    dump_start_page       : natural;                                     -- 12
+    dump_nof_pages        : natural;                                     -- 13
+    dump_start_rsn        : std_logic_vector(c_sdp_W_rsn - 1 downto 0);  -- 14
+    dump_enables          : std_logic_vector(c_sdp_A_pn - 1 downto 0);   -- 16
   end record;
 
   -- . RO monitoring registers
-  type t_sdp_tbuf_registers_ro is record                                -- word index
-    nof_samples_per_block : natural;                                    -- 0
-    nof_pages_in_buffer   : natural;                                    -- 1
-    recorded_nof_pages    : natural;                                    -- 4
-    recorded_first_page   : natural;                                    -- 5
-    recorded_last_page    : natural;                                    -- 6
-    recorded_first_rsn    : std_logic_vector(63 downto 0);              -- 7
-    recorded_last_rsn     : std_logic_vector(63 downto 0);              -- 9
-    dump_done             : std_logic;                                  -- 17
-    record_busy           : std_logic;                                  -- 18
-    state                 : std_logic_vector(31 downto 0);              -- 19
+  type t_sdp_tbuf_registers_ro is record                                 -- word index
+    nof_samples_per_block : natural;                                     -- 0
+    nof_pages_in_buffer   : natural;                                     -- 1
+    recorded_nof_pages    : natural;                                     -- 4
+    recorded_first_page   : natural;                                     -- 5
+    recorded_last_page    : natural;                                     -- 6
+    recorded_first_rsn    : std_logic_vector(c_sdp_W_rsn - 1 downto 0);  -- 7
+    recorded_last_rsn     : std_logic_vector(c_sdp_W_rsn - 1 downto 0);  -- 9
+    dump_done             : std_logic;                                   -- 17
+    record_busy           : std_logic;                                   -- 18
+    state                 : std_logic_vector(31 downto 0);               -- 19
   end record;
 
   constant c_sdp_tbuf_registers_rw_rst :t_sdp_tbuf_registers_rw :=